From 848a1d38852a4ba2bb685eb55cd67b2081f2f59c Mon Sep 17 00:00:00 2001
From: donker <donker@astron.nl>
Date: Wed, 16 Aug 2023 10:12:43 +0200
Subject: [PATCH] RTSD-82: add indent fix from vsg

---
 .../lofar1/RSP/pfb2/src/vhdl/pfb2.vhd         |   92 +-
 .../lofar1/RSP/pfb2/src/vhdl/pfb2_unit.vhd    |  108 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs(str).vhd      |  178 +-
 applications/lofar1/RSP/pfs/src/vhdl/pfs.vhd  |  182 +-
 .../RSP/pfs/src/vhdl/pfs_coefsbuf(str).vhd    |   38 +-
 .../pfs/src/vhdl/pfs_coefsbuf(stratix).vhd    |   86 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf.vhd  |   38 +-
 .../RSP/pfs/src/vhdl/pfs_combine(rtl).vhd     |    4 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_combine.vhd   |    2 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_ctrl(rtl).vhd |    4 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_ctrl.vhd      |    4 +-
 .../RSP/pfs/src/vhdl/pfs_filter(rtl).vhd      |   38 +-
 .../RSP/pfs/src/vhdl/pfs_filter(stratix).vhd  |  204 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_filter.vhd    |   38 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_fir(str).vhd  |  232 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_fir.vhd       |   10 +-
 .../src/vhdl/pfs_fir_coefsbuf(stratix).vhd    |   78 +-
 .../RSP/pfs/src/vhdl/pfs_fir_coefsbuf.vhd     |    4 +-
 .../RSP/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd    |   14 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl.vhd  |    2 +-
 .../RSP/pfs/src/vhdl/pfs_fir_mac(stratix).vhd |  154 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_fir_mac.vhd   |    4 +-
 .../pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd |  114 +-
 .../RSP/pfs/src/vhdl/pfs_fir_tapsbuf.vhd      |    4 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_pkg.vhd       |    4 +-
 .../RSP/pfs/src/vhdl/pfs_rotate(rtl).vhd      |    4 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_rotate.vhd    |    4 +-
 .../RSP/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd     |   34 +-
 .../RSP/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd |  114 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf.vhd   |    6 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_top(str).vhd  |   42 +-
 .../lofar1/RSP/pfs/src/vhdl/pfs_top.vhd       |    2 +-
 .../lofar1/RSP/pfs/tb/vhdl/tb_pfs.vhd         |   48 +-
 .../lofar1/RSP/pft2/src/vhdl/pft(pkg).vhd     |    4 +-
 .../lofar1/RSP/pft2/src/vhdl/pft(str).vhd     |  182 +-
 applications/lofar1/RSP/pft2/src/vhdl/pft.vhd |  182 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd  |  220 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_bf.vhd       |  218 +-
 .../RSP/pft2/src/vhdl/pft_bf_fw(rtl).vhd      |  148 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_bf_fw.vhd    |  146 +-
 .../RSP/pft2/src/vhdl/pft_buffer(rtl).vhd     |   86 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_buffer.vhd   |   84 +-
 .../RSP/pft2/src/vhdl/pft_lfsr(rtl).vhd       |    2 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_lfsr.vhd     |    2 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_pkg.vhd      |    4 +-
 .../RSP/pft2/src/vhdl/pft_reverse(rtl).vhd    |    8 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_reverse.vhd  |    8 +-
 .../RSP/pft2/src/vhdl/pft_separate(rtl).vhd   |  132 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_separate.vhd |  126 +-
 .../RSP/pft2/src/vhdl/pft_stage(str).vhd      |  212 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_stage.vhd    |  214 +-
 .../RSP/pft2/src/vhdl/pft_switch(rtl).vhd     |   38 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_switch.vhd   |   36 +-
 .../RSP/pft2/src/vhdl/pft_tmult(rtl).vhd      |  148 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_tmult.vhd    |  150 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_top(str).vhd |   42 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_top.vhd      |    6 +-
 .../RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd   |   42 +-
 .../lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd |   40 +-
 .../lofar1/RSP/pft2/tb/vhdl/tb_pft.vhd        |  108 +-
 .../lofar1/RSP/pft2/tb/vhdl/tb_pft2.vhd       |  264 +-
 .../lofar1/RSP/pft2/tb/vhdl/tb_pft_simple.vhd |  102 +-
 .../lofar1/RSP/pft2/tb/vhdl/tb_tb_pft2.vhd    |    6 +-
 .../lofar2_unb2b_adc_6ch_200MHz.vhd           |  112 +-
 .../tb_lofar2_unb2b_adc_6ch_200MHz.vhd        |   94 +-
 .../lofar2_unb2b_adc_full.vhd                 |  110 +-
 .../tb_lofar2_unb2b_adc_full.vhd              |   94 +-
 .../lofar2_unb2b_adc_one_node.vhd             |  108 +-
 .../tb_lofar2_unb2b_adc_one_node.vhd          |   94 +-
 .../src/vhdl/lofar2_unb2b_adc.vhd             |  556 +-
 .../src/vhdl/lofar2_unb2b_adc_pkg.vhd         |   12 +-
 .../src/vhdl/mmm_lofar2_unb2b_adc.vhd         |  546 +-
 .../src/vhdl/node_adc_input_and_timing.vhd    |  438 +-
 .../src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd    |  418 +-
 .../tb/vhdl/tb_lofar2_unb2b_adc.vhd           |   98 +-
 .../vhdl/tb_lofar2_unb2b_adc_multichannel.vhd |  254 +-
 .../tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd        |  110 +-
 .../lofar2_unb2b_beamformer_one_node.vhd      |  124 +-
 ...ofar2_unb2b_beamformer_one_node_256MHz.vhd |  124 +-
 .../src/vhdl/lofar2_unb2b_beamformer.vhd      |  950 +--
 .../src/vhdl/lofar2_unb2b_beamformer_pkg.vhd  |   14 +-
 .../src/vhdl/mmm_lofar2_unb2b_beamformer.vhd  |  884 +-
 .../vhdl/qsys_lofar2_unb2b_beamformer_pkg.vhd |  642 +-
 .../tb/vhdl/tb_lofar2_unb2b_beamformer.vhd    |  152 +-
 .../lofar2_unb2b_filterbank_full.vhd          |  110 +-
 .../lofar2_unb2b_filterbank_full_256MHz.vhd   |  110 +-
 .../src/vhdl/lofar2_unb2b_filterbank.vhd      |  710 +-
 .../src/vhdl/lofar2_unb2b_filterbank_pkg.vhd  |   14 +-
 .../src/vhdl/mmm_lofar2_unb2b_filterbank.vhd  |  722 +-
 .../vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd |  572 +-
 .../tb/vhdl/tb_lofar2_unb2b_filterbank.vhd    |  124 +-
 .../lofar2_unb2b_ring_full.vhd                |  118 +-
 .../tb_lofar2_unb2b_ring_full.vhd             |  106 +-
 .../lofar2_unb2b_ring_one.vhd                 |  118 +-
 .../tb_lofar2_unb2b_ring_one.vhd              |  106 +-
 .../src/vhdl/lofar2_unb2b_ring.vhd            | 1024 +--
 .../src/vhdl/lofar2_unb2b_ring_pkg.vhd        |   14 +-
 .../src/vhdl/mmc_lofar2_unb2b_ring.vhd        |  554 +-
 .../src/vhdl/qsys_lofar2_unb2b_ring_pkg.vhd   |  432 +-
 .../tb/vhdl/tb_lofar2_unb2b_ring.vhd          |  134 +-
 .../tb/vhdl/tb_tb_lofar2_unb2b_ring.vhd       |   20 +-
 .../disturb2_unb2b_sdp_station_full.vhd       |  142 +-
 .../disturb2_unb2b_sdp_station_full_wg.vhd    |  132 +-
 .../tb_disturb2_unb2b_sdp_station_full_wg.vhd |  126 +-
 .../lofar2_unb2b_sdp_station_adc.vhd          |  110 +-
 .../tb_lofar2_unb2b_sdp_station_adc.vhd       |  118 +-
 .../lofar2_unb2b_sdp_station_bf.vhd           |  124 +-
 .../tb_lofar2_unb2b_sdp_station_bf.vhd        |  286 +-
 ...ofar2_unb2b_sdp_station_bf_bst_offload.vhd |  128 +-
 .../lofar2_unb2b_sdp_station_fsub.vhd         |  110 +-
 .../tb_lofar2_unb2b_sdp_station_fsub.vhd      |  120 +-
 ...ar2_unb2b_sdp_station_fsub_sst_offload.vhd |  128 +-
 .../lofar2_unb2b_sdp_station_full.vhd         |  142 +-
 .../lofar2_unb2b_sdp_station_full_wg.vhd      |  124 +-
 .../lofar2_unb2b_sdp_station_xsub_one.vhd     |  110 +-
 .../tb_lofar2_unb2b_sdp_station_xsub_one.vhd  |  120 +-
 ...unb2b_sdp_station_xsub_one_xst_offload.vhd |  128 +-
 .../lofar2_unb2b_sdp_station_xsub_ring.vhd    |  142 +-
 .../tb_lofar2_unb2b_sdp_station_xsub_ring.vhd |  158 +-
 .../src/vhdl/lofar2_unb2b_sdp_station.vhd     | 1084 +--
 .../src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd |   14 +-
 .../src/vhdl/mmm_lofar2_unb2b_sdp_station.vhd | 1770 ++--
 .../qsys_lofar2_unb2b_sdp_station_pkg.vhd     | 1104 +--
 .../tb/vhdl/tb_lofar2_unb2b_sdp_station.vhd   |  138 +-
 .../src/vhdl/lofar2_unb2c_ddrctrl.vhd         |  908 +--
 .../src/vhdl/mmm_lofar2_unb2c_ddrctrl.vhd     |  468 +-
 .../vhdl/qsys_lofar2_unb2c_ddrctrl_pkg.vhd    |  368 +-
 .../tb/vhdl/tb_lofar2_unb2c_ddrctrl.vhd       |   58 +-
 .../lofar2_unb2c_filterbank_full.vhd          |  110 +-
 .../lofar2_unb2c_filterbank_full_256MHz.vhd   |  110 +-
 .../src/vhdl/lofar2_unb2c_filterbank.vhd      |  598 +-
 .../src/vhdl/lofar2_unb2c_filterbank_pkg.vhd  |   14 +-
 .../src/vhdl/mmm_lofar2_unb2c_filterbank.vhd  |  668 +-
 .../vhdl/qsys_lofar2_unb2c_filterbank_pkg.vhd |  516 +-
 .../tb/vhdl/tb_lofar2_unb2c_filterbank.vhd    |  124 +-
 .../lofar2_unb2c_ring_full.vhd                |  102 +-
 .../tb_lofar2_unb2c_ring_full.vhd             |   90 +-
 .../lofar2_unb2c_ring_one.vhd                 |  102 +-
 .../tb_lofar2_unb2c_ring_one.vhd              |   90 +-
 .../src/vhdl/lofar2_unb2c_ring.vhd            |  988 +--
 .../src/vhdl/lofar2_unb2c_ring_pkg.vhd        |   14 +-
 .../src/vhdl/mmc_lofar2_unb2c_ring.vhd        |  518 +-
 .../src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd   |  404 +-
 .../tb/vhdl/tb_lofar2_unb2c_ring.vhd          |  120 +-
 .../tb/vhdl/tb_tb_lofar2_unb2c_ring.vhd       |   20 +-
 .../disturb2_unb2c_sdp_station_full.vhd       |  126 +-
 .../disturb2_unb2c_sdp_station_full_wg.vhd    |  108 +-
 .../lofar2_unb2c_sdp_station_adc.vhd          |   94 +-
 .../tb_lofar2_unb2c_sdp_station_adc.vhd       |  102 +-
 .../tb_lofar2_unb2c_sdp_station_adc_jesd.vhd  |  302 +-
 .../lofar2_unb2c_sdp_station_bf.vhd           |  108 +-
 .../tb_lofar2_unb2c_sdp_station_bf.vhd        |  282 +-
 ...ofar2_unb2c_sdp_station_bf_bst_offload.vhd |  182 +-
 .../tb_tb_lofar2_unb2c_sdp_station_bf.vhd     |   44 +-
 .../lofar2_unb2c_sdp_station_bf_ring.vhd      |  126 +-
 .../tb_lofar2_unb2c_sdp_station_bf_ring.vhd   |  302 +-
 .../lofar2_unb2c_sdp_station_fsub.vhd         |   94 +-
 .../tb_lofar2_unb2c_sdp_station_fsub.vhd      |  112 +-
 ...ar2_unb2c_sdp_station_fsub_sst_offload.vhd |  156 +-
 .../tb_tb_lofar2_unb2c_sdp_station_fsub.vhd   |   60 +-
 .../lofar2_unb2c_sdp_station_full.vhd         |  126 +-
 .../lofar2_unb2c_sdp_station_full_wg.vhd      |  108 +-
 .../lofar2_unb2c_sdp_station_xsub_one.vhd     |   94 +-
 .../tb_lofar2_unb2c_sdp_station_xsub_one.vhd  |  104 +-
 ...unb2c_sdp_station_xsub_one_xst_offload.vhd |  160 +-
 .../lofar2_unb2c_sdp_station_xsub_ring.vhd    |  126 +-
 .../src/vhdl/lofar2_unb2c_sdp_station.vhd     | 1046 +--
 .../src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd |   14 +-
 .../src/vhdl/mmm_lofar2_unb2c_sdp_station.vhd | 1734 ++--
 .../qsys_lofar2_unb2c_sdp_station_pkg.vhd     | 1076 +--
 .../tb/vhdl/tb_lofar2_unb2c_sdp_station.vhd   |  120 +-
 .../src/vhdl/Prototype_ddrctrl_controller.vhd |  508 +-
 .../libraries/ddrctrl/src/vhdl/ddrctrl.vhd    |  310 +-
 .../ddrctrl/src/vhdl/ddrctrl_controller.vhd   |  586 +-
 .../ddrctrl/src/vhdl/ddrctrl_input.vhd        |   88 +-
 .../vhdl/ddrctrl_input_address_counter.vhd    |   72 +-
 .../ddrctrl/src/vhdl/ddrctrl_input_pack.vhd   |    4 +-
 .../ddrctrl/src/vhdl/ddrctrl_input_repack.vhd |  158 +-
 .../ddrctrl/src/vhdl/ddrctrl_output.vhd       |  132 +-
 .../src/vhdl/ddrctrl_output_repack.vhd        |    6 +-
 .../src/vhdl/ddrctrl_output_unpack.vhd        |  198 +-
 .../libraries/ddrctrl/tb/vhdl/tb_ddrctrl.vhd  |   80 +-
 .../vhdl/node_sdp_adc_input_and_timing.vhd    |  472 +-
 .../sdp/src/vhdl/node_sdp_beamformer.vhd      |  350 +-
 .../sdp/src/vhdl/node_sdp_correlator.vhd      |  506 +-
 .../sdp/src/vhdl/node_sdp_filterbank.vhd      |  222 +-
 .../vhdl/node_sdp_oversampled_filterbank.vhd  |  500 +-
 .../sdp/src/vhdl/sdp_beamformer_local.vhd     |  156 +-
 .../sdp/src/vhdl/sdp_beamformer_output.vhd    |  298 +-
 .../sdp/src/vhdl/sdp_beamformer_remote.vhd    |  182 +-
 .../libraries/sdp/src/vhdl/sdp_bf_weights.vhd |   64 +-
 .../src/vhdl/sdp_crosslets_subband_select.vhd |  254 +-
 .../libraries/sdp/src/vhdl/sdp_info.vhd       |   32 +-
 .../libraries/sdp/src/vhdl/sdp_info_reg.vhd   |   58 +-
 .../lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd |  386 +-
 .../libraries/sdp/src/vhdl/sdp_scope.vhd      |   56 +-
 .../libraries/sdp/src/vhdl/sdp_station.vhd    | 1294 +--
 .../sdp/src/vhdl/sdp_statistics_offload.vhd   |  206 +-
 .../sdp/src/vhdl/sdp_subband_equalizer.vhd    |  212 +-
 .../sdp/src/vhdl/sdp_subband_weights.vhd      |  118 +-
 .../vhdl/tb_sdp_crosslets_subband_select.vhd  |   66 +-
 .../libraries/sdp/tb/vhdl/tb_sdp_info.vhd     |   12 +-
 .../libraries/sdp/tb/vhdl/tb_sdp_pkg.vhd      |  168 +-
 .../sdp/tb/vhdl/tb_sdp_statistics_offload.vhd |  252 +-
 .../tb/vhdl/tb_tb_sdp_statistics_offload.vhd  |   34 +-
 .../src/vhdl/rdma_demo_eth_tester_wrapper.vhd |  182 +-
 .../rdma_demo/src/vhdl/rdma_demo_pkg.vhd      |   92 +-
 .../vhdl/rdma_demo_roce_tester_wrapper.vhd    |  188 +-
 .../lofar2_unb2b_ring_bsp/ring_pkg.vhd        |   14 +-
 .../tb_lofar2_unb2b_ring_bsp.vhd              |  126 +-
 .../hardware/lofar2_unb2b_ring_bsp/top.vhd    | 1830 ++---
 .../top_components_pkg.vhd                    |  410 +-
 .../ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd    |  750 +-
 .../ta2_unb2b_bsp/top_components_pkg.vhd      |  384 +-
 .../ta2_channel_cross/ta2_channel_cross.vhd   |  164 +-
 .../ip/ta2_unb2b_10GbE/ta2_unb2b_10GbE.vhd    |  296 +-
 .../ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE.vhd  |   94 +-
 .../ta2_unb2b_1GbE_ip_wrapper.vhd             |   66 +-
 .../ip/ta2_unb2b_40GbE/ta2_unb2b_40GbE.vhd    |  654 +-
 .../ta2/ip/ta2_unb2b_ddr/ta2_unb2b_ddr.vhd    |  570 +-
 .../ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd |  132 +-
 .../ta2_unb2b_jesd204b_ip_wrapper.vhd         |    2 +-
 .../ip/ta2_unb2b_mm_io/ta2_unb2b_mm_io.vhd    |  124 +-
 .../ip/ta2_unb2b_mm_io/tb_ta2_unb2b_mm_io.vhd |   62 +-
 .../src/vhdl/node_unb1_bn_capture.vhd         |  376 +-
 .../src/vhdl/unb1_bn_capture.vhd              | 1080 +--
 .../src/vhdl/unb1_bn_capture_input.vhd        |  356 +-
 .../src/vhdl/unb1_bn_capture_mux.vhd          |  104 +-
 .../src/vhdl/unb1_bn_capture_pkg.vhd          |   16 +-
 .../src/vhdl/unb1_bn_capture_storage.vhd      |  194 +-
 .../src/vhdl/unb1_bn_capture_storage_reg.vhd  |  114 +-
 .../tb/vhdl/tb_node_unb1_bn_capture.vhd       |  350 +-
 .../tb/vhdl/tb_unb1_bn_capture.vhd            |  234 +-
 .../tb/vhdl/tb_unb1_bn_capture_input.vhd      |  200 +-
 .../src/vhdl/node_unb1_bn_terminal_bg.vhd     |  270 +-
 .../src/vhdl/unb1_bn_terminal_bg.vhd          |  678 +-
 .../tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd   |  202 +-
 .../vhdl/tb_tb_node_unb1_bn_terminal_bg.vhd   |   66 +-
 .../unb1_ddr3/src/vhdl/mmm_unb1_ddr3.vhd      |  344 +-
 .../unb1_ddr3/src/vhdl/node_unb1_ddr3.vhd     |  164 +-
 .../designs/unb1_ddr3/src/vhdl/unb1_ddr3.vhd  |  512 +-
 .../unb1_ddr3/tb/vhdl/tb_unb1_ddr3.vhd        |  116 +-
 .../tb_unb1_ddr3_reorder_dual_rank.vhd        |   26 +-
 .../unb1_ddr3_reorder_dual_rank.vhd           |   72 +-
 .../tb_unb1_ddr3_reorder_single_rank.vhd      |   26 +-
 .../unb1_ddr3_reorder_single_rank.vhd         |   72 +-
 .../src/vhdl/mmm_unb1_ddr3_reorder.vhd        |  402 +-
 .../src/vhdl/node_unb1_ddr3_reorder.vhd       |  386 +-
 .../src/vhdl/unb1_ddr3_reorder.vhd            |  544 +-
 .../tb/vhdl/tb_unb1_ddr3_reorder.vhd          |  114 +-
 .../src/vhdl/mmm_unb1_ddr3_transpose.vhd      |  378 +-
 .../src/vhdl/unb1_ddr3_transpose.vhd          |  634 +-
 .../tb/vhdl/tb_unb1_ddr3_transpose.vhd        |   86 +-
 .../src/vhdl/mmm_unb1_fn_terminal_db.vhd      |  328 +-
 .../src/vhdl/node_unb1_fn_terminal_db.vhd     |  266 +-
 .../src/vhdl/unb1_fn_terminal_db.vhd          |  434 +-
 .../tb/vhdl/tb_unb1_fn_terminal_db.vhd        |  272 +-
 .../unb1_heater/src/vhdl/mmm_unb1_heater.vhd  |  324 +-
 .../src/vhdl/qsys_unb1_heater_pkg.vhd         |  242 +-
 .../unb1_heater/src/vhdl/unb1_heater.vhd      |  394 +-
 .../unb1_heater/tb/vhdl/tb_unb1_heater.vhd    |   74 +-
 .../tb_unb1_minimal_mm_arbiter.vhd            |   10 +-
 .../unb1_minimal_mm_arbiter.vhd               |   62 +-
 .../tb_unb1_minimal_qsys.vhd                  |   10 +-
 .../tb_unb1_minimal_qsys_stimuli.vhd          |   68 +-
 .../unb1_minimal_qsys/unb1_minimal_qsys.vhd   |   62 +-
 .../mmm_unb1_minimal_qsys_wo_pll.vhd          |  306 +-
 .../qsys_wo_pll_unb1_minimal_pkg.vhd          |  214 +-
 .../tb_unb1_minimal_qsys_wo_pll.vhd           |   74 +-
 .../unb1_minimal_qsys_wo_pll.vhd              |  370 +-
 .../tb_unb1_minimal_sopc.vhd                  |   10 +-
 .../unb1_minimal_sopc/unb1_minimal_sopc.vhd   |   60 +-
 .../src/vhdl/mmm_unb1_minimal.vhd             |  706 +-
 .../src/vhdl/qsys_unb1_minimal_pkg.vhd        |  322 +-
 .../unb1_minimal/src/vhdl/unb1_minimal.vhd    |  370 +-
 .../unb1_minimal/tb/vhdl/tb_unb1_minimal.vhd  |   74 +-
 .../src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd |  296 +-
 .../vhdl/node_unb1_terminal_bg_mesh_db.vhd    |  344 +-
 .../src/vhdl/unb1_terminal_bg_mesh_db.vhd     |  504 +-
 .../tb/vhdl/tb_unb1_terminal_bg_mesh_db.vhd   |  272 +-
 .../unb1_test_10GbE/tb_unb1_test_10GbE.vhd    |   12 +-
 .../unb1_test_10GbE/unb1_test_10GbE.vhd       |  128 +-
 .../tb_unb1_test_10GbE_tx_only.vhd            |   12 +-
 .../unb1_test_10GbE_tx_only.vhd               |  110 +-
 .../unb1_test_1GbE/tb_unb1_test_1GbE.vhd      |   12 +-
 .../unb1_test_1GbE/unb1_test_1GbE.vhd         |   66 +-
 .../unb1_test_all/tb_unb1_test_all.vhd        |   12 +-
 .../revisions/unb1_test_all/unb1_test_all.vhd |  146 +-
 .../unb1_test_ddr/tb_unb1_test_ddr.vhd        |   12 +-
 .../revisions/unb1_test_ddr/unb1_test_ddr.vhd |   90 +-
 .../tb_unb1_test_ddr_16g_MB_I.vhd             |   12 +-
 .../unb1_test_ddr_16g_MB_I.vhd                |   84 +-
 .../tb_unb1_test_ddr_16g_MB_II.vhd            |   12 +-
 .../unb1_test_ddr_16g_MB_II.vhd               |   84 +-
 .../tb_unb1_test_ddr_16g_MB_I_II.vhd          |   12 +-
 .../unb1_test_ddr_16g_MB_I_II.vhd             |   94 +-
 .../tb_unb1_test_ddr_MB_I.vhd                 |   12 +-
 .../tb_unb1_test_ddr_MB_II.vhd                |   12 +-
 .../tb_unb1_test_ddr_MB_I_II.vhd              |   12 +-
 .../unb1_test_ddr_MB_I_II.vhd                 |   94 +-
 .../unb1_test/src/vhdl/mmm_unb1_test.vhd      |  924 +--
 .../unb1_test/src/vhdl/qsys_unb1_test_pkg.vhd |  666 +-
 .../designs/unb1_test/src/vhdl/udp_stream.vhd |  338 +-
 .../designs/unb1_test/src/vhdl/unb1_test.vhd  | 1220 +--
 .../unb1_test/src/vhdl/unb1_test_pkg.vhd      |   70 +-
 .../unb1_test/tb/vhdl/tb_unb1_test.vhd        |  112 +-
 .../src/vhdl/mmm_unb1_tr_10GbE.vhd            |  296 +-
 .../unb1_tr_10GbE/src/vhdl/unb1_tr_10GbE.vhd  |  633 +-
 .../tb/vhdl/tb_unb1_tr_10GbE.vhd              |   10 +-
 .../unb1_board/src/vhdl/ctrl_unb1_board.vhd   |  456 +-
 .../src/vhdl/mms_unb1_board_sens.vhd          |   84 +-
 .../src/vhdl/mms_unb1_board_system_info.vhd   |  116 +-
 .../src/vhdl/node_unb1_fn_terminal_db.vhd     |  266 +-
 .../src/vhdl/unb1_board_back_io.vhd           |    4 +-
 .../src/vhdl/unb1_board_back_reorder.vhd      |  130 +-
 .../src/vhdl/unb1_board_back_select.vhd       |   10 +-
 .../unb1_board_back_uth_terminals_bidir.vhd   |  104 +-
 .../src/vhdl/unb1_board_clk200_pll.vhd        |  162 +-
 .../src/vhdl/unb1_board_clk25_pll.vhd         |   32 +-
 .../src/vhdl/unb1_board_clk_rst.vhd           |   40 +-
 .../src/vhdl/unb1_board_front_io.vhd          |   52 +-
 .../src/vhdl/unb1_board_mesh_io.vhd           |    4 +-
 .../vhdl/unb1_board_mesh_reorder_bidir.vhd    |   58 +-
 .../src/vhdl/unb1_board_mesh_reorder_rx.vhd   |   12 +-
 .../src/vhdl/unb1_board_mesh_reorder_tx.vhd   |   38 +-
 .../unb1_board_mesh_uth_terminals_bidir.vhd   |  110 +-
 .../src/vhdl/unb1_board_node_ctrl.vhd         |   98 +-
 .../src/vhdl/unb1_board_peripherals_pkg.vhd   |    6 +-
 .../unb1_board/src/vhdl/unb1_board_pkg.vhd    |   82 +-
 .../unb1_board/src/vhdl/unb1_board_sens.vhd   |   82 +-
 .../src/vhdl/unb1_board_sens_ctrl.vhd         |   32 +-
 .../src/vhdl/unb1_board_sens_reg.vhd          |   36 +-
 .../src/vhdl/unb1_board_system_info.vhd       |    8 +-
 .../src/vhdl/unb1_board_system_info_reg.vhd   |   38 +-
 .../src/vhdl/unb1_board_terminals_back.vhd    |  228 +-
 .../src/vhdl/unb1_board_terminals_mesh.vhd    |  312 +-
 .../src/vhdl/unb1_board_wdi_extend.vhd        |   44 +-
 .../src/vhdl/unb1_board_wdi_reg.vhd           |   22 +-
 .../tb/vhdl/tb_mms_unb1_board_sens.vhd        |  102 +-
 .../vhdl/tb_tb_tb_unb1_board_regression.vhd   |    2 +-
 .../tb/vhdl/tb_unb1_board_clk200_pll.vhd      |  124 +-
 .../vhdl/tb_unb1_board_mesh_reorder_bidir.vhd |  224 +-
 .../tb/vhdl/tb_unb1_board_node_ctrl.vhd       |   40 +-
 .../unb1_board/tb/vhdl/tb_unb1_board_pkg.vhd  |   10 +-
 .../tb/vhdl/unb1_board_back_model_sl.vhd      |   22 +-
 .../tb/vhdl/unb1_board_back_model_sosi.vhd    |   22 +-
 .../tb/vhdl/unb1_board_mesh_model_siso.vhd    |   14 +-
 .../tb/vhdl/unb1_board_mesh_model_sl.vhd      |   16 +-
 .../tb/vhdl/unb1_board_mesh_model_sosi.vhd    |   14 +-
 .../designs/unb2_led/src/vhdl/unb2_led.vhd    |  152 +-
 .../designs/unb2_led/tb/vhdl/tb_unb2_led.vhd  |   18 +-
 .../src/vhdl/mmm_unb2_minimal.vhd             |  314 +-
 .../src/vhdl/qsys_unb2_minimal_pkg.vhd        |  256 +-
 .../unb2_minimal/src/vhdl/unb2_minimal.vhd    |  384 +-
 .../unb2_minimal/tb/vhdl/tb_unb2_minimal.vhd  |   74 +-
 .../unb2_pinning/src/vhdl/unb2_pinning.vhd    | 1556 ++--
 .../src/vhdl/unb2_singlemac.vhd               |  508 +-
 .../unb2_test_10GbE/tb_unb2_test_10GbE.vhd    |    8 +-
 .../unb2_test_10GbE/unb2_test_10GbE.vhd       |  174 +-
 .../unb2_test_1GbE/tb_unb2_test_1GbE.vhd      |    8 +-
 .../unb2_test_1GbE/unb2_test_1GbE.vhd         |   86 +-
 .../unb2_test_all/tb_unb2_test_all.vhd        |   10 +-
 .../revisions/unb2_test_all/unb2_test_all.vhd |  198 +-
 .../tb_unb2_test_ddr_MB_I.vhd                 |   10 +-
 .../unb2_test_ddr_MB_I/unb2_test_ddr_MB_I.vhd |  104 +-
 .../tb_unb2_test_ddr_MB_II.vhd                |   10 +-
 .../unb2_test_ddr_MB_II.vhd                   |  104 +-
 .../tb_unb2_test_ddr_MB_I_II.vhd              |   10 +-
 .../unb2_test_ddr_MB_I_II.vhd                 |  116 +-
 .../unb2_test/src/vhdl/mmm_unb2_test.vhd      |  942 +--
 .../unb2_test/src/vhdl/qsys_unb2_test_pkg.vhd |  700 +-
 .../designs/unb2_test/src/vhdl/udp_stream.vhd |  348 +-
 .../designs/unb2_test/src/vhdl/unb2_test.vhd  | 1504 ++--
 .../unb2_test/src/vhdl/unb2_test_pkg.vhd      |   50 +-
 .../unb2_test/tb/vhdl/tb_unb2_test.vhd        |  254 +-
 .../unb2_board/src/vhdl/ctrl_unb2_board.vhd   |  604 +-
 .../src/vhdl/mms_unb2_board_sens.vhd          |   86 +-
 .../src/vhdl/mms_unb2_board_system_info.vhd   |  116 +-
 .../src/vhdl/mms_unb2_fpga_sens.vhd           |  100 +-
 .../src/vhdl/unb2_board_back_io.vhd           |    4 +-
 .../src/vhdl/unb2_board_clk125_pll.vhd        |   70 +-
 .../src/vhdl/unb2_board_clk200_pll.vhd        |  126 +-
 .../src/vhdl/unb2_board_clk25_pll.vhd         |   30 +-
 .../src/vhdl/unb2_board_clk_rst.vhd           |   40 +-
 .../src/vhdl/unb2_board_front_io.vhd          |    8 +-
 .../src/vhdl/unb2_board_node_ctrl.vhd         |   66 +-
 .../src/vhdl/unb2_board_peripherals_pkg.vhd   |    6 +-
 .../unb2_board/src/vhdl/unb2_board_pkg.vhd    |   20 +-
 .../src/vhdl/unb2_board_pmbus_ctrl.vhd        |   80 +-
 .../src/vhdl/unb2_board_qsfp_leds.vhd         |   92 +-
 .../src/vhdl/unb2_board_ring_io.vhd           |    4 +-
 .../unb2_board/src/vhdl/unb2_board_sens.vhd   |  118 +-
 .../src/vhdl/unb2_board_sens_ctrl.vhd         |   34 +-
 .../src/vhdl/unb2_board_sens_reg.vhd          |   36 +-
 .../src/vhdl/unb2_board_system_info.vhd       |    8 +-
 .../src/vhdl/unb2_board_system_info_reg.vhd   |   24 +-
 .../src/vhdl/unb2_board_wdi_extend.vhd        |   44 +-
 .../src/vhdl/unb2_board_wdi_reg.vhd           |   22 +-
 .../src/vhdl/unb2_fpga_sens_reg.vhd           |   44 +-
 .../tb/vhdl/tb_mms_unb2_board_sens.vhd        |  102 +-
 .../tb/vhdl/tb_unb2_board_clk125_pll.vhd      |   22 +-
 .../tb/vhdl/tb_unb2_board_clk200_pll.vhd      |   76 +-
 .../tb/vhdl/tb_unb2_board_clk25_pll.vhd       |   22 +-
 .../tb/vhdl/tb_unb2_board_node_ctrl.vhd       |   42 +-
 .../tb/vhdl/tb_unb2_board_qsfp_leds.vhd       |   92 +-
 .../src/vhdl/unb2_board_10gbe.vhd             |   36 +-
 .../ddr4_micron46_mbIIskew_inst.vhd           |    2 +-
 .../ddr4_micron46_mbIskew_inst.vhd            |    2 +-
 .../src/vhdl/mmm_unb2a_heater.vhd             |  332 +-
 .../src/vhdl/qsys_unb2a_heater_pkg.vhd        |  270 +-
 .../unb2a_heater/src/vhdl/unb2a_heater.vhd    |  464 +-
 .../unb2a_heater/tb/vhdl/tb_unb2a_heater.vhd  |   74 +-
 .../designs/unb2a_led/src/vhdl/unb2a_led.vhd  |  152 +-
 .../unb2a_led/tb/vhdl/tb_unb2a_led.vhd        |   20 +-
 .../src/vhdl/mmm_unb2a_minimal.vhd            |  314 +-
 .../src/vhdl/qsys_unb2a_minimal_pkg.vhd       |  256 +-
 .../unb2a_minimal/src/vhdl/unb2a_minimal.vhd  |  420 +-
 .../tb/vhdl/tb_unb2a_minimal.vhd              |  104 +-
 .../unb2a_test_10GbE/tb_unb2a_test_10GbE.vhd  |    8 +-
 .../unb2a_test_10GbE/unb2a_test_10GbE.vhd     |  174 +-
 .../unb2a_test_1GbE/tb_unb2a_test_1GbE.vhd    |    8 +-
 .../unb2a_test_1GbE/unb2a_test_1GbE.vhd       |   86 +-
 .../unb2a_test_all/tb_unb2a_test_all.vhd      |   10 +-
 .../unb2a_test_all/unb2a_test_all.vhd         |  198 +-
 .../tb_unb2a_test_ddr_MB_I.vhd                |   10 +-
 .../unb2a_test_ddr_MB_I.vhd                   |  104 +-
 .../tb_unb2a_test_ddr_MB_II.vhd               |   10 +-
 .../unb2a_test_ddr_MB_II.vhd                  |  104 +-
 .../tb_unb2a_test_ddr_MB_I_II.vhd             |   10 +-
 .../unb2a_test_ddr_MB_I_II.vhd                |  116 +-
 .../unb2a_test/src/vhdl/mmm_unb2a_test.vhd    |  982 +--
 .../src/vhdl/qsys_unb2a_test_pkg.vhd          |  730 +-
 .../unb2a_test/src/vhdl/udp_stream.vhd        |  350 +-
 .../unb2a_test/src/vhdl/unb2a_test.vhd        | 1528 ++--
 .../unb2a_test/src/vhdl/unb2a_test_pkg.vhd    |   50 +-
 .../unb2a_test/tb/vhdl/tb_unb2a_test.vhd      |  254 +-
 .../unb2a_board/src/vhdl/ctrl_unb2_board.vhd  |  598 +-
 .../src/vhdl/mms_unb2_board_sens.vhd          |   88 +-
 .../src/vhdl/mms_unb2_board_system_info.vhd   |  116 +-
 .../src/vhdl/mms_unb2_fpga_sens.vhd           |  100 +-
 .../src/vhdl/unb2_board_back_io.vhd           |    4 +-
 .../src/vhdl/unb2_board_clk125_pll.vhd        |   70 +-
 .../src/vhdl/unb2_board_clk200_pll.vhd        |  126 +-
 .../src/vhdl/unb2_board_clk25_pll.vhd         |   30 +-
 .../src/vhdl/unb2_board_clk_rst.vhd           |   40 +-
 .../src/vhdl/unb2_board_front_io.vhd          |    8 +-
 .../src/vhdl/unb2_board_hmc_ctrl.vhd          |  122 +-
 .../src/vhdl/unb2_board_node_ctrl.vhd         |   66 +-
 .../src/vhdl/unb2_board_peripherals_pkg.vhd   |    6 +-
 .../unb2a_board/src/vhdl/unb2_board_pkg.vhd   |   20 +-
 .../src/vhdl/unb2_board_pmbus_ctrl.vhd        |  122 +-
 .../src/vhdl/unb2_board_qsfp_leds.vhd         |   92 +-
 .../src/vhdl/unb2_board_ring_io.vhd           |    4 +-
 .../unb2a_board/src/vhdl/unb2_board_sens.vhd  |  180 +-
 .../src/vhdl/unb2_board_sens_ctrl.vhd         |  132 +-
 .../src/vhdl/unb2_board_sens_reg.vhd          |   36 +-
 .../src/vhdl/unb2_board_system_info.vhd       |    8 +-
 .../src/vhdl/unb2_board_system_info_reg.vhd   |   24 +-
 .../src/vhdl/unb2_board_wdi_extend.vhd        |   44 +-
 .../src/vhdl/unb2_board_wdi_reg.vhd           |   22 +-
 .../src/vhdl/unb2_fpga_sens_reg.vhd           |   44 +-
 .../tb/vhdl/tb_mms_unb2_board_sens.vhd        |  110 +-
 .../tb/vhdl/tb_unb2_board_clk125_pll.vhd      |   22 +-
 .../tb/vhdl/tb_unb2_board_clk200_pll.vhd      |   76 +-
 .../tb/vhdl/tb_unb2_board_clk25_pll.vhd       |   22 +-
 .../tb/vhdl/tb_unb2_board_node_ctrl.vhd       |   42 +-
 .../tb/vhdl/tb_unb2_board_qsfp_leds.vhd       |   92 +-
 .../src/vhdl/unb2_board_10gbe.vhd             |   34 +-
 .../src/vhdl/unb2b_arp_ping.vhd               |  344 +-
 .../unb2b_arp_ping/tb/vhdl/tb_eth1g.vhd       |  358 +-
 .../unb2b_arp_ping/tb/vhdl/tb_tb_eth1g.vhd    |   40 +-
 .../tb/vhdl/tb_unb2b_arp_ping.vhd             |  370 +-
 .../src/vhdl/mmm_unb2b_heater.vhd             |  336 +-
 .../src/vhdl/qsys_unb2b_heater_pkg.vhd        |  270 +-
 .../unb2b_heater/src/vhdl/unb2b_heater.vhd    |  484 +-
 .../unb2b_heater/tb/vhdl/tb_unb2b_heater.vhd  |   74 +-
 .../altjesd_ss_RX_corepll_inst.vhd            |    2 +-
 .../altjesd_ss_RX_frame_reset_inst.vhd        |    2 +-
 .../altjesd_ss_RX_link_reset_inst.vhd         |    2 +-
 .../altjesd_ss_RX_reset_seq_inst.vhd          |    2 +-
 .../altjesd_ss_RX_xcvr_reset_control_inst.vhd |    2 +-
 .../device_clk/device_clk_inst.vhd            |    2 +-
 .../frame_clk/frame_clk_inst.vhd              |    2 +-
 .../ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd  |    2 +-
 .../link_clk/link_clk_inst.vhd                |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_avs_common_mm_0_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_avs_common_mm_1_inst.vhd |    2 +-
 .../avs2_eth_coe_10/sim/avs2_eth_coe.vhd      |    8 +-
 .../sim/common_network_layers_pkg.vhd         |   77 +-
 .../avs2_eth_coe_10/sim/common_pkg.vhd        |  960 +--
 .../avs2_eth_coe_10/sim/dp_stream_pkg.vhd     |  536 +-
 .../avs2_eth_coe_10/sim/eth_pkg.vhd           |   72 +-
 .../avs2_eth_coe_10/sim/tech_tse_pkg.vhd      |    4 +-
 .../avs2_eth_coe_10/synth/avs2_eth_coe.vhd    |    8 +-
 .../synth/common_network_layers_pkg.vhd       |   77 +-
 .../avs2_eth_coe_10/synth/common_pkg.vhd      |  960 +--
 .../avs2_eth_coe_10/synth/dp_stream_pkg.vhd   |  536 +-
 .../avs2_eth_coe_10/synth/eth_pkg.vhd         |   72 +-
 .../avs2_eth_coe_10/synth/tech_tse_pkg.vhd    |    4 +-
 .../qsys_unb2b_minimal_avs_eth_0_inst.vhd     |    2 +-
 .../qsys_unb2b_minimal_clk_0_inst.vhd         |    2 +-
 .../qsys_unb2b_minimal_cpu_0_inst.vhd         |    2 +-
 .../qsys_unb2b_minimal_jesd204_inst.vhd       |    2 +-
 ..._0_altera_avalon_jtag_uart_180_tj65noi.vhd |  754 +-
 .../qsys_unb2b_minimal_jtag_uart_0_inst.vhd   |    2 +-
 ...tera_avalon_onchip_memory2_180_lo46q2y.vhd |  110 +-
 ...ys_unb2b_minimal_onchip_memory2_0_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_pio_pps_inst.vhd       |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_pio_system_info_inst.vhd |    2 +-
 ..._pio_wdi_altera_avalon_pio_180_2botkdq.vhd |   40 +-
 .../qsys_unb2b_minimal_pio_wdi_inst.vhd       |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_dpmm_data_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_epcs_inst.vhd      |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ..._unb2b_minimal_reg_fpga_temp_sens_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...b2b_minimal_reg_fpga_voltage_sens_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_mmdp_data_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_remu_inst.vhd      |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_unb_sens_inst.vhd  |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_wdi_inst.vhd       |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_rom_system_info_inst.vhd |    2 +-
 ...imer_0_altera_avalon_timer_180_5qqtsby.vhd |   72 +-
 .../qsys_unb2b_minimal_timer_0_inst.vhd       |    2 +-
 .../unb2b_jesd_node0/unb2b_jesd_node0.vhd     |  108 +-
 .../altjesd_ss_RX_corepll_inst.vhd            |    2 +-
 .../altjesd_ss_RX_frame_reset_inst.vhd        |    2 +-
 .../altjesd_ss_RX_link_reset_inst.vhd         |    2 +-
 .../altjesd_ss_RX_reset_seq_inst.vhd          |    2 +-
 .../altjesd_ss_RX_xcvr_reset_control_inst.vhd |    2 +-
 .../device_clk/device_clk_inst.vhd            |    2 +-
 .../frame_clk/frame_clk_inst.vhd              |    2 +-
 .../ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd  |    2 +-
 .../link_clk/link_clk_inst.vhd                |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_avs_common_mm_0_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_avs_common_mm_1_inst.vhd |    2 +-
 .../avs2_eth_coe_10/sim/avs2_eth_coe.vhd      |    8 +-
 .../sim/common_network_layers_pkg.vhd         |   77 +-
 .../avs2_eth_coe_10/sim/common_pkg.vhd        |  960 +--
 .../avs2_eth_coe_10/sim/dp_stream_pkg.vhd     |  536 +-
 .../avs2_eth_coe_10/sim/eth_pkg.vhd           |   72 +-
 .../avs2_eth_coe_10/sim/tech_tse_pkg.vhd      |    4 +-
 .../avs2_eth_coe_10/synth/avs2_eth_coe.vhd    |    8 +-
 .../synth/common_network_layers_pkg.vhd       |   77 +-
 .../avs2_eth_coe_10/synth/common_pkg.vhd      |  960 +--
 .../avs2_eth_coe_10/synth/dp_stream_pkg.vhd   |  536 +-
 .../avs2_eth_coe_10/synth/eth_pkg.vhd         |   72 +-
 .../avs2_eth_coe_10/synth/tech_tse_pkg.vhd    |    4 +-
 .../qsys_unb2b_minimal_avs_eth_0_inst.vhd     |    2 +-
 .../qsys_unb2b_minimal_clk_0_inst.vhd         |    2 +-
 .../qsys_unb2b_minimal_cpu_0_inst.vhd         |    2 +-
 .../qsys_unb2b_minimal_jesd204_inst.vhd       |    2 +-
 ..._0_altera_avalon_jtag_uart_180_tj65noi.vhd |  754 +-
 .../qsys_unb2b_minimal_jtag_uart_0_inst.vhd   |    2 +-
 ...tera_avalon_onchip_memory2_180_lo46q2y.vhd |  110 +-
 ...ys_unb2b_minimal_onchip_memory2_0_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_pio_pps_inst.vhd       |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_pio_system_info_inst.vhd |    2 +-
 ..._pio_wdi_altera_avalon_pio_180_2botkdq.vhd |   40 +-
 .../qsys_unb2b_minimal_pio_wdi_inst.vhd       |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_dpmm_data_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_epcs_inst.vhd      |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ..._unb2b_minimal_reg_fpga_temp_sens_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...b2b_minimal_reg_fpga_voltage_sens_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_mmdp_data_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_remu_inst.vhd      |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_unb_sens_inst.vhd  |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 .../qsys_unb2b_minimal_reg_wdi_inst.vhd       |    2 +-
 .../avs_common_mm_10/sim/avs_common_mm.vhd    |    2 +-
 .../avs_common_mm_10/synth/avs_common_mm.vhd  |    2 +-
 ...sys_unb2b_minimal_rom_system_info_inst.vhd |    2 +-
 ...imer_0_altera_avalon_timer_180_5qqtsby.vhd |   72 +-
 .../qsys_unb2b_minimal_timer_0_inst.vhd       |    2 +-
 .../unb2b_jesd_node3/unb2b_jesd_node3.vhd     |  108 +-
 .../unb2b_jesd/src/vhdl/mmm_unb2b_jesd.vhd    |  452 +-
 .../src/vhdl/qsys_unb2b_jesd_pkg.vhd          |  380 +-
 .../unb2b_jesd/src/vhdl/unb2b_jesd.vhd        |  464 +-
 .../unb2b_jesd/tb/vhdl/tb_unb2b_jesd.vhd      |  104 +-
 .../unb2b_minimal_125m/unb2b_minimal_125m.vhd |   94 +-
 .../src/vhdl/mmm_unb2b_minimal.vhd            |  332 +-
 .../src/vhdl/qsys_unb2b_minimal_pkg.vhd       |  270 +-
 .../unb2b_minimal/src/vhdl/unb2b_minimal.vhd  |  438 +-
 .../tb/vhdl/tb_unb2b_minimal.vhd              |  104 +-
 .../unb2b_test_10GbE/tb_unb2b_test_10GbE.vhd  |    8 +-
 .../unb2b_test_10GbE/unb2b_test_10GbE.vhd     |  174 +-
 .../tb_unb2b_test_ddr_MB_I_II.vhd             |   10 +-
 .../unb2b_test_ddr_MB_I_II.vhd                |  116 +-
 .../unb2b_test/src/vhdl/mmm_unb2b_test.vhd    |  960 +--
 .../src/vhdl/qsys_unb2b_test_pkg.vhd          |  714 +-
 .../unb2b_test/src/vhdl/udp_stream.vhd        |  350 +-
 .../unb2b_test/src/vhdl/unb2b_test.vhd        | 1518 ++--
 .../unb2b_test/src/vhdl/unb2b_test_pkg.vhd    |   50 +-
 .../unb2b_test/tb/vhdl/tb_unb2b_test.vhd      |  254 +-
 .../unb2b_board/src/vhdl/ctrl_unb2b_board.vhd |  628 +-
 .../src/vhdl/mms_unb2b_board_sens.vhd         |   88 +-
 .../src/vhdl/mms_unb2b_board_system_info.vhd  |  116 +-
 .../src/vhdl/mms_unb2b_fpga_sens.vhd          |   40 +-
 .../src/vhdl/unb2b_board_back_io.vhd          |    4 +-
 .../src/vhdl/unb2b_board_clk125_pll.vhd       |   70 +-
 .../src/vhdl/unb2b_board_clk200_pll.vhd       |  126 +-
 .../src/vhdl/unb2b_board_clk25_pll.vhd        |   30 +-
 .../src/vhdl/unb2b_board_clk_rst.vhd          |   40 +-
 .../src/vhdl/unb2b_board_front_io.vhd         |    8 +-
 .../src/vhdl/unb2b_board_hmc_ctrl.vhd         |  122 +-
 .../src/vhdl/unb2b_board_node_ctrl.vhd        |   66 +-
 .../src/vhdl/unb2b_board_peripherals_pkg.vhd  |    6 +-
 .../unb2b_board/src/vhdl/unb2b_board_pkg.vhd  |   20 +-
 .../src/vhdl/unb2b_board_pmbus_ctrl.vhd       |  122 +-
 .../src/vhdl/unb2b_board_qsfp_leds.vhd        |   92 +-
 .../src/vhdl/unb2b_board_ring_io.vhd          |    4 +-
 .../unb2b_board/src/vhdl/unb2b_board_sens.vhd |  180 +-
 .../src/vhdl/unb2b_board_sens_ctrl.vhd        |  132 +-
 .../src/vhdl/unb2b_board_sens_reg.vhd         |   36 +-
 .../src/vhdl/unb2b_board_system_info.vhd      |    8 +-
 .../src/vhdl/unb2b_board_system_info_reg.vhd  |   24 +-
 .../src/vhdl/unb2b_board_wdi_extend.vhd       |   44 +-
 .../src/vhdl/unb2b_board_wdi_reg.vhd          |   22 +-
 .../tb/vhdl/tb_mms_unb2b_board_sens.vhd       |  110 +-
 .../tb/vhdl/tb_unb2b_board_clk125_pll.vhd     |   22 +-
 .../tb/vhdl/tb_unb2b_board_clk200_pll.vhd     |   76 +-
 .../tb/vhdl/tb_unb2b_board_clk25_pll.vhd      |   22 +-
 .../tb/vhdl/tb_unb2b_board_node_ctrl.vhd      |   42 +-
 .../tb/vhdl/tb_unb2b_board_qsfp_leds.vhd      |   92 +-
 .../src/vhdl/unb2b_board_10gbe.vhd            |   34 +-
 .../designs/unb2c_led/src/vhdl/unb2c_led.vhd  |  168 +-
 .../src/vhdl/mmm_unb2c_minimal.vhd            |  296 +-
 .../src/vhdl/qsys_unb2c_minimal_pkg.vhd       |  242 +-
 .../unb2c_minimal/src/vhdl/unb2c_minimal.vhd  |  404 +-
 .../tb/vhdl/tb_unb2c_minimal.vhd              |   24 +-
 .../unb2c_test_10GbE/tb_unb2c_test_10GbE.vhd  |    8 +-
 .../unb2c_test_10GbE/unb2c_test_10GbE.vhd     |  130 +-
 .../tb_unb2c_test_1GbE_I.vhd                  |   48 +-
 .../unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd   |   64 +-
 .../tb_unb2c_test_1GbE_II.vhd                 |   50 +-
 .../unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd |   64 +-
 .../unb2c_test_ddr/tb_unb2c_test_ddr.vhd      |    8 +-
 .../unb2c_test_ddr/unb2c_test_ddr.vhd         |  102 +-
 .../tb_unb2c_test_ddr_16G.vhd                 |    8 +-
 .../unb2c_test_ddr_16G/unb2c_test_ddr_16G.vhd |  102 +-
 .../tb_unb2c_test_heater.vhd                  |    8 +-
 .../unb2c_test_heater/unb2c_test_heater.vhd   |   64 +-
 .../tb_unb2c_test_jesd204b.vhd                |    8 +-
 .../unb2c_test_jesd204b.vhd                   |   74 +-
 .../unb2c_test_minimal/unb2c_test_minimal.vhd |   64 +-
 .../unb2c_test/src/vhdl/mmm_unb2c_test.vhd    | 1052 +--
 .../vhdl/node_adc_input_and_timing_nowg.vhd   |  252 +-
 .../src/vhdl/qsys_unb2c_test_pkg.vhd          |  882 +-
 .../unb2c_test/src/vhdl/udp_stream.vhd        |  350 +-
 .../unb2c_test/src/vhdl/unb2c_test.vhd        | 1698 ++--
 .../unb2c_test/src/vhdl/unb2c_test_pkg.vhd    |   56 +-
 .../unb2c_test/tb/vhdl/tb_unb2c_test.vhd      |  186 +-
 .../source/bscan2_8port_top.vhd               |  150 +-
 .../source/jtag_top(str).vhd                  |  242 +-
 .../UNB2_JTAG_SCANBRIDGE/source/jtag_top.vhd  |   34 +-
 .../unb2c_board/src/vhdl/ctrl_unb2c_board.vhd |  542 +-
 .../src/vhdl/mms_unb2c_board_system_info.vhd  |  116 +-
 .../src/vhdl/mms_unb2c_fpga_sens.vhd          |   40 +-
 .../src/vhdl/unb2c_board_back_io.vhd          |    4 +-
 .../src/vhdl/unb2c_board_clk125_pll.vhd       |   70 +-
 .../src/vhdl/unb2c_board_clk200_pll.vhd       |  126 +-
 .../src/vhdl/unb2c_board_clk25_pll.vhd        |   30 +-
 .../src/vhdl/unb2c_board_clk_rst.vhd          |   40 +-
 .../src/vhdl/unb2c_board_front_io.vhd         |    8 +-
 .../src/vhdl/unb2c_board_node_ctrl.vhd        |   66 +-
 .../src/vhdl/unb2c_board_peripherals_pkg.vhd  |    6 +-
 .../unb2c_board/src/vhdl/unb2c_board_pkg.vhd  |   20 +-
 .../src/vhdl/unb2c_board_qsfp_leds.vhd        |   92 +-
 .../src/vhdl/unb2c_board_ring_io.vhd          |    4 +-
 .../src/vhdl/unb2c_board_system_info.vhd      |    8 +-
 .../src/vhdl/unb2c_board_system_info_reg.vhd  |   24 +-
 .../src/vhdl/unb2c_board_wdi_extend.vhd       |   44 +-
 .../src/vhdl/unb2c_board_wdi_reg.vhd          |   22 +-
 .../tb/vhdl/tb_unb2c_board_clk125_pll.vhd     |   22 +-
 .../tb/vhdl/tb_unb2c_board_clk200_pll.vhd     |   76 +-
 .../tb/vhdl/tb_unb2c_board_clk25_pll.vhd      |   22 +-
 .../tb/vhdl/tb_unb2c_board_node_ctrl.vhd      |   42 +-
 .../tb/vhdl/tb_unb2c_board_qsfp_leds.vhd      |   92 +-
 .../src/vhdl/unb2c_board_10gbe.vhd            |   34 +-
 .../axi4/src/vhdl/axi4_lite_mm_bridge.vhd     |   10 +-
 .../base/axi4/src/vhdl/axi4_lite_pkg.vhd      |   28 +-
 .../axi4/src/vhdl/axi4_stream_dp_bridge.vhd   |   64 +-
 .../base/axi4/src/vhdl/axi4_stream_pkg.vhd    |  236 +-
 .../axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd   |  110 +-
 .../axi4/tb/vhdl/tb_axi4_stream_dp_bridge.vhd |   52 +-
 .../tb/vhdl/tb_tb_axi4_stream_dp_bridge.vhd   |    2 +-
 .../base/common/src/vhdl/avs_common_mm.vhd    |    2 +-
 .../common/src/vhdl/avs_common_mm_irq.vhd     |    2 +-
 .../src/vhdl/avs_common_mm_readlatency0.vhd   |    2 +-
 .../src/vhdl/avs_common_mm_readlatency2.vhd   |    2 +-
 .../src/vhdl/avs_common_mm_readlatency4.vhd   |    2 +-
 .../src/vhdl/avs_common_ram_crw_crw.vhd       |   44 +-
 .../common/src/vhdl/avs_common_reg_r_w.vhd    |    6 +-
 .../base/common/src/vhdl/common_acapture.vhd  |   44 +-
 .../common/src/vhdl/common_acapture_slv.vhd   |   30 +-
 .../common/src/vhdl/common_accumulate.vhd     |   10 +-
 .../base/common/src/vhdl/common_add_sub.vhd   |   28 +-
 .../common/src/vhdl/common_add_symbol.vhd     |   80 +-
 .../common/src/vhdl/common_adder_staged.vhd   |  158 +-
 .../common/src/vhdl/common_adder_tree.vhd     |    2 +-
 .../vhdl/common_adder_tree_a_recursive.vhd    |  160 +-
 .../src/vhdl/common_adder_tree_a_str.vhd      |   82 +-
 .../base/common/src/vhdl/common_areset.vhd    |   26 +-
 .../base/common/src/vhdl/common_async.vhd     |    4 +-
 .../base/common/src/vhdl/common_async_slv.vhd |   24 +-
 .../base/common/src/vhdl/common_bit_delay.vhd |    2 +-
 .../base/common/src/vhdl/common_blockreg.vhd  |   56 +-
 .../base/common/src/vhdl/common_clip.vhd      |   30 +-
 .../src/vhdl/common_clock_active_detector.vhd |   98 +-
 .../src/vhdl/common_clock_phase_detector.vhd  |   26 +-
 .../src/vhdl/common_complex_add_sub.vhd       |   62 +-
 .../common/src/vhdl/common_complex_round.vhd  |   62 +-
 .../common/src/vhdl/common_components_pkg.vhd |   38 +-
 .../base/common/src/vhdl/common_counter.vhd   |    4 +-
 .../vhdl/common_create_strobes_from_valid.vhd |    4 +-
 .../base/common/src/vhdl/common_ddio_in.vhd   |   28 +-
 .../base/common/src/vhdl/common_ddio_out.vhd  |   28 +-
 .../base/common/src/vhdl/common_ddreg.vhd     |  150 +-
 .../base/common/src/vhdl/common_ddreg_slv.vhd |   28 +-
 .../base/common/src/vhdl/common_debounce.vhd  |   32 +-
 .../common/src/vhdl/common_deinterleave.vhd   |   62 +-
 .../base/common/src/vhdl/common_delay.vhd     |    2 +-
 .../common/src/vhdl/common_demultiplexer.vhd  |    8 +-
 .../common/src/vhdl/common_duty_cycle.vhd     |   28 +-
 libraries/base/common/src/vhdl/common_evt.vhd |    4 +-
 .../base/common/src/vhdl/common_fanout.vhd    |   68 +-
 .../common/src/vhdl/common_fanout_tree.vhd    |   64 +-
 .../base/common/src/vhdl/common_field_pkg.vhd |  118 +-
 .../base/common/src/vhdl/common_fifo_dc.vhd   |   78 +-
 .../src/vhdl/common_fifo_dc_lock_control.vhd  |   42 +-
 .../src/vhdl/common_fifo_dc_mixed_widths.vhd  |   80 +-
 .../base/common/src/vhdl/common_fifo_rd.vhd   |   38 +-
 .../base/common/src/vhdl/common_fifo_sc.vhd   |   80 +-
 .../common/src/vhdl/common_flank_to_pulse.vhd |    4 +-
 .../common/src/vhdl/common_frame_busy.vhd     |    2 +-
 .../base/common/src/vhdl/common_init.vhd      |   22 +-
 .../base/common/src/vhdl/common_inout.vhd     |    2 +-
 .../base/common/src/vhdl/common_int2float.vhd |    6 +-
 .../src/vhdl/common_interface_layers_pkg.vhd  |   20 +-
 .../common/src/vhdl/common_interleave.vhd     |  108 +-
 .../src/vhdl/common_interval_monitor.vhd      |    6 +-
 .../base/common/src/vhdl/common_iobuf_in.vhd  |    4 +-
 .../common/src/vhdl/common_led_controller.vhd |    4 +-
 .../src/vhdl/common_lfsr_sequences_pkg.vhd    |  626 +-
 .../base/common/src/vhdl/common_math_pkg.vhd  |   52 +-
 .../base/common/src/vhdl/common_mem_demux.vhd |    6 +-
 .../base/common/src/vhdl/common_mem_mux.vhd   |    6 +-
 .../base/common/src/vhdl/common_mem_pkg.vhd   |  138 +-
 .../common/src/vhdl/common_multiplexer.vhd    |   38 +-
 .../src/vhdl/common_network_layers_pkg.vhd    |   93 +-
 .../vhdl/common_network_total_header_pkg.vhd  |  300 +-
 .../base/common/src/vhdl/common_operation.vhd |   34 +-
 .../common/src/vhdl/common_operation_tree.vhd |   84 +-
 .../src/vhdl/common_paged_ram_crw_crw.vhd     |  202 +-
 .../common/src/vhdl/common_paged_ram_r_w.vhd  |   68 +-
 .../src/vhdl/common_paged_ram_rw_rw.vhd       |   74 +-
 .../common/src/vhdl/common_paged_ram_w_rr.vhd |   64 +-
 .../src/vhdl/common_paged_ram_ww_rr.vhd       |   66 +-
 .../base/common/src/vhdl/common_paged_reg.vhd |   26 +-
 .../base/common/src/vhdl/common_peak.vhd      |    4 +-
 .../base/common/src/vhdl/common_pipeline.vhd  |    4 +-
 .../src/vhdl/common_pipeline_integer.vhd      |   36 +-
 .../src/vhdl/common_pipeline_natural.vhd      |   36 +-
 .../common/src/vhdl/common_pipeline_sl.vhd    |   38 +-
 .../src/vhdl/common_pipeline_symbol.vhd       |   80 +-
 libraries/base/common/src/vhdl/common_pkg.vhd | 1238 +--
 .../common/src/vhdl/common_pulse_delay.vhd    |   52 +-
 .../src/vhdl/common_pulse_delay_reg.vhd       |   40 +-
 .../common/src/vhdl/common_pulse_extend.vhd   |    6 +-
 .../base/common/src/vhdl/common_pulser.vhd    |   30 +-
 .../common/src/vhdl/common_pulser_us_ms_s.vhd |   76 +-
 .../base/common/src/vhdl/common_ram_cr_cw.vhd |   56 +-
 .../src/vhdl/common_ram_cr_cw_ratio.vhd       |   58 +-
 .../common/src/vhdl/common_ram_crw_cr.vhd     |   56 +-
 .../common/src/vhdl/common_ram_crw_crw.vhd    |  178 +-
 .../src/vhdl/common_ram_crw_crw_ratio.vhd     |  146 +-
 .../common/src/vhdl/common_ram_crw_cw.vhd     |   56 +-
 .../base/common/src/vhdl/common_ram_r_w.vhd   |   52 +-
 .../base/common/src/vhdl/common_ram_rw_rw.vhd |   58 +-
 .../src/vhdl/common_reg_cross_domain.vhd      |   26 +-
 .../base/common/src/vhdl/common_reg_r_w.vhd   |   30 +-
 .../common/src/vhdl/common_reg_r_w_dc.vhd     |  162 +-
 .../common/src/vhdl/common_reinterleave.vhd   |   80 +-
 .../common/src/vhdl/common_reorder_symbol.vhd |  162 +-
 .../common/src/vhdl/common_requantize.vhd     |   76 +-
 .../base/common/src/vhdl/common_request.vhd   |   20 +-
 .../base/common/src/vhdl/common_resize.vhd    |   56 +-
 .../common/src/vhdl/common_reverse_n_data.vhd |  132 +-
 .../common/src/vhdl/common_rl_decrease.vhd    |    2 +-
 .../common/src/vhdl/common_rl_increase.vhd    |    2 +-
 .../common/src/vhdl/common_rl_register.vhd    |   68 +-
 libraries/base/common/src/vhdl/common_rom.vhd |   40 +-
 .../base/common/src/vhdl/common_round.vhd     |   54 +-
 .../src/vhdl/common_select_m_symbols.vhd      |   58 +-
 .../common/src/vhdl/common_select_symbol.vhd  |    6 +-
 .../base/common/src/vhdl/common_shiftram.vhd  |   87 +-
 .../base/common/src/vhdl/common_shiftreg.vhd  |  136 +-
 .../src/vhdl/common_shiftreg_symbol.vhd       |   44 +-
 .../base/common/src/vhdl/common_spulse.vhd    |   20 +-
 .../common/src/vhdl/common_stable_delayed.vhd |   26 +-
 .../common/src/vhdl/common_stable_monitor.vhd |   52 +-
 .../base/common/src/vhdl/common_str_pkg.vhd   |  126 +-
 .../base/common/src/vhdl/common_switch.vhd    |    2 +-
 .../base/common/src/vhdl/common_toggle.vhd    |   26 +-
 .../common/src/vhdl/common_toggle_align.vhd   |   22 +-
 .../base/common/src/vhdl/common_transpose.vhd |  348 +-
 .../src/vhdl/common_transpose_symbol.vhd      |   80 +-
 .../common/src/vhdl/common_variable_delay.vhd |    4 +-
 .../src/vhdl/common_wideband_data_scope.vhd   |    4 +-
 libraries/base/common/src/vhdl/common_zip.vhd |    4 +-
 .../src/vhdl/mms_common_pulse_delay.vhd       |   60 +-
 .../base/common/src/vhdl/mms_common_reg.vhd   |   48 +-
 .../src/vhdl/mms_common_stable_monitor.vhd    |   78 +-
 .../src/vhdl/mms_common_variable_delay.vhd    |   56 +-
 .../common/tb/vhdl/tb_common_acapture.vhd     |   28 +-
 .../base/common/tb/vhdl/tb_common_add_sub.vhd |   70 +-
 .../common/tb/vhdl/tb_common_adder_tree.vhd   |   92 +-
 .../base/common/tb/vhdl/tb_common_async.vhd   |   46 +-
 .../vhdl/tb_common_clock_phase_detector.vhd   |   56 +-
 .../base/common/tb/vhdl/tb_common_counter.vhd |   36 +-
 .../tb_common_create_strobes_from_valid.vhd   |   34 +-
 .../base/common/tb/vhdl/tb_common_ddreg.vhd   |   32 +-
 .../common/tb/vhdl/tb_common_debounce.vhd     |   80 +-
 .../common/tb/vhdl/tb_common_duty_cycle.vhd   |   42 +-
 .../common/tb/vhdl/tb_common_fanout_tree.vhd  |   46 +-
 .../vhdl/tb_common_fifo_dc_mixed_widths.vhd   |   48 +-
 .../base/common/tb/vhdl/tb_common_fifo_rd.vhd |   40 +-
 .../tb/vhdl/tb_common_flank_to_pulse.vhd      |   18 +-
 .../base/common/tb/vhdl/tb_common_gcd.vhd     |    6 +-
 .../base/common/tb/vhdl/tb_common_init.vhd    |   42 +-
 .../common/tb/vhdl/tb_common_int2float.vhd    |   22 +-
 .../common/tb/vhdl/tb_common_iobuf_in.vhd     |   26 +-
 .../tb/vhdl/tb_common_led_controller.vhd      |   64 +-
 .../base/common/tb/vhdl/tb_common_log.vhd     |    6 +-
 .../base/common/tb/vhdl/tb_common_mem_mux.vhd |   76 +-
 .../base/common/tb/vhdl/tb_common_mem_pkg.vhd |  230 +-
 .../common/tb/vhdl/tb_common_multiplexer.vhd  |  100 +-
 .../tb/vhdl/tb_common_operation_tree.vhd      |  114 +-
 .../tb/vhdl/tb_common_paged_ram_crw_crw.vhd   |  188 +-
 .../tb/vhdl/tb_common_paged_ram_ww_rr.vhd     |  122 +-
 .../base/common/tb/vhdl/tb_common_pkg.vhd     | 1106 +--
 .../common/tb/vhdl/tb_common_pulse_delay.vhd  |   30 +-
 .../common/tb/vhdl/tb_common_pulse_extend.vhd |   32 +-
 .../base/common/tb/vhdl/tb_common_pulser.vhd  |   92 +-
 .../tb/vhdl/tb_common_pulser_us_ms_s.vhd      |   32 +-
 .../tb/vhdl/tb_common_reg_cross_domain.vhd    |   44 +-
 .../common/tb/vhdl/tb_common_reinterleave.vhd |   76 +-
 .../tb/vhdl/tb_common_reorder_symbol.vhd      |  266 +-
 .../base/common/tb/vhdl/tb_common_rl.vhd      |  114 +-
 .../common/tb/vhdl/tb_common_rl_register.vhd  |   82 +-
 .../tb/vhdl/tb_common_select_m_symbols.vhd    |  204 +-
 .../common/tb/vhdl/tb_common_shiftram.vhd     |   40 +-
 .../common/tb/vhdl/tb_common_shiftreg.vhd     |   60 +-
 .../base/common/tb/vhdl/tb_common_spulse.vhd  |   38 +-
 .../base/common/tb/vhdl/tb_common_switch.vhd  |   50 +-
 .../common/tb/vhdl/tb_common_to_sreal.vhd     |   33 +-
 .../base/common/tb/vhdl/tb_common_toggle.vhd  |   30 +-
 .../common/tb/vhdl/tb_common_toggle_align.vhd |   28 +-
 .../common/tb/vhdl/tb_common_transpose.vhd    |  115 +-
 .../tb/vhdl/tb_common_transpose_symbol.vhd    |  158 +-
 .../tb/vhdl/tb_common_variable_delay.vhd      |   30 +-
 .../base/common/tb/vhdl/tb_common_zip.vhd     |   34 +-
 .../common/tb/vhdl/tb_delta_cycle_demo.vhd    |    4 +-
 .../tb/vhdl/tb_mms_common_variable_delay.vhd  |   40 +-
 .../base/common/tb/vhdl/tb_requantize.vhd     |  548 +-
 libraries/base/common/tb/vhdl/tb_resize.vhd   |   68 +-
 libraries/base/common/tb/vhdl/tb_round.vhd    |  294 +-
 .../common/tb/vhdl/tb_tb_common_add_sub.vhd   |    2 +-
 .../tb/vhdl/tb_tb_common_adder_tree.vhd       |    4 +-
 ...tb_tb_common_create_strobes_from_valid.vhd |    2 +-
 .../tb/vhdl/tb_tb_common_fanout_tree.vhd      |    4 +-
 .../tb/vhdl/tb_tb_common_multiplexer.vhd      |    4 +-
 .../tb/vhdl/tb_tb_common_operation_tree.vhd   |    2 +-
 .../tb/vhdl/tb_tb_common_paged_ram_ww_rr.vhd  |    4 +-
 .../tb/vhdl/tb_tb_common_reinterleave.vhd     |    6 +-
 .../tb/vhdl/tb_tb_common_reorder_symbol.vhd   |    2 +-
 .../base/common/tb/vhdl/tb_tb_common_rl.vhd   |    4 +-
 .../tb/vhdl/tb_tb_common_rl_register.vhd      |    4 +-
 .../common/tb/vhdl/tb_tb_common_transpose.vhd |   16 +-
 .../base/common/tb/vhdl/tb_tb_resize.vhd      |    2 +-
 libraries/base/common/tb/vhdl/tb_tb_round.vhd |    2 +-
 .../src/vhdl/common_complex_mult.vhd          |  122 +-
 .../src/vhdl/common_complex_mult_add.vhd      |  118 +-
 .../base/common_mult/src/vhdl/common_mult.vhd |   92 +-
 .../common_mult/src/vhdl/common_mult_add.vhd  |   12 +-
 .../common_mult/src/vhdl/common_mult_add2.vhd |   76 +-
 .../common_mult/src/vhdl/common_mult_add4.vhd |   80 +-
 .../tb/vhdl/tb_common_complex_mult.vhd        |  142 +-
 .../common_mult/tb/vhdl/tb_common_mult.vhd    |  286 +-
 .../tb/vhdl/tb_common_mult_add2.vhd           |   80 +-
 .../tb/vhdl/tb_tb_common_complex_mult.vhd     |    6 +-
 .../common_mult/tb/vhdl/tb_tb_common_mult.vhd |    2 +-
 .../base/diag/src/vhdl/diag_block_gen.vhd     |  320 +-
 .../base/diag/src/vhdl/diag_block_gen_reg.vhd |   28 +-
 libraries/base/diag/src/vhdl/diag_bypass.vhd  |    8 +-
 .../base/diag/src/vhdl/diag_data_buffer.vhd   |  192 +-
 .../diag/src/vhdl/diag_data_buffer_dev.vhd    |  206 +-
 .../base/diag/src/vhdl/diag_frm_generator.vhd |  132 +-
 .../base/diag/src/vhdl/diag_frm_monitor.vhd   |   72 +-
 libraries/base/diag/src/vhdl/diag_pkg.vhd     |  116 +-
 libraries/base/diag/src/vhdl/diag_rx_seq.vhd  |  116 +-
 libraries/base/diag/src/vhdl/diag_tx_frm.vhd  |   60 +-
 libraries/base/diag/src/vhdl/diag_tx_seq.vhd  |   32 +-
 libraries/base/diag/src/vhdl/diag_wg.vhd      |  178 +-
 .../base/diag/src/vhdl/diag_wg_wideband.vhd   |  130 +-
 .../diag/src/vhdl/diag_wg_wideband_reg.vhd    |  124 +-
 .../base/diag/src/vhdl/mms_diag_block_gen.vhd |  280 +-
 .../diag/src/vhdl/mms_diag_data_buffer.vhd    |  146 +-
 .../src/vhdl/mms_diag_data_buffer_dev.vhd     |  148 +-
 .../base/diag/src/vhdl/mms_diag_rx_seq.vhd    |  156 +-
 .../base/diag/src/vhdl/mms_diag_tx_seq.vhd    |  162 +-
 .../diag/src/vhdl/mms_diag_wg_wideband.vhd    |  128 +-
 .../src/vhdl/mms_diag_wg_wideband_arr.vhd     |  120 +-
 .../base/diag/tb/vhdl/tb_diag_block_gen.vhd   |  172 +-
 .../diag/tb/vhdl/tb_diag_data_buffer_dev.vhd  |  154 +-
 .../diag/tb/vhdl/tb_diag_frm_generator.vhd    |   66 +-
 .../base/diag/tb/vhdl/tb_diag_frm_monitor.vhd |   94 +-
 libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd   |  592 +-
 .../base/diag/tb/vhdl/tb_diag_regression.vhd  |    2 +-
 .../base/diag/tb/vhdl/tb_diag_rx_seq.vhd      |   70 +-
 .../base/diag/tb/vhdl/tb_diag_tx_frm.vhd      |   54 +-
 .../base/diag/tb/vhdl/tb_diag_tx_seq.vhd      |   30 +-
 libraries/base/diag/tb/vhdl/tb_diag_wg.vhd    |  140 +-
 .../base/diag/tb/vhdl/tb_diag_wg_wideband.vhd |  102 +-
 .../diag/tb/vhdl/tb_mms_diag_block_gen.vhd    |  196 +-
 .../base/diag/tb/vhdl/tb_mms_diag_seq.vhd     |  102 +-
 .../diag/tb/vhdl/tb_tb_diag_block_gen.vhd     |    6 +-
 .../base/diag/tb/vhdl/tb_tb_diag_rx_seq.vhd   |    4 +-
 .../diag/tb/vhdl/tb_tb_mms_diag_block_gen.vhd |   22 +-
 .../base/diag/tb/vhdl/tb_tb_mms_diag_seq.vhd  |    4 +-
 .../base/diagnostics/src/vhdl/diagnostics.vhd |  198 +-
 .../diagnostics/src/vhdl/diagnostics_reg.vhd  |   32 +-
 .../diagnostics/src/vhdl/mm_rx_logger.vhd     |  218 +-
 .../diagnostics/src/vhdl/mm_rx_logger_reg.vhd |  112 +-
 .../src/vhdl/mm_rx_logger_trig.vhd            |    8 +-
 .../diagnostics/src/vhdl/mm_tx_framer.vhd     |  114 +-
 .../diagnostics/src/vhdl/mm_tx_framer_reg.vhd |   42 +-
 .../diagnostics/src/vhdl/mms_diagnostics.vhd  |  144 +-
 .../diagnostics/tb/vhdl/tb_diagnostics.vhd    |   16 +-
 .../tb/vhdl/tb_diagnostics_trnb_pkg.vhd       |  106 +-
 .../diagnostics/tb/vhdl/tb_mm_tx_framer.vhd   |   78 +-
 .../src/vhdl/mmm_unb1_dp_offload.vhd          |  342 +-
 .../src/vhdl/unb1_dp_offload.vhd              |  656 +-
 .../tb/vhdl/tb_unb1_dp_offload.vhd            |   12 +-
 .../base/dp/src/vhdl/dp_barrel_shift.vhd      |   14 +-
 .../base/dp/src/vhdl/dp_block_from_mm.vhd     |   10 +-
 .../base/dp/src/vhdl/dp_block_from_mm_dc.vhd  |  180 +-
 libraries/base/dp/src/vhdl/dp_block_gen.vhd   |    8 +-
 .../dp/src/vhdl/dp_block_gen_valid_arr.vhd    |   60 +-
 .../base/dp/src/vhdl/dp_block_reshape.vhd     |   50 +-
 .../base/dp/src/vhdl/dp_block_reshape_arr.vhd |   42 +-
 .../dp/src/vhdl/dp_block_reshape_sync.vhd     |   52 +-
 .../base/dp/src/vhdl/dp_block_resize.vhd      |   34 +-
 .../base/dp/src/vhdl/dp_block_select.vhd      |   36 +-
 libraries/base/dp/src/vhdl/dp_block_to_mm.vhd |   10 +-
 .../vhdl/dp_block_validate_bsn_at_sync.vhd    |  128 +-
 .../dp/src/vhdl/dp_block_validate_channel.vhd |   66 +-
 .../dp/src/vhdl/dp_block_validate_err.vhd     |  232 +-
 .../dp/src/vhdl/dp_block_validate_length.vhd  |   30 +-
 libraries/base/dp/src/vhdl/dp_bsn_align.vhd   |  162 +-
 .../base/dp/src/vhdl/dp_bsn_align_reg.vhd     |   70 +-
 .../base/dp/src/vhdl/dp_bsn_align_v2.vhd      |  210 +-
 libraries/base/dp/src/vhdl/dp_bsn_delay.vhd   |   32 +-
 libraries/base/dp/src/vhdl/dp_bsn_monitor.vhd |  162 +-
 .../base/dp/src/vhdl/dp_bsn_monitor_reg.vhd   |   66 +-
 .../dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd     |   62 +-
 .../base/dp/src/vhdl/dp_bsn_monitor_v2.vhd    |  166 +-
 .../dp/src/vhdl/dp_bsn_restore_global.vhd     |   58 +-
 .../base/dp/src/vhdl/dp_bsn_scheduler.vhd     |    8 +-
 .../base/dp/src/vhdl/dp_bsn_scheduler_reg.vhd |   62 +-
 libraries/base/dp/src/vhdl/dp_bsn_source.vhd  |    8 +-
 .../base/dp/src/vhdl/dp_bsn_source_reg.vhd    |  122 +-
 .../base/dp/src/vhdl/dp_bsn_source_reg_v2.vhd |  144 +-
 .../base/dp/src/vhdl/dp_bsn_source_v2.vhd     |    8 +-
 .../dp/src/vhdl/dp_bsn_sync_scheduler.vhd     |   36 +-
 .../base/dp/src/vhdl/dp_calculate_crc.vhd     |   18 +-
 libraries/base/dp/src/vhdl/dp_complex_add.vhd |   82 +-
 .../base/dp/src/vhdl/dp_complex_mult.vhd      |   72 +-
 .../base/dp/src/vhdl/dp_components_pkg.vhd    |    6 +-
 libraries/base/dp/src/vhdl/dp_concat.vhd      |   32 +-
 .../base/dp/src/vhdl/dp_concat_field_blk.vhd  |  112 +-
 libraries/base/dp/src/vhdl/dp_counter.vhd     |  122 +-
 .../base/dp/src/vhdl/dp_counter_func.vhd      |   50 +-
 .../dp/src/vhdl/dp_counter_func_single.vhd    |   10 +-
 .../base/dp/src/vhdl/dp_deinterleave.vhd      |   94 +-
 .../dp/src/vhdl/dp_deinterleave_one_to_n.vhd  |   36 +-
 libraries/base/dp/src/vhdl/dp_demux.vhd       |   88 +-
 libraries/base/dp/src/vhdl/dp_distribute.vhd  |  188 +-
 .../base/dp/src/vhdl/dp_dummy_source.vhd      |   34 +-
 libraries/base/dp/src/vhdl/dp_eop_extend.vhd  |   20 +-
 libraries/base/dp/src/vhdl/dp_example_dut.vhd |   10 +-
 libraries/base/dp/src/vhdl/dp_field_blk.vhd   |  104 +-
 libraries/base/dp/src/vhdl/dp_fifo_core.vhd   |  116 +-
 .../base/dp/src/vhdl/dp_fifo_core_arr.vhd     |  128 +-
 libraries/base/dp/src/vhdl/dp_fifo_dc.vhd     |   86 +-
 libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd |   96 +-
 .../dp/src/vhdl/dp_fifo_dc_mixed_widths.vhd   |  140 +-
 libraries/base/dp/src/vhdl/dp_fifo_fill.vhd   |   84 +-
 .../base/dp/src/vhdl/dp_fifo_fill_core.vhd    |  176 +-
 .../base/dp/src/vhdl/dp_fifo_fill_dc.vhd      |   92 +-
 .../base/dp/src/vhdl/dp_fifo_fill_eop.vhd     |  134 +-
 .../base/dp/src/vhdl/dp_fifo_fill_eop_sc.vhd  |   96 +-
 .../base/dp/src/vhdl/dp_fifo_fill_reg.vhd     |   94 +-
 .../base/dp/src/vhdl/dp_fifo_fill_sc.vhd      |   94 +-
 .../base/dp/src/vhdl/dp_fifo_from_mm.vhd      |    8 +-
 .../base/dp/src/vhdl/dp_fifo_from_mm_reg.vhd  |   20 +-
 libraries/base/dp/src/vhdl/dp_fifo_info.vhd   |  112 +-
 .../base/dp/src/vhdl/dp_fifo_monitor.vhd      |   50 +-
 .../base/dp/src/vhdl/dp_fifo_monitor_arr.vhd  |   64 +-
 libraries/base/dp/src/vhdl/dp_fifo_sc.vhd     |   90 +-
 libraries/base/dp/src/vhdl/dp_fifo_to_mm.vhd  |    8 +-
 .../base/dp/src/vhdl/dp_fifo_to_mm_reg.vhd    |   20 +-
 libraries/base/dp/src/vhdl/dp_flush.vhd       |   60 +-
 libraries/base/dp/src/vhdl/dp_folder.vhd      |   92 +-
 .../dp/src/vhdl/dp_force_data_parallel.vhd    |   38 +-
 .../base/dp/src/vhdl/dp_force_data_serial.vhd |   58 +-
 libraries/base/dp/src/vhdl/dp_frame.vhd       |    8 +-
 libraries/base/dp/src/vhdl/dp_frame_busy.vhd  |   54 +-
 .../base/dp/src/vhdl/dp_frame_busy_arr.vhd    |   22 +-
 libraries/base/dp/src/vhdl/dp_frame_fsn.vhd   |    6 +-
 libraries/base/dp/src/vhdl/dp_frame_rd.vhd    |    4 +-
 .../base/dp/src/vhdl/dp_frame_remove.vhd      |   96 +-
 .../base/dp/src/vhdl/dp_frame_repack.vhd      |  116 +-
 libraries/base/dp/src/vhdl/dp_frame_rx.vhd    |   35 +-
 .../base/dp/src/vhdl/dp_frame_scheduler.vhd   |   78 +-
 .../base/dp/src/vhdl/dp_frame_status.vhd      |   48 +-
 libraries/base/dp/src/vhdl/dp_frame_tx.vhd    |    8 +-
 libraries/base/dp/src/vhdl/dp_gap.vhd         |   84 +-
 libraries/base/dp/src/vhdl/dp_hdr_insert.vhd  |   74 +-
 libraries/base/dp/src/vhdl/dp_hdr_remove.vhd  |   72 +-
 libraries/base/dp/src/vhdl/dp_hold_ctrl.vhd   |   16 +-
 libraries/base/dp/src/vhdl/dp_hold_data.vhd   |    2 +-
 libraries/base/dp/src/vhdl/dp_hold_input.vhd  |   60 +-
 .../dp/src/vhdl/dp_interleave_n_to_one.vhd    |   34 +-
 .../base/dp/src/vhdl/dp_latency_adapter.vhd   |   72 +-
 .../base/dp/src/vhdl/dp_latency_fifo.vhd      |   44 +-
 .../base/dp/src/vhdl/dp_latency_increase.vhd  |    8 +-
 libraries/base/dp/src/vhdl/dp_loopback.vhd    |  146 +-
 libraries/base/dp/src/vhdl/dp_mon.vhd         |   32 +-
 libraries/base/dp/src/vhdl/dp_mux.vhd         |  110 +-
 libraries/base/dp/src/vhdl/dp_offload_rx.vhd  |  134 +-
 .../base/dp/src/vhdl/dp_offload_rx_filter.vhd |   14 +-
 .../dp/src/vhdl/dp_offload_rx_filter_mm.vhd   |  156 +-
 .../base/dp/src/vhdl/dp_offload_rx_legacy.vhd |  102 +-
 libraries/base/dp/src/vhdl/dp_offload_tx.vhd  |  244 +-
 .../base/dp/src/vhdl/dp_offload_tx_legacy.vhd |  320 +-
 .../dp/src/vhdl/dp_offload_tx_len_calc.vhd    |   96 +-
 .../base/dp/src/vhdl/dp_offload_tx_v3.vhd     |  138 +-
 libraries/base/dp/src/vhdl/dp_packet_dec.vhd  |   72 +-
 .../dp/src/vhdl/dp_packet_dec_channel_lo.vhd  |    6 +-
 .../base/dp/src/vhdl/dp_packet_detect.vhd     |    8 +-
 libraries/base/dp/src/vhdl/dp_packet_enc.vhd  |   32 +-
 .../dp/src/vhdl/dp_packet_enc_channel_lo.vhd  |    6 +-
 .../base/dp/src/vhdl/dp_packet_merge.vhd      |   38 +-
 libraries/base/dp/src/vhdl/dp_packet_pkg.vhd  |    8 +-
 .../base/dp/src/vhdl/dp_packet_unmerge.vhd    |    8 +-
 .../base/dp/src/vhdl/dp_packetizing_pkg.vhd   |   68 +-
 libraries/base/dp/src/vhdl/dp_pad_insert.vhd  |   58 +-
 libraries/base/dp/src/vhdl/dp_pad_remove.vhd  |   36 +-
 .../base/dp/src/vhdl/dp_paged_sop_eop_reg.vhd |  118 +-
 libraries/base/dp/src/vhdl/dp_pipeline.vhd    |   60 +-
 .../base/dp/src/vhdl/dp_pipeline_arr.vhd      |   30 +-
 .../base/dp/src/vhdl/dp_pipeline_ready.vhd    |  144 +-
 libraries/base/dp/src/vhdl/dp_ram_from_mm.vhd |   78 +-
 .../base/dp/src/vhdl/dp_ram_from_mm_reg.vhd   |   38 +-
 libraries/base/dp/src/vhdl/dp_ram_to_mm.vhd   |   78 +-
 libraries/base/dp/src/vhdl/dp_ready.vhd       |    8 +-
 .../base/dp/src/vhdl/dp_reinterleave.vhd      |   98 +-
 libraries/base/dp/src/vhdl/dp_repack.vhd      |   20 +-
 libraries/base/dp/src/vhdl/dp_repack_data.vhd |  104 +-
 .../base/dp/src/vhdl/dp_repack_legacy.vhd     |   20 +-
 libraries/base/dp/src/vhdl/dp_requantize.vhd  |  150 +-
 .../base/dp/src/vhdl/dp_reverse_n_data.vhd    |   68 +-
 .../base/dp/src/vhdl/dp_reverse_n_data_fc.vhd |   56 +-
 libraries/base/dp/src/vhdl/dp_rsn_source.vhd  |    8 +-
 libraries/base/dp/src/vhdl/dp_selector.vhd    |   42 +-
 .../base/dp/src/vhdl/dp_selector_arr.vhd      |   82 +-
 libraries/base/dp/src/vhdl/dp_shiftram.vhd    |   92 +-
 libraries/base/dp/src/vhdl/dp_shiftreg.vhd    |   28 +-
 libraries/base/dp/src/vhdl/dp_split.vhd       |   36 +-
 libraries/base/dp/src/vhdl/dp_split_reg.vhd   |   36 +-
 .../base/dp/src/vhdl/dp_src_out_timer.vhd     |    8 +-
 libraries/base/dp/src/vhdl/dp_stream_pkg.vhd  |  572 +-
 .../dp/src/vhdl/dp_strobe_total_count.vhd     |  116 +-
 libraries/base/dp/src/vhdl/dp_switch.vhd      |  116 +-
 .../base/dp/src/vhdl/dp_sync_checker.vhd      |   10 +-
 libraries/base/dp/src/vhdl/dp_sync_insert.vhd |    8 +-
 .../base/dp/src/vhdl/dp_sync_insert_v2.vhd    |   56 +-
 .../base/dp/src/vhdl/dp_sync_recover.vhd      |   10 +-
 libraries/base/dp/src/vhdl/dp_tail_remove.vhd |   50 +-
 libraries/base/dp/src/vhdl/dp_throttle.vhd    |   36 +-
 .../base/dp/src/vhdl/dp_throttle_reg.vhd      |   40 +-
 .../base/dp/src/vhdl/dp_throttle_sop.vhd      |   52 +-
 .../base/dp/src/vhdl/dp_throttle_xon.vhd      |    6 +-
 libraries/base/dp/src/vhdl/dp_unfolder.vhd    |  122 +-
 libraries/base/dp/src/vhdl/dp_unframe.vhd     |   12 +-
 libraries/base/dp/src/vhdl/dp_validate.vhd    |    6 +-
 .../dp/src/vhdl/dp_wideband_sp_arr_scope.vhd  |    8 +-
 .../dp/src/vhdl/dp_wideband_wb_arr_scope.vhd  |    6 +-
 libraries/base/dp/src/vhdl/dp_xonoff.vhd      |    4 +-
 libraries/base/dp/src/vhdl/dp_xonoff_reg.vhd  |   36 +-
 .../dp/src/vhdl/dp_xonoff_reg_timeout.vhd     |   58 +-
 .../base/dp/src/vhdl/mmp_dp_bsn_align_v2.vhd  |  210 +-
 .../dp/src/vhdl/mmp_dp_bsn_sync_scheduler.vhd |  130 +-
 .../vhdl/mmp_dp_bsn_sync_scheduler_arr.vhd    |   68 +-
 .../base/dp/src/vhdl/mms_dp_block_select.vhd  |   76 +-
 .../base/dp/src/vhdl/mms_dp_bsn_align.vhd     |   88 +-
 .../base/dp/src/vhdl/mms_dp_bsn_monitor.vhd   |  152 +-
 .../dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd     |  144 +-
 .../base/dp/src/vhdl/mms_dp_bsn_scheduler.vhd |   70 +-
 .../base/dp/src/vhdl/mms_dp_bsn_source.vhd    |   90 +-
 .../base/dp/src/vhdl/mms_dp_bsn_source_v2.vhd |   98 +-
 .../base/dp/src/vhdl/mms_dp_fifo_fill.vhd     |  122 +-
 .../base/dp/src/vhdl/mms_dp_fifo_from_mm.vhd  |   54 +-
 .../base/dp/src/vhdl/mms_dp_fifo_to_mm.vhd    |   54 +-
 .../src/vhdl/mms_dp_force_data_parallel.vhd   |  110 +-
 .../vhdl/mms_dp_force_data_parallel_arr.vhd   |   86 +-
 .../dp/src/vhdl/mms_dp_force_data_serial.vhd  |  108 +-
 .../src/vhdl/mms_dp_force_data_serial_arr.vhd |   68 +-
 libraries/base/dp/src/vhdl/mms_dp_gain.vhd    |   92 +-
 .../base/dp/src/vhdl/mms_dp_gain_arr.vhd      |  228 +-
 .../base/dp/src/vhdl/mms_dp_gain_serial.vhd   |   90 +-
 .../dp/src/vhdl/mms_dp_gain_serial_arr.vhd    |  240 +-
 .../base/dp/src/vhdl/mms_dp_packet_merge.vhd  |   80 +-
 .../base/dp/src/vhdl/mms_dp_ram_from_mm.vhd   |   68 +-
 libraries/base/dp/src/vhdl/mms_dp_scale.vhd   |   98 +-
 libraries/base/dp/src/vhdl/mms_dp_split.vhd   |   94 +-
 .../base/dp/src/vhdl/mms_dp_sync_checker.vhd  |  102 +-
 .../dp/src/vhdl/mms_dp_sync_checker_arr.vhd   |   74 +-
 .../base/dp/src/vhdl/mms_dp_throttle.vhd      |   64 +-
 libraries/base/dp/src/vhdl/mms_dp_xonoff.vhd  |  130 +-
 libraries/base/dp/tb/vhdl/dp_phy_link.vhd     |    2 +-
 .../base/dp/tb/vhdl/dp_sosi_arr_recorder.vhd  |   14 +-
 .../base/dp/tb/vhdl/dp_sosi_recorder.vhd      |   14 +-
 libraries/base/dp/tb/vhdl/dp_statistics.vhd   |   16 +-
 .../base/dp/tb/vhdl/dp_stream_player.vhd      |   14 +-
 .../base/dp/tb/vhdl/dp_stream_rec_play.vhd    |   42 +-
 .../base/dp/tb/vhdl/dp_stream_stimuli.vhd     |   14 +-
 .../base/dp/tb/vhdl/dp_stream_verify.vhd      |   14 +-
 libraries/base/dp/tb/vhdl/tb2_dp_demux.vhd    |  104 +-
 libraries/base/dp/tb/vhdl/tb2_dp_mux.vhd      |  136 +-
 libraries/base/dp/tb/vhdl/tb3_dp_demux.vhd    |   52 +-
 libraries/base/dp/tb/vhdl/tb3_dp_mux.vhd      |   58 +-
 .../base/dp/tb/vhdl/tb_dp_block_from_mm.vhd   |  128 +-
 libraries/base/dp/tb/vhdl/tb_dp_block_gen.vhd |   58 +-
 .../dp/tb/vhdl/tb_dp_block_gen_valid_arr.vhd  |  132 +-
 .../base/dp/tb/vhdl/tb_dp_block_reshape.vhd   |  144 +-
 .../dp/tb/vhdl/tb_dp_block_reshape_sync.vhd   |  170 +-
 .../base/dp/tb/vhdl/tb_dp_block_select.vhd    |  114 +-
 .../vhdl/tb_dp_block_validate_bsn_at_sync.vhd |  160 +-
 .../tb/vhdl/tb_dp_block_validate_channel.vhd  |  110 +-
 .../dp/tb/vhdl/tb_dp_block_validate_err.vhd   |  154 +-
 .../tb/vhdl/tb_dp_block_validate_length.vhd   |  112 +-
 libraries/base/dp/tb/vhdl/tb_dp_bsn_align.vhd |   62 +-
 .../base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd    |  236 +-
 .../base/dp/tb/vhdl/tb_dp_bsn_monitor.vhd     |   74 +-
 .../base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd  |   68 +-
 .../base/dp/tb/vhdl/tb_dp_bsn_source.vhd      |   44 +-
 .../base/dp/tb/vhdl/tb_dp_bsn_source_v2.vhd   |   60 +-
 .../dp/tb/vhdl/tb_dp_bsn_sync_scheduler.vhd   |  149 +-
 .../base/dp/tb/vhdl/tb_dp_calculate_crc.vhd   |   82 +-
 libraries/base/dp/tb/vhdl/tb_dp_concat.vhd    |   36 +-
 .../dp/tb/vhdl/tb_dp_concat_field_blk.vhd     |  320 +-
 libraries/base/dp/tb/vhdl/tb_dp_counter.vhd   |   58 +-
 .../base/dp/tb/vhdl/tb_dp_counter_func.vhd    |   50 +-
 .../base/dp/tb/vhdl/tb_dp_counter_offset.vhd  |   60 +-
 .../base/dp/tb/vhdl/tb_dp_deinterleave.vhd    |   42 +-
 .../tb_dp_deinterleave_interleave_to_one.vhd  |  260 +-
 .../tb_dp_deinterleave_one_to_n_to_one.vhd    |  256 +-
 libraries/base/dp/tb/vhdl/tb_dp_demux.vhd     |   88 +-
 .../base/dp/tb/vhdl/tb_dp_distribute.vhd      |  142 +-
 .../base/dp/tb/vhdl/tb_dp_example_dut.vhd     |  158 +-
 .../base/dp/tb/vhdl/tb_dp_example_no_dut.vhd  |   14 +-
 libraries/base/dp/tb/vhdl/tb_dp_fifo_dc.vhd   |   64 +-
 .../base/dp/tb/vhdl/tb_dp_fifo_dc_arr.vhd     |   74 +-
 .../dp/tb/vhdl/tb_dp_fifo_dc_mixed_widths.vhd |  134 +-
 libraries/base/dp/tb/vhdl/tb_dp_fifo_fill.vhd |   58 +-
 .../base/dp/tb/vhdl/tb_dp_fifo_fill_eop.vhd   |   72 +-
 .../base/dp/tb/vhdl/tb_dp_fifo_fill_sc.vhd    |   64 +-
 libraries/base/dp/tb/vhdl/tb_dp_fifo_info.vhd |   94 +-
 libraries/base/dp/tb/vhdl/tb_dp_fifo_sc.vhd   |   62 +-
 .../base/dp/tb/vhdl/tb_dp_fifo_to_mm.vhd      |  126 +-
 .../base/dp/tb/vhdl/tb_dp_fifo_xonoff.vhd     |  190 +-
 libraries/base/dp/tb/vhdl/tb_dp_flush.vhd     |   48 +-
 libraries/base/dp/tb/vhdl/tb_dp_folder.vhd    |   62 +-
 libraries/base/dp/tb/vhdl/tb_dp_frame_rd.vhd  |  133 +-
 .../base/dp/tb/vhdl/tb_dp_frame_scheduler.vhd |  127 +-
 libraries/base/dp/tb/vhdl/tb_dp_gap.vhd       |   44 +-
 .../dp/tb/vhdl/tb_dp_hdr_insert_remove.vhd    |  118 +-
 .../base/dp/tb/vhdl/tb_dp_latency_adapter.vhd |   38 +-
 .../base/dp/tb/vhdl/tb_dp_latency_fifo.vhd    |   56 +-
 libraries/base/dp/tb/vhdl/tb_dp_mux.vhd       |   66 +-
 .../dp/tb/vhdl/tb_dp_offload_rx_filter.vhd    |  156 +-
 .../base/dp/tb/vhdl/tb_dp_offload_tx_v3.vhd   |  536 +-
 libraries/base/dp/tb/vhdl/tb_dp_packet.vhd    |   70 +-
 .../base/dp/tb/vhdl/tb_dp_packet_merge.vhd    |   72 +-
 .../base/dp/tb/vhdl/tb_dp_packetizing.vhd     |  444 +-
 .../dp/tb/vhdl/tb_dp_pad_insert_remove.vhd    |   68 +-
 libraries/base/dp/tb/vhdl/tb_dp_pipeline.vhd  |   32 +-
 .../base/dp/tb/vhdl/tb_dp_pipeline_ready.vhd  |   72 +-
 libraries/base/dp/tb/vhdl/tb_dp_pkg.vhd       | 1754 ++--
 .../base/dp/tb/vhdl/tb_dp_reinterleave.vhd    |   46 +-
 libraries/base/dp/tb/vhdl/tb_dp_repack.vhd    |  102 +-
 .../base/dp/tb/vhdl/tb_dp_repack_data.vhd     |  230 +-
 .../base/dp/tb/vhdl/tb_dp_repack_legacy.vhd   |  244 +-
 .../base/dp/tb/vhdl/tb_dp_reverse_n_data.vhd  |  244 +-
 .../dp/tb/vhdl/tb_dp_reverse_n_data_fc.vhd    |  208 +-
 .../base/dp/tb/vhdl/tb_dp_rsn_source.vhd      |   96 +-
 .../base/dp/tb/vhdl/tb_dp_selector_arr.vhd    |  116 +-
 libraries/base/dp/tb/vhdl/tb_dp_shiftram.vhd  |   68 +-
 libraries/base/dp/tb/vhdl/tb_dp_shiftreg.vhd  |   48 +-
 libraries/base/dp/tb/vhdl/tb_dp_split.vhd     |   46 +-
 .../dp/tb/vhdl/tb_dp_strobe_total_count.vhd   |   88 +-
 libraries/base/dp/tb/vhdl/tb_dp_switch.vhd    |   58 +-
 .../base/dp/tb/vhdl/tb_dp_sync_checker.vhd    |   40 +-
 .../base/dp/tb/vhdl/tb_dp_sync_insert.vhd     |   38 +-
 .../base/dp/tb/vhdl/tb_dp_sync_insert_v2.vhd  |   54 +-
 .../base/dp/tb/vhdl/tb_dp_sync_recover.vhd    |   46 +-
 .../base/dp/tb/vhdl/tb_dp_tail_remove.vhd     |   86 +-
 .../base/dp/tb/vhdl/tb_dp_throttle_sop.vhd    |   64 +-
 .../base/dp/tb/vhdl/tb_dp_throttle_xon.vhd    |   50 +-
 libraries/base/dp/tb/vhdl/tb_dp_xonoff.vhd    |   34 +-
 .../dp/tb/vhdl/tb_dp_xonoff_reg_timeout.vhd   |   40 +-
 .../dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd     |  104 +-
 .../tb/vhdl/tb_mmp_dp_bsn_sync_scheduler.vhd  |  102 +-
 .../base/dp/tb/vhdl/tb_mms_dp_bsn_align.vhd   |   86 +-
 .../base/dp/tb/vhdl/tb_mms_dp_bsn_source.vhd  |  196 +-
 .../dp/tb/vhdl/tb_mms_dp_bsn_source_v2.vhd    |  234 +-
 .../base/dp/tb/vhdl/tb_mms_dp_fields.vhd      |   94 +-
 .../base/dp/tb/vhdl/tb_mms_dp_fifo_fill.vhd   |   98 +-
 .../tb_mms_dp_force_data_parallel_arr.vhd     |  168 +-
 .../vhdl/tb_mms_dp_force_data_serial_arr.vhd  |  128 +-
 .../base/dp/tb/vhdl/tb_mms_dp_gain_arr.vhd    |  148 +-
 .../dp/tb/vhdl/tb_mms_dp_gain_serial_arr.vhd  |  174 +-
 libraries/base/dp/tb/vhdl/tb_mms_dp_scale.vhd |   40 +-
 .../dp/tb/vhdl/tb_mms_dp_sync_checker.vhd     |   50 +-
 .../base/dp/tb/vhdl/tb_mms_dp_xonoff.vhd      |  106 +-
 libraries/base/dp/tb/vhdl/tb_tb2_dp_demux.vhd |    4 +-
 libraries/base/dp/tb/vhdl/tb_tb2_dp_mux.vhd   |    4 +-
 libraries/base/dp/tb/vhdl/tb_tb3_dp_demux.vhd |    4 +-
 libraries/base/dp/tb/vhdl/tb_tb3_dp_mux.vhd   |    4 +-
 .../dp/tb/vhdl/tb_tb_dp_block_from_mm.vhd     |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_block_gen.vhd    |    4 +-
 .../tb/vhdl/tb_tb_dp_block_gen_valid_arr.vhd  |    4 +-
 .../dp/tb/vhdl/tb_tb_dp_block_reshape.vhd     |    6 +-
 .../tb/vhdl/tb_tb_dp_block_reshape_sync.vhd   |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_block_select.vhd |   10 +-
 .../tb_tb_dp_block_validate_bsn_at_sync.vhd   |    8 +-
 .../vhdl/tb_tb_dp_block_validate_channel.vhd  |   12 +-
 .../tb/vhdl/tb_tb_dp_block_validate_err.vhd   |   14 +-
 .../vhdl/tb_tb_dp_block_validate_length.vhd   |   10 +-
 .../base/dp/tb/vhdl/tb_tb_dp_bsn_align.vhd    |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_bsn_align_v2.vhd |    4 +-
 .../dp/tb/vhdl/tb_tb_dp_bsn_source_v2.vhd     |    4 +-
 .../tb/vhdl/tb_tb_dp_bsn_sync_scheduler.vhd   |    4 +-
 .../dp/tb/vhdl/tb_tb_dp_calculate_crc.vhd     |   16 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_concat.vhd |    2 +-
 .../dp/tb/vhdl/tb_tb_dp_concat_field_blk.vhd  |   20 +-
 .../base/dp/tb/vhdl/tb_tb_dp_counter.vhd      |    6 +-
 ...b_tb_dp_deinterleave_interleave_to_one.vhd |   28 +-
 .../tb_tb_dp_deinterleave_one_to_n_to_one.vhd |   36 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_demux.vhd  |    6 +-
 .../base/dp/tb/vhdl/tb_tb_dp_distribute.vhd   |    6 +-
 .../base/dp/tb/vhdl/tb_tb_dp_example_dut.vhd  |    6 +-
 .../dp/tb/vhdl/tb_tb_dp_example_no_dut.vhd    |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_fifo_dc.vhd      |    2 +-
 .../base/dp/tb/vhdl/tb_tb_dp_fifo_dc_arr.vhd  |    2 +-
 .../tb/vhdl/tb_tb_dp_fifo_dc_mixed_widths.vhd |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_fifo_fill.vhd    |    2 +-
 .../dp/tb/vhdl/tb_tb_dp_fifo_fill_eop.vhd     |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_fifo_fill_sc.vhd |    2 +-
 .../base/dp/tb/vhdl/tb_tb_dp_fifo_info.vhd    |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_fifo_sc.vhd      |    2 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_flush.vhd  |    4 +-
 .../dp/tb/vhdl/tb_tb_dp_frame_scheduler.vhd   |    2 +-
 .../base/dp/tb/vhdl/tb_tb_dp_latency_fifo.vhd |    6 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_mux.vhd    |    6 +-
 .../dp/tb/vhdl/tb_tb_dp_offload_tx_v3.vhd     |    4 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_packet.vhd |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_packet_merge.vhd |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_packetizing.vhd  |    2 +-
 .../dp/tb/vhdl/tb_tb_dp_pad_insert_remove.vhd |    6 +-
 .../base/dp/tb/vhdl/tb_tb_dp_pipeline.vhd     |    2 +-
 .../dp/tb/vhdl/tb_tb_dp_pipeline_ready.vhd    |    4 +-
 .../base/dp/tb/vhdl/tb_tb_dp_repack_data.vhd  |    6 +-
 .../dp/tb/vhdl/tb_tb_dp_reverse_n_data.vhd    |   20 +-
 .../dp/tb/vhdl/tb_tb_dp_reverse_n_data_fc.vhd |   28 +-
 .../base/dp/tb/vhdl/tb_tb_dp_rsn_source.vhd   |    4 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_split.vhd  |    2 +-
 .../tb/vhdl/tb_tb_dp_strobe_total_count.vhd   |    2 +-
 .../base/dp/tb/vhdl/tb_tb_dp_sync_checker.vhd |   28 +-
 .../base/dp/tb/vhdl/tb_tb_dp_sync_insert.vhd  |   16 +-
 .../dp/tb/vhdl/tb_tb_dp_sync_insert_v2.vhd    |   18 +-
 .../base/dp/tb/vhdl/tb_tb_dp_sync_recover.vhd |   18 +-
 .../base/dp/tb/vhdl/tb_tb_dp_throttle_xon.vhd |   22 +-
 libraries/base/dp/tb/vhdl/tb_tb_dp_xonoff.vhd |   24 +-
 .../dp/tb/vhdl/tb_tb_mmp_dp_bsn_align_v2.vhd  |    4 +-
 .../tb_tb_mms_dp_force_data_parallel_arr.vhd  |   32 +-
 .../tb_tb_mms_dp_force_data_serial_arr.vhd    |   28 +-
 .../base/dp/tb/vhdl/tb_tb_mms_dp_gain_arr.vhd |    6 +-
 .../tb/vhdl/tb_tb_mms_dp_gain_serial_arr.vhd  |    6 +-
 .../dp/tb/vhdl/tb_tb_tb_dp_backpressure.vhd   |    6 +-
 libraries/base/mm/src/vhdl/mm_arbiter.vhd     |  212 +-
 libraries/base/mm/src/vhdl/mm_bus.vhd         |   72 +-
 libraries/base/mm/src/vhdl/mm_bus_comb.vhd    |   12 +-
 libraries/base/mm/src/vhdl/mm_bus_pipe.vhd    |   84 +-
 libraries/base/mm/src/vhdl/mm_fields.vhd      |   58 +-
 .../base/mm/src/vhdl/mm_latency_adapter.vhd   |   38 +-
 libraries/base/mm/src/vhdl/mm_master_mux.vhd  |   12 +-
 libraries/base/mm/src/vhdl/mm_pipeline.vhd    |    6 +-
 .../base/mm/src/vhdl/mm_slave_enable.vhd      |   24 +-
 libraries/base/mm/src/vhdl/mm_slave_mux.vhd   |   32 +-
 libraries/base/mm/tb/vhdl/mm_file.vhd         |   20 +-
 libraries/base/mm/tb/vhdl/mm_file_pkg.vhd     |  337 +-
 libraries/base/mm/tb/vhdl/mm_file_unb_pkg.vhd |   28 +-
 .../base/mm/tb/vhdl/mm_waitrequest_model.vhd  |    8 +-
 libraries/base/mm/tb/vhdl/tb_mm_bus.vhd       |  118 +-
 libraries/base/mm/tb/vhdl/tb_mm_file.vhd      |   90 +-
 .../base/mm/tb/vhdl/tb_mm_master_mux.vhd      |  134 +-
 libraries/base/mm/tb/vhdl/tb_tb_mm_bus.vhd    |   10 +-
 libraries/base/mm/tb/vhdl/tb_tb_mm_file.vhd   |    2 +-
 .../base/mm/tb/vhdl/tb_tb_mm_master_mux.vhd   |    8 +-
 .../reorder/src/vhdl/mms_reorder_rewire.vhd   |   86 +-
 .../base/reorder/src/vhdl/reorder_col.vhd     |  284 +-
 .../reorder/src/vhdl/reorder_col_select.vhd   |  156 +-
 .../reorder/src/vhdl/reorder_col_wide.vhd     |   78 +-
 .../src/vhdl/reorder_col_wide_select.vhd      |   54 +-
 .../base/reorder/src/vhdl/reorder_matrix.vhd  |  168 +-
 .../base/reorder/src/vhdl/reorder_pkg.vhd     | 1113 ++-
 .../reorder/src/vhdl/reorder_retreive.vhd     |   42 +-
 .../base/reorder/src/vhdl/reorder_rewire.vhd  |   12 +-
 .../reorder/src/vhdl/reorder_rewire_reg.vhd   |   70 +-
 .../base/reorder/src/vhdl/reorder_row.vhd     |  144 +-
 .../reorder/src/vhdl/reorder_row_select.vhd   |   46 +-
 .../reorder/src/vhdl/reorder_sequencer.vhd    |   12 +-
 .../base/reorder/src/vhdl/reorder_store.vhd   |   10 +-
 .../reorder/src/vhdl/reorder_transpose.vhd    |  318 +-
 .../reorder/tb/vhdl/tb_mmf_reorder_matrix.vhd |  226 +-
 .../reorder/tb/vhdl/tb_mmf_reorder_row.vhd    |  230 +-
 .../reorder/tb/vhdl/tb_mms_reorder_rewire.vhd | 1297 ++-
 .../base/reorder/tb/vhdl/tb_reorder_col.vhd   |   96 +-
 .../tb/vhdl/tb_reorder_col_select_all.vhd     |  208 +-
 .../reorder/tb/vhdl/tb_reorder_col_wide.vhd   |   62 +-
 .../vhdl/tb_reorder_col_wide_row_select.vhd   |  134 +-
 .../reorder/tb/vhdl/tb_reorder_transpose.vhd  |  416 +-
 .../reorder/tb/vhdl/tb_tb_reorder_col.vhd     |    2 +-
 .../tb/vhdl/tb_tb_reorder_col_select_all.vhd  |   20 +-
 .../tb_tb_reorder_col_wide_row_select.vhd     |    2 +-
 libraries/base/ring/src/vhdl/ring_info.vhd    |   38 +-
 libraries/base/ring/src/vhdl/ring_lane.vhd    |  158 +-
 .../base/ring/src/vhdl/ring_lane_info.vhd     |   30 +-
 .../base/ring/src/vhdl/ring_lane_info_reg.vhd |   40 +-
 libraries/base/ring/src/vhdl/ring_mux.vhd     |   64 +-
 libraries/base/ring/src/vhdl/ring_pkg.vhd     |   68 +-
 libraries/base/ring/src/vhdl/ring_rx.vhd      |  196 +-
 libraries/base/ring/src/vhdl/ring_tx.vhd      |  154 +-
 .../base/ring/tb/vhdl/tb_ring_lane_info.vhd   |   12 +-
 libraries/base/sens/src/vhdl/sens.vhd         |   76 +-
 libraries/base/sens/src/vhdl/sens_ctrl.vhd    |  111 +-
 libraries/base/sens/tb/vhdl/tb_sens.vhd       |  136 +-
 libraries/base/ss/src/vhdl/ss.vhd             |  288 +-
 libraries/base/ss/src/vhdl/ss_parallel.vhd    |  176 +-
 libraries/base/ss/src/vhdl/ss_reorder.vhd     |  144 +-
 libraries/base/ss/src/vhdl/ss_retrieve.vhd    |   36 +-
 libraries/base/ss/src/vhdl/ss_store.vhd       |   10 +-
 libraries/base/ss/src/vhdl/ss_wide.vhd        |   82 +-
 .../base/ss/tb/vhdl/tb_mmf_ss_parallel.vhd    |  226 +-
 .../base/ss/tb/vhdl/tb_mmf_ss_reorder.vhd     |  230 +-
 libraries/base/ss/tb/vhdl/tb_ss.vhd           |   96 +-
 libraries/base/ss/tb/vhdl/tb_ss_wide.vhd      |   62 +-
 libraries/base/ss/tb/vhdl/tb_tb_ss.vhd        |    2 +-
 libraries/base/tst/src/vhdl/tst_input.vhd     |   10 +-
 libraries/base/tst/src/vhdl/tst_output.vhd    |    8 +-
 libraries/base/uth/src/vhdl/uth_pkg.vhd       |   24 +-
 libraries/base/uth/src/vhdl/uth_rx.vhd        |  160 +-
 libraries/base/uth/src/vhdl/uth_rx_tlen.vhd   |   10 +-
 .../base/uth/src/vhdl/uth_terminal_bidir.vhd  |   28 +-
 .../base/uth/src/vhdl/uth_terminal_rx.vhd     |  212 +-
 .../base/uth/src/vhdl/uth_terminal_tx.vhd     |  202 +-
 libraries/base/uth/src/vhdl/uth_tx.vhd        |   88 +-
 libraries/base/uth/src/vhdl/uth_tx_tlen.vhd   |   10 +-
 .../uth/tb/vhdl/tb_tb_tb_uth_regression.vhd   |    2 +-
 libraries/base/uth/tb/vhdl/tb_tb_uth.vhd      |    4 +-
 .../base/uth/tb/vhdl/tb_tb_uth_dp_packet.vhd  |    4 +-
 .../base/uth/tb/vhdl/tb_tb_uth_terminals.vhd  |    4 +-
 libraries/base/uth/tb/vhdl/tb_uth.vhd         |  152 +-
 .../base/uth/tb/vhdl/tb_uth_dp_packet.vhd     |  250 +-
 .../base/uth/tb/vhdl/tb_uth_terminals.vhd     |  212 +-
 libraries/base/util/src/vhdl/util_heater.vhd  |  304 +-
 .../base/util/src/vhdl/util_heater_pkg.vhd    |    4 +-
 libraries/base/util/src/vhdl/util_logic.vhd   |    2 +-
 .../base/util/tb/vhdl/tb_util_heater.vhd      |   42 +-
 .../dsp/beamformer/src/vhdl/beamformer.vhd    |  204 +-
 .../dsp/beamformer/tb/vhdl/tb_beamformer.vhd  |   72 +-
 .../beamformer/tb/vhdl/tb_tb_beamformer.vhd   |   46 +-
 .../unb1_fn_bf/src/vhdl/mmm_unb1_fn_bf.vhd    |  390 +-
 .../unb1_fn_bf/src/vhdl/node_unb1_fn_bf.vhd   |  228 +-
 .../unb1_fn_bf/src/vhdl/unb1_fn_bf.vhd        |  436 +-
 .../unb1_fn_bf/tb/vhdl/tb_unb1_fn_bf.vhd      |   50 +-
 libraries/dsp/bf/src/vhdl/bf.vhd              |  152 +-
 libraries/dsp/bf/src/vhdl/bf_pkg.vhd          |    6 +-
 libraries/dsp/bf/src/vhdl/bf_unit.vhd         |  432 +-
 libraries/dsp/bf/tb/vhdl/tb_bf.vhd            |  256 +-
 libraries/dsp/bf/tb/vhdl/tb_bf_unit.vhd       |  256 +-
 .../src/vhdl/mmm_unb1_correlator.vhd          |  414 +-
 .../src/vhdl/unb1_correlator.vhd              |  490 +-
 .../tb/vhdl/tb_unb1_correlator.vhd            |   10 +-
 .../correlator/src/vhdl/corr_accumulator.vhd  |   68 +-
 .../dsp/correlator/src/vhdl/corr_adder.vhd    |   78 +-
 .../dsp/correlator/src/vhdl/corr_carousel.vhd |    8 +-
 .../dsp/correlator/src/vhdl/corr_folder.vhd   |   30 +-
 .../src/vhdl/corr_folder_2arr_2.vhd           |   72 +-
 .../correlator/src/vhdl/corr_multiplier.vhd   |   84 +-
 .../src/vhdl/corr_output_framer.vhd           |   58 +-
 .../correlator/src/vhdl/corr_permutator.vhd   |   10 +-
 .../dsp/correlator/src/vhdl/corr_permutor.vhd |   98 +-
 .../correlator/src/vhdl/corr_permutor_pkg.vhd |   10 +-
 .../dsp/correlator/src/vhdl/corr_unfolder.vhd |   54 +-
 .../src/vhdl/corr_visibility_buffer.vhd       |   80 +-
 .../dsp/correlator/src/vhdl/correlator.vhd    |  186 +-
 .../correlator/src/vhdl/correlator_dev.vhd    |   88 +-
 .../tb/vhdl/tb_corr_accumulator.vhd           |   54 +-
 .../correlator/tb/vhdl/tb_corr_carousel.vhd   |   34 +-
 .../dsp/correlator/tb/vhdl/tb_corr_folder.vhd |   10 +-
 .../correlator/tb/vhdl/tb_corr_multiplier.vhd |   10 +-
 .../correlator/tb/vhdl/tb_corr_permutator.vhd |   30 +-
 .../correlator/tb/vhdl/tb_corr_permutor.vhd   |   34 +-
 .../dsp/correlator/tb/vhdl/tb_correlator.vhd  |  306 +-
 .../correlator/tb/vhdl/tb_correlator_dev.vhd  |  112 +-
 .../tb/vhdl/tb_tb_corr_accumulator.vhd        |   22 +-
 libraries/dsp/fft/src/vhdl/fft_lfsr.vhd       |    4 +-
 libraries/dsp/fft/src/vhdl/fft_pkg.vhd        |   52 +-
 libraries/dsp/fft/src/vhdl/fft_r2_bf_par.vhd  |  362 +-
 libraries/dsp/fft/src/vhdl/fft_r2_par.vhd     |  312 +-
 libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd    |  306 +-
 libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd    |  260 +-
 .../fft/src/vhdl/fft_reorder_sepa_pipe.vhd    |  122 +-
 libraries/dsp/fft/src/vhdl/fft_sepa.vhd       |   62 +-
 libraries/dsp/fft/src/vhdl/fft_sepa_wide.vhd  |  166 +-
 libraries/dsp/fft/src/vhdl/fft_switch.vhd     |   56 +-
 libraries/dsp/fft/src/vhdl/fft_unswitch.vhd   |   56 +-
 libraries/dsp/fft/src/vhdl/fft_wide_unit.vhd  |  158 +-
 .../fft/src/vhdl/fft_wide_unit_control.vhd    |  178 +-
 .../dsp/fft/tb/vhdl/tb_fft_functions.vhd      |    8 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_lfsr.vhd     |   50 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_pkg.vhd      |  184 +-
 .../dsp/fft/tb/vhdl/tb_fft_r2_bf_par.vhd      |  178 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_r2_par.vhd   |   54 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_r2_pipe.vhd  |   50 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_r2_wide.vhd  |  202 +-
 .../fft/tb/vhdl/tb_fft_reorder_sepa_pipe.vhd  |   86 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_sepa.vhd     |   72 +-
 libraries/dsp/fft/tb/vhdl/tb_fft_switch.vhd   |  132 +-
 .../dsp/fft/tb/vhdl/tb_fft_wide_unit.vhd      |  142 +-
 libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2.vhd   |  342 +-
 .../dsp/fft/tb/vhdl/tb_mmf_fft_r2_par.vhd     |  240 +-
 .../dsp/fft/tb/vhdl/tb_mmf_fft_r2_pipe.vhd    |  240 +-
 .../dsp/fft/tb/vhdl/tb_mmf_fft_wide_unit.vhd  |  242 +-
 .../dsp/fft/tb/vhdl/tb_tb_fft_r2_par.vhd      |   72 +-
 .../dsp/fft/tb/vhdl/tb_tb_fft_r2_pipe.vhd     |   72 +-
 .../dsp/fft/tb/vhdl/tb_tb_fft_r2_wide.vhd     |  134 +-
 libraries/dsp/filter/src/vhdl/fil_pkg.vhd     |    4 +-
 .../dsp/filter/src/vhdl/fil_ppf_ctrl.vhd      |    8 +-
 .../dsp/filter/src/vhdl/fil_ppf_filter.vhd    |  116 +-
 .../dsp/filter/src/vhdl/fil_ppf_single.vhd    |  190 +-
 .../dsp/filter/src/vhdl/fil_ppf_wide.vhd      |   74 +-
 .../dsp/filter/tb/vhdl/tb_fil_ppf_single.vhd  |  112 +-
 .../dsp/filter/tb/vhdl/tb_fil_ppf_wide.vhd    |  114 +-
 .../tb/vhdl/tb_fil_ppf_wide_file_data.vhd     |  222 +-
 .../filter/tb/vhdl/tb_tb_fil_ppf_single.vhd   |   64 +-
 .../dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide.vhd |   68 +-
 .../tb/vhdl/tb_tb_fil_ppf_wide_file_data.vhd  |   74 +-
 .../fringe_stop/src/vhdl/fringe_stop_unit.vhd |  434 +-
 .../tb/vhdl/tb_fringe_stop_unit.vhd           |  160 +-
 .../tb/vhdl/tb_mmf_fringe_stop_unit.vhd       |   80 +-
 .../tb/vhdl/tb_tb_fringe_stop_unit.vhd        |   28 +-
 .../tb/vhdl/tb_tb_mmf_fringe_stop_unit.vhd    |   10 +-
 libraries/dsp/iquv/src/vhdl/iquv.vhd          |  574 +-
 libraries/dsp/iquv/src/vhdl/iquv_accum.vhd    |  126 +-
 libraries/dsp/iquv/src/vhdl/iquv_iab.vhd      |  626 +-
 libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd        |  176 +-
 .../dsp/iquv/tb/vhdl/tb_iquv_file_data.vhd    |   60 +-
 libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd    |   64 +-
 .../iquv/tb/vhdl/tb_iquv_iab_file_data.vhd    |   72 +-
 .../dsp/iquv/tb/vhdl/tb_tb_iquv_file_data.vhd |    4 +-
 .../iquv/tb/vhdl/tb_tb_iquv_iab_file_data.vhd |    4 +-
 libraries/dsp/rTwoSDF/src/vhdl/rTwoBF.vhd     |   44 +-
 .../dsp/rTwoSDF/src/vhdl/rTwoBFStage.vhd      |  172 +-
 libraries/dsp/rTwoSDF/src/vhdl/rTwoOrder.vhd  |   96 +-
 libraries/dsp/rTwoSDF/src/vhdl/rTwoSDF.vhd    |  150 +-
 libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFPkg.vhd |    2 +-
 .../dsp/rTwoSDF/src/vhdl/rTwoSDFStage.vhd     |  268 +-
 libraries/dsp/rTwoSDF/src/vhdl/rTwoWMul.vhd   |  256 +-
 .../dsp/rTwoSDF/src/vhdl/rTwoWeights.vhd      |    6 +-
 .../dsp/rTwoSDF/tb/vhdl/tb_rTwoOrder.vhd      |   38 +-
 libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoSDF.vhd  |   58 +-
 .../dsp/rTwoSDF/tb/vhdl/tb_tb_rTwoSDF.vhd     |   32 +-
 libraries/dsp/si/src/vhdl/si.vhd              |   24 +-
 libraries/dsp/si/src/vhdl/si_arr.vhd          |   56 +-
 libraries/dsp/si/tb/vhdl/tb_si.vhd            |   34 +-
 .../dsp/st/src/vhdl/mmp_st_histogram.vhd      |  132 +-
 libraries/dsp/st/src/vhdl/st_acc.vhd          |   62 +-
 libraries/dsp/st/src/vhdl/st_calc.vhd         |  208 +-
 libraries/dsp/st/src/vhdl/st_ctrl.vhd         |   48 +-
 libraries/dsp/st/src/vhdl/st_histogram.vhd    |   78 +-
 libraries/dsp/st/src/vhdl/st_sst.vhd          |  262 +-
 libraries/dsp/st/src/vhdl/st_xsq.vhd          |  244 +-
 libraries/dsp/st/src/vhdl/st_xsq_arr.vhd      |   68 +-
 libraries/dsp/st/src/vhdl/st_xsq_dp_to_mm.vhd |   72 +-
 libraries/dsp/st/src/vhdl/st_xsq_mm_to_dp.vhd |   10 +-
 libraries/dsp/st/src/vhdl/st_xst.vhd          |   84 +-
 libraries/dsp/st/tb/vhdl/tb_mmf_st_sst.vhd    |  162 +-
 .../dsp/st/tb/vhdl/tb_mmp_st_histogram.vhd    |   66 +-
 libraries/dsp/st/tb/vhdl/tb_st_acc.vhd        |   81 +-
 libraries/dsp/st/tb/vhdl/tb_st_calc.vhd       |   54 +-
 libraries/dsp/st/tb/vhdl/tb_st_histogram.vhd  |   54 +-
 libraries/dsp/st/tb/vhdl/tb_st_pkg.vhd        |   10 +-
 libraries/dsp/st/tb/vhdl/tb_st_xsq.vhd        |   72 +-
 libraries/dsp/st/tb/vhdl/tb_st_xst.vhd        |  126 +-
 .../dsp/st/tb/vhdl/tb_tb_st_histogram.vhd     |   76 +-
 libraries/dsp/st/tb/vhdl/tb_tb_st_xsq.vhd     |   20 +-
 libraries/dsp/st/tb/vhdl/tb_tb_st_xst.vhd     |   22 +-
 .../dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd    | 1338 +--
 .../dsp/verify_pfb/tb_verify_pfb_response.vhd |  162 +-
 libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd |  336 +-
 libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd      |  344 +-
 libraries/dsp/wpfb/src/vhdl/wpfb_unit.vhd     |  244 +-
 libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd |  248 +-
 .../dsp/wpfb/tb/vhdl/tb_mmf_wpfb_unit.vhd     |  400 +-
 .../dsp/wpfb/tb/vhdl/tb_tb_wpfb_unit_wide.vhd |  994 ++-
 libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit.vhd   |  146 +-
 .../dsp/wpfb/tb/vhdl/tb_wpfb_unit_dev.vhd     |  394 +-
 .../dsp/wpfb/tb/vhdl/tb_wpfb_unit_wide.vhd    |  374 +-
 libraries/io/aduh/src/vhdl/aduh_dd.vhd        |  232 +-
 libraries/io/aduh/src/vhdl/aduh_dd_pkg.vhd    |   10 +-
 libraries/io/aduh/src/vhdl/aduh_mean_sum.vhd  |   70 +-
 libraries/io/aduh/src/vhdl/aduh_monitor.vhd   |  130 +-
 .../io/aduh/src/vhdl/aduh_monitor_reg.vhd     |   78 +-
 libraries/io/aduh/src/vhdl/aduh_pll.vhd       |   70 +-
 libraries/io/aduh/src/vhdl/aduh_pll_pkg.vhd   |   24 +-
 libraries/io/aduh/src/vhdl/aduh_power_sum.vhd |  124 +-
 libraries/io/aduh/src/vhdl/aduh_quad.vhd      |  120 +-
 libraries/io/aduh/src/vhdl/aduh_quad_reg.vhd  |  422 +-
 .../io/aduh/src/vhdl/aduh_quad_scope.vhd      |   36 +-
 libraries/io/aduh/src/vhdl/aduh_verify.vhd    |   56 +-
 .../io/aduh/src/vhdl/aduh_verify_bit.vhd      |    8 +-
 libraries/io/aduh/src/vhdl/lvdsh_dd.vhd       |  256 +-
 libraries/io/aduh/src/vhdl/lvdsh_dd_phs4.vhd  |  380 +-
 .../io/aduh/src/vhdl/lvdsh_dd_phs4_align.vhd  |  180 +-
 libraries/io/aduh/src/vhdl/lvdsh_dd_wb4.vhd   |  308 +-
 libraries/io/aduh/src/vhdl/lvdsh_pll.vhd      |  216 +-
 .../io/aduh/src/vhdl/mms_aduh_monitor.vhd     |   96 +-
 .../io/aduh/src/vhdl/mms_aduh_monitor_arr.vhd |   96 +-
 libraries/io/aduh/src/vhdl/mms_aduh_quad.vhd  |  192 +-
 libraries/io/aduh/tb/vhdl/adc08d1020.vhd      |    6 +-
 libraries/io/aduh/tb/vhdl/adu_half.vhd        |   82 +-
 libraries/io/aduh/tb/vhdl/tb_aduh_dd.vhd      |  201 +-
 .../io/aduh/tb/vhdl/tb_aduh_mean_sum.vhd      |   60 +-
 libraries/io/aduh/tb/vhdl/tb_aduh_pll.vhd     |  184 +-
 .../io/aduh/tb/vhdl/tb_aduh_power_sum.vhd     |   60 +-
 libraries/io/aduh/tb/vhdl/tb_aduh_verify.vhd  |  142 +-
 libraries/io/aduh/tb/vhdl/tb_lvdsh_dd.vhd     |   94 +-
 .../io/aduh/tb/vhdl/tb_lvdsh_dd_phs4.vhd      |  110 +-
 libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_wb4.vhd |  104 +-
 .../io/aduh/tb/vhdl/tb_mms_aduh_quad.vhd      |  148 +-
 .../io/aduh/tb/vhdl/tb_tb_lvdsh_dd_phs4.vhd   |    2 +-
 .../io/aduh/tb/vhdl/tb_tb_lvdsh_dd_wb4.vhd    |    2 +-
 libraries/io/ddr/src/vhdl/io_ddr.vhd          |  432 +-
 .../io/ddr/src/vhdl/io_ddr_cross_domain.vhd   |   78 +-
 libraries/io/ddr/src/vhdl/io_ddr_driver.vhd   |   28 +-
 .../ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd |   12 +-
 libraries/io/ddr/src/vhdl/io_ddr_reg.vhd      |   20 +-
 libraries/io/ddr/src/vhdl/mms_io_ddr.vhd      |  196 +-
 libraries/io/ddr/src/vhdl/mms_io_ddr_diag.vhd |  256 +-
 libraries/io/ddr/tb/vhdl/tb_io_ddr.vhd        |  222 +-
 libraries/io/ddr/tb/vhdl/tb_tb_io_ddr.vhd     |   10 +-
 libraries/io/ddr3/src/vhdl/ddr3.vhd           |  344 +-
 libraries/io/ddr3/src/vhdl/ddr3_driver.vhd    |   46 +-
 .../io/ddr3/src/vhdl/ddr3_flush_ctrl.vhd      |   26 +-
 libraries/io/ddr3/src/vhdl/ddr3_pkg.vhd       |  286 +-
 libraries/io/ddr3/src/vhdl/ddr3_reg.vhd       |  116 +-
 libraries/io/ddr3/src/vhdl/ddr3_seq.vhd       |   14 +-
 libraries/io/ddr3/src/vhdl/ddr3_transpose.vhd |  378 +-
 libraries/io/ddr3/src/vhdl/mms_ddr3.vhd       |  172 +-
 .../io/ddr3/src/vhdl/mms_ddr3_capture.vhd     |  136 +-
 libraries/io/ddr3/src/vhdl/seq_ddr3.vhd       |  166 +-
 libraries/io/ddr3/tb/vhdl/tb_ddr3.vhd         |  240 +-
 .../io/ddr3/tb/vhdl/tb_ddr3_transpose.vhd     |  378 +-
 libraries/io/ddr3/tb/vhdl/tb_mms_ddr3.vhd     |  324 +-
 libraries/io/ddr3/tb/vhdl/tb_seq_ddr3.vhd     |  288 +-
 libraries/io/epcs/src/vhdl/epcs_reg.vhd       |   74 +-
 libraries/io/epcs/src/vhdl/mms_epcs.vhd       |  246 +-
 libraries/io/epcs/tb/vhdl/tb_mms_epcs.vhd     |   42 +-
 .../src/vhdl/mmm_unb1_eth_10g.vhd             |  432 +-
 .../unb1_eth_10g/src/vhdl/unb1_eth_10g.vhd    |  816 +-
 .../unb1_eth_10g/tb/vhdl/tb_unb1_eth_10g.vhd  |  130 +-
 libraries/io/eth/src/vhdl/avs2_eth.vhd        |   74 +-
 libraries/io/eth/src/vhdl/avs2_eth_coe.vhd    |    8 +-
 libraries/io/eth/src/vhdl/eth.vhd             |  662 +-
 libraries/io/eth/src/vhdl/eth_buffer.vhd      |  116 +-
 libraries/io/eth/src/vhdl/eth_checksum.vhd    |   14 +-
 libraries/io/eth/src/vhdl/eth_control.vhd     |   42 +-
 libraries/io/eth/src/vhdl/eth_crc_ctrl.vhd    |   70 +-
 libraries/io/eth/src/vhdl/eth_crc_word.vhd    |   10 +-
 libraries/io/eth/src/vhdl/eth_frm_discard.vhd |    6 +-
 libraries/io/eth/src/vhdl/eth_hdr.vhd         |  112 +-
 libraries/io/eth/src/vhdl/eth_hdr_ctrl.vhd    |   38 +-
 libraries/io/eth/src/vhdl/eth_hdr_status.vhd  |   28 +-
 libraries/io/eth/src/vhdl/eth_hdr_store.vhd   |   10 +-
 libraries/io/eth/src/vhdl/eth_ihl_to_20.vhd   |   84 +-
 .../eth/src/vhdl/eth_ip_header_checksum.vhd   |   90 +-
 .../io/eth/src/vhdl/eth_mm_reg_frame.vhd      |   10 +-
 .../io/eth/src/vhdl/eth_mm_registers.vhd      |  196 +-
 libraries/io/eth/src/vhdl/eth_pkg.vhd         |   72 +-
 libraries/io/eth/src/vhdl/eth_statistics.vhd  |   68 +-
 libraries/io/eth/src/vhdl/eth_stream.vhd      |  146 +-
 libraries/io/eth/src/vhdl/eth_stream_udp.vhd  |  120 +-
 libraries/io/eth/src/vhdl/eth_tester.vhd      |  304 +-
 libraries/io/eth/src/vhdl/eth_tester_pkg.vhd  |   92 +-
 libraries/io/eth/src/vhdl/eth_tester_rx.vhd   |  210 +-
 libraries/io/eth/src/vhdl/eth_tester_tx.vhd   |  358 +-
 libraries/io/eth/src/vhdl/eth_udp_channel.vhd |    8 +-
 libraries/io/eth/tb/vhdl/tb_eth.vhd           |  374 +-
 libraries/io/eth/tb/vhdl/tb_eth_checksum.vhd  |   32 +-
 libraries/io/eth/tb/vhdl/tb_eth_crc_ctrl.vhd  |   40 +-
 libraries/io/eth/tb/vhdl/tb_eth_hdr.vhd       |   36 +-
 libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd |   66 +-
 .../eth/tb/vhdl/tb_eth_ip_header_checksum.vhd |  568 +-
 .../io/eth/tb/vhdl/tb_eth_stream_udp.vhd      |  158 +-
 libraries/io/eth/tb/vhdl/tb_eth_tester.vhd    |  198 +-
 .../io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd  |  134 +-
 .../io/eth/tb/vhdl/tb_eth_tester_pkg.vhd      |   20 +-
 .../io/eth/tb/vhdl/tb_eth_udp_offload.vhd     |  326 +-
 libraries/io/eth/tb/vhdl/tb_tb_eth.vhd        |   34 +-
 .../tb/vhdl/tb_tb_eth_ip_header_checksum.vhd  |    6 +-
 .../io/eth/tb/vhdl/tb_tb_eth_stream_udp.vhd   |   34 +-
 libraries/io/eth/tb/vhdl/tb_tb_eth_tester.vhd |   76 +-
 .../eth/tb/vhdl/tb_tb_eth_tester_high_bw.vhd  |   50 +-
 .../eth/tb/vhdl/tb_tb_tb_eth_regression.vhd   |    2 +-
 libraries/io/eth1g/src/vhdl/eth1g.vhd         |  654 +-
 libraries/io/eth1g/src/vhdl/eth1g_master.vhd  |   75 +-
 libraries/io/eth1g/src/vhdl/eth1g_mem_pkg.vhd |  206 +-
 libraries/io/eth1g/tb/vhdl/tb_eth1g.vhd       |  372 +-
 libraries/io/eth1g/tb/vhdl/tb_tb_eth1g.vhd    |   30 +-
 .../io/fpga_sense/src/vhdl/fpga_sense.vhd     |  122 +-
 libraries/io/i2c/src/vhdl/avs_i2c_master.vhd  |   92 +-
 libraries/io/i2c/src/vhdl/i2c_bit.vhd         |  520 +-
 .../io/i2c/src/vhdl/i2c_bit_scl_sense.vhd     |  516 +-
 libraries/io/i2c/src/vhdl/i2c_byte.vhd        |  468 +-
 libraries/io/i2c/src/vhdl/i2c_commander.vhd   |  322 +-
 .../i2c/src/vhdl/i2c_commander_aduh_pkg.vhd   |  140 +-
 .../io/i2c/src/vhdl/i2c_commander_ctrl.vhd    |   10 +-
 .../io/i2c/src/vhdl/i2c_commander_pkg.vhd     |   34 +-
 .../io/i2c/src/vhdl/i2c_commander_reg.vhd     |   22 +-
 .../src/vhdl/i2c_commander_unb2_pmbus_pkg.vhd |  106 +-
 .../src/vhdl/i2c_commander_unb2_sens_pkg.vhd  |  106 +-
 .../i2c/src/vhdl/i2c_commander_unbh_pkg.vhd   |  130 +-
 libraries/io/i2c/src/vhdl/i2c_dev_adu_pkg.vhd | 6082 +++++++++++---
 .../io/i2c/src/vhdl/i2c_dev_ltc4260_pkg.vhd   |   12 +-
 .../io/i2c/src/vhdl/i2c_dev_unb2_pkg.vhd      |  190 +-
 libraries/io/i2c/src/vhdl/i2c_dev_unb_pkg.vhd |   51 +-
 libraries/io/i2c/src/vhdl/i2c_list_ctrl.vhd   |    6 +-
 libraries/io/i2c/src/vhdl/i2c_master.vhd      |  190 +-
 libraries/io/i2c/src/vhdl/i2c_mm.vhd          |  202 +-
 libraries/io/i2c/src/vhdl/i2c_pkg.vhd         |   28 +-
 libraries/io/i2c/src/vhdl/i2c_smbus.vhd       |  134 +-
 libraries/io/i2c/src/vhdl/i2c_smbus_pkg.vhd   |  239 +-
 libraries/io/i2c/src/vhdl/i2cslave.vhd        |   32 +-
 libraries/io/i2c/tb/vhdl/dev_ltc4260.vhd      |   34 +-
 libraries/io/i2c/tb/vhdl/dev_max1618.vhd      |   32 +-
 libraries/io/i2c/tb/vhdl/dev_max6652.vhd      |   30 +-
 libraries/io/i2c/tb/vhdl/dev_pca9555.vhd      |   30 +-
 libraries/io/i2c/tb/vhdl/dev_pmbus.vhd        |   32 +-
 libraries/io/i2c/tb/vhdl/i2c_slv_device.vhd   |   22 +-
 .../io/i2c/tb/vhdl/tb_avs_i2c_master.vhd      |  182 +-
 libraries/io/i2c/tb/vhdl/tb_i2c_commander.vhd |  230 +-
 .../tb/vhdl/tb_i2c_commander_unb2_pmbus.vhd   |  264 +-
 .../tb/vhdl/tb_i2c_commander_unb2_sens.vhd    |  304 +-
 libraries/io/i2c/tb/vhdl/tb_i2c_master.vhd    |  407 +-
 libraries/io/i2c/tb/vhdl/tb_i2cslave.vhd      |   56 +-
 .../io/i2c/tb/vhdl/tb_tb_i2c_commander.vhd    |    2 +-
 libraries/io/mac_10g/io_mac_10g.vhd           |   74 +-
 libraries/io/mdio/src/vhdl/avs_mdio.vhd       |   68 +-
 libraries/io/mdio/src/vhdl/mdio.vhd           |   96 +-
 libraries/io/mdio/src/vhdl/mdio_ctlr.vhd      |   96 +-
 libraries/io/mdio/src/vhdl/mdio_mm.vhd        |  118 +-
 libraries/io/mdio/src/vhdl/mdio_phy.vhd       |   50 +-
 libraries/io/mdio/src/vhdl/mdio_phy_reg.vhd   |   80 +-
 libraries/io/mdio/src/vhdl/mdio_pkg.vhd       |   14 +-
 .../src/vhdl/mdio_vitesse_vsc8486_pkg.vhd     |    8 +-
 libraries/io/mdio/tb/vhdl/mmd_slave.vhd       |    4 +-
 libraries/io/mdio/tb/vhdl/tb_mdio.vhd         |   90 +-
 libraries/io/mdio/tb/vhdl/tb_mdio_phy.vhd     |   76 +-
 .../io/mdio/tb/vhdl/tb_mdio_phy_ctlr.vhd      |  108 +-
 libraries/io/mdio/tb/vhdl/tb_mdio_phy_reg.vhd |  112 +-
 libraries/io/nw_10GbE/src/vhdl/nw_10GbE.vhd   |  214 +-
 .../io/nw_10GbE/src/vhdl/nw_arp_request.vhd   |   84 +-
 .../io/nw_10GbE/src/vhdl/nw_ping_response.vhd |  118 +-
 libraries/io/nw_10GbE/tb/vhdl/tb_nw_10GbE.vhd |  378 +-
 .../io/nw_10GbE/tb/vhdl/tb_nw_arp_request.vhd |   48 +-
 .../nw_10GbE/tb/vhdl/tb_nw_ping_response.vhd  |   96 +-
 .../io/nw_10GbE/tb/vhdl/tb_tb_nw_10GbE.vhd    |   34 +-
 libraries/io/ppsh/src/vhdl/mm_ppsh.vhd        |   54 +-
 libraries/io/ppsh/src/vhdl/mms_ppsh.vhd       |  112 +-
 libraries/io/ppsh/src/vhdl/ppsh.vhd           |  136 +-
 libraries/io/ppsh/src/vhdl/ppsh_reg.vhd       |  152 +-
 libraries/io/ppsh/tb/vhdl/tb_mms_ppsh.vhd     |   50 +-
 libraries/io/ppsh/tb/vhdl/tb_ppsh.vhd         |   42 +-
 libraries/io/remu/src/vhdl/mms_remu.vhd       |   94 +-
 libraries/io/remu/src/vhdl/remu_reg.vhd       |  152 +-
 libraries/io/tr_10GbE/src/vhdl/tr_10GbE.vhd   |  394 +-
 .../src/vhdl/tr_10GbE_ip_checksum.vhd         |   96 +-
 .../tr_10GbE/src/vhdl/tr_10GbE_statistics.vhd |  154 +-
 .../io/tr_10GbE/tb/vhdl/tb_tb_tr_10GbE.vhd    |   34 +-
 libraries/io/tr_10GbE/tb/vhdl/tb_tr_10GbE.vhd |  340 +-
 .../src/vhdl/mms_tr_nonbonded.vhd             |  242 +-
 .../io/tr_nonbonded/src/vhdl/tr_nonbonded.vhd |  198 +-
 .../src/vhdl/tr_nonbonded_reg.vhd             |   62 +-
 .../tb/vhdl/tb_tb_tr_nonbonded.vhd            |    6 +-
 .../tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd  |  260 +-
 libraries/io/tr_xaui/src/vhdl/mms_tr_xaui.vhd |  232 +-
 libraries/io/tr_xaui/src/vhdl/tr_xaui.vhd     |  152 +-
 .../io/tr_xaui/src/vhdl/tr_xaui_deframer.vhd  |  102 +-
 .../io/tr_xaui/src/vhdl/tr_xaui_framer.vhd    |   96 +-
 .../io/tr_xaui/src/vhdl/tr_xaui_mdio.vhd      |  152 +-
 .../io/tr_xaui/tb/vhdl/tb_tb_tr_xaui.vhd      |   10 +-
 libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui.vhd   |  130 +-
 .../tr_xaui/tb/vhdl/tb_tr_xaui_deframer.vhd   |   78 +-
 .../io/tr_xaui/tb/vhdl/tb_tr_xaui_framer.vhd  |   64 +-
 .../technology/10gbase_r/sim_10gbase_r.vhd    |   92 +-
 .../10gbase_r/tb_tech_10gbase_r.vhd           |   84 +-
 .../technology/10gbase_r/tech_10gbase_r.vhd   |   32 +-
 .../10gbase_r/tech_10gbase_r_arria10.vhd      |  394 +-
 .../10gbase_r/tech_10gbase_r_arria10_e1sg.vhd |  494 +-
 .../10gbase_r/tech_10gbase_r_arria10_e2sg.vhd |  494 +-
 .../tech_10gbase_r_arria10_e3sge3.vhd         |  422 +-
 .../tech_10gbase_r_component_pkg.vhd          | 2996 +++----
 libraries/technology/clkbuf/tech_clkbuf.vhd   |   40 +-
 .../clkbuf/tech_clkbuf_component_pkg.vhd      |   34 +-
 libraries/technology/ddr/sim_ddr.vhd          |   12 +-
 libraries/technology/ddr/tech_ddr.vhd         |   66 +-
 libraries/technology/ddr/tech_ddr_arria10.vhd |  148 +-
 .../technology/ddr/tech_ddr_arria10_e1sg.vhd  |  284 +-
 .../technology/ddr/tech_ddr_arria10_e2sg.vhd  |  284 +-
 .../ddr/tech_ddr_arria10_e3sge3.vhd           |  216 +-
 .../technology/ddr/tech_ddr_component_pkg.vhd | 1358 ++--
 .../technology/ddr/tech_ddr_mem_model.vhd     |  132 +-
 .../ddr/tech_ddr_mem_model_component_pkg.vhd  |  126 +-
 libraries/technology/ddr/tech_ddr_pkg.vhd     |   52 +-
 .../technology/ddr/tech_ddr_stratixiv.vhd     |  516 +-
 .../technology/eth_10g/tb_tb_tech_eth_10g.vhd |   30 +-
 .../technology/eth_10g/tb_tech_eth_10g.vhd    |  382 +-
 .../eth_10g/tb_tech_eth_10g_ppm.vhd           |   70 +-
 libraries/technology/eth_10g/tech_eth_10g.vhd |  420 +-
 .../eth_10g/tech_eth_10g_arria10.vhd          |   90 +-
 .../eth_10g/tech_eth_10g_arria10_e1sg.vhd     |   98 +-
 .../eth_10g/tech_eth_10g_arria10_e2sg.vhd     |   98 +-
 .../eth_10g/tech_eth_10g_arria10_e3sge3.vhd   |   96 +-
 .../eth_10g/tech_eth_10g_clocks.vhd           |    6 +-
 .../eth_10g/tech_eth_10g_component_pkg.vhd    |  416 +-
 .../eth_10g/tech_eth_10g_stratixiv.vhd        |  102 +-
 .../fifo/tech_fifo_component_pkg.vhd          |  622 +-
 libraries/technology/fifo/tech_fifo_dc.vhd    |   32 +-
 .../fifo/tech_fifo_dc_mixed_widths.vhd        |   32 +-
 libraries/technology/fifo/tech_fifo_sc.vhd    |   32 +-
 .../flash/tech_flash_asmi_parallel.vhd        |   20 +-
 .../flash/tech_flash_component_pkg.vhd        |  334 +-
 .../flash/tech_flash_remote_update.vhd        |   18 +-
 .../fpga_temp_sens/tech_fpga_temp_sens.vhd    |   80 +-
 .../tech_fpga_temp_sens_component_pkg.vhd     |   50 +-
 .../tech_fpga_voltage_sens.vhd                |   10 +-
 .../tech_fpga_voltage_sens_component_pkg.vhd  |  122 +-
 .../tech_fractional_pll_clk125.vhd            |   88 +-
 .../tech_fractional_pll_clk200.vhd            |   80 +-
 .../tech_fractional_pll_component_pkg.vhd     |  154 +-
 .../iobuf/tech_iobuf_component_pkg.vhd        |  226 +-
 .../technology/iobuf/tech_iobuf_ddio_in.vhd   |   28 +-
 .../technology/iobuf/tech_iobuf_ddio_out.vhd  |   28 +-
 .../ip_arria10_complex_mult_rtl.vhd           |   10 +-
 .../ip_arria10_complex_mult_rtl_canonical.vhd |   10 +-
 .../ip_arria10/ddio/ip_arria10_ddio_in.vhd    |   30 +-
 .../ip_arria10/ddio/ip_arria10_ddio_out.vhd   |   30 +-
 .../ddio/sim/ip_arria10_ddio_in_1.vhd         |   16 +-
 .../ddio/sim/ip_arria10_ddio_out_1.vhd        |   16 +-
 .../ddio/sim/tb_ip_arria10_ddio_1.vhd         |   54 +-
 .../ip_arria10/eth_10g/ip_arria10_eth_10g.vhd |  210 +-
 .../ip_arria10/fifo/ip_arria10_fifo_dc.vhd    |  114 +-
 .../fifo/ip_arria10_fifo_dc_mixed_widths.vhd  |  126 +-
 .../ip_arria10/fifo/ip_arria10_fifo_sc.vhd    |   60 +-
 .../ip_arria10/mult/ip_arria10_mult.vhd       |   60 +-
 .../ip_arria10/mult/ip_arria10_mult_rtl.vhd   |   14 +-
 .../ip_arria10/ram/ip_arria10_ram_cr_cw.vhd   |  126 +-
 .../ip_arria10/ram/ip_arria10_ram_crw_crw.vhd |  156 +-
 .../ram/ip_arria10_ram_crwk_crw.vhd           |  126 +-
 .../ip_arria10/ram/ip_arria10_ram_r_w.vhd     |  124 +-
 ...rria10_simple_dual_port_ram_dual_clock.vhd |   16 +-
 ...ia10_simple_dual_port_ram_single_clock.vhd |   18 +-
 ..._arria10_true_dual_port_ram_dual_clock.vhd |   22 +-
 .../tb_ip_arria10_tse_sgmii_gx.vhd            |  377 +-
 .../tb_ip_arria10_tse_sgmii_lvds.vhd          |  351 +-
 .../ddio/ip_arria10_e1sg_ddio_in.vhd          |   30 +-
 .../ddio/ip_arria10_e1sg_ddio_out.vhd         |   30 +-
 .../ddio/sim/ip_arria10_e1sg_ddio_in_1.vhd    |   16 +-
 .../ddio/sim/ip_arria10_e1sg_ddio_out_1.vhd   |   16 +-
 .../ddio/sim/tb_ip_arria10_e1sg_ddio_1.vhd    |   54 +-
 ...tera_avalon_onchip_memory2_170_yroldmy.vhd |  112 +-
 ...tera_avalon_onchip_memory2_180_xymx6za.vhd |  112 +-
 ...g_2400_altera_emif_arch_nf_180_e37lt4i.vhd | 7182 ++++++++---------
 .../ip_arria10_e1sg_ddr4_8g_2400_inst.vhd     |    2 +-
 .../eth_10g/ip_arria10_e1sg_eth_10g.vhd       |  214 +-
 .../fifo/ip_arria10_e1sg_fifo_dc.vhd          |  114 +-
 .../ip_arria10_e1sg_fifo_dc_mixed_widths.vhd  |  126 +-
 .../fifo/ip_arria10_e1sg_fifo_sc.vhd          |   60 +-
 .../jesd204b/ip_arria10_e1sg_jesd204b.vhd     |  272 +-
 ...ip_arria10_e1sg_jesd204b_component_pkg.vhd |   14 +-
 .../ip_arria10_e1sg_mult_add2_rtl.vhd         |    6 +-
 .../ip_arria10_e1sg_mult_add4_rtl.vhd         |    6 +-
 .../ram/ip_arria10_e1sg_ram_cr_cw.vhd         |  126 +-
 .../ram/ip_arria10_e1sg_ram_crw_crw.vhd       |  156 +-
 .../ram/ip_arria10_e1sg_ram_crwk_crw.vhd      |  126 +-
 .../ip_arria10_e1sg_ram_crwk_crw_inst.vhd     |    2 +-
 ...1sg_ram_crwk_crw_ram_2port_170_qaianri.vhd |  122 +-
 .../ram/ip_arria10_e1sg_ram_r_w.vhd           |  124 +-
 ...0_e1sg_simple_dual_port_ram_dual_clock.vhd |   16 +-
 ...e1sg_simple_dual_port_ram_single_clock.vhd |   18 +-
 ...a10_e1sg_true_dual_port_ram_dual_clock.vhd |   22 +-
 ...sg_transceiver_reset_controller_3_inst.vhd |    2 +-
 .../tb_ip_arria10_e1sg_tse_sgmii_gx.vhd       |  377 +-
 .../tb_ip_arria10_e1sg_tse_sgmii_lvds.vhd     |  351 +-
 .../ddio/ip_arria10_e2sg_ddio_in.vhd          |   30 +-
 .../ddio/ip_arria10_e2sg_ddio_out.vhd         |   30 +-
 .../ddio/sim/ip_arria10_e2sg_ddio_in_1.vhd    |   16 +-
 .../ddio/sim/ip_arria10_e2sg_ddio_out_1.vhd   |   16 +-
 .../ddio/sim/tb_ip_arria10_e2sg_ddio_1.vhd    |   54 +-
 .../ip_arria10_e2sg_ddr4_8g_1600_inst.vhd     |    2 +-
 .../eth_10g/ip_arria10_e2sg_eth_10g.vhd       |  214 +-
 .../fifo/ip_arria10_e2sg_fifo_dc.vhd          |  114 +-
 .../ip_arria10_e2sg_fifo_dc_mixed_widths.vhd  |  126 +-
 .../fifo/ip_arria10_e2sg_fifo_sc.vhd          |   60 +-
 .../jesd204b/ip_arria10_e2sg_jesd204b.vhd     |  272 +-
 ...ip_arria10_e2sg_jesd204b_component_pkg.vhd |   14 +-
 .../ip_arria10_e2sg_mult_add2_rtl.vhd         |    6 +-
 .../ip_arria10_e2sg_mult_add4_rtl.vhd         |    6 +-
 .../ram/ip_arria10_e2sg_ram_cr_cw.vhd         |  130 +-
 .../ram/ip_arria10_e2sg_ram_crw_crw.vhd       |  160 +-
 .../ip_arria10_e2sg_ram_crw_crw_inst.vhd      |    2 +-
 ...2sg_ram_crw_crw_ram_2port_2000_zxnv4ey.vhd |  112 +-
 .../ram/ip_arria10_e2sg_ram_crwk_crw.vhd      |  126 +-
 .../ram/ip_arria10_e2sg_ram_r_w.vhd           |  124 +-
 ...0_e2sg_simple_dual_port_ram_dual_clock.vhd |   16 +-
 ...e2sg_simple_dual_port_ram_single_clock.vhd |   18 +-
 ...a10_e2sg_true_dual_port_ram_dual_clock.vhd |   22 +-
 .../tb_ip_arria10_e2sg_tse_sgmii_gx.vhd       |  377 +-
 .../tb_ip_arria10_e2sg_tse_sgmii_lvds.vhd     |  351 +-
 .../ddio/ip_arria10_e3sge3_ddio_in.vhd        |   30 +-
 .../ddio/ip_arria10_e3sge3_ddio_out.vhd       |   30 +-
 .../ddio/sim/ip_arria10_e3sge3_ddio_in_1.vhd  |   16 +-
 .../ddio/sim/ip_arria10_e3sge3_ddio_out_1.vhd |   16 +-
 .../ddio/sim/tb_ip_arria10_e3sge3_ddio_1.vhd  |   54 +-
 .../eth_10g/ip_arria10_e3sge3_eth_10g.vhd     |  214 +-
 .../fifo/ip_arria10_e3sge3_fifo_dc.vhd        |  114 +-
 ...ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd |  126 +-
 .../fifo/ip_arria10_e3sge3_fifo_sc.vhd        |   60 +-
 .../ip_arria10_e3sge3_mult_add4_rtl.vhd       |    6 +-
 .../ram/ip_arria10_e3sge3_ram_cr_cw.vhd       |  126 +-
 .../ram/ip_arria10_e3sge3_ram_crw_crw.vhd     |  156 +-
 .../ram/ip_arria10_e3sge3_ram_crwk_crw.vhd    |  126 +-
 .../ram/ip_arria10_e3sge3_ram_r_w.vhd         |  124 +-
 ...e3sge3_simple_dual_port_ram_dual_clock.vhd |   16 +-
 ...sge3_simple_dual_port_ram_single_clock.vhd |   18 +-
 ...0_e3sge3_true_dual_port_ram_dual_clock.vhd |   22 +-
 .../tb_ip_arria10_e3sge3_tse_sgmii_gx.vhd     |  377 +-
 .../tb_ip_arria10_e3sge3_tse_sgmii_lvds.vhd   |  351 +-
 .../ddio/ip_stratixiv_ddio_in.vhd             |   36 +-
 .../ddio/ip_stratixiv_ddio_out.vhd            |   42 +-
 .../eth_10g/ip_stratixiv_eth_10g.vhd          |  150 +-
 .../mult/ip_stratixiv_complex_mult_rtl.vhd    |   10 +-
 .../ip_stratixiv/mult/ip_stratixiv_mult.vhd   |   48 +-
 .../mult/ip_stratixiv_mult_add2_rtl.vhd       |    6 +-
 .../mult/ip_stratixiv_mult_add4_rtl.vhd       |    6 +-
 .../mult/ip_stratixiv_mult_rtl.vhd            |   14 +-
 .../phy_xaui/tb_ip_stratixiv_phy_xaui.vhd     |   54 +-
 .../phy_xaui/tb_ip_stratixiv_phy_xaui_ppm.vhd |   46 +-
 .../ip_stratixiv_gxb_reconfig_v101.vhd        |   40 +-
 .../ip_stratixiv_gxb_reconfig_v111.vhd        |   28 +-
 .../ip_stratixiv_gxb_reconfig_v91.vhd         |   50 +-
 .../tb_ip_stratixiv_tse_sgmii_lvds.vhd        |  343 +-
 .../fifo/ip_ultrascale_fifo_dc.vhd            |  102 +-
 .../ip_ultrascale_fifo_dc_mixed_widths.vhd    |  102 +-
 .../fifo/ip_ultrascale_fifo_sc.vhd            |  100 +-
 .../ram/ip_ultrascale_ram_cr_cw.vhd           |   64 +-
 .../ram/ip_ultrascale_ram_crw_crw.vhd         |   92 +-
 .../technology/jesd204b/tb_tech_jesd204b.vhd  |  328 +-
 .../technology/jesd204b/tech_jesd204b.vhd     |  134 +-
 .../jesd204b/tech_jesd204b_arria10_e1sg.vhd   |   72 +-
 .../jesd204b/tech_jesd204b_arria10_e2sg.vhd   |   72 +-
 .../jesd204b/tech_jesd204b_component_pkg.vhd  |  334 +-
 .../technology/jesd204b/tech_jesd204b_pkg.vhd |    2 +-
 .../technology/jesd204b/tech_jesd204b_tx.vhd  |  204 +-
 .../technology/mac_10g/tb_tb_tech_mac_10g.vhd |   18 +-
 .../technology/mac_10g/tb_tech_mac_10g.vhd    |  240 +-
 .../mac_10g/tb_tech_mac_10g_link_connect.vhd  |    6 +-
 .../mac_10g/tb_tech_mac_10g_pkg.vhd           |  242 +-
 .../mac_10g/tb_tech_mac_10g_receiver.vhd      |   12 +-
 .../mac_10g/tb_tech_mac_10g_setup.vhd         |   18 +-
 .../tb_tech_mac_10g_simulation_end.vhd        |    6 +-
 .../mac_10g/tb_tech_mac_10g_transmitter.vhd   |   16 +-
 .../tb_tech_mac_10g_verify_rx_at_eop.vhd      |   12 +-
 .../tb_tech_mac_10g_verify_rx_pkt_cnt.vhd     |    4 +-
 libraries/technology/mac_10g/tech_mac_10g.vhd |  154 +-
 .../mac_10g/tech_mac_10g_arria10.vhd          |  120 +-
 .../mac_10g/tech_mac_10g_arria10_e1sg.vhd     |  120 +-
 .../mac_10g/tech_mac_10g_arria10_e2sg.vhd     |  120 +-
 .../mac_10g/tech_mac_10g_arria10_e3sge3.vhd   |  120 +-
 .../mac_10g/tech_mac_10g_component_pkg.vhd    |  424 +-
 .../mac_10g/tech_mac_10g_stratixiv.vhd        |  110 +-
 .../memory/tech_memory_component_pkg.vhd      |  864 +-
 .../memory/tech_memory_ram_cr_cw.vhd          |   32 +-
 .../memory/tech_memory_ram_crw_crw.vhd        |   32 +-
 .../memory/tech_memory_ram_crwk_crw.vhd       |   28 +-
 .../technology/memory/tech_memory_ram_r_w.vhd |   28 +-
 .../technology/memory/tech_memory_rom_r.vhd   |   84 +-
 .../technology/mult/tech_complex_mult.vhd     |  266 +-
 libraries/technology/mult/tech_mult.vhd       |  154 +-
 libraries/technology/mult/tech_mult_add2.vhd  |  130 +-
 libraries/technology/mult/tech_mult_add4.vhd  |  186 +-
 .../mult/tech_mult_component_pkg.vhd          |  696 +-
 libraries/technology/mult/tech_mult_pkg.vhd   |    4 +-
 libraries/technology/pll/tech_pll_clk125.vhd  |   80 +-
 libraries/technology/pll/tech_pll_clk200.vhd  |   76 +-
 .../technology/pll/tech_pll_clk200_p6.vhd     |   16 +-
 libraries/technology/pll/tech_pll_clk25.vhd   |  100 +-
 .../technology/pll/tech_pll_component_pkg.vhd |  418 +-
 .../pll/tech_pll_xgmii_mac_clocks.vhd         |  110 +-
 libraries/technology/technology_pkg.vhd       |   42 +-
 .../technology/technology_select_pkg.vhd      |    4 +-
 .../technology/technology_select_pkg_unb1.vhd |    4 +-
 .../technology_select_pkg_unb2b.vhd           |    4 +-
 .../technology_select_pkg_unb2c.vhd           |    4 +-
 .../sim_transceiver_deserializer.vhd          |    4 +-
 .../transceiver/sim_transceiver_gx.vhd        |   92 +-
 .../sim_transceiver_serializer.vhd            |    4 +-
 .../transceiver/tb_sim_transceiver_serdes.vhd |   60 +-
 .../tech_transceiver_arria10_1.vhd            |   40 +-
 .../tech_transceiver_arria10_48.vhd           |   42 +-
 .../tech_transceiver_component_pkg.vhd        |  302 +-
 .../transceiver/tech_transceiver_gx.vhd       |   16 +-
 .../tech_transceiver_gx_stratixiv.vhd         |  530 +-
 .../transceiver/tech_transceiver_rx_align.vhd |   30 +-
 .../transceiver/tech_transceiver_rx_order.vhd |    4 +-
 .../transceiver/tech_transceiver_rx_rst.vhd   |   28 +-
 .../transceiver/tech_transceiver_tx_align.vhd |   12 +-
 .../transceiver/tech_transceiver_tx_rst.vhd   |   28 +-
 libraries/technology/tse/sim_tse.vhd          |  164 +-
 libraries/technology/tse/tb_tb_tech_tse.vhd   |   22 +-
 libraries/technology/tse/tb_tech_tse.vhd      |  100 +-
 libraries/technology/tse/tb_tech_tse_pkg.vhd  |  276 +-
 .../technology/tse/tb_tech_tse_with_setup.vhd |  104 +-
 libraries/technology/tse/tech_tse.vhd         |  114 +-
 libraries/technology/tse/tech_tse_arria10.vhd |  284 +-
 .../technology/tse/tech_tse_arria10_e1sg.vhd  |  284 +-
 .../technology/tse/tech_tse_arria10_e2sg.vhd  |  284 +-
 .../tse/tech_tse_arria10_e3sge3.vhd           |  284 +-
 .../technology/tse/tech_tse_component_pkg.vhd | 1044 +--
 libraries/technology/tse/tech_tse_pkg.vhd     |   14 +-
 libraries/technology/tse/tech_tse_setup.vhd   |   18 +-
 .../technology/tse/tech_tse_stratixiv.vhd     |  286 +-
 .../technology/tse/tech_tse_with_setup.vhd    |  144 +-
 libraries/technology/xaui/sim_xaui.vhd        |  110 +-
 libraries/technology/xaui/tech_xaui.vhd       |   20 +-
 .../technology/xaui/tech_xaui_align_dly.vhd   |   30 +-
 .../xaui/tech_xaui_component_pkg.vhd          |  380 +-
 .../technology/xaui/tech_xaui_stratixiv.vhd   |  372 +-
 2038 files changed, 143701 insertions(+), 134265 deletions(-)

diff --git a/applications/lofar1/RSP/pfb2/src/vhdl/pfb2.vhd b/applications/lofar1/RSP/pfb2/src/vhdl/pfb2.vhd
index 38d1546d52..3bc1172d1d 100644
--- a/applications/lofar1/RSP/pfb2/src/vhdl/pfb2.vhd
+++ b/applications/lofar1/RSP/pfb2/src/vhdl/pfb2.vhd
@@ -30,11 +30,11 @@
 --   that occurs due to shared complex FFT and seperate in PFT_MODE_REAL2.
 
 library IEEE, common_lib, dp_lib, pfs_lib, pft2_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use pfs_lib.pfs_pkg.all;
-use pft2_lib.pft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use pfs_lib.pfs_pkg.all;
+  use pft2_lib.pft_pkg.all;
 
 entity pfb2 is
   generic (
@@ -91,27 +91,27 @@ begin
 
   gen_pfs : if g_pfs_bypass = false generate
     u_pfs : entity pfs_lib.pfs
-    generic map (
-      g_nof_bands              => g_nof_points,
-      g_nof_taps               => c_nof_coeffs,
-      g_in_dat_w               => g_pfs_in_dat_w,
-      g_out_dat_w              => g_pfs_out_dat_w,
-      g_coef_dat_w             => g_pfs_coef_dat_w,
-      g_coefs_file             => g_pfs_coefs_file
-    )
-    port map (
-      in_dat_x                 => pfs_in_dat_x,
-      in_dat_y                 => pfs_in_dat_y,
-      in_val                   => pfs_in_val,
-      in_sync                  => pfs_in_sync,
-      out_dat_x                => fil_out_dat_x,
-      out_dat_y                => fil_out_dat_y,
-      out_val                  => fil_out_val,
-      out_sync                 => fil_out_sync,
-      clk                      => dp_clk,
-      rst                      => dp_rst,
-      restart                  => '0'
-    );
+      generic map (
+        g_nof_bands              => g_nof_points,
+        g_nof_taps               => c_nof_coeffs,
+        g_in_dat_w               => g_pfs_in_dat_w,
+        g_out_dat_w              => g_pfs_out_dat_w,
+        g_coef_dat_w             => g_pfs_coef_dat_w,
+        g_coefs_file             => g_pfs_coefs_file
+      )
+      port map (
+        in_dat_x                 => pfs_in_dat_x,
+        in_dat_y                 => pfs_in_dat_y,
+        in_val                   => pfs_in_val,
+        in_sync                  => pfs_in_sync,
+        out_dat_x                => fil_out_dat_x,
+        out_dat_y                => fil_out_dat_y,
+        out_val                  => fil_out_val,
+        out_sync                 => fil_out_sync,
+        clk                      => dp_clk,
+        rst                      => dp_rst,
+        restart                  => '0'
+      );
   end generate;
 
   no_pfs : if g_pfs_bypass = true generate
@@ -127,26 +127,26 @@ begin
   fil_sosi.sync  <= fil_out_sync;
 
   u_pft : entity pft2_lib.pft
-  generic map (
-    g_fft_size_w             => ceil_log2(g_nof_points),
-    g_in_dat_w               => g_pfs_out_dat_w,
-    g_out_dat_w              => g_pft_out_dat_w,
-    g_stage_dat_w            => g_pft_stage_dat_w,
-    g_mode                   => PFT_MODE_REAL2
-  )
-  port map (
-    in_re                    => fil_out_dat_x,
-    in_im                    => fil_out_dat_y,
-    in_val                   => fil_out_val,
-    in_sync                  => fil_out_sync,
-    switch_en                => g_pft_switch_en,
-    out_re                   => pft_out_dat_re,
-    out_im                   => pft_out_dat_im,
-    out_val                  => pft_out_val,
-    out_sync                 => pft_out_sync,
-    clk                      => dp_clk,
-    rst                      => dp_rst
-  );
+    generic map (
+      g_fft_size_w             => ceil_log2(g_nof_points),
+      g_in_dat_w               => g_pfs_out_dat_w,
+      g_out_dat_w              => g_pft_out_dat_w,
+      g_stage_dat_w            => g_pft_stage_dat_w,
+      g_mode                   => PFT_MODE_REAL2
+    )
+    port map (
+      in_re                    => fil_out_dat_x,
+      in_im                    => fil_out_dat_y,
+      in_val                   => fil_out_val,
+      in_sync                  => fil_out_sync,
+      switch_en                => g_pft_switch_en,
+      out_re                   => pft_out_dat_re,
+      out_im                   => pft_out_dat_im,
+      out_val                  => pft_out_val,
+      out_sync                 => pft_out_sync,
+      clk                      => dp_clk,
+      rst                      => dp_rst
+    );
 
   -- Delay pft sync with respect pft data to fit DP sync timing
   out_sosi.re    <= RESIZE_DP_DSP_DATA(pft_out_dat_re);
diff --git a/applications/lofar1/RSP/pfb2/src/vhdl/pfb2_unit.vhd b/applications/lofar1/RSP/pfb2/src/vhdl/pfb2_unit.vhd
index 776304c928..6402e68bd7 100644
--- a/applications/lofar1/RSP/pfb2/src/vhdl/pfb2_unit.vhd
+++ b/applications/lofar1/RSP/pfb2/src/vhdl/pfb2_unit.vhd
@@ -29,12 +29,12 @@
 -- Remark:
 
 library IEEE, common_lib, dp_lib, pfs_lib, pft2_lib, st_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use pfs_lib.pfs_pkg.all;
-use pft2_lib.pft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use pfs_lib.pfs_pkg.all;
+  use pft2_lib.pft_pkg.all;
 
 entity pfb2_unit is
   generic (
@@ -88,30 +88,30 @@ begin
   ---------------------------------------------------------------
   gen_pfb2: for I in 0 to g_nof_streams - 1 generate
     u_pfb2 : entity work.pfb2
-    generic map (
-      g_nof_points      => g_nof_points,
-
-      -- pfs
-      g_pfs_bypass      => g_pfs_bypass,
-      g_pfs_nof_taps    => g_pfs_nof_taps,
-      g_pfs_in_dat_w    => g_pfs_in_dat_w,
-      g_pfs_out_dat_w   => g_pfs_out_dat_w,
-      g_pfs_coef_dat_w  => g_pfs_coef_dat_w,
-      g_pfs_coefs_file  => g_pfs_coefs_file,
-
-      -- pft2
-      g_pft_mode        => g_pft_mode,
-      g_pft_switch_en   => g_pft_switch_en,
-      g_pft_stage_dat_w => g_pft_stage_dat_w,
-      g_pft_out_dat_w   => g_pft_out_dat_w
-    )
-    port map (
-      dp_rst        => dp_rst,
-      dp_clk        => dp_clk,
-      in_sosi       => in_sosi_arr(I),
-      fil_sosi      => fil_sosi_arr(I),
-      out_sosi      => pft_sosi_arr(I)
-    );
+      generic map (
+        g_nof_points      => g_nof_points,
+
+        -- pfs
+        g_pfs_bypass      => g_pfs_bypass,
+        g_pfs_nof_taps    => g_pfs_nof_taps,
+        g_pfs_in_dat_w    => g_pfs_in_dat_w,
+        g_pfs_out_dat_w   => g_pfs_out_dat_w,
+        g_pfs_coef_dat_w  => g_pfs_coef_dat_w,
+        g_pfs_coefs_file  => g_pfs_coefs_file,
+
+        -- pft2
+        g_pft_mode        => g_pft_mode,
+        g_pft_switch_en   => g_pft_switch_en,
+        g_pft_stage_dat_w => g_pft_stage_dat_w,
+        g_pft_out_dat_w   => g_pft_out_dat_w
+      )
+      port map (
+        dp_rst        => dp_rst,
+        dp_clk        => dp_clk,
+        in_sosi       => in_sosi_arr(I),
+        fil_sosi      => fil_sosi_arr(I),
+        out_sosi      => pft_sosi_arr(I)
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -119,34 +119,34 @@ begin
   ---------------------------------------------------------------
   -- MM mux for SST
   u_mem_mux_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(g_sst_data_sz * c_nof_stats)
-  )
-  port map (
-    mosi     => ram_st_sst_mosi,
-    miso     => ram_st_sst_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
-
-  gen_sst: for I in 0 to g_nof_streams - 1 generate
-    u_sst : entity st_lib.st_sst
     generic map (
-      g_nof_stat      => c_nof_stats,
-      g_in_data_w     => g_pft_out_dat_w,
-      g_stat_data_w   => g_sst_data_w,
-      g_stat_data_sz  => g_sst_data_sz
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(g_sst_data_sz * c_nof_stats)
     )
     port map (
-      mm_rst          => mm_rst,
-      mm_clk          => mm_clk,
-      dp_rst          => dp_rst,
-      dp_clk          => dp_clk,
-      in_complex      => pft_sosi_arr(I),
-      ram_st_sst_mosi => ram_st_sst_mosi_arr(I),
-      ram_st_sst_miso => ram_st_sst_miso_arr(I)
+      mosi     => ram_st_sst_mosi,
+      miso     => ram_st_sst_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
     );
+
+  gen_sst: for I in 0 to g_nof_streams - 1 generate
+    u_sst : entity st_lib.st_sst
+      generic map (
+        g_nof_stat      => c_nof_stats,
+        g_in_data_w     => g_pft_out_dat_w,
+        g_stat_data_w   => g_sst_data_w,
+        g_stat_data_sz  => g_sst_data_sz
+      )
+      port map (
+        mm_rst          => mm_rst,
+        mm_clk          => mm_clk,
+        dp_rst          => dp_rst,
+        dp_clk          => dp_clk,
+        in_complex      => pft_sosi_arr(I),
+        ram_st_sst_mosi => ram_st_sst_mosi_arr(I),
+        ram_st_sst_miso => ram_st_sst_miso_arr(I)
+      );
   end generate;
 
   out_sosi_arr <= pft_sosi_arr;
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs(str).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs(str).vhd
index 30b483a481..c831d67522 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs(str).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs(str).vhd
@@ -1,7 +1,7 @@
 library IEEE, pfs_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 library common_lib;
-use common_lib.common_pkg.all;
+  use common_lib.common_pkg.all;
 
 architecture str of pfs is
 
@@ -21,108 +21,108 @@ architecture str of pfs is
 begin
 
   ctrl : entity pfs_lib.pfs_ctrl
-  generic map (
-    g_nof_bands_w     => c_nof_bands_w,
-    g_nof_taps        => c_nof_fir_taps,
-    g_nof_taps_w      => c_nof_fir_taps_w,
-    g_taps_w          => g_in_dat_w
-  )
-  port map (
-    clk               => clk,
-    rst               => rst,
-    restart           => restart,
-    in_x              => in_dat_x,
-    in_y              => in_dat_y,
-    in_val            => in_val,
-    in_sync           => in_sync,
-    taps_rdaddr       => taps_rdaddr,
-    taps_wraddr       => taps_wraddr,
-    taps_wren         => taps_wren,
-    taps_in_x         => taps_in_x,
-    taps_in_y         => taps_in_y,
-    taps_out_x        => taps_out_x,
-    taps_out_y        => taps_out_y,
-    out_val           => out_val,
-    out_sync          => out_sync
-  );
+    generic map (
+      g_nof_bands_w     => c_nof_bands_w,
+      g_nof_taps        => c_nof_fir_taps,
+      g_nof_taps_w      => c_nof_fir_taps_w,
+      g_taps_w          => g_in_dat_w
+    )
+    port map (
+      clk               => clk,
+      rst               => rst,
+      restart           => restart,
+      in_x              => in_dat_x,
+      in_y              => in_dat_y,
+      in_val            => in_val,
+      in_sync           => in_sync,
+      taps_rdaddr       => taps_rdaddr,
+      taps_wraddr       => taps_wraddr,
+      taps_wren         => taps_wren,
+      taps_in_x         => taps_in_x,
+      taps_in_y         => taps_in_y,
+      taps_out_x        => taps_out_x,
+      taps_out_y        => taps_out_y,
+      out_val           => out_val,
+      out_sync          => out_sync
+    );
 
 
   firx : entity pfs_lib.pfs_filter
-  generic map (
-    g_coef_w          => g_coef_dat_w,
-    g_out_w           => g_out_dat_w,
-    g_taps_w          => g_in_dat_w,
-    g_nof_taps        => c_nof_fir_taps
-  )
-  port map(
-    clk               => clk,
-    taps              => taps_out_x,
-    coefs             => coefs,
-    result            => out_dat_x
-  );
+    generic map (
+      g_coef_w          => g_coef_dat_w,
+      g_out_w           => g_out_dat_w,
+      g_taps_w          => g_in_dat_w,
+      g_nof_taps        => c_nof_fir_taps
+    )
+    port map(
+      clk               => clk,
+      taps              => taps_out_x,
+      coefs             => coefs,
+      result            => out_dat_x
+    );
 
 
   firy : entity pfs_lib.pfs_filter
-  generic map (
-    g_coef_w          => g_coef_dat_w,
-    g_out_w           => g_out_dat_w,
-    g_taps_w          => g_in_dat_w,
-    g_nof_taps        => c_nof_fir_taps
-  )
-  port map (
-    clk               => clk,
-    taps              => taps_out_y,
-    coefs             => coefs,
-    result            => out_dat_y
-  );
+    generic map (
+      g_coef_w          => g_coef_dat_w,
+      g_out_w           => g_out_dat_w,
+      g_taps_w          => g_in_dat_w,
+      g_nof_taps        => c_nof_fir_taps
+    )
+    port map (
+      clk               => clk,
+      taps              => taps_out_y,
+      coefs             => coefs,
+      result            => out_dat_y
+    );
 
 
   tapsbufx : entity pfs_lib.pfs_tapsbuf
-  generic map (
-    g_data_w          => g_in_dat_w * c_nof_fir_taps,
-    g_nof_words       => g_nof_bands,
-    g_addr_w          => c_nof_bands_w
-  )
-  port map (
-    wrdata            => taps_out_x,
-    wren              => taps_wren,
-    wraddr            => taps_wraddr,
-    rdaddr            => taps_rdaddr,
-    rddata            => taps_in_x,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_in_dat_w * c_nof_fir_taps,
+      g_nof_words       => g_nof_bands,
+      g_addr_w          => c_nof_bands_w
+    )
+    port map (
+      wrdata            => taps_out_x,
+      wren              => taps_wren,
+      wraddr            => taps_wraddr,
+      rdaddr            => taps_rdaddr,
+      rddata            => taps_in_x,
+      clk               => clk,
+      rst               => rst
+    );
 
 
   tapsbufy : entity pfs_lib.pfs_tapsbuf
-  generic map (
-    g_data_w          => g_in_dat_w * c_nof_fir_taps,
-    g_nof_words       => g_nof_bands,
-    g_addr_w          => c_nof_bands_w
-  )
-  port map (
-    wrdata            => taps_out_y,
-    wren              => taps_wren,
-    wraddr            => taps_wraddr,
-    rdaddr            => taps_rdaddr,
-    rddata            => taps_in_y,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_in_dat_w * c_nof_fir_taps,
+      g_nof_words       => g_nof_bands,
+      g_addr_w          => c_nof_bands_w
+    )
+    port map (
+      wrdata            => taps_out_y,
+      wren              => taps_wren,
+      wraddr            => taps_wraddr,
+      rdaddr            => taps_rdaddr,
+      rddata            => taps_in_y,
+      clk               => clk,
+      rst               => rst
+    );
 
 
   coefsbuf : entity pfs_lib.pfs_coefsbuf
-  generic map (
-    g_data_w          => g_coef_dat_w * c_nof_fir_taps,
-    g_nof_coefs       => g_nof_bands,
-    g_addr_w          => c_nof_bands_w
-  )
-  port map (
-    addr              => taps_rdaddr,
-    data              => coefs,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_coef_dat_w * c_nof_fir_taps,
+      g_nof_coefs       => g_nof_bands,
+      g_addr_w          => c_nof_bands_w
+    )
+    port map (
+      addr              => taps_rdaddr,
+      data              => coefs,
+      clk               => clk,
+      rst               => rst
+    );
 
 end str;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs.vhd
index 3a59bc5033..ce092e6949 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs.vhd
@@ -24,9 +24,9 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.pfs_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.pfs_pkg.all;
 
 entity pfs is
   generic (
@@ -71,109 +71,109 @@ architecture str of pfs is
 begin
 
   ctrl : entity work.pfs_ctrl
-  generic map (
-    g_nof_bands_w     => c_nof_bands_w,
-    g_nof_taps        => c_nof_fir_taps,
-    g_nof_taps_w      => c_nof_fir_taps_w,
-    g_taps_w          => g_in_dat_w
-  )
-  port map (
-    clk               => clk,
-    rst               => rst,
-    restart           => restart,
-    in_x              => in_dat_x,
-    in_y              => in_dat_y,
-    in_val            => in_val,
-    in_sync           => in_sync,
-    taps_rdaddr       => taps_rdaddr,
-    taps_wraddr       => taps_wraddr,
-    taps_wren         => taps_wren,
-    taps_in_x         => taps_in_x,
-    taps_in_y         => taps_in_y,
-    taps_out_x        => taps_out_x,
-    taps_out_y        => taps_out_y,
-    out_val           => out_val,
-    out_sync          => out_sync
-  );
+    generic map (
+      g_nof_bands_w     => c_nof_bands_w,
+      g_nof_taps        => c_nof_fir_taps,
+      g_nof_taps_w      => c_nof_fir_taps_w,
+      g_taps_w          => g_in_dat_w
+    )
+    port map (
+      clk               => clk,
+      rst               => rst,
+      restart           => restart,
+      in_x              => in_dat_x,
+      in_y              => in_dat_y,
+      in_val            => in_val,
+      in_sync           => in_sync,
+      taps_rdaddr       => taps_rdaddr,
+      taps_wraddr       => taps_wraddr,
+      taps_wren         => taps_wren,
+      taps_in_x         => taps_in_x,
+      taps_in_y         => taps_in_y,
+      taps_out_x        => taps_out_x,
+      taps_out_y        => taps_out_y,
+      out_val           => out_val,
+      out_sync          => out_sync
+    );
 
 
   firx : entity work.pfs_filter
-  generic map (
-    g_coef_w          => g_coef_dat_w,
-    g_out_w           => g_out_dat_w,
-    g_taps_w          => g_in_dat_w,
-    g_nof_taps        => c_nof_fir_taps
-  )
-  port map(
-    clk               => clk,
-    taps              => taps_out_x,
-    coefs             => coefs,
-    result            => out_dat_x
-  );
+    generic map (
+      g_coef_w          => g_coef_dat_w,
+      g_out_w           => g_out_dat_w,
+      g_taps_w          => g_in_dat_w,
+      g_nof_taps        => c_nof_fir_taps
+    )
+    port map(
+      clk               => clk,
+      taps              => taps_out_x,
+      coefs             => coefs,
+      result            => out_dat_x
+    );
 
 
   firy : entity work.pfs_filter
-  generic map (
-    g_coef_w          => g_coef_dat_w,
-    g_out_w           => g_out_dat_w,
-    g_taps_w          => g_in_dat_w,
-    g_nof_taps        => c_nof_fir_taps
-  )
-  port map (
-    clk               => clk,
-    taps              => taps_out_y,
-    coefs             => coefs,
-    result            => out_dat_y
-  );
+    generic map (
+      g_coef_w          => g_coef_dat_w,
+      g_out_w           => g_out_dat_w,
+      g_taps_w          => g_in_dat_w,
+      g_nof_taps        => c_nof_fir_taps
+    )
+    port map (
+      clk               => clk,
+      taps              => taps_out_y,
+      coefs             => coefs,
+      result            => out_dat_y
+    );
 
 
   tapsbufx : entity work.pfs_tapsbuf
-  generic map (
-    g_data_w          => g_in_dat_w * c_nof_fir_taps,
-    g_nof_words       => g_nof_bands,
-    g_addr_w          => c_nof_bands_w
-  )
-  port map (
-    wrdata            => taps_out_x,
-    wren              => taps_wren,
-    wraddr            => taps_wraddr,
-    rdaddr            => taps_rdaddr,
-    rddata            => taps_in_x,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_in_dat_w * c_nof_fir_taps,
+      g_nof_words       => g_nof_bands,
+      g_addr_w          => c_nof_bands_w
+    )
+    port map (
+      wrdata            => taps_out_x,
+      wren              => taps_wren,
+      wraddr            => taps_wraddr,
+      rdaddr            => taps_rdaddr,
+      rddata            => taps_in_x,
+      clk               => clk,
+      rst               => rst
+    );
 
 
   tapsbufy : entity work.pfs_tapsbuf
-  generic map (
-    g_data_w          => g_in_dat_w * c_nof_fir_taps,
-    g_nof_words       => g_nof_bands,
-    g_addr_w          => c_nof_bands_w
-  )
-  port map (
-    wrdata            => taps_out_y,
-    wren              => taps_wren,
-    wraddr            => taps_wraddr,
-    rdaddr            => taps_rdaddr,
-    rddata            => taps_in_y,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_in_dat_w * c_nof_fir_taps,
+      g_nof_words       => g_nof_bands,
+      g_addr_w          => c_nof_bands_w
+    )
+    port map (
+      wrdata            => taps_out_y,
+      wren              => taps_wren,
+      wraddr            => taps_wraddr,
+      rdaddr            => taps_rdaddr,
+      rddata            => taps_in_y,
+      clk               => clk,
+      rst               => rst
+    );
 
 
   coefsbuf : entity work.pfs_coefsbuf
-  generic map (
-    g_data_w          => g_coef_dat_w * c_nof_fir_taps,
-    g_coefs_file      => g_coefs_file,
-    g_nof_coefs       => g_nof_bands,
-    g_addr_w          => c_nof_bands_w
-  )
-  port map (
-    addr              => taps_rdaddr,
-    data              => coefs,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_coef_dat_w * c_nof_fir_taps,
+      g_coefs_file      => g_coefs_file,
+      g_nof_coefs       => g_nof_bands,
+      g_addr_w          => c_nof_bands_w
+    )
+    port map (
+      addr              => taps_rdaddr,
+      data              => coefs,
+      clk               => clk,
+      rst               => rst
+    );
 
 end str;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(str).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(str).vhd
index 461af4d42a..e77f4b6cf4 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(str).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(str).vhd
@@ -1,31 +1,33 @@
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_mem_pkg.all;
 
 
 architecture str of pfs_coefsbuf is
 
-  constant c_coefs_rom   : t_c_mem := (latency  => 2,
-                                       adr_w    => g_addr_w,
-                                       dat_w    => g_data_w,
-                                       nof_dat  => g_nof_coefs,  -- <= 2**g_addr_w
-                                       init_sl  => '0');
+  constant c_coefs_rom   : t_c_mem := (
+    latency  => 2,
+    adr_w    => g_addr_w,
+    dat_w    => g_data_w,
+    nof_dat  => g_nof_coefs,  -- <= 2**g_addr_w
+    init_sl  => '0'
+  );
 
 begin
 
   rom : entity common_lib.common_rom
-  generic map (
-    g_ram             => c_coefs_rom,
-    g_init_file       => "data/pfs_coefsbuf_1024.hex"  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
+    generic map (
+      g_ram             => c_coefs_rom,
+      g_init_file       => "data/pfs_coefsbuf_1024.hex"  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
     --g_init_file       => "data/pfs_coefsbuf_1024.bin"  -- Synplify fails on file extension change to .bin in common_rom and requires extra ../
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    rd_adr            => addr,
-    rd_dat            => data
-  );
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      rd_adr            => addr,
+      rd_dat            => data
+    );
 
 end str;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
index 9d7c70a8a7..1f22cb809b 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
@@ -1,57 +1,57 @@
 library IEEE, altera_mf, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture stratix of pfs_coefsbuf is
 
   component altsyncram
-  generic (
-    operation_mode         : string;
-    width_a                : natural;
-    widthad_a              : natural;
-    numwords_a             : natural;
-    lpm_type               : string;
-    width_byteena_a        : natural;
-    outdata_reg_a          : string;
-    outdata_aclr_a         : string;
-    read_during_write_mode_mixed_ports : string;
-    ram_block_type         : string;
-    init_file              : string;
-    intended_device_family : string
-  );
-  port (
-    aclr0                  : in  std_logic ;
-    clock0                 : in  std_logic ;
-    address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
-    q_a                    : out std_logic_vector(g_data_w - 1 downto 0)
-  );
+    generic (
+      operation_mode         : string;
+      width_a                : natural;
+      widthad_a              : natural;
+      numwords_a             : natural;
+      lpm_type               : string;
+      width_byteena_a        : natural;
+      outdata_reg_a          : string;
+      outdata_aclr_a         : string;
+      read_during_write_mode_mixed_ports : string;
+      ram_block_type         : string;
+      init_file              : string;
+      intended_device_family : string
+    );
+    port (
+      aclr0                  : in  std_logic ;
+      clock0                 : in  std_logic ;
+      address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
+      q_a                    : out std_logic_vector(g_data_w - 1 downto 0)
+    );
   end component;
 
 begin
 
   rom : altsyncram
-  generic map (
-    operation_mode         => "ROM",
-    width_a                => g_data_w,
-    widthad_a              => g_addr_w,
-    numwords_a             => g_nof_coefs,
-    lpm_type               => "altsyncram",
-    width_byteena_a        => 1,
-    outdata_reg_a          => "CLOCK0",
-    outdata_aclr_a         => "CLEAR0",
-    read_during_write_mode_mixed_ports => "DONT_CARE",
-    ram_block_type         => "AUTO",
-    init_file              => "../../../../pfs/src/data/pfs_coefsbuf_1024.hex",
-    intended_device_family => c_rsp_device_family
-  )
-  port map (
-    aclr0                  => rst,
-    clock0                 => clk,
-    address_a              => addr,
-    q_a                    => data
-  );
+    generic map (
+      operation_mode         => "ROM",
+      width_a                => g_data_w,
+      widthad_a              => g_addr_w,
+      numwords_a             => g_nof_coefs,
+      lpm_type               => "altsyncram",
+      width_byteena_a        => 1,
+      outdata_reg_a          => "CLOCK0",
+      outdata_aclr_a         => "CLEAR0",
+      read_during_write_mode_mixed_ports => "DONT_CARE",
+      ram_block_type         => "AUTO",
+      init_file              => "../../../../pfs/src/data/pfs_coefsbuf_1024.hex",
+      intended_device_family => c_rsp_device_family
+    )
+    port map (
+      aclr0                  => rst,
+      clock0                 => clk,
+      address_a              => addr,
+      q_a                    => data
+    );
 
 end stratix;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf.vhd
index f68e6c99e3..3d3e172e20 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf.vhd
@@ -24,9 +24,9 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity pfs_coefsbuf is
@@ -48,24 +48,26 @@ end pfs_coefsbuf;
 
 architecture str of pfs_coefsbuf is
 
-  constant c_coefs_rom   : t_c_mem := (latency  => 2,
-                                       adr_w    => g_addr_w,
-                                       dat_w    => g_data_w,
-                                       nof_dat  => g_nof_coefs,  -- <= 2**g_addr_w
-                                       init_sl  => '0');
+  constant c_coefs_rom   : t_c_mem := (
+    latency  => 2,
+    adr_w    => g_addr_w,
+    dat_w    => g_data_w,
+    nof_dat  => g_nof_coefs,  -- <= 2**g_addr_w
+    init_sl  => '0'
+  );
 
 begin
 
   rom : entity common_lib.common_rom
-  generic map (
-    g_ram             => c_coefs_rom,
-    g_init_file       => g_coefs_file
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    rd_adr            => addr,
-    rd_dat            => data
-  );
+    generic map (
+      g_ram             => c_coefs_rom,
+      g_init_file       => g_coefs_file
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      rd_adr            => addr,
+      rd_dat            => data
+    );
 
 end str;
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine(rtl).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine(rtl).vhd
index 2f4d1cce6b..b079e3dcb5 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine(rtl).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine(rtl).vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 architecture rtl of pfs_combine is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine.vhd
index 58d73db25d..c81bef3ffd 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_combine.vhd
@@ -1,5 +1,5 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity pfs_combine is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl(rtl).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl(rtl).vhd
index 111e9267bf..10a8e3ca01 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl(rtl).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl(rtl).vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 architecture rtl of pfs_ctrl is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl.vhd
index 703f337882..56d5a542e6 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_ctrl.vhd
@@ -24,8 +24,8 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity pfs_ctrl is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(rtl).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(rtl).vhd
index 2b024f2256..11e412873a 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(rtl).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(rtl).vhd
@@ -1,7 +1,7 @@
 library IEEE, common_lib, common_mult_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture rtl of pfs_filter is
@@ -30,27 +30,27 @@ begin
 
 
   add_c <= std_logic_vector(SHIFT_LEFT((resize(signed(add_a),add_c'length) + signed(add_b)),4));
---  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
+  --  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
 
   gen : for i in 0 to 7 generate
     --MULT_ADD : ENTITY common_lib.common_mult_add(rtl)
     --MULT_ADD : ENTITY common_lib.common_mult_add(virtex)
     MULT_ADD : entity common_mult_lib.common_mult_add  -- rtl
-    generic map (
-      g_in_a_w     => g_taps_w,
-      g_in_b_w     => g_coef_w,
-      g_out_dat_w  => g_coef_w + g_taps_w + 1,
-      g_add_sub    => "ADD",
-      g_pipeline   => 3
-    )
-    port map (
-      clk     => clk,
-      in_a0   => taps (g_taps_w * (2 * i + 1) - 1 downto g_taps_w * 2 * i),
-      in_b0   => coefs(g_coef_w * (2 * i + 1) - 1 downto g_coef_w * 2 * i),
-      in_a1   => taps (g_taps_w * (2 * i + 2) - 1 downto g_taps_w * (2 * i + 1)),
-      in_b1   => coefs(g_coef_w * (2 * i + 2) - 1 downto g_coef_w * (2 * i + 1)),
-      out_dat => res(i)
-    );
+      generic map (
+        g_in_a_w     => g_taps_w,
+        g_in_b_w     => g_coef_w,
+        g_out_dat_w  => g_coef_w + g_taps_w + 1,
+        g_add_sub    => "ADD",
+        g_pipeline   => 3
+      )
+      port map (
+        clk     => clk,
+        in_a0   => taps (g_taps_w * (2 * i + 1) - 1 downto g_taps_w * 2 * i),
+        in_b0   => coefs(g_coef_w * (2 * i + 1) - 1 downto g_coef_w * 2 * i),
+        in_a1   => taps (g_taps_w * (2 * i + 2) - 1 downto g_taps_w * (2 * i + 1)),
+        in_b1   => coefs(g_coef_w * (2 * i + 2) - 1 downto g_coef_w * (2 * i + 1)),
+        out_dat => res(i)
+      );
   end generate;
 
   pipe : process (clk)
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd
index 9cde479324..f73c25654d 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd
@@ -1,82 +1,82 @@
 library IEEE, lpm, altera_mf, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture stratix of pfs_filter is
 
   component altmult_add
-  generic (
-    input_register_b2                     : string  := "CLOCK0"     ;
-    input_register_a1                     : string  := "CLOCK0"     ;
-    multiplier_register0                  : string  := "CLOCK0"     ;
-    signed_pipeline_aclr_b                : string  := "ACLR3"      ;
-    input_register_b3                     : string  := "CLOCK0"     ;
-    input_register_a2                     : string  := "CLOCK0"     ;
-    multiplier_register1                  : string  := "CLOCK0"     ;
-    addnsub_multiplier_pipeline_aclr1     : string  := "ACLR3"      ;
-    input_register_a3                     : string  := "CLOCK0"     ;
-    multiplier_register2                  : string  := "CLOCK0"     ;
-    signed_aclr_a                         : string  := "ACLR3"      ;
-    signed_register_a                     : string  := "CLOCK0"     ;
-    number_of_multipliers                 : natural := 4            ;
-    multiplier_register3                  : string  := "CLOCK0"     ;
-    multiplier_aclr0                      : string  := "ACLR3"      ;
-    addnsub_multiplier_pipeline_aclr3     : string  := "ACLR3"      ;
-    signed_aclr_b                         : string  := "ACLR3"      ;
-    signed_register_b                     : string  := "CLOCK0"     ;
-    lpm_type                              : string  := "altmult_add";
-    multiplier_aclr1                      : string  := "ACLR3"      ;
-    input_aclr_b0                         : string  := "ACLR3"      ;
-    output_register                       : string  := "CLOCK0"     ;
-    width_result                          : natural := g_taps_w + g_coef_w + 2;
-    representation_a                      : string  := "SIGNED"     ;
-    signed_pipeline_register_a            : string  := "CLOCK0"     ;
-    input_source_b0                       : string  := "DATAB"      ;
-    multiplier_aclr2                      : string  := "ACLR3"      ;
-    input_aclr_b1                         : string  := "ACLR3"      ;
-    input_aclr_a0                         : string  := "ACLR3"      ;
-    multiplier3_direction                 : string  := "ADD"        ;
-    addnsub_multiplier_register1          : string  := "CLOCK0"     ;
-    representation_b                      : string  := "SIGNED"     ;
-    signed_pipeline_register_b            : string  := "CLOCK0"     ;
-    input_source_b1                       : string  := "DATAB"      ;
-    input_source_a0                       : string  := "DATAA"      ;
-    multiplier_aclr3                      : string  := "ACLR3"      ;
-    input_aclr_b2                         : string  := "ACLR3"      ;
-    input_aclr_a1                         : string  := "ACLR3"      ;
-    dedicated_multiplier_circuitry        : string  := "YES"        ;
-    input_source_b2                       : string  := "DATAB"      ;
-    input_source_a1                       : string  := "DATAA"      ;
-    input_aclr_b3                         : string  := "ACLR3"      ;
-    input_aclr_a2                         : string  := "ACLR3"      ;
-    addnsub_multiplier_register3          : string  := "CLOCK0"     ;
-    addnsub_multiplier_aclr1              : string  := "ACLR3"      ;
-    output_aclr                           : string  := "ACLR3"      ;
-    input_source_b3                       : string  := "DATAB"      ;
-    input_source_a2                       : string  := "DATAA"      ;
-    input_aclr_a3                         : string  := "ACLR3"      ;
-    input_source_a3                       : string  := "DATAA"      ;
-    addnsub_multiplier_aclr3              : string  := "ACLR3"      ;
-    intended_device_family                : string  := "Stratix II" ;
-    addnsub_multiplier_pipeline_register1 : string  := "CLOCK0"     ;
-    width_a                               : natural := g_taps_w     ;
-    input_register_b0                     : string  := "CLOCK0"     ;
-    width_b                               : natural := g_coef_w     ;
-    input_register_b1                     : string  := "CLOCK0"     ;
-    input_register_a0                     : string  := "CLOCK0"     ;
-    addnsub_multiplier_pipeline_register3 : string  := "CLOCK0"     ;
-    multiplier1_direction                 : string  := "ADD"        ;
-    signed_pipeline_aclr_a                : string  := "ACLR3"
-  );
-  port (
-    dataa                                 : in std_logic_vector(g_taps_w * 4 - 1 downto 0);
-    datab                                 : in std_logic_vector(g_coef_w * 4 - 1 downto 0);
-    clock0                                : in std_logic ;
-    aclr3                                 : in std_logic ;
-    result                                : out std_logic_vector(g_coef_w + g_taps_w + 2 - 1 downto 0)
-  );
+    generic (
+      input_register_b2                     : string  := "CLOCK0"     ;
+      input_register_a1                     : string  := "CLOCK0"     ;
+      multiplier_register0                  : string  := "CLOCK0"     ;
+      signed_pipeline_aclr_b                : string  := "ACLR3"      ;
+      input_register_b3                     : string  := "CLOCK0"     ;
+      input_register_a2                     : string  := "CLOCK0"     ;
+      multiplier_register1                  : string  := "CLOCK0"     ;
+      addnsub_multiplier_pipeline_aclr1     : string  := "ACLR3"      ;
+      input_register_a3                     : string  := "CLOCK0"     ;
+      multiplier_register2                  : string  := "CLOCK0"     ;
+      signed_aclr_a                         : string  := "ACLR3"      ;
+      signed_register_a                     : string  := "CLOCK0"     ;
+      number_of_multipliers                 : natural := 4            ;
+      multiplier_register3                  : string  := "CLOCK0"     ;
+      multiplier_aclr0                      : string  := "ACLR3"      ;
+      addnsub_multiplier_pipeline_aclr3     : string  := "ACLR3"      ;
+      signed_aclr_b                         : string  := "ACLR3"      ;
+      signed_register_b                     : string  := "CLOCK0"     ;
+      lpm_type                              : string  := "altmult_add";
+      multiplier_aclr1                      : string  := "ACLR3"      ;
+      input_aclr_b0                         : string  := "ACLR3"      ;
+      output_register                       : string  := "CLOCK0"     ;
+      width_result                          : natural := g_taps_w + g_coef_w + 2;
+      representation_a                      : string  := "SIGNED"     ;
+      signed_pipeline_register_a            : string  := "CLOCK0"     ;
+      input_source_b0                       : string  := "DATAB"      ;
+      multiplier_aclr2                      : string  := "ACLR3"      ;
+      input_aclr_b1                         : string  := "ACLR3"      ;
+      input_aclr_a0                         : string  := "ACLR3"      ;
+      multiplier3_direction                 : string  := "ADD"        ;
+      addnsub_multiplier_register1          : string  := "CLOCK0"     ;
+      representation_b                      : string  := "SIGNED"     ;
+      signed_pipeline_register_b            : string  := "CLOCK0"     ;
+      input_source_b1                       : string  := "DATAB"      ;
+      input_source_a0                       : string  := "DATAA"      ;
+      multiplier_aclr3                      : string  := "ACLR3"      ;
+      input_aclr_b2                         : string  := "ACLR3"      ;
+      input_aclr_a1                         : string  := "ACLR3"      ;
+      dedicated_multiplier_circuitry        : string  := "YES"        ;
+      input_source_b2                       : string  := "DATAB"      ;
+      input_source_a1                       : string  := "DATAA"      ;
+      input_aclr_b3                         : string  := "ACLR3"      ;
+      input_aclr_a2                         : string  := "ACLR3"      ;
+      addnsub_multiplier_register3          : string  := "CLOCK0"     ;
+      addnsub_multiplier_aclr1              : string  := "ACLR3"      ;
+      output_aclr                           : string  := "ACLR3"      ;
+      input_source_b3                       : string  := "DATAB"      ;
+      input_source_a2                       : string  := "DATAA"      ;
+      input_aclr_a3                         : string  := "ACLR3"      ;
+      input_source_a3                       : string  := "DATAA"      ;
+      addnsub_multiplier_aclr3              : string  := "ACLR3"      ;
+      intended_device_family                : string  := "Stratix II" ;
+      addnsub_multiplier_pipeline_register1 : string  := "CLOCK0"     ;
+      width_a                               : natural := g_taps_w     ;
+      input_register_b0                     : string  := "CLOCK0"     ;
+      width_b                               : natural := g_coef_w     ;
+      input_register_b1                     : string  := "CLOCK0"     ;
+      input_register_a0                     : string  := "CLOCK0"     ;
+      addnsub_multiplier_pipeline_register3 : string  := "CLOCK0"     ;
+      multiplier1_direction                 : string  := "ADD"        ;
+      signed_pipeline_aclr_a                : string  := "ACLR3"
+    );
+    port (
+      dataa                                 : in std_logic_vector(g_taps_w * 4 - 1 downto 0);
+      datab                                 : in std_logic_vector(g_coef_w * 4 - 1 downto 0);
+      clock0                                : in std_logic ;
+      aclr3                                 : in std_logic ;
+      result                                : out std_logic_vector(g_coef_w + g_taps_w + 2 - 1 downto 0)
+    );
   end component;
 
 
@@ -105,46 +105,46 @@ begin
 
 
   add_c <= std_logic_vector(SHIFT_LEFT((resize(signed(add_a),add_c'length) + signed(add_b)),4));
---  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
+  --  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
 
 
   ALTMULT_ADD_0 : altmult_add
-  port map (
-    dataa  => taps(47 downto 0),
-    datab  => coefs(63 downto 0),
-    clock0 => clk,
-    aclr3  => rst,
-    result => res_0
-  );
+    port map (
+      dataa  => taps(47 downto 0),
+      datab  => coefs(63 downto 0),
+      clock0 => clk,
+      aclr3  => rst,
+      result => res_0
+    );
 
 
   ALTMULT_ADD_1 : altmult_add
-  port map (
-    dataa  => taps(95 downto 48),
-    datab  => coefs(127 downto 64),
-    clock0 => clk,
-    aclr3  => rst,
-    result => res_1
-  );
+    port map (
+      dataa  => taps(95 downto 48),
+      datab  => coefs(127 downto 64),
+      clock0 => clk,
+      aclr3  => rst,
+      result => res_1
+    );
 
   ALTMULT_ADD_2 : altmult_add
-  port map (
-    dataa  => taps(143 downto 96),
-    datab  => coefs(191 downto 128),
-    clock0 => clk,
-    aclr3  => rst,
-    result => res_2
-  );
+    port map (
+      dataa  => taps(143 downto 96),
+      datab  => coefs(191 downto 128),
+      clock0 => clk,
+      aclr3  => rst,
+      result => res_2
+    );
 
 
   ALTMULT_ADD_3 : altmult_add
-  port map (
-    dataa  => taps(191 downto 144),
-    datab  => coefs(255 downto 192),
-    clock0 => clk,
-    aclr3  => rst,
-    result => res_3
-  );
+    port map (
+      dataa  => taps(191 downto 144),
+      datab  => coefs(255 downto 192),
+      clock0 => clk,
+      aclr3  => rst,
+      result => res_3
+    );
 
 end stratix;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter.vhd
index de51085899..4973924a05 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter.vhd
@@ -24,9 +24,9 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE, common_lib, common_mult_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity pfs_filter is
@@ -71,27 +71,27 @@ begin
 
 
   add_c <= std_logic_vector(SHIFT_LEFT((resize(signed(add_a),add_c'length) + signed(add_b)),4));
---  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
+  --  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
 
   gen : for i in 0 to 7 generate
     --MULT_ADD : ENTITY common_lib.common_mult_add(rtl)
     --MULT_ADD : ENTITY common_lib.common_mult_add(virtex)
     MULT_ADD : entity common_mult_lib.common_mult_add  -- rtl
-    generic map (
-      g_in_a_w     => g_taps_w,
-      g_in_b_w     => g_coef_w,
-      g_out_dat_w  => g_coef_w + g_taps_w + 1,
-      g_add_sub    => "ADD",
-      g_pipeline   => 3
-    )
-    port map (
-      clk     => clk,
-      in_a0   => taps (g_taps_w * (2 * i + 1) - 1 downto g_taps_w * 2 * i),
-      in_b0   => coefs(g_coef_w * (2 * i + 1) - 1 downto g_coef_w * 2 * i),
-      in_a1   => taps (g_taps_w * (2 * i + 2) - 1 downto g_taps_w * (2 * i + 1)),
-      in_b1   => coefs(g_coef_w * (2 * i + 2) - 1 downto g_coef_w * (2 * i + 1)),
-      out_dat => res(i)
-    );
+      generic map (
+        g_in_a_w     => g_taps_w,
+        g_in_b_w     => g_coef_w,
+        g_out_dat_w  => g_coef_w + g_taps_w + 1,
+        g_add_sub    => "ADD",
+        g_pipeline   => 3
+      )
+      port map (
+        clk     => clk,
+        in_a0   => taps (g_taps_w * (2 * i + 1) - 1 downto g_taps_w * 2 * i),
+        in_b0   => coefs(g_coef_w * (2 * i + 1) - 1 downto g_coef_w * 2 * i),
+        in_a1   => taps (g_taps_w * (2 * i + 2) - 1 downto g_taps_w * (2 * i + 1)),
+        in_b1   => coefs(g_coef_w * (2 * i + 2) - 1 downto g_coef_w * (2 * i + 1)),
+        out_dat => res(i)
+      );
   end generate;
 
   pipe : process (clk)
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir(str).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir(str).vhd
index 86711a87f5..03530d7f9e 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir(str).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir(str).vhd
@@ -1,7 +1,7 @@
 library IEEE, pfs_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 library common_lib;
-use common_lib.common_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 architecture str of pfs_fir is
@@ -30,144 +30,144 @@ architecture str of pfs_fir is
 begin
 
   ctrl : entity pfs_lib.pfs_fir_ctrl
-  generic map (
-    g_nof_prefilter   => g_nof_prefilter,
-    g_nof_prefilter_w => c_nof_prefilter_w,
-    g_nof_taps        => g_nof_taps,
-    g_nof_taps_w      => c_nof_taps_w,
-    g_sample_width    => g_in_dat_w
-  )
-  port map (
-    clk               => clk,
-    rst               => rst,
-    input_hor         => in_hor,
-    input_ver         => in_ver,
-    input_val         => in_val,
-    input_sync        => in_sync,
-    coefs_addr        => coefs_addr,
-    coefs_rden        => coefs_rden,
-    sample_addr       => sample_addr,
-    sample_data_hor   => sample_data_hor,
-    sample_data_ver   => sample_data_ver,
-    sample_wren       => sample_wren,
-    taps_addr         => taps_addr,
-    taps_rden         => taps_rden,
-    res_clr           => res_clr,
-    result_val        => i_res_val,
-    result_sync       => res_sync
-  );
+    generic map (
+      g_nof_prefilter   => g_nof_prefilter,
+      g_nof_prefilter_w => c_nof_prefilter_w,
+      g_nof_taps        => g_nof_taps,
+      g_nof_taps_w      => c_nof_taps_w,
+      g_sample_width    => g_in_dat_w
+    )
+    port map (
+      clk               => clk,
+      rst               => rst,
+      input_hor         => in_hor,
+      input_ver         => in_ver,
+      input_val         => in_val,
+      input_sync        => in_sync,
+      coefs_addr        => coefs_addr,
+      coefs_rden        => coefs_rden,
+      sample_addr       => sample_addr,
+      sample_data_hor   => sample_data_hor,
+      sample_data_ver   => sample_data_ver,
+      sample_wren       => sample_wren,
+      taps_addr         => taps_addr,
+      taps_rden         => taps_rden,
+      res_clr           => res_clr,
+      result_val        => i_res_val,
+      result_sync       => res_sync
+    );
 
 
   mac_hor : entity pfs_lib.pfs_fir_mac
-  generic map (
-    g_a_in_w          => g_in_dat_w,
-    g_b_in_w          => g_coef_dat_w,
-    g_out_w           => g_out_dat_w,
-    g_taps_w          => c_nof_taps_w,
-    g_mult_pipeline   => c_mult_latency
-  )
-  port map (
-    data_a            => taps_data_hor,
-    data_b            => coefs_data,
-    res_clr           => res_clr,
-    res_val           => i_res_val,
-    clk               => clk,
-    rst               => rst,
-    result            => res_hor
-  );
+    generic map (
+      g_a_in_w          => g_in_dat_w,
+      g_b_in_w          => g_coef_dat_w,
+      g_out_w           => g_out_dat_w,
+      g_taps_w          => c_nof_taps_w,
+      g_mult_pipeline   => c_mult_latency
+    )
+    port map (
+      data_a            => taps_data_hor,
+      data_b            => coefs_data,
+      res_clr           => res_clr,
+      res_val           => i_res_val,
+      clk               => clk,
+      rst               => rst,
+      result            => res_hor
+    );
 
 
   mac_ver : entity pfs_lib.pfs_fir_mac
-  generic map (
-    g_a_in_w          => g_in_dat_w,
-    g_b_in_w          => g_coef_dat_w,
-    g_out_w           => g_out_dat_w,
-    g_taps_w          => c_nof_taps_w,
-    g_mult_pipeline   => c_mult_latency
-  )
-  port map (
-    data_a            => taps_data_ver,
-    data_b            => coefs_data,
-    res_clr           => res_clr,
-    res_val           => i_res_val,
-    clk               => clk,
-    rst               => rst,
-    result            => res_ver
-  );
+    generic map (
+      g_a_in_w          => g_in_dat_w,
+      g_b_in_w          => g_coef_dat_w,
+      g_out_w           => g_out_dat_w,
+      g_taps_w          => c_nof_taps_w,
+      g_mult_pipeline   => c_mult_latency
+    )
+    port map (
+      data_a            => taps_data_ver,
+      data_b            => coefs_data,
+      res_clr           => res_clr,
+      res_val           => i_res_val,
+      clk               => clk,
+      rst               => rst,
+      result            => res_ver
+    );
 
 
   coefsbuf_0 : if g_fir_nr = 0 generate
     coefsbuf : entity pfs_lib.pfs_fir_coefsbuf
-    generic map (
-      g_data_w        => g_coef_dat_w,
-      g_coefs_w       => c_addr_w,
-      g_nof_coefs     => c_nof_coefs,
-      g_init_file     => "../../../../../EPA/pfs/src/data/pfs_fir_coeff_0_"
+      generic map (
+        g_data_w        => g_coef_dat_w,
+        g_coefs_w       => c_addr_w,
+        g_nof_coefs     => c_nof_coefs,
+        g_init_file     => "../../../../../EPA/pfs/src/data/pfs_fir_coeff_0_"
           & natural'image(g_nof_prefilter * g_nof_taps) & "pts.hex"
-    )
-    port map (
-      addr            => coefs_addr,
-      rden            => coefs_rden,
-      data            => coefs_data,
-      clk             => clk,
-      rst             => rst
-    );
+      )
+      port map (
+        addr            => coefs_addr,
+        rden            => coefs_rden,
+        data            => coefs_data,
+        clk             => clk,
+        rst             => rst
+      );
   end generate;
 
 
   coefsbuf_N : if g_fir_nr > 0 generate
     coefsbuf : entity pfs_lib.pfs_fir_coefsbuf
-    generic map (
-      g_data_w        => g_coef_dat_w,
-      g_coefs_w       => c_addr_w,
-      g_nof_coefs     => c_nof_coefs,
-      g_init_file     => "../../../../../EPA/pfs/src/data/pfs_fir_coeff_"
+      generic map (
+        g_data_w        => g_coef_dat_w,
+        g_coefs_w       => c_addr_w,
+        g_nof_coefs     => c_nof_coefs,
+        g_init_file     => "../../../../../EPA/pfs/src/data/pfs_fir_coeff_"
           & natural'image(g_fir_nr) & "_" & natural'image(g_nof_prefilter * g_nof_taps) & "pts.hex"
-    )
-    port map (
-      addr            => coefs_addr,
-      rden            => coefs_rden,
-      data            => coefs_data,
-      clk             => clk,
-      rst             => rst
-    );
+      )
+      port map (
+        addr            => coefs_addr,
+        rden            => coefs_rden,
+        data            => coefs_data,
+        clk             => clk,
+        rst             => rst
+      );
   end generate;
 
 
   tapsbuf_hor : entity pfs_lib.pfs_fir_tapsbuf
-  generic map (
-    g_data_w          => g_in_dat_w,
-    g_nof_words       => c_nof_coefs,
-    g_addr_w          => c_addr_w
-  )
-  port map (
-    data_a            => sample_data_hor,
-    wren_a            => sample_wren,
-    addr_a            => sample_addr,
-    addr_b            => taps_addr,
-    rden_b            => taps_rden,
-    data_b            => taps_data_hor,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_in_dat_w,
+      g_nof_words       => c_nof_coefs,
+      g_addr_w          => c_addr_w
+    )
+    port map (
+      data_a            => sample_data_hor,
+      wren_a            => sample_wren,
+      addr_a            => sample_addr,
+      addr_b            => taps_addr,
+      rden_b            => taps_rden,
+      data_b            => taps_data_hor,
+      clk               => clk,
+      rst               => rst
+    );
 
 
   tapsbuf_ver : entity pfs_lib.pfs_fir_tapsbuf
-  generic map (
-    g_data_w          => g_in_dat_w,
-    g_nof_words       => c_nof_coefs,
-    g_addr_w          => c_addr_w
-  )
-  port map (
-    data_a            => sample_data_ver,
-    wren_a            => sample_wren,
-    addr_a            => sample_addr,
-    addr_b            => taps_addr,
-    rden_b            => taps_rden,
-    data_b            => taps_data_ver,
-    clk               => clk,
-    rst               => rst
-  );
+    generic map (
+      g_data_w          => g_in_dat_w,
+      g_nof_words       => c_nof_coefs,
+      g_addr_w          => c_addr_w
+    )
+    port map (
+      data_a            => sample_data_ver,
+      wren_a            => sample_wren,
+      addr_a            => sample_addr,
+      addr_b            => taps_addr,
+      rden_b            => taps_rden,
+      data_b            => taps_data_ver,
+      clk               => clk,
+      rst               => rst
+    );
 
   res_val <= i_res_val;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir.vhd
index 1d5f3219c1..e678b8f1cd 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir.vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity pfs_fir is
@@ -8,10 +8,10 @@ entity pfs_fir is
     g_in_dat_w          : natural;
     g_out_dat_w         : natural;
     g_coef_dat_w        : natural;
---    g_nof_fir           : NATURAL;
---    g_nof_subbands      : NATURAL;
+    --    g_nof_fir           : NATURAL;
+    --    g_nof_subbands      : NATURAL;
     g_nof_prefilter     : natural;
---    g_nof_polarizations : NATURAL;
+    --    g_nof_polarizations : NATURAL;
     g_nof_taps          : natural;
     g_fir_nr            : natural
   );
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
index 9569e84d0b..aca98096b3 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
@@ -1,57 +1,57 @@
 library IEEE, altera_mf, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture stratix of pfs_fir_coefsbuf is
 
   component altsyncram
-  generic (
-    operation_mode         : string;
-    width_a                : natural;
-    widthad_a              : natural;
-    numwords_a             : natural;
-    lpm_type               : string;
-    width_byteena_a        : natural;
-    outdata_reg_a          : string;
-    outdata_aclr_a         : string;
-    read_during_write_mode_mixed_ports : string;
-    ram_block_type         : string;
-    init_file              : string;
-    intended_device_family : string
-  );
-  port (
+    generic (
+      operation_mode         : string;
+      width_a                : natural;
+      widthad_a              : natural;
+      numwords_a             : natural;
+      lpm_type               : string;
+      width_byteena_a        : natural;
+      outdata_reg_a          : string;
+      outdata_aclr_a         : string;
+      read_during_write_mode_mixed_ports : string;
+      ram_block_type         : string;
+      init_file              : string;
+      intended_device_family : string
+    );
+    port (
       aclr0                : in  std_logic ;
       clock0               : in  std_logic ;
       address_a            : in  std_logic_vector(g_coefs_w - 1 downto 0);
       q_a                  : out std_logic_vector(g_data_w - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   rom : altsyncram
-  generic map (
-    operation_mode         => "ROM",
-    width_a                => g_data_w,
-    widthad_a              => g_coefs_w,
-    numwords_a             => g_nof_coefs,
-    lpm_type               => "altsyncram",
-    width_byteena_a        => 1,
-    outdata_reg_a          => "CLOCK0",
-    outdata_aclr_a         => "CLEAR0",
-    read_during_write_mode_mixed_ports => "DONT_CARE",
-    ram_block_type         => "AUTO",
-    init_file              => g_init_file,
-    intended_device_family => c_rsp_device_family
-  )
-  port map (
-    aclr0                  => rst,
-    clock0                 => clk,
-    address_a              => addr,
-    q_a                    => data
-  );
+    generic map (
+      operation_mode         => "ROM",
+      width_a                => g_data_w,
+      widthad_a              => g_coefs_w,
+      numwords_a             => g_nof_coefs,
+      lpm_type               => "altsyncram",
+      width_byteena_a        => 1,
+      outdata_reg_a          => "CLOCK0",
+      outdata_aclr_a         => "CLEAR0",
+      read_during_write_mode_mixed_ports => "DONT_CARE",
+      ram_block_type         => "AUTO",
+      init_file              => g_init_file,
+      intended_device_family => c_rsp_device_family
+    )
+    port map (
+      aclr0                  => rst,
+      clock0                 => clk,
+      address_a              => addr,
+      q_a                    => data
+    );
 
 end stratix;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf.vhd
index 7eecba0059..981f4b55d2 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf.vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity pfs_fir_coefsbuf is
   generic (
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd
index 0a33653b35..48b4751b33 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
 
 
 architecture rtl of pfs_fir_ctrl is
@@ -52,7 +52,7 @@ begin
   -- Output signals.
   result_val       <= mac_res_delay(mac_res_delay'high);
   result_sync      <= sync_delay(sync_delay'high);
---  res_clr          <= mac_res_delay(c_mac_clr_delay - 1);
+  --  res_clr          <= mac_res_delay(c_mac_clr_delay - 1);
   taps_addr        <= i_taps_addr;
   sample_data_hor  <= i_sample_data_hor;
   sample_data_ver  <= i_sample_data_ver;
@@ -188,9 +188,9 @@ begin
 
     if input_val = '1' then
       nxt_sample_data_hor <= std_logic_vector(to_signed(
-          to_integer(signed(input_hor)), i_sample_data_hor'length));
+                                                        to_integer(signed(input_hor)), i_sample_data_hor'length));
       nxt_sample_data_ver <= std_logic_vector(to_signed(
-          to_integer(signed(input_ver)), i_sample_data_ver'length));
+                                                        to_integer(signed(input_ver)), i_sample_data_ver'length));
     end if;
   end process;
 
@@ -212,13 +212,13 @@ begin
 
     if unsigned(prefilter_cnt) = (g_nof_prefilter - 1) and last_tap = '1' then
       nxt_taps_addr_base <=
-          std_logic_vector(unsigned(taps_addr_base) + 1);
+                            std_logic_vector(unsigned(taps_addr_base) + 1);
     end if;
   end process;
 
 
   taps_addr_offset <= std_logic_vector(unsigned(taps_addr_base)
-      + unsigned(taps_cnt));
+                                       + unsigned(taps_cnt));
 
 
   -- The MAC delay register is used to generate a valid pulse for the MAC output
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl.vhd
index 3554e9b5d8..927894e69d 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_ctrl.vhd
@@ -1,5 +1,5 @@
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 
 entity pfs_fir_ctrl is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac(stratix).vhd
index 845d6a4ea4..fdfef91bb2 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac(stratix).vhd
@@ -1,49 +1,49 @@
 library IEEE, lpm, altera_mf, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture stratix of pfs_fir_mac is
 
   component altmult_accum
-  generic (
-    intended_device_family         : string;
-    width_a                        : natural;
-    width_b                        : natural;
-    representation_a               : string;
-    representation_b               : string;
-    lpm_type                       : string;
-    width_result                   : natural;
-    input_source_a                 : string;
-    input_source_b                 : string;
-    multiplier_rounding            : string;
-    multiplier_saturation          : string;
-    port_mult_is_saturated         : string;
-    accumulator_rounding           : string;
-    accumulator_saturation         : string;
-    port_accum_is_saturated        : string;
-    accum_direction                : string;
-    input_reg_a                    : string;
-    input_aclr_a                   : string;
-    input_reg_b                    : string;
-    input_aclr_b                   : string;
-    multiplier_reg                 : string;
-    multiplier_aclr                : string;
-    accum_sload_reg                : string;
-    accum_sload_pipeline_reg       : string;
-    output_reg                     : string;
-    output_aclr                    : string;
-    dedicated_multiplier_circuitry : string
-  );
-  port (
-    dataa                          : in  std_logic_vector(width_a - 1 downto 0);
-    datab                          : in  std_logic_vector(width_b - 1 downto 0);
-    accum_sload                    : in  std_logic;
-    aclr0                          : in  std_logic;
-    clock0                         : in  std_logic;
-    result                         : out std_logic_vector(width_result - 1 downto 0)
-  );
+    generic (
+      intended_device_family         : string;
+      width_a                        : natural;
+      width_b                        : natural;
+      representation_a               : string;
+      representation_b               : string;
+      lpm_type                       : string;
+      width_result                   : natural;
+      input_source_a                 : string;
+      input_source_b                 : string;
+      multiplier_rounding            : string;
+      multiplier_saturation          : string;
+      port_mult_is_saturated         : string;
+      accumulator_rounding           : string;
+      accumulator_saturation         : string;
+      port_accum_is_saturated        : string;
+      accum_direction                : string;
+      input_reg_a                    : string;
+      input_aclr_a                   : string;
+      input_reg_b                    : string;
+      input_aclr_b                   : string;
+      multiplier_reg                 : string;
+      multiplier_aclr                : string;
+      accum_sload_reg                : string;
+      accum_sload_pipeline_reg       : string;
+      output_reg                     : string;
+      output_aclr                    : string;
+      dedicated_multiplier_circuitry : string
+    );
+    port (
+      dataa                          : in  std_logic_vector(width_a - 1 downto 0);
+      datab                          : in  std_logic_vector(width_b - 1 downto 0);
+      accum_sload                    : in  std_logic;
+      aclr0                          : in  std_logic;
+      clock0                         : in  std_logic;
+      result                         : out std_logic_vector(width_result - 1 downto 0)
+    );
   end component;
 
 
@@ -63,43 +63,43 @@ begin
 
 
   mac : altmult_accum
-  generic map (
-    intended_device_family         => c_rsp_device_family,
-    width_a                        => g_a_in_w,
-    width_b                        => g_b_in_w,
-    representation_a               => "SIGNED",
-    representation_b               => "SIGNED",
-    lpm_type                       => "altmult_accum",
-    width_result                   => g_a_in_w + g_b_in_w,
-    input_source_a                 => "DATAA",
-    input_source_b                 => "DATAB",
-    multiplier_rounding            => "NO",
-    multiplier_saturation          => "NO",
-    port_mult_is_saturated         => "UNUSED",
-    accumulator_rounding           => "NO",
-    accumulator_saturation         => "NO",
-    port_accum_is_saturated        => "UNUSED",
-    accum_direction                => "ADD",
-    input_reg_a                    => "CLOCK0",
-    input_aclr_a                   => "ACLR0",
-    input_reg_b                    => "CLOCK0",
-    input_aclr_b                   => "ACLR0",
-    multiplier_reg                 => "CLOCK0",
-    multiplier_aclr                => "ACLR0",
-    accum_sload_reg                => "CLOCK0",
-    accum_sload_pipeline_reg       => "CLOCK0",
-    output_reg                     => "CLOCK0",
-    output_aclr                    => "ACLR0",
-    dedicated_multiplier_circuitry => "YES"
-  )
-  port map (
-    dataa                          => data_a,
-    datab                          => data_b,
-    accum_sload                    => res_clr,
-    aclr0                          => rst,
-    clock0                         => clk,
-    result                         => acc_out
-  );
+    generic map (
+      intended_device_family         => c_rsp_device_family,
+      width_a                        => g_a_in_w,
+      width_b                        => g_b_in_w,
+      representation_a               => "SIGNED",
+      representation_b               => "SIGNED",
+      lpm_type                       => "altmult_accum",
+      width_result                   => g_a_in_w + g_b_in_w,
+      input_source_a                 => "DATAA",
+      input_source_b                 => "DATAB",
+      multiplier_rounding            => "NO",
+      multiplier_saturation          => "NO",
+      port_mult_is_saturated         => "UNUSED",
+      accumulator_rounding           => "NO",
+      accumulator_saturation         => "NO",
+      port_accum_is_saturated        => "UNUSED",
+      accum_direction                => "ADD",
+      input_reg_a                    => "CLOCK0",
+      input_aclr_a                   => "ACLR0",
+      input_reg_b                    => "CLOCK0",
+      input_aclr_b                   => "ACLR0",
+      multiplier_reg                 => "CLOCK0",
+      multiplier_aclr                => "ACLR0",
+      accum_sload_reg                => "CLOCK0",
+      accum_sload_pipeline_reg       => "CLOCK0",
+      output_reg                     => "CLOCK0",
+      output_aclr                    => "ACLR0",
+      dedicated_multiplier_circuitry => "YES"
+    )
+    port map (
+      dataa                          => data_a,
+      datab                          => data_b,
+      accum_sload                    => res_clr,
+      aclr0                          => rst,
+      clock0                         => clk,
+      result                         => acc_out
+    );
 
 end stratix;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac.vhd
index 91a4949441..8b76144f2a 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_mac.vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity pfs_fir_mac is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd
index fe27455ccf..839081c4bb 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd
@@ -1,72 +1,72 @@
 library IEEE, altera_mf, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture stratix of pfs_fir_tapsbuf is
 
   component altsyncram
-  generic (
-    intended_device_family : string;
-    operation_mode         : string;
-    width_a                : natural;
-    widthad_a              : natural;
-    numwords_a             : natural;
-    width_b                : natural;
-    widthad_b              : natural;
-    numwords_b             : natural;
-    lpm_type               : string;
-    width_byteena_a        : natural;
-    outdata_reg_b          : string;
-    address_reg_b          : string;
-    outdata_aclr_b         : string;
-    read_during_write_mode_mixed_ports : string;
-    init_file              : string;
-    ram_block_type         : string
-  );
-  port (
-    wren_a                 : in  std_logic;
-    aclr0                  : in  std_logic;
-    clock0                 : in  std_logic;
-    address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
-    address_b              : in  std_logic_vector(g_addr_w - 1 downto 0);
-    q_b                    : out std_logic_vector(g_data_w - 1 downto 0);
-    data_a                 : in  std_logic_vector(g_data_w - 1 downto 0)
-  );
+    generic (
+      intended_device_family : string;
+      operation_mode         : string;
+      width_a                : natural;
+      widthad_a              : natural;
+      numwords_a             : natural;
+      width_b                : natural;
+      widthad_b              : natural;
+      numwords_b             : natural;
+      lpm_type               : string;
+      width_byteena_a        : natural;
+      outdata_reg_b          : string;
+      address_reg_b          : string;
+      outdata_aclr_b         : string;
+      read_during_write_mode_mixed_ports : string;
+      init_file              : string;
+      ram_block_type         : string
+    );
+    port (
+      wren_a                 : in  std_logic;
+      aclr0                  : in  std_logic;
+      clock0                 : in  std_logic;
+      address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
+      address_b              : in  std_logic_vector(g_addr_w - 1 downto 0);
+      q_b                    : out std_logic_vector(g_data_w - 1 downto 0);
+      data_a                 : in  std_logic_vector(g_data_w - 1 downto 0)
+    );
   end component;
 
 begin
 
   altsyncram_component : altsyncram
-  generic map (
-    intended_device_family => c_rsp_device_family,
-    operation_mode         => "DUAL_PORT",
-    width_a                => g_data_w,
-    widthad_a              => g_addr_w,
-    numwords_a             => g_nof_words,
-    width_b                => g_data_w,
-    widthad_b              => g_addr_w,
-    numwords_b             => g_nof_words,
-    lpm_type               => "altsyncram",
-    width_byteena_a        => 1,
-    outdata_reg_b          => "CLOCK0",
-    address_reg_b          => "CLOCK0",
-    outdata_aclr_b         => "CLEAR0",
-    read_during_write_mode_mixed_ports => "DONT_CARE",
-    init_file              => "../../../../../EPA/pfs/src/data/pfs_fir_taps_"
+    generic map (
+      intended_device_family => c_rsp_device_family,
+      operation_mode         => "DUAL_PORT",
+      width_a                => g_data_w,
+      widthad_a              => g_addr_w,
+      numwords_a             => g_nof_words,
+      width_b                => g_data_w,
+      widthad_b              => g_addr_w,
+      numwords_b             => g_nof_words,
+      lpm_type               => "altsyncram",
+      width_byteena_a        => 1,
+      outdata_reg_b          => "CLOCK0",
+      address_reg_b          => "CLOCK0",
+      outdata_aclr_b         => "CLEAR0",
+      read_during_write_mode_mixed_ports => "DONT_CARE",
+      init_file              => "../../../../../EPA/pfs/src/data/pfs_fir_taps_"
         & natural'image(g_nof_words) & "pts.hex",
-    ram_block_type         => "AUTO"
-  )
-  port map (
-    wren_a                 => wren_a,
-    aclr0                  => rst,
-    clock0                 => clk,
-    address_a              => addr_a,
-    address_b              => addr_b,
-    data_a                 => data_a,
-    q_b                    => data_b
-  );
+      ram_block_type         => "AUTO"
+    )
+    port map (
+      wren_a                 => wren_a,
+      aclr0                  => rst,
+      clock0                 => clk,
+      address_a              => addr_a,
+      address_b              => addr_b,
+      data_a                 => data_a,
+      q_b                    => data_b
+    );
 
 end stratix;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf.vhd
index e147c4872d..a04d8ea533 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_tapsbuf.vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity pfs_fir_tapsbuf is
   generic (
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_pkg.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_pkg.vhd
index 08444d204e..f8af83592d 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_pkg.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_pkg.vhd
@@ -24,8 +24,8 @@
 -- Remark: Use package to keep default pfs constants
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 package pfs_pkg is
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate(rtl).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate(rtl).vhd
index b33201039c..4932586b3d 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate(rtl).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate(rtl).vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 architecture rtl of pfs_rotate is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate.vhd
index d9fc8e59bc..99deb4d419 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_rotate.vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity pfs_rotate is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd
index 07d942d7cb..82a34427c3 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd
@@ -1,31 +1,31 @@
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use ieee.std_logic_unsigned.all;
+  use common_lib.common_pkg.all;
 
 
 architecture rtl of pfs_tapsbuf is
 
-type RamType is array(0 to 2**g_addr_w) of std_logic_vector(g_data_w - 1 downto 0);
+  type RamType is array(0 to 2**g_addr_w) of std_logic_vector(g_data_w - 1 downto 0);
 
--- pfs_tapsbuf_1024.hex is empty (all zeros)
-signal RAM : RamType := (others => (others => '0'));
+  -- pfs_tapsbuf_1024.hex is empty (all zeros)
+  signal RAM : RamType := (others => (others => '0'));
 
-signal read_addrb : std_logic_vector(g_addr_w - 1 downto 0);
+  signal read_addrb : std_logic_vector(g_addr_w - 1 downto 0);
 
 begin
 
----------------------------------------------------------------
-process (clk)
-begin
-	if (clk'event and clk = '1') then
-		if (wren = '1') then
-			RAM (conv_integer(wraddr)) <= wrdata;
-		end if;
-		read_addrb <= rdaddr;
+  ---------------------------------------------------------------
+  process (clk)
+  begin
+    if (clk'event and clk = '1') then
+      if (wren = '1') then
+        RAM (conv_integer(wraddr)) <= wrdata;
+      end if;
+      read_addrb <= rdaddr;
       rddata <= RAM(conv_integer(read_addrb));
-	end if;
-end process;
+    end if;
+  end process;
 
 ---------------------------------------------------------------
 ---------------------------------------------------------------
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd
index 416c141731..35a3c9c68f 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd
@@ -1,71 +1,71 @@
 library IEEE, altera_mf, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 architecture stratix of pfs_tapsbuf is
 
   component altsyncram
-  generic (
-    intended_device_family : string;
-    operation_mode         : string;
-    width_a                : natural;
-    widthad_a              : natural;
-    numwords_a             : natural;
-    width_b                : natural;
-    widthad_b              : natural;
-    numwords_b             : natural;
-    lpm_type               : string;
-    width_byteena_a        : natural;
-    outdata_reg_b          : string;
-    address_reg_b          : string;
-    outdata_aclr_b         : string;
-    read_during_write_mode_mixed_ports : string;
-    init_file              : string;
-    ram_block_type         : string
-  );
-  port (
-    wren_a                 : in  std_logic;
-    aclr0                  : in  std_logic;
-    clock0                 : in  std_logic;
-    address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
-    address_b              : in  std_logic_vector(g_addr_w - 1 downto 0);
-    q_b                    : out std_logic_vector(g_data_w - 1 downto 0);
-    data_a                 : in  std_logic_vector(g_data_w - 1 downto 0)
-  );
+    generic (
+      intended_device_family : string;
+      operation_mode         : string;
+      width_a                : natural;
+      widthad_a              : natural;
+      numwords_a             : natural;
+      width_b                : natural;
+      widthad_b              : natural;
+      numwords_b             : natural;
+      lpm_type               : string;
+      width_byteena_a        : natural;
+      outdata_reg_b          : string;
+      address_reg_b          : string;
+      outdata_aclr_b         : string;
+      read_during_write_mode_mixed_ports : string;
+      init_file              : string;
+      ram_block_type         : string
+    );
+    port (
+      wren_a                 : in  std_logic;
+      aclr0                  : in  std_logic;
+      clock0                 : in  std_logic;
+      address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
+      address_b              : in  std_logic_vector(g_addr_w - 1 downto 0);
+      q_b                    : out std_logic_vector(g_data_w - 1 downto 0);
+      data_a                 : in  std_logic_vector(g_data_w - 1 downto 0)
+    );
   end component;
 
 begin
 
   altsyncram_component : altsyncram
-  generic map (
-    intended_device_family => c_rsp_device_family,
-    operation_mode         => "DUAL_PORT",
-    width_a                => g_data_w,
-    widthad_a              => g_addr_w,
-    numwords_a             => g_nof_words,
-    width_b                => g_data_w,
-    widthad_b              => g_addr_w,
-    numwords_b             => g_nof_words,
-    lpm_type               => "altsyncram",
-    width_byteena_a        => 1,
-    outdata_reg_b          => "CLOCK0",
-    address_reg_b          => "CLOCK0",
-    outdata_aclr_b         => "CLEAR0",
-    read_during_write_mode_mixed_ports => "DONT_CARE",
-    init_file              => "../../../../pfs/src/data/pfs_tapsbuf_1024.hex",
-    ram_block_type         => "AUTO"
-  )
-  port map (
-    wren_a                 => wren,
-    aclr0                  => rst,
-    clock0                 => clk,
-    address_a              => wraddr,
-    address_b              => rdaddr,
-    data_a                 => wrdata,
-    q_b                    => rddata
-  );
+    generic map (
+      intended_device_family => c_rsp_device_family,
+      operation_mode         => "DUAL_PORT",
+      width_a                => g_data_w,
+      widthad_a              => g_addr_w,
+      numwords_a             => g_nof_words,
+      width_b                => g_data_w,
+      widthad_b              => g_addr_w,
+      numwords_b             => g_nof_words,
+      lpm_type               => "altsyncram",
+      width_byteena_a        => 1,
+      outdata_reg_b          => "CLOCK0",
+      address_reg_b          => "CLOCK0",
+      outdata_aclr_b         => "CLEAR0",
+      read_during_write_mode_mixed_ports => "DONT_CARE",
+      init_file              => "../../../../pfs/src/data/pfs_tapsbuf_1024.hex",
+      ram_block_type         => "AUTO"
+    )
+    port map (
+      wren_a                 => wren,
+      aclr0                  => rst,
+      clock0                 => clk,
+      address_a              => wraddr,
+      address_b              => rdaddr,
+      data_a                 => wrdata,
+      q_b                    => rddata
+    );
 
 end stratix;
 
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf.vhd
index 36a6e09163..89f543657e 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_tapsbuf.vhd
@@ -24,9 +24,9 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_unsigned.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_unsigned.all;
 
 
 entity pfs_tapsbuf is
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_top(str).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_top(str).vhd
index 663a119396..a88bceba29 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_top(str).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_top(str).vhd
@@ -1,5 +1,5 @@
 library IEEE, pfs_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 architecture str of pfs_top is
@@ -30,25 +30,25 @@ begin
   end process;
 
   pfs : entity pfs_lib.pfs
-  generic map (
-    g_nof_bands  => g_nof_bands,
-    g_nof_taps   => g_nof_taps,
-    g_in_dat_w   => g_in_dat_w,
-    g_out_dat_w  => g_out_dat_w,
-    g_coef_dat_w => g_coef_dat_w
-  )
-  port map (
-    in_dat_x     => reg_in_dat_x,
-    in_dat_y     => reg_in_dat_y,
-    in_val       => reg_in_val,
-    in_sync      => reg_in_sync,
-    out_dat_x    => d_out_dat_x,
-    out_dat_y    => d_out_dat_y,
-    out_val      => d_out_val,
-    out_sync     => d_out_sync,
-    clk          => clk,
-    rst          => rst,
-    restart      => '0'
-  );
+    generic map (
+      g_nof_bands  => g_nof_bands,
+      g_nof_taps   => g_nof_taps,
+      g_in_dat_w   => g_in_dat_w,
+      g_out_dat_w  => g_out_dat_w,
+      g_coef_dat_w => g_coef_dat_w
+    )
+    port map (
+      in_dat_x     => reg_in_dat_x,
+      in_dat_y     => reg_in_dat_y,
+      in_val       => reg_in_val,
+      in_sync      => reg_in_sync,
+      out_dat_x    => d_out_dat_x,
+      out_dat_y    => d_out_dat_y,
+      out_val      => d_out_val,
+      out_sync     => d_out_sync,
+      clk          => clk,
+      rst          => rst,
+      restart      => '0'
+    );
 
 end str;
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_top.vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_top.vhd
index 7b318f2069..99ea3b24b2 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_top.vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_top.vhd
@@ -1,5 +1,5 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity pfs_top is
diff --git a/applications/lofar1/RSP/pfs/tb/vhdl/tb_pfs.vhd b/applications/lofar1/RSP/pfs/tb/vhdl/tb_pfs.vhd
index 14758276d5..72779e39e4 100644
--- a/applications/lofar1/RSP/pfs/tb/vhdl/tb_pfs.vhd
+++ b/applications/lofar1/RSP/pfs/tb/vhdl/tb_pfs.vhd
@@ -30,10 +30,10 @@
 -- . View pfs_dat_x in decimal radix and analog format (right click)
 
 library IEEE, pfs_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 
 entity tb_pfs is
@@ -61,26 +61,26 @@ begin
   rst <= '0' after 3 * clk_period;
 
   pfs : entity pfs_lib.pfs
-  generic map (
-    g_nof_bands         => 1024,
-    g_nof_taps          => 16 * 1024,
-    g_in_dat_w          => 12,
-    g_out_dat_w         => 18,
-    g_coef_dat_w        => 16
-  )
-  port map (
-    in_dat_x            => in_dat_x,
-    in_dat_y            => in_dat_y,
-    in_val              => in_val,
-    in_sync             => in_sync,
-    out_dat_x           => pfs_dat_x,
-    out_dat_y           => pfs_dat_y,
-    out_val             => pfs_val,
-    out_sync            => pfs_sync,
-    restart             => '0',
-    clk                 => clk,
-    rst                 => rst
-  );
+    generic map (
+      g_nof_bands         => 1024,
+      g_nof_taps          => 16 * 1024,
+      g_in_dat_w          => 12,
+      g_out_dat_w         => 18,
+      g_coef_dat_w        => 16
+    )
+    port map (
+      in_dat_x            => in_dat_x,
+      in_dat_y            => in_dat_y,
+      in_val              => in_val,
+      in_sync             => in_sync,
+      out_dat_x           => pfs_dat_x,
+      out_dat_y           => pfs_dat_y,
+      out_val             => pfs_val,
+      out_sync            => pfs_sync,
+      restart             => '0',
+      clk                 => clk,
+      rst                 => rst
+    );
 
   -- Keep in_dat_x high for one slice to get the filter impules response. Using amplitude 1024
   -- yields the FIR coefficients, this amplitude compensates the PFS scaling of 2^4/2^14. The
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft(pkg).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft(pkg).vhd
index 979b3a80a1..41e9bd0f49 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft(pkg).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft(pkg).vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 package pft_pkg is
   constant c_pft_stage_dat_w : natural := 20;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft(str).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft(str).vhd
index 794c5bf7b4..c26b783999 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft(str).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft(str).vhd
@@ -1,9 +1,9 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library pft2_lib;
-use pft2_lib.pft_pkg.all;
+  use pft2_lib.pft_pkg.all;
 
 architecture str of pft is
 
@@ -72,7 +72,7 @@ architecture str of pft is
   signal power     : std_logic_vector(2 * g_out_dat_w - 1 downto 0);
   signal power_x   : std_logic_vector(2 * g_out_dat_w - 1 downto 0);
   signal power_y   : std_logic_vector(2 * g_out_dat_w - 1 downto 0);
-  -- synthesis translate_on
+-- synthesis translate_on
 
 begin
 
@@ -82,23 +82,23 @@ begin
 
 
   switch: entity pft2_lib.pft_switch
-  generic map (
-    g_dat_w    => g_in_dat_w,
-    g_fft_sz_w => g_fft_size_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    in_val       => in_val,
-    in_sync      => in_sync,
-    in_re        => in_re,
-    in_im        => in_im,
-    switch_en    => switch_en,
-    out_re       => switch_re,
-    out_im       => switch_im,
-    out_val      => switch_val,
-    out_sync     => switch_sync
-  );
+    generic map (
+      g_dat_w    => g_in_dat_w,
+      g_fft_sz_w => g_fft_size_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      in_val       => in_val,
+      in_sync      => in_sync,
+      in_re        => in_re,
+      in_im        => in_im,
+      switch_en    => switch_en,
+      out_re       => switch_re,
+      out_im       => switch_im,
+      out_val      => switch_val,
+      out_sync     => switch_sync
+    );
 
   first_gen : if (c_nof_stages > 1) generate
     first_stage : entity pft2_lib.pft_stage
@@ -166,23 +166,23 @@ begin
 
   only_gen : if c_nof_stages = 1 generate
     only_stage : entity pft2_lib.pft_stage
-        generic map (
-          g_index          => 0,
-          g_in_dat_w       => g_in_dat_w,
-          g_out_dat_w      => c_pft_dat_w
-        )
-        port map (
-          in_re            => in_re,
-          in_im            => in_im,
-          in_val           => in_val,
-          in_sync          => in_sync,
-          out_re           => pft_re,
-          out_im           => pft_im,
-          out_val          => pft_val,
-          out_sync         => pft_sync,
-          clk              => clk,
-          rst              => rst
-        );
+      generic map (
+        g_index          => 0,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_pft_dat_w
+      )
+      port map (
+        in_re            => in_re,
+        in_im            => in_im,
+        in_val           => in_val,
+        in_sync          => in_sync,
+        out_re           => pft_re,
+        out_im           => pft_im,
+        out_val          => pft_val,
+        out_sync         => pft_sync,
+        clk              => clk,
+        rst              => rst
+      );
   end generate;
 
   -- In "BITREV" mode, fft output is in bit reversed order.
@@ -218,7 +218,7 @@ begin
   end generate;
 
 
-   reverse_gen : if g_mode = PFT_MODE_COMPLEX generate
+  reverse_gen : if g_mode = PFT_MODE_COMPLEX generate
     reverse : entity pft2_lib.pft_reverse
       generic map (
         g_fft_sz          => 2**g_fft_size_w,
@@ -270,66 +270,66 @@ begin
   end generate;
 
   unswitch: entity pft2_lib.pft_unswitch
-  generic map (
-    g_dat_w    => g_out_dat_w,
-    g_fft_sz_w => g_fft_size_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    in_val       => sep_val,
-    in_sync      => sep_sync,
-    in_re        => sep_re,
-    in_im        => sep_im,
-    switch_en    => switch_en,
-    out_re       => unswitch_re,
-    out_im       => unswitch_im,
-    out_val      => unswitch_val,
-    out_sync     => unswitch_sync
-  );
+    generic map (
+      g_dat_w    => g_out_dat_w,
+      g_fft_sz_w => g_fft_size_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      in_val       => sep_val,
+      in_sync      => sep_sync,
+      in_re        => sep_re,
+      in_im        => sep_im,
+      switch_en    => switch_en,
+      out_re       => unswitch_re,
+      out_im       => unswitch_im,
+      out_val      => unswitch_val,
+      out_sync     => unswitch_sync
+    );
 
 
   -- calculate the power. This is intended to be used in simulations only.
 
   -- synthesis translate_off
-    determine_bin : process (clk)
-    begin
-      if rising_edge(clk) then
-        if unswitch_val = '1' then
-          bin <= std_logic_vector(unsigned(bin) + 1);
-        end if;
+  determine_bin : process (clk)
+  begin
+    if rising_edge(clk) then
+      if unswitch_val = '1' then
+        bin <= std_logic_vector(unsigned(bin) + 1);
       end if;
-    end process;
-
-    band  <= bin(bin'high downto 1);
-
-    power <= std_logic_vector(   signed(unswitch_re) * signed(unswitch_re)
-                               + signed(unswitch_im) * signed(unswitch_im)
-                              ) when unswitch_val = '1' else (others => '0');
-
-    -- Wave window: View fft_re, fft_im in analogue format
-    -- Wave window: View power in binary format to get a spectrum diagram
-
-    -- power_x <= power WHEN bin(0) = '0' ELSE power_x;
-    -- power_y <= power WHEN bin(0) = '1' ELSE power_y;
-
-    -- Use clk to avoid limit cycle pulses in power_x and power_y
-    demux_power : process(clk)
-    begin
-      if falling_edge(clk) then
-        if unswitch_val = '1' then
-          if bin(0) = '0' then
-            fft_x_re <= unswitch_re;
-            fft_x_im <= unswitch_im;
-            power_x  <= power;
-          else
-            fft_y_re <= unswitch_re;
-            fft_y_im <= unswitch_im;
-            power_y  <= power;
-          end if;
+    end if;
+  end process;
+
+  band  <= bin(bin'high downto 1);
+
+  power <= std_logic_vector(   signed(unswitch_re) * signed(unswitch_re)
+                             + signed(unswitch_im) * signed(unswitch_im)
+                           ) when unswitch_val = '1' else (others => '0');
+
+  -- Wave window: View fft_re, fft_im in analogue format
+  -- Wave window: View power in binary format to get a spectrum diagram
+
+  -- power_x <= power WHEN bin(0) = '0' ELSE power_x;
+  -- power_y <= power WHEN bin(0) = '1' ELSE power_y;
+
+  -- Use clk to avoid limit cycle pulses in power_x and power_y
+  demux_power : process(clk)
+  begin
+    if falling_edge(clk) then
+      if unswitch_val = '1' then
+        if bin(0) = '0' then
+          fft_x_re <= unswitch_re;
+          fft_x_im <= unswitch_im;
+          power_x  <= power;
+        else
+          fft_y_re <= unswitch_re;
+          fft_y_im <= unswitch_im;
+          power_y  <= power;
         end if;
       end if;
-    end process;
+    end if;
+  end process;
   -- synthesis translate_on
 
   out_re   <= unswitch_re;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft.vhd
index ea022d0a49..e624233134 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft.vhd
@@ -24,9 +24,9 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.pft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.pft_pkg.all;
 
 
 entity pft is
@@ -119,7 +119,7 @@ architecture str of pft is
   signal power     : std_logic_vector(2 * g_out_dat_w - 1 downto 0);
   signal power_x   : std_logic_vector(2 * g_out_dat_w - 1 downto 0);
   signal power_y   : std_logic_vector(2 * g_out_dat_w - 1 downto 0);
-  -- synthesis translate_on
+-- synthesis translate_on
 
 begin
 
@@ -129,23 +129,23 @@ begin
 
 
   switch: entity work.pft_switch
-  generic map (
-    g_dat_w    => g_in_dat_w,
-    g_fft_sz_w => g_fft_size_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    in_val       => in_val,
-    in_sync      => in_sync,
-    in_re        => in_re,
-    in_im        => in_im,
-    switch_en    => switch_en,
-    out_re       => switch_re,
-    out_im       => switch_im,
-    out_val      => switch_val,
-    out_sync     => switch_sync
-  );
+    generic map (
+      g_dat_w    => g_in_dat_w,
+      g_fft_sz_w => g_fft_size_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      in_val       => in_val,
+      in_sync      => in_sync,
+      in_re        => in_re,
+      in_im        => in_im,
+      switch_en    => switch_en,
+      out_re       => switch_re,
+      out_im       => switch_im,
+      out_val      => switch_val,
+      out_sync     => switch_sync
+    );
 
   first_gen : if (c_nof_stages > 1) generate
     first_stage : entity work.pft_stage
@@ -213,23 +213,23 @@ begin
 
   only_gen : if c_nof_stages = 1 generate
     only_stage : entity work.pft_stage
-        generic map (
-          g_index          => 0,
-          g_in_dat_w       => g_in_dat_w,
-          g_out_dat_w      => c_pft_dat_w
-        )
-        port map (
-          in_re            => in_re,
-          in_im            => in_im,
-          in_val           => in_val,
-          in_sync          => in_sync,
-          out_re           => pft_re,
-          out_im           => pft_im,
-          out_val          => pft_val,
-          out_sync         => pft_sync,
-          clk              => clk,
-          rst              => rst
-        );
+      generic map (
+        g_index          => 0,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_pft_dat_w
+      )
+      port map (
+        in_re            => in_re,
+        in_im            => in_im,
+        in_val           => in_val,
+        in_sync          => in_sync,
+        out_re           => pft_re,
+        out_im           => pft_im,
+        out_val          => pft_val,
+        out_sync         => pft_sync,
+        clk              => clk,
+        rst              => rst
+      );
   end generate;
 
   -- In "BITREV" mode, fft output is in bit reversed order.
@@ -265,7 +265,7 @@ begin
   end generate;
 
 
-   reverse_gen : if g_mode = PFT_MODE_COMPLEX generate
+  reverse_gen : if g_mode = PFT_MODE_COMPLEX generate
     reverse : entity work.pft_reverse
       generic map (
         g_fft_sz          => 2**g_fft_size_w,
@@ -317,66 +317,66 @@ begin
   end generate;
 
   unswitch: entity work.pft_unswitch
-  generic map (
-    g_dat_w    => g_out_dat_w,
-    g_fft_sz_w => g_fft_size_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    in_val       => sep_val,
-    in_sync      => sep_sync,
-    in_re        => sep_re,
-    in_im        => sep_im,
-    switch_en    => switch_en,
-    out_re       => unswitch_re,
-    out_im       => unswitch_im,
-    out_val      => unswitch_val,
-    out_sync     => unswitch_sync
-  );
+    generic map (
+      g_dat_w    => g_out_dat_w,
+      g_fft_sz_w => g_fft_size_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      in_val       => sep_val,
+      in_sync      => sep_sync,
+      in_re        => sep_re,
+      in_im        => sep_im,
+      switch_en    => switch_en,
+      out_re       => unswitch_re,
+      out_im       => unswitch_im,
+      out_val      => unswitch_val,
+      out_sync     => unswitch_sync
+    );
 
 
   -- calculate the power. This is intended to be used in simulations only.
 
   -- synthesis translate_off
-    determine_bin : process (clk)
-    begin
-      if rising_edge(clk) then
-        if unswitch_val = '1' then
-          bin <= std_logic_vector(unsigned(bin) + 1);
-        end if;
+  determine_bin : process (clk)
+  begin
+    if rising_edge(clk) then
+      if unswitch_val = '1' then
+        bin <= std_logic_vector(unsigned(bin) + 1);
       end if;
-    end process;
-
-    band  <= bin(bin'high downto 1);
-
-    power <= std_logic_vector(   signed(unswitch_re) * signed(unswitch_re)
-                               + signed(unswitch_im) * signed(unswitch_im)
-                              ) when unswitch_val = '1' else (others => '0');
-
-    -- Wave window: View fft_re, fft_im in analogue format
-    -- Wave window: View power in binary format to get a spectrum diagram
-
-    -- power_x <= power WHEN bin(0) = '0' ELSE power_x;
-    -- power_y <= power WHEN bin(0) = '1' ELSE power_y;
-
-    -- Use clk to avoid limit cycle pulses in power_x and power_y
-    demux_power : process(clk)
-    begin
-      if falling_edge(clk) then
-        if unswitch_val = '1' then
-          if bin(0) = '0' then
-            fft_x_re <= unswitch_re;
-            fft_x_im <= unswitch_im;
-            power_x  <= power;
-          else
-            fft_y_re <= unswitch_re;
-            fft_y_im <= unswitch_im;
-            power_y  <= power;
-          end if;
+    end if;
+  end process;
+
+  band  <= bin(bin'high downto 1);
+
+  power <= std_logic_vector(   signed(unswitch_re) * signed(unswitch_re)
+                             + signed(unswitch_im) * signed(unswitch_im)
+                           ) when unswitch_val = '1' else (others => '0');
+
+  -- Wave window: View fft_re, fft_im in analogue format
+  -- Wave window: View power in binary format to get a spectrum diagram
+
+  -- power_x <= power WHEN bin(0) = '0' ELSE power_x;
+  -- power_y <= power WHEN bin(0) = '1' ELSE power_y;
+
+  -- Use clk to avoid limit cycle pulses in power_x and power_y
+  demux_power : process(clk)
+  begin
+    if falling_edge(clk) then
+      if unswitch_val = '1' then
+        if bin(0) = '0' then
+          fft_x_re <= unswitch_re;
+          fft_x_im <= unswitch_im;
+          power_x  <= power;
+        else
+          fft_y_re <= unswitch_re;
+          fft_y_im <= unswitch_im;
+          power_y  <= power;
         end if;
       end if;
-    end process;
+    end if;
+  end process;
   -- synthesis translate_on
 
   out_re   <= unswitch_re;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd
index 5cd8e02ac4..ad244eff3a 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd
@@ -1,9 +1,9 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
-use common_lib.all;
+  use common_lib.all;
 
 architecture rtl of pft_bf is
 
@@ -157,7 +157,7 @@ begin
       rd_re <= (others => '0');
       rd_im <= (others => '0');
     end if;
-    --synthesis translate on
+  --synthesis translate on
   end process;
 
   rd_req       <= in_val and init;
@@ -214,11 +214,11 @@ begin
   end process;
 
 
--- Adds/ Subs ------------------------------------------------------------------
+  -- Adds/ Subs ------------------------------------------------------------------
 
---  Intel Altera lmp_add_sub carry in:
---  ADD: out = a + b + cin      => cin = '0' to have out = a + b
---  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+  --  Intel Altera lmp_add_sub carry in:
+  --  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+  --  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
 
   --cadd : ENTITY common_lib.common_caddsub
   --GENERIC MAP (
@@ -242,120 +242,120 @@ begin
   --);
 
   cadd : entity common_complex_add_sub
-  generic map (
-    g_direction       => "ADD",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => c_dat_w,
-    g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_ar    => add_ar,
-    in_ai    => add_ai,
-    in_br    => add_br,
-    in_bi    => add_bi,
-    out_re   => add_cr,
-    out_im   => add_ci
-  );
-
---  csub : ENTITY common_lib.common_caddsub
---  GENERIC MAP (
---    g_in_a_w   => c_dat_w,
---    g_in_b_w   => c_dat_w,
---    g_out_c_w  => c_dat_w,
---    g_pipeline => c_add_pipeline,
---    g_add_sub  => "SUB"
---  )
---  PORT MAP (
---    in_ar  => sub_ar,
---    in_ai  => sub_ai,
---    in_br  => sub_br,
---    in_bi  => sub_bi,
---    in_cr  => '1',
---    in_ci  => '1',
---    out_cr => sub_cr,
---    out_ci => sub_ci,
---    clk    => clk,
---    rst    => rst
---  );
+    generic map (
+      g_direction       => "ADD",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => c_dat_w,
+      g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_ar    => add_ar,
+      in_ai    => add_ai,
+      in_br    => add_br,
+      in_bi    => add_bi,
+      out_re   => add_cr,
+      out_im   => add_ci
+    );
+
+  --  csub : ENTITY common_lib.common_caddsub
+  --  GENERIC MAP (
+  --    g_in_a_w   => c_dat_w,
+  --    g_in_b_w   => c_dat_w,
+  --    g_out_c_w  => c_dat_w,
+  --    g_pipeline => c_add_pipeline,
+  --    g_add_sub  => "SUB"
+  --  )
+  --  PORT MAP (
+  --    in_ar  => sub_ar,
+  --    in_ai  => sub_ai,
+  --    in_br  => sub_br,
+  --    in_bi  => sub_bi,
+  --    in_cr  => '1',
+  --    in_ci  => '1',
+  --    out_cr => sub_cr,
+  --    out_ci => sub_ci,
+  --    clk    => clk,
+  --    rst    => rst
+  --  );
 
   csub : entity common_complex_add_sub
-  generic map (
-    g_direction       => "SUB",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => c_dat_w,
-    g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_ar    => sub_ar,
-    in_ai    => sub_ai,
-    in_br    => sub_br,
-    in_bi    => sub_bi,
-    out_re   => sub_cr,
-    out_im   => sub_ci
-  );
-
--- regbank --------------------------------------------------------------------------
+    generic map (
+      g_direction       => "SUB",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => c_dat_w,
+      g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_ar    => sub_ar,
+      in_ai    => sub_ai,
+      in_br    => sub_br,
+      in_bi    => sub_bi,
+      out_re   => sub_cr,
+      out_im   => sub_ci
+    );
+
+  -- regbank --------------------------------------------------------------------------
   fifo_gen: if c_regbank_size > 8 generate
-  fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_dat_w     => wr_dat'LENGTH,
-    g_nof_words => c_regbank_size
-  )
-  port map (
-    wr_dat => wr_dat,
-    wr_req => wr_req,
-    rd_dat => rd_dat,
-    rd_req => rd_req,
-    clk    => clk,
-    rst    => rst
-  );
+    fifo : entity common_lib.common_fifo_sc
+      generic map (
+        g_dat_w     => wr_dat'LENGTH,
+        g_nof_words => c_regbank_size
+      )
+      port map (
+        wr_dat => wr_dat,
+        wr_req => wr_req,
+        rd_dat => rd_dat,
+        rd_req => rd_req,
+        clk    => clk,
+        rst    => rst
+      );
   end generate fifo_gen;
 
 
   fifo2_gen : if c_regbank_size > c_pipeline and c_regbank_size <= 8 generate
-  fifo2_reg : process (clk, rst)
-  begin
-    if rst = '1' then
-      fifo_dat <= (others => (others => '0'));
-    elsif rising_edge(clk) then
-      fifo_dat <= nxt_fifo_dat;
-    end if;
-  end process;
+    fifo2_reg : process (clk, rst)
+    begin
+      if rst = '1' then
+        fifo_dat <= (others => (others => '0'));
+      elsif rising_edge(clk) then
+        fifo_dat <= nxt_fifo_dat;
+      end if;
+    end process;
 
-  fifo2_proc : process(fifo_dat,wr_req,wr_dat)
-  begin
-    nxt_fifo_dat <= fifo_dat;
-    if wr_req = '1' then
-      nxt_fifo_dat <= wr_dat & fifo_dat(fifo_dat'high downto 1);
-    end if;
-    rd_dat <= fifo_dat(0);
-  end process;
+    fifo2_proc : process(fifo_dat,wr_req,wr_dat)
+    begin
+      nxt_fifo_dat <= fifo_dat;
+      if wr_req = '1' then
+        nxt_fifo_dat <= wr_dat & fifo_dat(fifo_dat'high downto 1);
+      end if;
+      rd_dat <= fifo_dat(0);
+    end process;
   end generate;
 
   fifo3_gen : if c_regbank_size = c_pipeline generate
-  fifo3_reg : process (clk, rst)
-  begin
-    if rst = '1' then
-      fifo_dat <= (others => (others => '0'));
-    elsif rising_edge(clk) then
-      fifo_dat <= nxt_fifo_dat;
-    end if;
-  end process;
+    fifo3_reg : process (clk, rst)
+    begin
+      if rst = '1' then
+        fifo_dat <= (others => (others => '0'));
+      elsif rising_edge(clk) then
+        fifo_dat <= nxt_fifo_dat;
+      end if;
+    end process;
 
-  fifo3_proc : process(fifo_dat, wr_req, wr_dat)
-  begin
-    nxt_fifo_dat <= fifo_dat;
-    if wr_req = '1' then
-      nxt_fifo_dat(0) <= wr_dat;
-    end if;
-    rd_dat <= fifo_dat(0);
-  end process;
+    fifo3_proc : process(fifo_dat, wr_req, wr_dat)
+    begin
+      nxt_fifo_dat <= fifo_dat;
+      if wr_req = '1' then
+        nxt_fifo_dat(0) <= wr_dat;
+      end if;
+      rd_dat <= fifo_dat(0);
+    end process;
   end generate;
 
   assert c_regbank_size >= c_pipeline severity FAILURE;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd
index edbaf8f12a..72d5e5acf5 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd
@@ -24,8 +24,8 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
 
@@ -206,7 +206,7 @@ begin
       rd_re <= (others => '0');
       rd_im <= (others => '0');
     end if;
-    --synthesis translate on
+  --synthesis translate on
   end process;
 
   rd_req       <= in_val and init;
@@ -263,11 +263,11 @@ begin
   end process;
 
 
--- Adds/ Subs ------------------------------------------------------------------
+  -- Adds/ Subs ------------------------------------------------------------------
 
---  Intel Altera lmp_add_sub carry in:
---  ADD: out = a + b + cin      => cin = '0' to have out = a + b
---  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+  --  Intel Altera lmp_add_sub carry in:
+  --  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+  --  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
 
   --cadd : ENTITY common_lib.common_caddsub
   --GENERIC MAP (
@@ -291,120 +291,120 @@ begin
   --);
 
   cadd : entity common_lib.common_complex_add_sub
-  generic map (
-    g_direction       => "ADD",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => c_dat_w,
-    g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_ar    => add_ar,
-    in_ai    => add_ai,
-    in_br    => add_br,
-    in_bi    => add_bi,
-    out_re   => add_cr,
-    out_im   => add_ci
-  );
-
---  csub : ENTITY common_lib.common_caddsub
---  GENERIC MAP (
---    g_in_a_w   => c_dat_w,
---    g_in_b_w   => c_dat_w,
---    g_out_c_w  => c_dat_w,
---    g_pipeline => c_add_pipeline,
---    g_add_sub  => "SUB"
---  )
---  PORT MAP (
---    in_ar  => sub_ar,
---    in_ai  => sub_ai,
---    in_br  => sub_br,
---    in_bi  => sub_bi,
---    in_cr  => '1',
---    in_ci  => '1',
---    out_cr => sub_cr,
---    out_ci => sub_ci,
---    clk    => clk,
---    rst    => rst
---  );
+    generic map (
+      g_direction       => "ADD",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => c_dat_w,
+      g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_ar    => add_ar,
+      in_ai    => add_ai,
+      in_br    => add_br,
+      in_bi    => add_bi,
+      out_re   => add_cr,
+      out_im   => add_ci
+    );
+
+  --  csub : ENTITY common_lib.common_caddsub
+  --  GENERIC MAP (
+  --    g_in_a_w   => c_dat_w,
+  --    g_in_b_w   => c_dat_w,
+  --    g_out_c_w  => c_dat_w,
+  --    g_pipeline => c_add_pipeline,
+  --    g_add_sub  => "SUB"
+  --  )
+  --  PORT MAP (
+  --    in_ar  => sub_ar,
+  --    in_ai  => sub_ai,
+  --    in_br  => sub_br,
+  --    in_bi  => sub_bi,
+  --    in_cr  => '1',
+  --    in_ci  => '1',
+  --    out_cr => sub_cr,
+  --    out_ci => sub_ci,
+  --    clk    => clk,
+  --    rst    => rst
+  --  );
 
   csub : entity common_lib.common_complex_add_sub
-  generic map (
-    g_direction       => "SUB",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => c_dat_w,
-    g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_ar    => sub_ar,
-    in_ai    => sub_ai,
-    in_br    => sub_br,
-    in_bi    => sub_bi,
-    out_re   => sub_cr,
-    out_im   => sub_ci
-  );
-
--- regbank --------------------------------------------------------------------------
+    generic map (
+      g_direction       => "SUB",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => c_dat_w,
+      g_out_dat_w       => c_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_ar    => sub_ar,
+      in_ai    => sub_ai,
+      in_br    => sub_br,
+      in_bi    => sub_bi,
+      out_re   => sub_cr,
+      out_im   => sub_ci
+    );
+
+  -- regbank --------------------------------------------------------------------------
   fifo_gen: if c_regbank_size > 8 generate
-  fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_dat_w     => wr_dat'LENGTH,
-    g_nof_words => c_regbank_size
-  )
-  port map (
-    wr_dat => wr_dat,
-    wr_req => wr_req,
-    rd_dat => rd_dat,
-    rd_req => rd_req,
-    clk    => clk,
-    rst    => rst
-  );
+    fifo : entity common_lib.common_fifo_sc
+      generic map (
+        g_dat_w     => wr_dat'LENGTH,
+        g_nof_words => c_regbank_size
+      )
+      port map (
+        wr_dat => wr_dat,
+        wr_req => wr_req,
+        rd_dat => rd_dat,
+        rd_req => rd_req,
+        clk    => clk,
+        rst    => rst
+      );
   end generate fifo_gen;
 
 
   fifo2_gen : if c_regbank_size > c_pipeline and c_regbank_size <= 8 generate
-  fifo2_reg : process (clk, rst)
-  begin
-    if rst = '1' then
-      fifo_dat <= (others => (others => '0'));
-    elsif rising_edge(clk) then
-      fifo_dat <= nxt_fifo_dat;
-    end if;
-  end process;
+    fifo2_reg : process (clk, rst)
+    begin
+      if rst = '1' then
+        fifo_dat <= (others => (others => '0'));
+      elsif rising_edge(clk) then
+        fifo_dat <= nxt_fifo_dat;
+      end if;
+    end process;
 
-  fifo2_proc : process(fifo_dat,wr_req,wr_dat)
-  begin
-    nxt_fifo_dat <= fifo_dat;
-    if wr_req = '1' then
-      nxt_fifo_dat <= wr_dat & fifo_dat(fifo_dat'high downto 1);
-    end if;
-    rd_dat <= fifo_dat(0);
-  end process;
+    fifo2_proc : process(fifo_dat,wr_req,wr_dat)
+    begin
+      nxt_fifo_dat <= fifo_dat;
+      if wr_req = '1' then
+        nxt_fifo_dat <= wr_dat & fifo_dat(fifo_dat'high downto 1);
+      end if;
+      rd_dat <= fifo_dat(0);
+    end process;
   end generate;
 
   fifo3_gen : if c_regbank_size = c_pipeline generate
-  fifo3_reg : process (clk, rst)
-  begin
-    if rst = '1' then
-      fifo_dat <= (others => (others => '0'));
-    elsif rising_edge(clk) then
-      fifo_dat <= nxt_fifo_dat;
-    end if;
-  end process;
+    fifo3_reg : process (clk, rst)
+    begin
+      if rst = '1' then
+        fifo_dat <= (others => (others => '0'));
+      elsif rising_edge(clk) then
+        fifo_dat <= nxt_fifo_dat;
+      end if;
+    end process;
 
-  fifo3_proc : process(fifo_dat, wr_req, wr_dat)
-  begin
-    nxt_fifo_dat <= fifo_dat;
-    if wr_req = '1' then
-      nxt_fifo_dat(0) <= wr_dat;
-    end if;
-    rd_dat <= fifo_dat(0);
-  end process;
+    fifo3_proc : process(fifo_dat, wr_req, wr_dat)
+    begin
+      nxt_fifo_dat <= fifo_dat;
+      if wr_req = '1' then
+        nxt_fifo_dat(0) <= wr_dat;
+      end if;
+      rd_dat <= fifo_dat(0);
+    end process;
   end generate;
 
   assert c_regbank_size >= c_pipeline severity FAILURE;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw(rtl).vhd
index b0a1c3b417..a1ff150573 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw(rtl).vhd
@@ -1,9 +1,9 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
-use common_lib.all;
+  use common_lib.all;
 
 architecture rtl of pft_bf_fw is
 
@@ -163,81 +163,81 @@ begin
 
   -- Adds/ Subs ----------------------------------------------------------------
 
---  Intel Altera lmp_add_sub carry in:
---  ADD: out = a + b + cin      => cin = '0' to have out = a + b
---  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
-
---  yr_cry <= NOT yr_add;
-
---  yr : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w    => g_in_dat_w,
---    g_in_b_w    => g_in_dat_w,
---    g_out_c_w   => g_out_dat_w,
---    g_pipeline  => c_add_pipeline,
---    g_add_sub   => "BOTH"
---  )
---  PORT MAP (
---    in_a        => yr_a,
---    in_b        => yr_b,
---    in_cry      => yr_cry,
---    add_sub     => yr_add,
---    clk         => clk,
---    out_c       => out_re
---  );
+  --  Intel Altera lmp_add_sub carry in:
+  --  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+  --  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+
+  --  yr_cry <= NOT yr_add;
+
+  --  yr : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w    => g_in_dat_w,
+  --    g_in_b_w    => g_in_dat_w,
+  --    g_out_c_w   => g_out_dat_w,
+  --    g_pipeline  => c_add_pipeline,
+  --    g_add_sub   => "BOTH"
+  --  )
+  --  PORT MAP (
+  --    in_a        => yr_a,
+  --    in_b        => yr_b,
+  --    in_cry      => yr_cry,
+  --    add_sub     => yr_add,
+  --    clk         => clk,
+  --    out_c       => out_re
+  --  );
 
   yr : entity common_add_sub
-  generic map (
-    g_direction       => "BOTH",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    sel_add  => yr_add,
-    in_a     => yr_a,
-    in_b     => yr_b,
-    result   => out_re
-  );
-
---  yi_cry <= NOT yi_add;
---
---  yi : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w    => g_in_dat_w,
---    g_in_b_w    => g_in_dat_w,
---    g_out_c_w   => g_out_dat_w,
---    g_pipeline  => c_add_pipeline,
---    g_add_sub   => "BOTH"
---  )
---  PORT MAP (
---    in_a        => yi_a,
---    in_b        => yi_b,
---    in_cry      => yi_cry,
---    add_sub     => yi_add,
---    clk         => clk,
---    out_c       => out_im
---  );
+    generic map (
+      g_direction       => "BOTH",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      sel_add  => yr_add,
+      in_a     => yr_a,
+      in_b     => yr_b,
+      result   => out_re
+    );
+
+  --  yi_cry <= NOT yi_add;
+  --
+  --  yi : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w    => g_in_dat_w,
+  --    g_in_b_w    => g_in_dat_w,
+  --    g_out_c_w   => g_out_dat_w,
+  --    g_pipeline  => c_add_pipeline,
+  --    g_add_sub   => "BOTH"
+  --  )
+  --  PORT MAP (
+  --    in_a        => yi_a,
+  --    in_b        => yi_b,
+  --    in_cry      => yi_cry,
+  --    add_sub     => yi_add,
+  --    clk         => clk,
+  --    out_c       => out_im
+  --  );
 
   yi : entity common_add_sub
-  generic map (
-    g_direction       => "BOTH",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    sel_add  => yi_add,
-    in_a     => yi_a,
-    in_b     => yi_b,
-    result   => out_im
-  );
+    generic map (
+      g_direction       => "BOTH",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      sel_add  => yi_add,
+      in_a     => yi_a,
+      in_b     => yi_b,
+      result   => out_im
+    );
 
 end rtl;
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw.vhd
index 77530984b9..81960d0c89 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf_fw.vhd
@@ -24,8 +24,8 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
 
@@ -212,80 +212,80 @@ begin
 
   -- Adds/ Subs ----------------------------------------------------------------
 
---  Intel Altera lmp_add_sub carry in:
---  ADD: out = a + b + cin      => cin = '0' to have out = a + b
---  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
-
---  yr_cry <= NOT yr_add;
-
---  yr : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w    => g_in_dat_w,
---    g_in_b_w    => g_in_dat_w,
---    g_out_c_w   => g_out_dat_w,
---    g_pipeline  => c_add_pipeline,
---    g_add_sub   => "BOTH"
---  )
---  PORT MAP (
---    in_a        => yr_a,
---    in_b        => yr_b,
---    in_cry      => yr_cry,
---    add_sub     => yr_add,
---    clk         => clk,
---    out_c       => out_re
---  );
+  --  Intel Altera lmp_add_sub carry in:
+  --  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+  --  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+
+  --  yr_cry <= NOT yr_add;
+
+  --  yr : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w    => g_in_dat_w,
+  --    g_in_b_w    => g_in_dat_w,
+  --    g_out_c_w   => g_out_dat_w,
+  --    g_pipeline  => c_add_pipeline,
+  --    g_add_sub   => "BOTH"
+  --  )
+  --  PORT MAP (
+  --    in_a        => yr_a,
+  --    in_b        => yr_b,
+  --    in_cry      => yr_cry,
+  --    add_sub     => yr_add,
+  --    clk         => clk,
+  --    out_c       => out_re
+  --  );
 
   yr : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "BOTH",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    sel_add  => yr_add,
-    in_a     => yr_a,
-    in_b     => yr_b,
-    result   => out_re
-  );
-
---  yi_cry <= NOT yi_add;
---
---  yi : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w    => g_in_dat_w,
---    g_in_b_w    => g_in_dat_w,
---    g_out_c_w   => g_out_dat_w,
---    g_pipeline  => c_add_pipeline,
---    g_add_sub   => "BOTH"
---  )
---  PORT MAP (
---    in_a        => yi_a,
---    in_b        => yi_b,
---    in_cry      => yi_cry,
---    add_sub     => yi_add,
---    clk         => clk,
---    out_c       => out_im
---  );
+    generic map (
+      g_direction       => "BOTH",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      sel_add  => yr_add,
+      in_a     => yr_a,
+      in_b     => yr_b,
+      result   => out_re
+    );
+
+  --  yi_cry <= NOT yi_add;
+  --
+  --  yi : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w    => g_in_dat_w,
+  --    g_in_b_w    => g_in_dat_w,
+  --    g_out_c_w   => g_out_dat_w,
+  --    g_pipeline  => c_add_pipeline,
+  --    g_add_sub   => "BOTH"
+  --  )
+  --  PORT MAP (
+  --    in_a        => yi_a,
+  --    in_b        => yi_b,
+  --    in_cry      => yi_cry,
+  --    add_sub     => yi_add,
+  --    clk         => clk,
+  --    out_c       => out_im
+  --  );
 
   yi : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "BOTH",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_pipeline,  -- >= 0
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    sel_add  => yi_add,
-    in_a     => yi_a,
-    in_b     => yi_b,
-    result   => out_im
-  );
+    generic map (
+      g_direction       => "BOTH",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_pipeline,  -- >= 0
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      sel_add  => yi_add,
+      in_a     => yi_a,
+      in_b     => yi_b,
+      result   => out_im
+    );
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer(rtl).vhd
index f6fa171496..6aa47b367b 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer(rtl).vhd
@@ -1,11 +1,11 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
-use common_lib.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use common_lib.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 architecture rtl of pft_buffer is
 
@@ -13,11 +13,13 @@ architecture rtl of pft_buffer is
   constant c_adr_w         : natural := g_fft_size_w + 1;
   constant c_nof_words     : natural := 2**c_adr_w;
 
-  constant c_ram   : t_c_mem := (latency  => c_latency,
-                                 adr_w    => c_adr_w,
-                                 dat_w    => 2 * g_dat_w,
-                                 nof_dat  => c_nof_words,  -- <= 2**g_addr_w
-                                 init_sl  => '0');
+  constant c_ram   : t_c_mem := (
+    latency  => c_latency,
+    adr_w    => c_adr_w,
+    dat_w    => 2 * g_dat_w,
+    nof_dat  => c_nof_words,  -- <= 2**g_addr_w
+    init_sl  => '0'
+  );
 
   signal rd_dat            : std_logic_vector(2 * g_dat_w - 1 downto 0);
   signal wr_dat            : std_logic_vector(rd_dat'range);
@@ -35,7 +37,7 @@ architecture rtl of pft_buffer is
   signal pipe_val          : std_logic_vector(c_latency - 1 downto 0);
   signal nxt_pipe_val      : std_logic_vector(pipe_val'range);
 
-  function bit_rev(adr : in std_logic_vector) return std_logic_vector is
+  function bit_rev (adr : in std_logic_vector) return std_logic_vector is
     variable result: std_logic_vector(adr'range);
   begin
     for i in adr'high downto 0 loop
@@ -99,44 +101,44 @@ begin
       rd_re <= (others => '0');
       rd_im <= (others => '0');
     end if;
-    --synthesis translate on
+  --synthesis translate on
   end process;
 
   wr_dat <= wr_re & wr_im;
   wr_en  <= wr_val;
 
---  -- ram module
---  ram : ENTITY common_lib.common_dpram
---  GENERIC MAP (
---    g_dat_w                => 2*g_dat_w,
---    g_adr_w                => c_adr_w,
---    g_nof_words            => c_nof_words
---  )
---  PORT MAP (
---    rd_dat                 => rd_dat,
---    rd_adr                 => rd_adr_paged,
---    rd_en                  => rd_en,
---    wr_dat                 => wr_dat,
---    wr_adr                 => wr_adr_paged,
---    wr_en                  => wr_en,
---    clk                    => clk,
---    rst                    => rst
---  );
+  --  -- ram module
+  --  ram : ENTITY common_lib.common_dpram
+  --  GENERIC MAP (
+  --    g_dat_w                => 2*g_dat_w,
+  --    g_adr_w                => c_adr_w,
+  --    g_nof_words            => c_nof_words
+  --  )
+  --  PORT MAP (
+  --    rd_dat                 => rd_dat,
+  --    rd_adr                 => rd_adr_paged,
+  --    rd_en                  => rd_en,
+  --    wr_dat                 => wr_dat,
+  --    wr_adr                 => wr_adr_paged,
+  --    wr_en                  => wr_en,
+  --    clk                    => clk,
+  --    rst                    => rst
+  --  );
 
   ram : entity common_lib.common_ram_r_w
-  generic map (
-    g_ram        => c_ram
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    wr_en     => wr_en,
-    wr_adr    => wr_adr_paged,
-    wr_dat    => wr_dat,
-    rd_en     => rd_en,
-    rd_adr    => rd_adr_paged,
-    rd_dat    => rd_dat
-  );
+    generic map (
+      g_ram        => c_ram
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      wr_en     => wr_en,
+      wr_adr    => wr_adr_paged,
+      wr_dat    => wr_dat,
+      rd_en     => rd_en,
+      rd_adr    => rd_adr_paged,
+      rd_dat    => rd_dat
+    );
 
 end rtl;
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer.vhd
index 422d4c850a..a528050755 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_buffer.vhd
@@ -24,12 +24,12 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity pft_buffer is
   generic (
@@ -60,11 +60,13 @@ architecture rtl of pft_buffer is
   constant c_adr_w         : natural := g_fft_size_w + 1;
   constant c_nof_words     : natural := 2**c_adr_w;
 
-  constant c_ram   : t_c_mem := (latency  => c_latency,
-                                 adr_w    => c_adr_w,
-                                 dat_w    => 2 * g_dat_w,
-                                 nof_dat  => c_nof_words,  -- <= 2**g_addr_w
-                                 init_sl  => '0');
+  constant c_ram   : t_c_mem := (
+    latency  => c_latency,
+    adr_w    => c_adr_w,
+    dat_w    => 2 * g_dat_w,
+    nof_dat  => c_nof_words,  -- <= 2**g_addr_w
+    init_sl  => '0'
+  );
 
   signal rd_dat            : std_logic_vector(2 * g_dat_w - 1 downto 0);
   signal wr_dat            : std_logic_vector(rd_dat'range);
@@ -82,7 +84,7 @@ architecture rtl of pft_buffer is
   signal pipe_val          : std_logic_vector(c_latency - 1 downto 0);
   signal nxt_pipe_val      : std_logic_vector(pipe_val'range);
 
-  function bit_rev(adr : in std_logic_vector) return std_logic_vector is
+  function bit_rev (adr : in std_logic_vector) return std_logic_vector is
     variable result: std_logic_vector(adr'range);
   begin
     for i in adr'high downto 0 loop
@@ -146,43 +148,43 @@ begin
       rd_re <= (others => '0');
       rd_im <= (others => '0');
     end if;
-    --synthesis translate on
+  --synthesis translate on
   end process;
 
   wr_dat <= wr_re & wr_im;
   wr_en  <= wr_val;
 
---  -- ram module
---  ram : ENTITY common_lib.common_dpram
---  GENERIC MAP (
---    g_dat_w                => 2*g_dat_w,
---    g_adr_w                => c_adr_w,
---    g_nof_words            => c_nof_words
---  )
---  PORT MAP (
---    rd_dat                 => rd_dat,
---    rd_adr                 => rd_adr_paged,
---    rd_en                  => rd_en,
---    wr_dat                 => wr_dat,
---    wr_adr                 => wr_adr_paged,
---    wr_en                  => wr_en,
---    clk                    => clk,
---    rst                    => rst
---  );
+  --  -- ram module
+  --  ram : ENTITY common_lib.common_dpram
+  --  GENERIC MAP (
+  --    g_dat_w                => 2*g_dat_w,
+  --    g_adr_w                => c_adr_w,
+  --    g_nof_words            => c_nof_words
+  --  )
+  --  PORT MAP (
+  --    rd_dat                 => rd_dat,
+  --    rd_adr                 => rd_adr_paged,
+  --    rd_en                  => rd_en,
+  --    wr_dat                 => wr_dat,
+  --    wr_adr                 => wr_adr_paged,
+  --    wr_en                  => wr_en,
+  --    clk                    => clk,
+  --    rst                    => rst
+  --  );
 
   ram : entity common_lib.common_ram_r_w
-  generic map (
-    g_ram        => c_ram
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    wr_en     => wr_en,
-    wr_adr    => wr_adr_paged,
-    wr_dat    => wr_dat,
-    rd_en     => rd_en,
-    rd_adr    => rd_adr_paged,
-    rd_dat    => rd_dat
-  );
+    generic map (
+      g_ram        => c_ram
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      wr_en     => wr_en,
+      wr_adr    => wr_adr_paged,
+      wr_dat    => wr_dat,
+      rd_en     => rd_en,
+      rd_adr    => rd_adr_paged,
+      rd_dat    => rd_dat
+    );
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr(rtl).vhd
index 10175d1095..4541b92d9c 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr(rtl).vhd
@@ -1,6 +1,6 @@
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 architecture rtl of pft_lfsr is
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr.vhd
index f873710685..8b723b4043 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_lfsr.vhd
@@ -24,7 +24,7 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity pft_lfsr is
   port (
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_pkg.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_pkg.vhd
index b1feb26ef2..a8ed2084c3 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_pkg.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_pkg.vhd
@@ -24,8 +24,8 @@
 -- Remark: Copy of pft(pkg).vhd to avoid () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 package pft_pkg is
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse(rtl).vhd
index 38d53ce352..06f9dd877c 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse(rtl).vhd
@@ -1,6 +1,6 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 architecture rtl of pft_reverse is
@@ -24,12 +24,12 @@ begin
       -- Output signals.
       i_rdaddr <= (others => '0');
       i_rden   <= '0';
-      -- Internal signals.
+    -- Internal signals.
     elsif rising_edge(clk) then
       -- Output signals.
       i_rdaddr <= nxt_rdaddr;
       i_rden   <= nxt_rden;
-      -- Internal signals.
+    -- Internal signals.
     end if;
   end process;
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse.vhd
index c74f2d8e29..6cae2b1697 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_reverse.vhd
@@ -24,8 +24,8 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity pft_reverse is
   generic (
@@ -74,12 +74,12 @@ begin
       -- Output signals.
       i_rdaddr <= (others => '0');
       i_rden   <= '0';
-      -- Internal signals.
+    -- Internal signals.
     elsif rising_edge(clk) then
       -- Output signals.
       i_rdaddr <= nxt_rdaddr;
       i_rden   <= nxt_rden;
-      -- Internal signals.
+    -- Internal signals.
     end if;
   end process;
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd
index b5b97ee960..bc89044a83 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd
@@ -1,9 +1,9 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_lib;
-use common_lib.all;
+  use common_lib.all;
 
 architecture rtl of pft_separate is
 
@@ -170,73 +170,73 @@ begin
   nxt_out_val    <= rdval_dly(rdval_dly'high);
   nxt_out_sync   <= rdsync_dly(rdsync_dly'high);
 
---  Intel Altera lmp_add_sub carry in:
---  ADD: out = a + b + cin      => cin = '0' to have out = a + b
---  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
-
---  add : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w   => add0'LENGTH,
---    g_in_b_w   => add1'LENGTH,
---    g_out_c_w  => add_out'LENGTH,
---    g_pipeline => c_add_delay-1,
---    g_add_sub  => "ADD"
---  )
---  PORT MAP (
---    in_a       => add0,
---    in_b       => add1,
---    in_cry     => '0',
---    out_c      => add_out,
---    clk        => clk
---  );
+  --  Intel Altera lmp_add_sub carry in:
+  --  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+  --  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+
+  --  add : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w   => add0'LENGTH,
+  --    g_in_b_w   => add1'LENGTH,
+  --    g_out_c_w  => add_out'LENGTH,
+  --    g_pipeline => c_add_delay-1,
+  --    g_add_sub  => "ADD"
+  --  )
+  --  PORT MAP (
+  --    in_a       => add0,
+  --    in_b       => add1,
+  --    in_cry     => '0',
+  --    out_c      => add_out,
+  --    clk        => clk
+  --  );
 
   add : entity common_add_sub
-  generic map (
-    g_direction       => "ADD",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_delay - 1,  -- >= 0
-    g_in_dat_w        => g_rd_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_a     => add0,
-    in_b     => add1,
-    result   => add_out
-  );
-
---  sub : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w   => sub0'LENGTH,
---    g_in_b_w   => sub1'LENGTH,
---    g_out_c_w  => sub_out'LENGTH,
---    g_pipeline => c_add_delay-1,
---    g_add_sub  => "SUB"
---  )
---  PORT MAP (
---    in_a       => sub0,
---    in_b       => sub1,
---    in_cry     => '1',
---    out_c      => sub_out,
---    clk        => clk
---  );
+    generic map (
+      g_direction       => "ADD",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_delay - 1,  -- >= 0
+      g_in_dat_w        => g_rd_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_a     => add0,
+      in_b     => add1,
+      result   => add_out
+    );
+
+  --  sub : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w   => sub0'LENGTH,
+  --    g_in_b_w   => sub1'LENGTH,
+  --    g_out_c_w  => sub_out'LENGTH,
+  --    g_pipeline => c_add_delay-1,
+  --    g_add_sub  => "SUB"
+  --  )
+  --  PORT MAP (
+  --    in_a       => sub0,
+  --    in_b       => sub1,
+  --    in_cry     => '1',
+  --    out_c      => sub_out,
+  --    clk        => clk
+  --  );
 
   sub : entity common_add_sub
-  generic map (
-    g_direction       => "SUB",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_delay - 1,  -- >= 0
-    g_in_dat_w        => g_rd_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_a     => sub0,
-    in_b     => sub1,
-    result   => sub_out
-  );
+    generic map (
+      g_direction       => "SUB",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_delay - 1,  -- >= 0
+      g_in_dat_w        => g_rd_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_a     => sub0,
+      in_b     => sub1,
+      result   => sub_out
+    );
 
 end rtl;
 
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd
index 41325aa1fa..658060c9d4 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd
@@ -24,8 +24,8 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity pft_separate is
   generic (
@@ -218,72 +218,72 @@ begin
   nxt_out_val    <= rdval_dly(rdval_dly'high);
   nxt_out_sync   <= rdsync_dly(rdsync_dly'high);
 
---  Intel Altera lmp_add_sub carry in:
---  ADD: out = a + b + cin      => cin = '0' to have out = a + b
---  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+  --  Intel Altera lmp_add_sub carry in:
+  --  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+  --  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
 
---  add : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w   => add0'LENGTH,
---    g_in_b_w   => add1'LENGTH,
---    g_out_c_w  => add_out'LENGTH,
---    g_pipeline => c_add_delay-1,
---    g_add_sub  => "ADD"
---  )
---  PORT MAP (
---    in_a       => add0,
---    in_b       => add1,
---    in_cry     => '0',
---    out_c      => add_out,
---    clk        => clk
---  );
+  --  add : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w   => add0'LENGTH,
+  --    g_in_b_w   => add1'LENGTH,
+  --    g_out_c_w  => add_out'LENGTH,
+  --    g_pipeline => c_add_delay-1,
+  --    g_add_sub  => "ADD"
+  --  )
+  --  PORT MAP (
+  --    in_a       => add0,
+  --    in_b       => add1,
+  --    in_cry     => '0',
+  --    out_c      => add_out,
+  --    clk        => clk
+  --  );
 
   add : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "ADD",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_delay - 1,  -- >= 0
-    g_in_dat_w        => g_rd_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_a     => add0,
-    in_b     => add1,
-    result   => add_out
-  );
+    generic map (
+      g_direction       => "ADD",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_delay - 1,  -- >= 0
+      g_in_dat_w        => g_rd_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_a     => add0,
+      in_b     => add1,
+      result   => add_out
+    );
 
---  sub : ENTITY common_lib.common_addsub
---  GENERIC MAP (
---    g_in_a_w   => sub0'LENGTH,
---    g_in_b_w   => sub1'LENGTH,
---    g_out_c_w  => sub_out'LENGTH,
---    g_pipeline => c_add_delay-1,
---    g_add_sub  => "SUB"
---  )
---  PORT MAP (
---    in_a       => sub0,
---    in_b       => sub1,
---    in_cry     => '1',
---    out_c      => sub_out,
---    clk        => clk
---  );
+  --  sub : ENTITY common_lib.common_addsub
+  --  GENERIC MAP (
+  --    g_in_a_w   => sub0'LENGTH,
+  --    g_in_b_w   => sub1'LENGTH,
+  --    g_out_c_w  => sub_out'LENGTH,
+  --    g_pipeline => c_add_delay-1,
+  --    g_add_sub  => "SUB"
+  --  )
+  --  PORT MAP (
+  --    in_a       => sub0,
+  --    in_b       => sub1,
+  --    in_cry     => '1',
+  --    out_c      => sub_out,
+  --    clk        => clk
+  --  );
 
   sub : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "SUB",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,  -- 0 or 1
-    g_pipeline_output => c_add_delay - 1,  -- >= 0
-    g_in_dat_w        => g_rd_dat_w,
-    g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
-  )
-  port map (
-    clk      => clk,
-    in_a     => sub0,
-    in_b     => sub1,
-    result   => sub_out
-  );
+    generic map (
+      g_direction       => "SUB",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,  -- 0 or 1
+      g_pipeline_output => c_add_delay - 1,  -- >= 0
+      g_in_dat_w        => g_rd_dat_w,
+      g_out_dat_w       => g_out_dat_w  -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+    )
+    port map (
+      clk      => clk,
+      in_a     => sub0,
+      in_b     => sub1,
+      result   => sub_out
+    );
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_stage(str).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_stage(str).vhd
index 6a4eb2c67a..d0c1d3ec7f 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_stage(str).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_stage(str).vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library common_lib, pft2_lib;
-use common_lib.all;
+  use common_lib.all;
 
 architecture str of pft_stage is
 
@@ -46,63 +46,63 @@ begin
   gen_middle: if g_index > 0 generate
 
     bf1 : entity pft2_lib.pft_bf
-    generic map (
-      g_index          => 2 * g_index + 1,
-      g_in_dat_w       => g_in_dat_w,
-      g_out_dat_w      => c_bf1_out_w,
-      g_bf_name        => "bf1"
-    )
-    port map (
-      in_re            => in_re,
-      in_im            => in_im,
-      in_val           => in_val,
-      in_sync          => in_sync,
-      out_re           => bf1_re,
-      out_im           => bf1_im,
-      out_val          => bf1_val,
-      out_sync         => bf1_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index + 1,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_bf1_out_w,
+        g_bf_name        => "bf1"
+      )
+      port map (
+        in_re            => in_re,
+        in_im            => in_im,
+        in_val           => in_val,
+        in_sync          => in_sync,
+        out_re           => bf1_re,
+        out_im           => bf1_im,
+        out_val          => bf1_val,
+        out_sync         => bf1_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     bf2 : entity pft2_lib.pft_bf
-    generic map (
-      g_index          => 2 * g_index,
-      g_in_dat_w       => c_bf1_out_w,
-      g_out_dat_w      => c_bf2_out_w,
-      g_bf_name        => "bf2"
-    )
-    port map (
-      in_re            => bf1_re,
-      in_im            => bf1_im,
-      in_val           => bf1_val,
-      in_sync          => bf1_sync,
-      out_re           => bf2_re,
-      out_im           => bf2_im,
-      out_val          => bf2_val,
-      out_sync         => bf2_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index,
+        g_in_dat_w       => c_bf1_out_w,
+        g_out_dat_w      => c_bf2_out_w,
+        g_bf_name        => "bf2"
+      )
+      port map (
+        in_re            => bf1_re,
+        in_im            => bf1_im,
+        in_val           => bf1_val,
+        in_sync          => bf1_sync,
+        out_re           => bf2_re,
+        out_im           => bf2_im,
+        out_val          => bf2_val,
+        out_sync         => bf2_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     tmult : entity pft2_lib.pft_tmult
-    generic map (
-      g_in_dat_w     => c_bf2_out_w,
-      g_out_dat_w    => g_out_dat_w,
-      g_index        => g_index
-    )
-    port map (
-      in_re          => bf2_re,
-      in_im          => bf2_im,
-      in_val         => bf2_val,
-      in_sync        => bf2_sync,
-      out_re         => out_re,
-      out_im         => out_im,
-      out_val        => out_val,
-      out_sync       => out_sync,
-      clk            => clk,
-      rst            => rst
-    );
+      generic map (
+        g_in_dat_w     => c_bf2_out_w,
+        g_out_dat_w    => g_out_dat_w,
+        g_index        => g_index
+      )
+      port map (
+        in_re          => bf2_re,
+        in_im          => bf2_im,
+        in_val         => bf2_val,
+        in_sync        => bf2_sync,
+        out_re         => out_re,
+        out_im         => out_im,
+        out_val        => out_val,
+        out_sync       => out_sync,
+        clk            => clk,
+        rst            => rst
+      );
   end generate;
 
   gen_last: if g_index = 0 generate
@@ -113,62 +113,62 @@ begin
   begin
 
     bf1_fw : entity pft2_lib.pft_bf_fw
-    generic map (
-      g_index          => 2 * g_index + 1,
-      g_in_dat_w       => g_in_dat_w,
-      g_out_dat_w      => c_bf1_out_w,
-      g_bf_name        => "bf1"
-    )
-    port map (
-      in_re            => in_re,
-      in_im            => in_im,
-      in_val           => in_val,
-      in_sync          => in_sync,
-      out_re           => bf1_re,
-      out_im           => bf1_im,
-      out_val          => bf1_val,
-      out_sync         => bf1_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index + 1,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_bf1_out_w,
+        g_bf_name        => "bf1"
+      )
+      port map (
+        in_re            => in_re,
+        in_im            => in_im,
+        in_val           => in_val,
+        in_sync          => in_sync,
+        out_re           => bf1_re,
+        out_im           => bf1_im,
+        out_val          => bf1_val,
+        out_sync         => bf1_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     bf2_fw : entity pft2_lib.pft_bf_fw
-    generic map (
-      g_index          => 2 * g_index,
-      g_in_dat_w       => c_bf1_out_w,
-      g_out_dat_w      => c_bf2_out_w,
-      g_bf_name        => "bf2"
-    )
-    port map (
-      in_re            => bf1_re,
-      in_im            => bf1_im,
-      in_val           => bf1_val,
-      in_sync          => bf1_sync,
-      out_re           => bf2_re,
-      out_im           => bf2_im,
-      out_val          => bf2_val,
-      out_sync         => bf2_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index,
+        g_in_dat_w       => c_bf1_out_w,
+        g_out_dat_w      => c_bf2_out_w,
+        g_bf_name        => "bf2"
+      )
+      port map (
+        in_re            => bf1_re,
+        in_im            => bf1_im,
+        in_val           => bf1_val,
+        in_sync          => bf1_sync,
+        out_re           => bf2_re,
+        out_im           => bf2_im,
+        out_val          => bf2_val,
+        out_sync         => bf2_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     u_rnd : entity common_lib.common_complex_round
-    generic map (
-      g_representation  => "SIGNED",
-      g_round           => true,
-      g_round_clip      => false,
-      g_pipeline_input  => c_round_pipeline_in,
-      g_pipeline_output => c_round_pipeline_out,
-      g_in_dat_w        => c_bf2_out_w,
-      g_out_dat_w       => g_out_dat_w
-    )
-    port map (
-      in_re          => bf2_re,
-      in_im          => bf2_im,
-      out_re         => out_re,
-      out_im         => out_im,
-      clk            => clk
-    );
+      generic map (
+        g_representation  => "SIGNED",
+        g_round           => true,
+        g_round_clip      => false,
+        g_pipeline_input  => c_round_pipeline_in,
+        g_pipeline_output => c_round_pipeline_out,
+        g_in_dat_w        => c_bf2_out_w,
+        g_out_dat_w       => g_out_dat_w
+      )
+      port map (
+        in_re          => bf2_re,
+        in_im          => bf2_im,
+        out_re         => out_re,
+        out_im         => out_im,
+        clk            => clk
+      );
 
     p_regs: process(clk,rst)
     begin
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_stage.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_stage.vhd
index 80b92de2b0..1eadab6c6e 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_stage.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_stage.vhd
@@ -24,7 +24,7 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity pft_stage is
@@ -44,7 +44,7 @@ entity pft_stage is
     out_sync         : out std_logic;
     clk              : in  std_logic;
     rst              : in  std_logic
- );
+  );
 end pft_stage;
 
 
@@ -72,63 +72,63 @@ begin
   gen_middle: if g_index > 0 generate
 
     bf1 : entity work.pft_bf
-    generic map (
-      g_index          => 2 * g_index + 1,
-      g_in_dat_w       => g_in_dat_w,
-      g_out_dat_w      => c_bf1_out_w,
-      g_bf_name        => "bf1"
-    )
-    port map (
-      in_re            => in_re,
-      in_im            => in_im,
-      in_val           => in_val,
-      in_sync          => in_sync,
-      out_re           => bf1_re,
-      out_im           => bf1_im,
-      out_val          => bf1_val,
-      out_sync         => bf1_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index + 1,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_bf1_out_w,
+        g_bf_name        => "bf1"
+      )
+      port map (
+        in_re            => in_re,
+        in_im            => in_im,
+        in_val           => in_val,
+        in_sync          => in_sync,
+        out_re           => bf1_re,
+        out_im           => bf1_im,
+        out_val          => bf1_val,
+        out_sync         => bf1_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     bf2 : entity work.pft_bf
-    generic map (
-      g_index          => 2 * g_index,
-      g_in_dat_w       => c_bf1_out_w,
-      g_out_dat_w      => c_bf2_out_w,
-      g_bf_name        => "bf2"
-    )
-    port map (
-      in_re            => bf1_re,
-      in_im            => bf1_im,
-      in_val           => bf1_val,
-      in_sync          => bf1_sync,
-      out_re           => bf2_re,
-      out_im           => bf2_im,
-      out_val          => bf2_val,
-      out_sync         => bf2_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index,
+        g_in_dat_w       => c_bf1_out_w,
+        g_out_dat_w      => c_bf2_out_w,
+        g_bf_name        => "bf2"
+      )
+      port map (
+        in_re            => bf1_re,
+        in_im            => bf1_im,
+        in_val           => bf1_val,
+        in_sync          => bf1_sync,
+        out_re           => bf2_re,
+        out_im           => bf2_im,
+        out_val          => bf2_val,
+        out_sync         => bf2_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     tmult : entity work.pft_tmult
-    generic map (
-      g_in_dat_w     => c_bf2_out_w,
-      g_out_dat_w    => g_out_dat_w,
-      g_index        => g_index
-    )
-    port map (
-      in_re          => bf2_re,
-      in_im          => bf2_im,
-      in_val         => bf2_val,
-      in_sync        => bf2_sync,
-      out_re         => out_re,
-      out_im         => out_im,
-      out_val        => out_val,
-      out_sync       => out_sync,
-      clk            => clk,
-      rst            => rst
-    );
+      generic map (
+        g_in_dat_w     => c_bf2_out_w,
+        g_out_dat_w    => g_out_dat_w,
+        g_index        => g_index
+      )
+      port map (
+        in_re          => bf2_re,
+        in_im          => bf2_im,
+        in_val         => bf2_val,
+        in_sync        => bf2_sync,
+        out_re         => out_re,
+        out_im         => out_im,
+        out_val        => out_val,
+        out_sync       => out_sync,
+        clk            => clk,
+        rst            => rst
+      );
   end generate;
 
   gen_last: if g_index = 0 generate
@@ -139,62 +139,62 @@ begin
   begin
 
     bf1_fw : entity work.pft_bf_fw
-    generic map (
-      g_index          => 2 * g_index + 1,
-      g_in_dat_w       => g_in_dat_w,
-      g_out_dat_w      => c_bf1_out_w,
-      g_bf_name        => "bf1"
-    )
-    port map (
-      in_re            => in_re,
-      in_im            => in_im,
-      in_val           => in_val,
-      in_sync          => in_sync,
-      out_re           => bf1_re,
-      out_im           => bf1_im,
-      out_val          => bf1_val,
-      out_sync         => bf1_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index + 1,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_bf1_out_w,
+        g_bf_name        => "bf1"
+      )
+      port map (
+        in_re            => in_re,
+        in_im            => in_im,
+        in_val           => in_val,
+        in_sync          => in_sync,
+        out_re           => bf1_re,
+        out_im           => bf1_im,
+        out_val          => bf1_val,
+        out_sync         => bf1_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     bf2_fw : entity work.pft_bf_fw
-    generic map (
-      g_index          => 2 * g_index,
-      g_in_dat_w       => c_bf1_out_w,
-      g_out_dat_w      => c_bf2_out_w,
-      g_bf_name        => "bf2"
-    )
-    port map (
-      in_re            => bf1_re,
-      in_im            => bf1_im,
-      in_val           => bf1_val,
-      in_sync          => bf1_sync,
-      out_re           => bf2_re,
-      out_im           => bf2_im,
-      out_val          => bf2_val,
-      out_sync         => bf2_sync,
-      clk              => clk,
-      rst              => rst
-    );
+      generic map (
+        g_index          => 2 * g_index,
+        g_in_dat_w       => c_bf1_out_w,
+        g_out_dat_w      => c_bf2_out_w,
+        g_bf_name        => "bf2"
+      )
+      port map (
+        in_re            => bf1_re,
+        in_im            => bf1_im,
+        in_val           => bf1_val,
+        in_sync          => bf1_sync,
+        out_re           => bf2_re,
+        out_im           => bf2_im,
+        out_val          => bf2_val,
+        out_sync         => bf2_sync,
+        clk              => clk,
+        rst              => rst
+      );
 
     u_rnd : entity common_lib.common_complex_round
-    generic map (
-      g_representation  => "SIGNED",
-      g_round           => true,
-      g_round_clip      => false,
-      g_pipeline_input  => c_round_pipeline_in,
-      g_pipeline_output => c_round_pipeline_out,
-      g_in_dat_w        => c_bf2_out_w,
-      g_out_dat_w       => g_out_dat_w
-    )
-    port map (
-      in_re          => bf2_re,
-      in_im          => bf2_im,
-      out_re         => out_re,
-      out_im         => out_im,
-      clk            => clk
-    );
+      generic map (
+        g_representation  => "SIGNED",
+        g_round           => true,
+        g_round_clip      => false,
+        g_pipeline_input  => c_round_pipeline_in,
+        g_pipeline_output => c_round_pipeline_out,
+        g_in_dat_w        => c_bf2_out_w,
+        g_out_dat_w       => g_out_dat_w
+      )
+      port map (
+        in_re          => bf2_re,
+        in_im          => bf2_im,
+        out_re         => out_re,
+        out_im         => out_im,
+        clk            => clk
+      );
 
     p_regs: process(clk,rst)
     begin
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd
index e7243c4796..82c0d319fc 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd
@@ -1,23 +1,23 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library pft2_lib;
-use pft2_lib.all;
+  use pft2_lib.all;
 
 architecture rtl of pft_switch is
 
-signal cnt         : std_logic_vector(g_fft_sz_w downto 0);
-signal nxt_cnt     : std_logic_vector(cnt'range);
+  signal cnt         : std_logic_vector(g_fft_sz_w downto 0);
+  signal nxt_cnt     : std_logic_vector(cnt'range);
 
-signal lfsr_bit1   : std_logic;
-signal lfsr_bit2   : std_logic;
-signal lfsr_en     : std_logic;
+  signal lfsr_bit1   : std_logic;
+  signal lfsr_bit2   : std_logic;
+  signal lfsr_en     : std_logic;
 
-signal nxt_out_val : std_logic;
-signal nxt_out_sync : std_logic;
-signal nxt_out_re   : std_logic_vector(in_re'range);
-signal nxt_out_im   : std_logic_vector(in_im'range);
+  signal nxt_out_val : std_logic;
+  signal nxt_out_sync : std_logic;
+  signal nxt_out_re   : std_logic_vector(in_re'range);
+  signal nxt_out_im   : std_logic_vector(in_im'range);
 
 begin
 
@@ -76,13 +76,13 @@ begin
   end process;
 
   lfsr: entity pft2_lib.pft_lfsr
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_en    => lfsr_en,
-    out_bit1 => lfsr_bit1,
-    out_bit2 => lfsr_bit2
-  );
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_en    => lfsr_en,
+      out_bit1 => lfsr_bit1,
+      out_bit2 => lfsr_bit2
+    );
 
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd
index 2932e0745d..cb0f186bf8 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd
@@ -25,8 +25,8 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity pft_switch is
   generic (
@@ -52,17 +52,17 @@ end pft_switch;
 
 architecture rtl of pft_switch is
 
-signal cnt         : std_logic_vector(g_fft_sz_w downto 0);
-signal nxt_cnt     : std_logic_vector(cnt'range);
+  signal cnt         : std_logic_vector(g_fft_sz_w downto 0);
+  signal nxt_cnt     : std_logic_vector(cnt'range);
 
-signal lfsr_bit1   : std_logic;
-signal lfsr_bit2   : std_logic;
-signal lfsr_en     : std_logic;
+  signal lfsr_bit1   : std_logic;
+  signal lfsr_bit2   : std_logic;
+  signal lfsr_en     : std_logic;
 
-signal nxt_out_val : std_logic;
-signal nxt_out_sync : std_logic;
-signal nxt_out_re   : std_logic_vector(in_re'range);
-signal nxt_out_im   : std_logic_vector(in_im'range);
+  signal nxt_out_val : std_logic;
+  signal nxt_out_sync : std_logic;
+  signal nxt_out_re   : std_logic_vector(in_re'range);
+  signal nxt_out_im   : std_logic_vector(in_im'range);
 
 begin
 
@@ -121,13 +121,13 @@ begin
   end process;
 
   lfsr: entity work.pft_lfsr
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_en    => lfsr_en,
-    out_bit1 => lfsr_bit1,
-    out_bit2 => lfsr_bit2
-  );
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_en    => lfsr_en,
+      out_bit1 => lfsr_bit1,
+      out_bit2 => lfsr_bit2
+    );
 
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult(rtl).vhd
index d77f34405f..8043cffcfb 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult(rtl).vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_mult_lib;
 library common_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 architecture rtl of pft_tmult is
 
@@ -37,15 +37,17 @@ architecture rtl of pft_tmult is
   constant c_coeff_w        : natural := 16;
   constant c_mult_out_w     : natural := c_mult_in_w + c_coeff_w - 1;
 
-  constant c_twid_rom       : t_c_mem := (latency  => 2,
-                                          adr_w    => c_adr_w,
-                                          dat_w    => 2 * c_coeff_w,  -- complex
-                                          nof_dat  => 3 * c_nof_twids / 4,  -- <= 2**g_addr_w
-                                          init_sl  => '0');
+  constant c_twid_rom       : t_c_mem := (
+    latency  => 2,
+    adr_w    => c_adr_w,
+    dat_w    => 2 * c_coeff_w,  -- complex
+    nof_dat  => 3 * c_nof_twids / 4,  -- <= 2**g_addr_w
+    init_sl  => '0'
+  );
 
   constant c_twid_file      : string  :=
-    "data/twiddle_" & natural'image(c_coeff_w)
-    & "_" & natural'image(g_index) & ".hex";  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
+                                         "data/twiddle_" & natural'image(c_coeff_w)
+                                         & "_" & natural'image(g_index) & ".hex";  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
   --CONSTANT c_twid_file      : STRING  :=
   --  "../../../../../pft2/src/data/twiddle_" & NATURAL'IMAGE(c_coeff_w)
   --  & "_" & NATURAL'IMAGE(g_index) & ".bin";    -- Synplify fails on file extension change to .bin in common_rom and requires extra ../
@@ -130,73 +132,73 @@ begin
   out_sync      <= reg_sync(0);
 
   u_coeff : entity common_lib.common_rom
-  generic map (
-    g_ram        => c_twid_rom,
-    g_init_file  => c_twid_file
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    rd_adr       => adr,
-    rd_dat       => coeff_dat
-  );
+    generic map (
+      g_ram        => c_twid_rom,
+      g_init_file  => c_twid_file
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      rd_adr       => adr,
+      rd_dat       => coeff_dat
+    );
 
   u_rnd1 : entity common_lib.common_complex_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_pipeline_input  => c_round_pipeline_in,
-    g_pipeline_output => c_round_pipeline_out,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => c_mult_in_w
-  )
-  port map (
-    in_re          => in_re,
-    in_im          => in_im,
-    out_re         => mult_in_re,
-    out_im         => mult_in_im,
-    clk            => clk
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_pipeline_input  => c_round_pipeline_in,
+      g_pipeline_output => c_round_pipeline_out,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => c_mult_in_w
+    )
+    port map (
+      in_re          => in_re,
+      in_im          => in_im,
+      out_re         => mult_in_re,
+      out_im         => mult_in_im,
+      clk            => clk
+    );
 
   u_cmult : entity common_mult_lib.common_complex_mult
-  generic map (
-    g_variant     => "IP",
-    g_in_a_w      => c_mult_in_w,
-    g_in_b_w      => c_coeff_w,
-    g_out_p_w     => c_mult_out_w,
-    g_conjugate_b => false,
-    g_pipeline_input   => c_mult_pipeline_input,  -- 0 or 1
-    g_pipeline_product => c_mult_pipeline_product,  -- 0 or 1
-    g_pipeline_adder   => c_mult_pipeline_adder,  -- 0 or 1
-    g_pipeline_output  => c_mult_pipeline_output  -- >= 0
-  )
-  port map (
-    in_ar         => mult_in_re,
-    in_ai         => mult_in_im,
-    in_br         => coeff_re,
-    in_bi         => coeff_im,
-    out_pr        => mult_out_re,
-    out_pi        => mult_out_im,
-    clk           => clk
-  );
+    generic map (
+      g_variant     => "IP",
+      g_in_a_w      => c_mult_in_w,
+      g_in_b_w      => c_coeff_w,
+      g_out_p_w     => c_mult_out_w,
+      g_conjugate_b => false,
+      g_pipeline_input   => c_mult_pipeline_input,  -- 0 or 1
+      g_pipeline_product => c_mult_pipeline_product,  -- 0 or 1
+      g_pipeline_adder   => c_mult_pipeline_adder,  -- 0 or 1
+      g_pipeline_output  => c_mult_pipeline_output  -- >= 0
+    )
+    port map (
+      in_ar         => mult_in_re,
+      in_ai         => mult_in_im,
+      in_br         => coeff_re,
+      in_bi         => coeff_im,
+      out_pr        => mult_out_re,
+      out_pi        => mult_out_im,
+      clk           => clk
+    );
 
   u_rnd2 : entity common_lib.common_complex_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_pipeline_input  => c_round_pipeline_in,
-    g_pipeline_output => c_round_pipeline_out,
-    g_in_dat_w        => c_mult_out_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    in_re          => mult_out_re,
-    in_im          => mult_out_im,
-    out_re         => out_re,
-    out_im         => out_im,
-    clk            => clk
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_pipeline_input  => c_round_pipeline_in,
+      g_pipeline_output => c_round_pipeline_out,
+      g_in_dat_w        => c_mult_out_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      in_re          => mult_out_re,
+      in_im          => mult_out_im,
+      out_re         => out_re,
+      out_im         => out_im,
+      clk            => clk
+    );
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult.vhd
index fbe5a2b8f3..1a4a93fdc1 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_tmult.vhd
@@ -24,14 +24,14 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library common_mult_lib;
 library common_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.pft_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.pft_pkg.all;
 
 
 entity pft_tmult is
@@ -64,15 +64,17 @@ architecture rtl of pft_tmult is
   constant c_coeff_w        : natural := c_pft_twiddle_w;
   constant c_mult_out_w     : natural := c_mult_in_w + c_coeff_w - 1;
 
-  constant c_twid_rom       : t_c_mem := (latency  => 2,
-                                          adr_w    => c_adr_w,
-                                          dat_w    => 2 * c_coeff_w,  -- complex
-                                          nof_dat  => 3 * c_nof_twids / 4,  -- <= 2**g_addr_w
-                                          init_sl  => '0');
+  constant c_twid_rom       : t_c_mem := (
+    latency  => 2,
+    adr_w    => c_adr_w,
+    dat_w    => 2 * c_coeff_w,  -- complex
+    nof_dat  => 3 * c_nof_twids / 4,  -- <= 2**g_addr_w
+    init_sl  => '0'
+  );
 
   constant c_twid_file      : string  :=
-    "data/twiddle_" & natural'image(c_coeff_w)
-    & "_" & natural'image(g_index) & ".hex";  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
+                                         "data/twiddle_" & natural'image(c_coeff_w)
+                                         & "_" & natural'image(g_index) & ".hex";  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
   --CONSTANT c_twid_file      : STRING  :=
   --  "../../../../../pft2/src/data/twiddle_" & NATURAL'IMAGE(c_coeff_w)
   --  & "_" & NATURAL'IMAGE(g_index) & ".bin";    -- Synplify fails on file extension change to .bin in common_rom and requires extra ../
@@ -157,73 +159,73 @@ begin
   out_sync      <= reg_sync(0);
 
   u_coeff : entity common_lib.common_rom
-  generic map (
-    g_ram        => c_twid_rom,
-    g_init_file  => c_twid_file
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    rd_adr       => adr,
-    rd_dat       => coeff_dat
-  );
+    generic map (
+      g_ram        => c_twid_rom,
+      g_init_file  => c_twid_file
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      rd_adr       => adr,
+      rd_dat       => coeff_dat
+    );
 
   u_rnd1 : entity common_lib.common_complex_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_pipeline_input  => c_round_pipeline_in,
-    g_pipeline_output => c_round_pipeline_out,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => c_mult_in_w
-  )
-  port map (
-    in_re          => in_re,
-    in_im          => in_im,
-    out_re         => mult_in_re,
-    out_im         => mult_in_im,
-    clk            => clk
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_pipeline_input  => c_round_pipeline_in,
+      g_pipeline_output => c_round_pipeline_out,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => c_mult_in_w
+    )
+    port map (
+      in_re          => in_re,
+      in_im          => in_im,
+      out_re         => mult_in_re,
+      out_im         => mult_in_im,
+      clk            => clk
+    );
 
   u_cmult : entity common_mult_lib.common_complex_mult
-  generic map (
-    g_variant     => "IP",
-    g_in_a_w      => c_mult_in_w,
-    g_in_b_w      => c_coeff_w,
-    g_out_p_w     => c_mult_out_w,
-    g_conjugate_b => false,
-    g_pipeline_input   => c_mult_pipeline_input,  -- 0 or 1
-    g_pipeline_product => c_mult_pipeline_product,  -- 0 or 1
-    g_pipeline_adder   => c_mult_pipeline_adder,  -- 0 or 1
-    g_pipeline_output  => c_mult_pipeline_output  -- >= 0
-  )
-  port map (
-    in_ar         => mult_in_re,
-    in_ai         => mult_in_im,
-    in_br         => coeff_re,
-    in_bi         => coeff_im,
-    out_pr        => mult_out_re,
-    out_pi        => mult_out_im,
-    clk           => clk
-  );
+    generic map (
+      g_variant     => "IP",
+      g_in_a_w      => c_mult_in_w,
+      g_in_b_w      => c_coeff_w,
+      g_out_p_w     => c_mult_out_w,
+      g_conjugate_b => false,
+      g_pipeline_input   => c_mult_pipeline_input,  -- 0 or 1
+      g_pipeline_product => c_mult_pipeline_product,  -- 0 or 1
+      g_pipeline_adder   => c_mult_pipeline_adder,  -- 0 or 1
+      g_pipeline_output  => c_mult_pipeline_output  -- >= 0
+    )
+    port map (
+      in_ar         => mult_in_re,
+      in_ai         => mult_in_im,
+      in_br         => coeff_re,
+      in_bi         => coeff_im,
+      out_pr        => mult_out_re,
+      out_pi        => mult_out_im,
+      clk           => clk
+    );
 
   u_rnd2 : entity common_lib.common_complex_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_pipeline_input  => c_round_pipeline_in,
-    g_pipeline_output => c_round_pipeline_out,
-    g_in_dat_w        => c_mult_out_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    in_re          => mult_out_re,
-    in_im          => mult_out_im,
-    out_re         => out_re,
-    out_im         => out_im,
-    clk            => clk
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_pipeline_input  => c_round_pipeline_in,
+      g_pipeline_output => c_round_pipeline_out,
+      g_in_dat_w        => c_mult_out_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      in_re          => mult_out_re,
+      in_im          => mult_out_im,
+      out_re         => out_re,
+      out_im         => out_im,
+      clk            => clk
+    );
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_top(str).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_top(str).vhd
index 7eb587edd0..8281741685 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_top(str).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_top(str).vhd
@@ -1,6 +1,6 @@
 library IEEE, common_lib, pft2_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 architecture str of pft_top is
@@ -37,24 +37,24 @@ begin
   end process;
 
   u_pft : entity pft2_lib.pft
-  generic map (
-    g_fft_size_w => g_fft_size_w,
-    g_in_dat_w   => g_in_dat_w,
-    g_out_dat_w  => g_out_dat_w,
-    g_mode       => g_mode
-  )
-  port map (
-    in_re        => reg_in_re,
-    in_im        => reg_in_im,
-    in_val       => reg_in_val,
-    in_sync      => reg_in_sync,
-    switch_en    => switch_en,
-    out_re       => d_out_re,
-    out_im       => d_out_im,
-    out_val      => d_out_val,
-    out_sync     => d_out_sync,
-    clk          => clk,
-    rst          => rst
-  );
+    generic map (
+      g_fft_size_w => g_fft_size_w,
+      g_in_dat_w   => g_in_dat_w,
+      g_out_dat_w  => g_out_dat_w,
+      g_mode       => g_mode
+    )
+    port map (
+      in_re        => reg_in_re,
+      in_im        => reg_in_im,
+      in_val       => reg_in_val,
+      in_sync      => reg_in_sync,
+      switch_en    => switch_en,
+      out_re       => d_out_re,
+      out_im       => d_out_im,
+      out_val      => d_out_val,
+      out_sync     => d_out_sync,
+      clk          => clk,
+      rst          => rst
+    );
 
 end str;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_top.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_top.vhd
index cf7f913ddb..01e61cd346 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_top.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_top.vhd
@@ -1,9 +1,9 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library pft2_lib;
-use pft2_lib.pft_pkg.all;
+  use pft2_lib.pft_pkg.all;
 
 entity pft_top is
   generic (
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd
index 896495e46a..cd507cdea1 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd
@@ -1,24 +1,24 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library pft2_lib;
-use pft2_lib.all;
+  use pft2_lib.all;
 
 architecture rtl of pft_unswitch is
 
-signal cnt          : std_logic_vector(g_fft_sz_w downto 0);
-signal nxt_cnt      : std_logic_vector(cnt'range);
+  signal cnt          : std_logic_vector(g_fft_sz_w downto 0);
+  signal nxt_cnt      : std_logic_vector(cnt'range);
 
-signal lfsr_bit1    : std_logic;
-signal lfsr_bit2    : std_logic;
+  signal lfsr_bit1    : std_logic;
+  signal lfsr_bit2    : std_logic;
 
-signal lfsr_en      : std_logic;
+  signal lfsr_en      : std_logic;
 
-signal nxt_out_val  : std_logic;
-signal nxt_out_sync : std_logic;
-signal nxt_out_re   : std_logic_vector(in_re'range);
-signal nxt_out_im   : std_logic_vector(in_im'range);
+  signal nxt_out_val  : std_logic;
+  signal nxt_out_sync : std_logic;
+  signal nxt_out_re   : std_logic_vector(in_re'range);
+  signal nxt_out_im   : std_logic_vector(in_im'range);
 
 begin
 
@@ -66,19 +66,19 @@ begin
     nxt_out_im   <= in_im;
     if    ((cnt(0) = '0' and cnt(cnt'high) = lfsr_bit1)
        or (cnt(0) = '1' and cnt(cnt'high) = lfsr_bit2)) and (switch_en = '1') then
-        nxt_out_re <= std_logic_vector(-signed(in_re));
-        nxt_out_im <= std_logic_vector(-signed(in_im));
+      nxt_out_re <= std_logic_vector(-signed(in_re));
+      nxt_out_im <= std_logic_vector(-signed(in_im));
     end if;
   end process;
 
   lfsr: entity pft2_lib.pft_lfsr
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_en    => lfsr_en,
-    out_bit1 => lfsr_bit1,
-    out_bit2 => lfsr_bit2
-  );
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_en    => lfsr_en,
+      out_bit1 => lfsr_bit1,
+      out_bit2 => lfsr_bit2
+    );
 
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd
index 81add2c981..33749dd011 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd
@@ -24,8 +24,8 @@
 -- Remark: Put entity and architecture in same file without () in file name.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity pft_unswitch is
@@ -52,18 +52,18 @@ end pft_unswitch;
 
 architecture rtl of pft_unswitch is
 
-signal cnt          : std_logic_vector(g_fft_sz_w downto 0);
-signal nxt_cnt      : std_logic_vector(cnt'range);
+  signal cnt          : std_logic_vector(g_fft_sz_w downto 0);
+  signal nxt_cnt      : std_logic_vector(cnt'range);
 
-signal lfsr_bit1    : std_logic;
-signal lfsr_bit2    : std_logic;
+  signal lfsr_bit1    : std_logic;
+  signal lfsr_bit2    : std_logic;
 
-signal lfsr_en      : std_logic;
+  signal lfsr_en      : std_logic;
 
-signal nxt_out_val  : std_logic;
-signal nxt_out_sync : std_logic;
-signal nxt_out_re   : std_logic_vector(in_re'range);
-signal nxt_out_im   : std_logic_vector(in_im'range);
+  signal nxt_out_val  : std_logic;
+  signal nxt_out_sync : std_logic;
+  signal nxt_out_re   : std_logic_vector(in_re'range);
+  signal nxt_out_im   : std_logic_vector(in_im'range);
 
 begin
 
@@ -111,19 +111,19 @@ begin
     nxt_out_im   <= in_im;
     if    ((cnt(0) = '0' and cnt(cnt'high) = lfsr_bit1)
        or (cnt(0) = '1' and cnt(cnt'high) = lfsr_bit2)) and (switch_en = '1') then
-        nxt_out_re <= std_logic_vector(-signed(in_re));
-        nxt_out_im <= std_logic_vector(-signed(in_im));
+      nxt_out_re <= std_logic_vector(-signed(in_re));
+      nxt_out_im <= std_logic_vector(-signed(in_im));
     end if;
   end process;
 
   lfsr: entity work.pft_lfsr
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_en    => lfsr_en,
-    out_bit1 => lfsr_bit1,
-    out_bit2 => lfsr_bit2
-  );
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_en    => lfsr_en,
+      out_bit1 => lfsr_bit1,
+      out_bit2 => lfsr_bit2
+    );
 
 
 end rtl;
diff --git a/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft.vhd b/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft.vhd
index 3f6757eda4..72b7516383 100644
--- a/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft.vhd
+++ b/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft.vhd
@@ -1,7 +1,7 @@
 library ieee, pfs_lib, pft2_lib, tst_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use pft2_lib.pft_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use pft2_lib.pft_pkg.all;
 
 entity tb_pft is
   generic (
@@ -54,65 +54,65 @@ begin
 
 
   in_dat: entity tst_lib.tst_input
-  generic map (
-    g_file_name  => c_pft_in_file,
-    g_data_width => g_in_w
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    en       => '1',
-    out_dat1  => in_x,
-    out_dat2  => in_y,
-    out_val  => open  -- in_val
-  );
+    generic map (
+      g_file_name  => c_pft_in_file,
+      g_data_width => g_in_w
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      en       => '1',
+      out_dat1  => in_x,
+      out_dat2  => in_y,
+      out_val  => open  -- in_val
+    );
 
   in_val  <= val;
   in_sync <= '0';
 
   pfs : entity pfs_lib.pfs
-  generic map (
-    g_nof_bands              => 2**g_fft_size_w,  -- 2*g_nof_subbands,
-    g_nof_taps               => 2**g_fft_size_w * 16,  -- 2*16*g_nof_subbands,
-    g_in_dat_w               => g_in_w,
-    g_out_dat_w              => g_pfs_w,
-    g_coef_dat_w             => g_pfs_coef_w
-  )
-  port map (
-    in_dat_x                 => in_x,
-    in_dat_y                 => in_y,
-    in_val                   => in_val,
-    in_sync                  => in_sync,
-    out_dat_x                => pfs_x,
-    out_dat_y                => pfs_y,
-    out_val                  => pfs_val,
-    out_sync                 => pfs_sync,
-    clk                      => clk,
-    rst                      => rst,
-    restart                  => '0'
-  );
+    generic map (
+      g_nof_bands              => 2**g_fft_size_w,  -- 2*g_nof_subbands,
+      g_nof_taps               => 2**g_fft_size_w * 16,  -- 2*16*g_nof_subbands,
+      g_in_dat_w               => g_in_w,
+      g_out_dat_w              => g_pfs_w,
+      g_coef_dat_w             => g_pfs_coef_w
+    )
+    port map (
+      in_dat_x                 => in_x,
+      in_dat_y                 => in_y,
+      in_val                   => in_val,
+      in_sync                  => in_sync,
+      out_dat_x                => pfs_x,
+      out_dat_y                => pfs_y,
+      out_val                  => pfs_val,
+      out_sync                 => pfs_sync,
+      clk                      => clk,
+      rst                      => rst,
+      restart                  => '0'
+    );
 
 
   pft : entity pft2_lib.pft
-  generic map (
-    g_fft_size_w   => g_fft_size_w,
-    g_in_dat_w     => g_pfs_w,
-    g_out_dat_w    => g_out_w,
-    g_mode         => PFT_MODE_REAL2
-  )
-  port map (
-    in_re          => pfs_x,
-    in_im          => pfs_y,
-    in_val         => pfs_val,
-    in_sync        => pfs_sync,
-    switch_en      => '1',
-    out_re         => out_re,
-    out_im         => out_im,
-    out_val        => out_val,
-    out_sync       => out_sync,
-    clk            => clk,
-    rst            => rst
-  );
+    generic map (
+      g_fft_size_w   => g_fft_size_w,
+      g_in_dat_w     => g_pfs_w,
+      g_out_dat_w    => g_out_w,
+      g_mode         => PFT_MODE_REAL2
+    )
+    port map (
+      in_re          => pfs_x,
+      in_im          => pfs_y,
+      in_val         => pfs_val,
+      in_sync        => pfs_sync,
+      switch_en      => '1',
+      out_re         => out_re,
+      out_im         => out_im,
+      out_val        => out_val,
+      out_sync       => out_sync,
+      clk            => clk,
+      rst            => rst
+    );
 
 --   out_dat: ENTITY tst_lib.tst_output
 --   GENERIC MAP (
diff --git a/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft2.vhd b/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft2.vhd
index 3fbd80e15a..7830122bf9 100644
--- a/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft2.vhd
+++ b/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft2.vhd
@@ -32,11 +32,11 @@
 -- - The tb works OK for all three PFT modes.
 
 library IEEE, tst_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.pft_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.pft_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_pft2 is
   generic (
@@ -87,7 +87,7 @@ entity tb_pft2 is
     --g_name_y   : STRING  := "u_noise";
 
     g_repeat   : natural := 2  -- minimal 2 due to PFT latency
-    --g_repeat   : NATURAL := 10  -- > c_nof_block_per_sync to view multiple in_sync and out_sync intervals
+  --g_repeat   : NATURAL := 10  -- > c_nof_block_per_sync to view multiple in_sync and out_sync intervals
   );
 end tb_pft2;
 
@@ -226,7 +226,7 @@ architecture tb of tb_pft2 is
   -----------------------------------------------------------------------------
 
   -- PFT_MODE_BITREV
-  function func_bitrev(n, w : in natural) return natural is
+  function func_bitrev (n, w : in natural) return natural is
     variable un : unsigned(w - 1 downto 0);
     variable ur : unsigned(w - 1 downto 0);
   begin
@@ -237,9 +237,10 @@ architecture tb of tb_pft2 is
     return to_integer(ur);
   end func_bitrev;
 
-  procedure proc_fft_bitrev(en        : in  std_logic;
-                            ref       : in  t_ref_dat;
-                            signal sr : out t_ref_fft_dat) is
+  procedure proc_fft_bitrev (
+    en        : in  std_logic;
+    ref       : in  t_ref_dat;
+    signal sr : out t_ref_fft_dat) is
     constant N : natural := c_fft_size;
     constant w : natural := c_fft_size_w;
     variable r : natural;
@@ -253,9 +254,10 @@ architecture tb of tb_pft2 is
   end proc_fft_bitrev;
 
   -- PFT_MODE_COMPLEX
-  procedure proc_fft_complex(en        : in  std_logic;
-                             ref       : in  t_ref_dat;
-                             signal sr : out t_ref_fft_dat) is
+  procedure proc_fft_complex (
+    en        : in  std_logic;
+    ref       : in  t_ref_dat;
+    signal sr : out t_ref_fft_dat) is
     constant N  : natural := c_fft_size;
   begin
     if en = '1' then
@@ -271,10 +273,11 @@ architecture tb of tb_pft2 is
   -- . PFT seperate does not divide by 2 in Xa(m) = [X*(N-m) + X(m)]/2, Xb(m)=j[X*(N-m) - X(m)]/2
   -- . PFT seperate result for m=N is same as for m=0
   -- . PFT seperate puts real result for m=N/2 in imag of m=0
-  procedure proc_fft_real2_im(en        : in  std_logic;
-                              re        : in  t_ref_dat;
-                              im        : in  t_ref_dat;
-                              signal sr : out t_ref_real2_dat) is
+  procedure proc_fft_real2_im (
+    en        : in  std_logic;
+    re        : in  t_ref_dat;
+    im        : in  t_ref_dat;
+    signal sr : out t_ref_real2_dat) is
     constant N  : natural := c_fft_size;
     variable lo : integer;
     variable hi : integer;
@@ -289,9 +292,10 @@ architecture tb of tb_pft2 is
     end if;
   end proc_fft_real2_im;
 
-  procedure proc_fft_real2_re(en        : in  std_logic;
-                              re        : in  t_ref_dat;
-                              signal sr : out t_ref_real2_dat) is
+  procedure proc_fft_real2_re (
+    en        : in  std_logic;
+    re        : in  t_ref_dat;
+    signal sr : out t_ref_real2_dat) is
     constant N  : natural := c_fft_size;
     variable lo : integer;
     variable hi : integer;
@@ -332,36 +336,36 @@ begin
   -----------------------------------------------------------------------------
 
   u_in_x: entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_file_pft_in_x,
-    g_file_repeat => g_repeat,
-    g_nof_data    => 1,
-    g_data_width  => c_in_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    en        => in_en,
-    out_dat   => in_dat_x,
-    out_val   => in_val_x
-  );
+    generic map (
+      g_file_name   => c_file_pft_in_x,
+      g_file_repeat => g_repeat,
+      g_nof_data    => 1,
+      g_data_width  => c_in_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      en        => in_en,
+      out_dat   => in_dat_x,
+      out_val   => in_val_x
+    );
 
   u_in_y: entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_file_pft_in_y,
-    g_file_repeat => g_repeat,
-    g_nof_data    => 1,
-    g_data_width  => c_in_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    en        => in_en,
-    out_dat   => in_dat_y,
-    out_val   => in_val_y
-  );
+    generic map (
+      g_file_name   => c_file_pft_in_y,
+      g_file_repeat => g_repeat,
+      g_nof_data    => 1,
+      g_data_width  => c_in_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      en        => in_en,
+      out_dat   => in_dat_y,
+      out_val   => in_val_y
+    );
 
 
   -----------------------------------------------------------------------------
@@ -369,68 +373,68 @@ begin
   -----------------------------------------------------------------------------
 
   u_ref_x_re: entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_file_pft_ref_x_re,
-    g_file_repeat => 1,
-    g_nof_data    => 1,
-    g_data_width  => c_file_pft_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    en        => ref_en,
-    out_dat   => ref_dat_x_re,
-    out_val   => ref_val_x_re
-  );
+    generic map (
+      g_file_name   => c_file_pft_ref_x_re,
+      g_file_repeat => 1,
+      g_nof_data    => 1,
+      g_data_width  => c_file_pft_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      en        => ref_en,
+      out_dat   => ref_dat_x_re,
+      out_val   => ref_val_x_re
+    );
 
   u_ref_x_im: entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_file_pft_ref_x_im,
-    g_file_repeat => 1,
-    g_nof_data    => 1,
-    g_data_width  => c_file_pft_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    en        => ref_en,
-    out_dat   => ref_dat_x_im,
-    out_val   => ref_val_x_im
-  );
+    generic map (
+      g_file_name   => c_file_pft_ref_x_im,
+      g_file_repeat => 1,
+      g_nof_data    => 1,
+      g_data_width  => c_file_pft_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      en        => ref_en,
+      out_dat   => ref_dat_x_im,
+      out_val   => ref_val_x_im
+    );
 
   u_ref_y_re: entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_file_pft_ref_y_re,
-    g_file_repeat => 1,
-    g_nof_data    => 1,
-    g_data_width  => c_file_pft_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    en        => ref_en,
-    out_dat   => ref_dat_y_re,
-    out_val   => ref_val_y_re
-  );
+    generic map (
+      g_file_name   => c_file_pft_ref_y_re,
+      g_file_repeat => 1,
+      g_nof_data    => 1,
+      g_data_width  => c_file_pft_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      en        => ref_en,
+      out_dat   => ref_dat_y_re,
+      out_val   => ref_val_y_re
+    );
 
   u_ref_y_im: entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_file_pft_ref_y_im,
-    g_file_repeat => 1,
-    g_nof_data    => 1,
-    g_data_width  => c_file_pft_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    en        => ref_en,
-    out_dat   => ref_dat_y_im,
-    out_val   => ref_val_y_im
-  );
+    generic map (
+      g_file_name   => c_file_pft_ref_y_im,
+      g_file_repeat => 1,
+      g_nof_data    => 1,
+      g_data_width  => c_file_pft_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      en        => ref_en,
+      out_dat   => ref_dat_y_im,
+      out_val   => ref_val_y_im
+    );
 
   p_ref_reg : process(clk)
   begin
@@ -506,9 +510,9 @@ begin
     begin
       if diff_rdy = '1' then
         if diff_max_fft_re <= c_diff_max then report "FFT real output for re " & g_name_x & " and im " & g_name_y & " is OK"    severity NOTE;
-                                         else report "FFT real output for re " & g_name_x & " and im " & g_name_y & " is wrong" severity ERROR; end if;
+        else report "FFT real output for re " & g_name_x & " and im " & g_name_y & " is wrong" severity ERROR; end if;
         if diff_max_fft_im <= c_diff_max then report "FFT imag output for im " & g_name_x & " and im " & g_name_y & " is OK"    severity NOTE;
-                                         else report "FFT imag output for im " & g_name_x & " and im " & g_name_y & " is wrong" severity ERROR; end if;
+        else report "FFT imag output for im " & g_name_x & " and im " & g_name_y & " is wrong" severity ERROR; end if;
       end if;
     end process;
   end generate;
@@ -538,13 +542,13 @@ begin
     begin
       if diff_rdy = '1' then
         if diff_max_x_re <= c_diff_max then report "FFT X real output for " & g_name_x & " is OK"    severity NOTE;
-                                       else report "FFT X real output for " & g_name_x & " is wrong" severity ERROR; end if;
+        else report "FFT X real output for " & g_name_x & " is wrong" severity ERROR; end if;
         if diff_max_x_im <= c_diff_max then report "FFT X imag output for " & g_name_x & " is OK"    severity NOTE;
-                                       else report "FFT X imag output for " & g_name_x & " is wrong" severity ERROR; end if;
+        else report "FFT X imag output for " & g_name_x & " is wrong" severity ERROR; end if;
         if diff_max_y_re <= c_diff_max then report "FFT Y real output for " & g_name_y & " is OK"    severity NOTE;
-                                       else report "FFT Y real output for " & g_name_y & " is wrong" severity ERROR; end if;
+        else report "FFT Y real output for " & g_name_y & " is wrong" severity ERROR; end if;
         if diff_max_y_im <= c_diff_max then report "FFT Y imag output for " & g_name_y & " is OK"    severity NOTE;
-                                       else report "FFT Y imag output for " & g_name_y & " is wrong" severity ERROR; end if;
+        else report "FFT Y imag output for " & g_name_y & " is wrong" severity ERROR; end if;
       end if;
     end process;
   end generate;
@@ -557,26 +561,26 @@ begin
   -----------------------------------------------------------------------------
 
   u_pft : entity work.pft
-  generic map (
-    g_fft_size_w   => c_fft_size_w,
-    g_in_dat_w     => c_in_dat_w,
-    g_out_dat_w    => c_out_dat_w,
-    g_stage_dat_w  => g_stage_dat_w,
-    g_mode         => g_pft_mode
-  )
-  port map (
-    in_re          => in_dat_x,
-    in_im          => in_dat_y,
-    in_val         => in_val,
-    in_sync        => in_sync,
-    switch_en      => g_switch_en,
-    out_re         => out_re,
-    out_im         => out_im,
-    out_val        => out_val,
-    out_sync       => out_sync,
-    clk            => clk,
-    rst            => rst
-  );
+    generic map (
+      g_fft_size_w   => c_fft_size_w,
+      g_in_dat_w     => c_in_dat_w,
+      g_out_dat_w    => c_out_dat_w,
+      g_stage_dat_w  => g_stage_dat_w,
+      g_mode         => g_pft_mode
+    )
+    port map (
+      in_re          => in_dat_x,
+      in_im          => in_dat_y,
+      in_val         => in_val,
+      in_sync        => in_sync,
+      switch_en      => g_switch_en,
+      out_re         => out_re,
+      out_im         => out_im,
+      out_val        => out_val,
+      out_sync       => out_sync,
+      clk            => clk,
+      rst            => rst
+    );
 
 
   p_pft_reg : process(clk)
diff --git a/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft_simple.vhd b/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft_simple.vhd
index 7e4f250ff4..737f113e99 100644
--- a/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft_simple.vhd
+++ b/applications/lofar1/RSP/pft2/tb/vhdl/tb_pft_simple.vhd
@@ -1,10 +1,10 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 library pft2_lib,common_lib;
-use pft2_lib.pft_pkg.all;
-use common_lib.common_pkg.all;
+  use pft2_lib.pft_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity tb_pft is
 
@@ -50,39 +50,39 @@ begin
       cnt   <= (others => '0');
     elsif rising_edge(clk) then
       cnt   <= nxt_cnt;
-   end if;
+    end if;
   end process;
 
   nxt_cnt   <= std_logic_vector(unsigned(cnt) + 1) when in_sync = '0' else (others => '0');
 
   pft : entity work.pft
-  generic map (
-    g_fft_size_w   => g_fft_size_w,
-    g_in_dat_w     => g_in_dat_w,
-    g_out_dat_w    => g_out_dat_w,
-    g_mode         => PFT_MODE_REAL2
-  )
-  port map (
-    in_re          => in_x,
-    in_im          => in_y,
-    in_val         => in_val,
-    in_sync        => in_sync,
-    switch_en      => switch_en,
-    out_re         => out_re,
-    out_im         => out_im,
-    out_val        => out_val,
-    out_sync       => out_sync,
-    clk            => clk,
-    rst            => rst
-  );
+    generic map (
+      g_fft_size_w   => g_fft_size_w,
+      g_in_dat_w     => g_in_dat_w,
+      g_out_dat_w    => g_out_dat_w,
+      g_mode         => PFT_MODE_REAL2
+    )
+    port map (
+      in_re          => in_x,
+      in_im          => in_y,
+      in_val         => in_val,
+      in_sync        => in_sync,
+      switch_en      => switch_en,
+      out_re         => out_re,
+      out_im         => out_im,
+      out_val        => out_val,
+      out_sync       => out_sync,
+      clk            => clk,
+      rst            => rst
+    );
 
   clk  <= not(clk) after clk_period / 2;
   rst  <= '0' after rst_period;
 
   switch_en <= '0';
 
---   in_sync <= '1' WHEN UNSIGNED(cnt)=g_pps_ps-1 ELSE '0';
---   in_val  <= '1' WHEN UNSIGNED(cnt)=732;
+  --   in_sync <= '1' WHEN UNSIGNED(cnt)=g_pps_ps-1 ELSE '0';
+  --   in_val  <= '1' WHEN UNSIGNED(cnt)=732;
 
   input_ctrl : process
   begin
@@ -97,7 +97,7 @@ begin
 
       -- val
       wait until unsigned(cnt) = 1024;
---      WAIT UNTIL UNSIGNED(cnt)=731;
+      --      WAIT UNTIL UNSIGNED(cnt)=731;
       in_val  <= '1';
 
       for J in 1 to 10 loop
@@ -116,28 +116,28 @@ begin
   end process;
 
 
---   -----------------------------------------------------------------------------
---   --
---   -- X = Y is sliding impulse
---   --
---   -----------------------------------------------------------------------------
---   in_gen: PROCESS
---   BEGIN
---     FOR I IN 0 TO g_fft_size-1 LOOP         -- Slide impulse
---       FOR J IN 1 TO 1 LOOP                  -- Repeat impulse
---         IF in_val='1' THEN
---           WAIT UNTIL UNSIGNED(nxt_cnt(g_fft_size_w-1 DOWNTO 0))=I;
---           in_x <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));
---           WAIT FOR clk_period;
---           in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));
---           WAIT FOR clk_period;
---         ELSE
---           WAIT UNTIL in_val='1';
---         END IF;
---       END LOOP;
---     END LOOP;
---   END PROCESS;
---   in_y <= in_x;
+  --   -----------------------------------------------------------------------------
+  --   --
+  --   -- X = Y is sliding impulse
+  --   --
+  --   -----------------------------------------------------------------------------
+  --   in_gen: PROCESS
+  --   BEGIN
+  --     FOR I IN 0 TO g_fft_size-1 LOOP         -- Slide impulse
+  --       FOR J IN 1 TO 1 LOOP                  -- Repeat impulse
+  --         IF in_val='1' THEN
+  --           WAIT UNTIL UNSIGNED(nxt_cnt(g_fft_size_w-1 DOWNTO 0))=I;
+  --           in_x <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));
+  --           WAIT FOR clk_period;
+  --           in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));
+  --           WAIT FOR clk_period;
+  --         ELSE
+  --           WAIT UNTIL in_val='1';
+  --         END IF;
+  --       END LOOP;
+  --     END LOOP;
+  --   END PROCESS;
+  --   in_y <= in_x;
 
 
   -----------------------------------------------------------------------------
@@ -153,8 +153,8 @@ begin
     elsif rising_edge(clk) then
       if cnt(0) = '1' then
         if in_val = '1' then
-           in_x <= std_logic_vector(-signed(in_x));
-           in_y <= std_logic_vector(signed(in_y));
+          in_x <= std_logic_vector(-signed(in_x));
+          in_y <= std_logic_vector(signed(in_y));
         end if;
       end if;
     end if;
diff --git a/applications/lofar1/RSP/pft2/tb/vhdl/tb_tb_pft2.vhd b/applications/lofar1/RSP/pft2/tb/vhdl/tb_tb_pft2.vhd
index 541de50963..b1b85e9359 100644
--- a/applications/lofar1/RSP/pft2/tb/vhdl/tb_tb_pft2.vhd
+++ b/applications/lofar1/RSP/pft2/tb/vhdl/tb_tb_pft2.vhd
@@ -25,11 +25,11 @@
 -- Description:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_pft2 is
 end tb_tb_pft2;
-use work.pft_pkg.all;
+  use work.pft_pkg.all;
 
 architecture tb of tb_tb_pft2 is
   constant c_sw    : std_logic := '1';  -- default for g_switch_en
@@ -127,6 +127,6 @@ begin
   u_17_block_117_u_noise : entity work.tb_pft2 generic map(c_sw, 17, PFT_MODE_REAL2, "block_117", "u_noise", 2);
   u_18_block_117_u_noise : entity work.tb_pft2 generic map(c_sw, 18, PFT_MODE_REAL2, "block_117", "u_noise", 2);
   u_19_block_117_u_noise : entity work.tb_pft2 generic map(c_sw, 19, PFT_MODE_REAL2, "block_117", "u_noise", 2);
-  -- . for g_stage_dat_w > 20 tb result is still OK, but diff_max_* does not reduce further
+-- . for g_stage_dat_w > 20 tb result is still OK, but diff_max_* does not reduce further
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/lofar2_unb2b_adc_6ch_200MHz.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/lofar2_unb2b_adc_6ch_200MHz.vhd
index 824dd0c1b3..12e7f6cd56 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/lofar2_unb2b_adc_6ch_200MHz.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/lofar2_unb2b_adc_6ch_200MHz.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_adc_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_adc_6ch_200MHz is
   generic (
@@ -76,7 +76,7 @@ entity lofar2_unb2b_adc_6ch_200MHz is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1  downto c_unb2b_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -113,52 +113,52 @@ begin
 
 
   u_revision : entity lofar2_unb2b_adc_lib.lofar2_unb2b_adc
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_jesd_freq   => g_jesd_freq,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_jesd_freq   => g_jesd_freq,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/tb_lofar2_unb2b_adc_6ch_200MHz.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/tb_lofar2_unb2b_adc_6ch_200MHz.vhd
index 9a73f68341..f240dc05dd 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/tb_lofar2_unb2b_adc_6ch_200MHz.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/tb_lofar2_unb2b_adc_6ch_200MHz.vhd
@@ -29,11 +29,11 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_lofar2_unb2b_adc_6ch_200MHz is
 end tb_lofar2_unb2b_adc_6ch_200MHz;
@@ -111,48 +111,48 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_adc_6ch_200MHz : entity work.lofar2_unb2b_adc_6ch_200MHz
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    BCK_RX       => bck_rx,
-    BCK_REF_CLK  => bck_ref_clk,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      BCK_RX       => bck_rx,
+      BCK_REF_CLK  => bck_ref_clk,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/lofar2_unb2b_adc_full.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/lofar2_unb2b_adc_full.vhd
index bb69c74548..89139da32f 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/lofar2_unb2b_adc_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/lofar2_unb2b_adc_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_adc_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_adc_full is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2b_adc_full is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1  downto c_unb2b_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -112,51 +112,51 @@ begin
 
 
   u_revision : entity lofar2_unb2b_adc_lib.lofar2_unb2b_adc
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/tb_lofar2_unb2b_adc_full.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/tb_lofar2_unb2b_adc_full.vhd
index 09e5939db9..75eac2a6bb 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/tb_lofar2_unb2b_adc_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_full/tb_lofar2_unb2b_adc_full.vhd
@@ -30,11 +30,11 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_lofar2_unb2b_adc_full is
 end tb_lofar2_unb2b_adc_full;
@@ -112,48 +112,48 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_adc_full : entity work.lofar2_unb2b_adc_full
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    BCK_RX       => bck_rx,
-    BCK_REF_CLK  => bck_ref_clk,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      BCK_RX       => bck_rx,
+      BCK_REF_CLK  => bck_ref_clk,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd
index 8c022088a3..cb1cf5d5a5 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd
@@ -27,13 +27,13 @@
 --   Contains complete AIT input stage with 1 ADC stream
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_adc_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_adc_one_node is
   generic (
@@ -111,51 +111,51 @@ begin
   JESD204B_SYNC_N(c_unb2b_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2b_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2b_adc_lib.lofar2_unb2b_adc
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/tb_lofar2_unb2b_adc_one_node.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/tb_lofar2_unb2b_adc_one_node.vhd
index 4986bce1d0..5075022f26 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/tb_lofar2_unb2b_adc_one_node.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/tb_lofar2_unb2b_adc_one_node.vhd
@@ -29,11 +29,11 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_lofar2_unb2b_adc_one_node is
 end tb_lofar2_unb2b_adc_one_node;
@@ -111,48 +111,48 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_adc_one_node : entity work.lofar2_unb2b_adc_one_node
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    BCK_RX       => bck_rx,
-    BCK_REF_CLK  => bck_ref_clk,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      BCK_RX       => bck_rx,
+      BCK_REF_CLK  => bck_ref_clk,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd
index 81e7907992..0e4ffee1c2 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd
@@ -27,15 +27,15 @@
 --   Use revisions to select one_node or full versions
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.lofar2_unb2b_adc_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.lofar2_unb2b_adc_pkg.all;
 
 entity lofar2_unb2b_adc is
   generic (
@@ -82,9 +82,9 @@ entity lofar2_unb2b_adc is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector((c_unb2b_board_tr_jesd204b.bus_w * c_unb2b_board_tr_jesd204b.nof_bus) - 1 downto 0);
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic;  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -234,209 +234,209 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                => g_sim,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                => c_unb2b_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range,
-    g_dp_clk_use_pll     => false
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    ram_scrap_mosi           => c_mem_mosi_rst,
-    ram_scrap_miso           => open,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                => c_unb2b_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range,
+      g_dp_clk_use_pll     => false
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      ram_scrap_mosi           => c_mem_mosi_rst,
+      ram_scrap_miso           => open,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2b_adc
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Jesd reset control
-    jesd_ctrl_mosi            => jesd_ctrl_mosi,
-    jesd_ctrl_miso            => jesd_ctrl_miso,
-
-    -- mm interfaces for control
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- mm buses for signal flow blocks
-    -- Jesd ip status/control
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Jesd reset control
+      jesd_ctrl_mosi            => jesd_ctrl_mosi,
+      jesd_ctrl_miso            => jesd_ctrl_miso,
+
+      -- mm interfaces for control
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- mm buses for signal flow blocks
+      -- Jesd ip status/control
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso
+    );
 
 
   -----------------------------------------------------------------------------
@@ -445,77 +445,77 @@ begin
   -----------------------------------------------------------------------------
 
   u_ait: entity work.node_adc_input_and_timing
-  generic map(
-    g_nof_streams               => c_nof_streams,
-    g_jesd_freq                 => g_jesd_freq,
-    g_sim                       => g_sim
-  )
-  port map(
-    -- clocks and resets
-    mm_clk                      => mm_clk,
-    mm_rst                      => mm_rst,
-    dp_clk                      => dp_clk,
-    dp_rst                      => dp_rst,
-
-    -- mm control buses
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-    jesd_ctrl_mosi              => jesd_ctrl_mosi,
-    jesd_ctrl_miso              => jesd_ctrl_miso,
-
-     -- Jesd external IOs
-    jesd204b_serial_data       => JESD204B_SERIAL_DATA,
-    jesd204b_refclk            => JESD204B_REFCLK,
-    jesd204b_sysref            => JESD204B_SYSREF,
-    jesd204b_sync_n            => JESD204B_SYNC_N,
-
-    -- Streaming data output
-    out_sosi_arr               => alt_sosi_arr
-  );
-
-    u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map(
+      g_nof_streams               => c_nof_streams,
+      g_jesd_freq                 => g_jesd_freq,
+      g_sim                       => g_sim
+    )
+    port map(
+      -- clocks and resets
+      mm_clk                      => mm_clk,
+      mm_rst                      => mm_rst,
+      dp_clk                      => dp_clk,
+      dp_rst                      => dp_rst,
+
+      -- mm control buses
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+      jesd_ctrl_mosi              => jesd_ctrl_mosi,
+      jesd_ctrl_miso              => jesd_ctrl_miso,
+
+      -- Jesd external IOs
+      jesd204b_serial_data       => JESD204B_SERIAL_DATA,
+      jesd204b_refclk            => JESD204B_REFCLK,
+      jesd204b_sysref            => JESD204B_SYSREF,
+      jesd204b_sync_n            => JESD204B_SYNC_N,
+
+      -- Streaming data output
+      out_sosi_arr               => alt_sosi_arr
+    );
+
+  u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd
index 00c4a2d426..571f68e6e2 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd
@@ -20,13 +20,13 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package lofar2_unb2b_adc_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -41,7 +41,7 @@ package lofar2_unb2b_adc_pkg is
   constant c_full             : t_lofar2_unb2b_adc_config := (     12,     2,       12 );
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_adc_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_adc_config;
 
 
 end lofar2_unb2b_adc_pkg;
@@ -49,7 +49,7 @@ end lofar2_unb2b_adc_pkg;
 
 package body lofar2_unb2b_adc_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_adc_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_adc_config is
   begin
     if    g_design_name = "lofar2_unb2b_adc_one_node"    then return c_one_node;
     elsif g_design_name = "lofar2_unb2b_adc_full"        then return c_full;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd
index 3e138949c3..f57baed52e 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2b_adc_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2b_adc_pkg.all;
 
 
 entity mmm_lofar2_unb2b_adc is
@@ -158,62 +158,62 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                  port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                  port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                  port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                  port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                                  port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                                port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                                port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_jesd204b               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B")
-                                                port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
+      port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
 
     u_mm_file_reg_dp_shiftram        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM")
-                                                port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
+      port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
 
     u_mm_file_reg_bsn_source         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE")
-                                                port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
+      port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
 
     u_mm_file_reg_bsn_scheduler      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                                port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
 
     u_mm_file_reg_bsn_monitor_input  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT")
-                                                port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
 
     u_mm_file_reg_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG")
-                                                port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
+      port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
     u_mm_file_ram_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG")
-                                               port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
+      port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
 
     u_mm_file_ram_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
     u_mm_file_reg_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
 
     u_mm_file_ram_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
     u_mm_file_reg_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -229,249 +229,249 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2b_adc
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
---    ToDo: This has changed in the peripherals package
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
---      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
---    ToDo: This has changed in the peripherals package
-      pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
---      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_mosi.address(11 downto 0),
-      jesd204b_write_export                     => jesd204b_mosi.wr,
-      jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_mosi.rd,
-      jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_jesd_ctrl_reset_export               => OPEN,
-      pio_jesd_ctrl_clk_export                 => OPEN,
-      pio_jesd_ctrl_address_export             => jesd_ctrl_mosi.address(0 downto 0),
-      pio_jesd_ctrl_write_export               => jesd_ctrl_mosi.wr,
-      pio_jesd_ctrl_writedata_export           => jesd_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_jesd_ctrl_read_export                => jesd_ctrl_mosi.rd,
-      pio_jesd_ctrl_readdata_export            => jesd_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(7 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_wg_clk_export                         => OPEN,
-      reg_wg_reset_export                       => OPEN,
-      reg_wg_address_export                     => reg_wg_mosi.address(5 downto 0),
-      reg_wg_read_export                        => reg_wg_mosi.rd,
-      reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
-      reg_wg_write_export                       => reg_wg_mosi.wr,
-      reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_clk_export                         => OPEN,
-      ram_wg_reset_export                       => OPEN,
-      ram_wg_address_export                     => ram_wg_mosi.address(13 downto 0),
-      ram_wg_read_export                        => ram_wg_mosi.rd,
-      ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
-      ram_wg_write_export                       => ram_wg_mosi.wr,
-      ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_shiftram_clk_export                => OPEN,
-      reg_dp_shiftram_reset_export              => OPEN,
-      reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(2 downto 0),
-      reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
-      reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
-      reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_clk_export                 => OPEN,
-      reg_bsn_source_reset_export               => OPEN,
-      reg_bsn_source_address_export             => reg_bsn_source_mosi.address(1 downto 0),
-      reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
-      reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
-      reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(0 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      ram_diag_data_buffer_bsn_clk_export          => OPEN,
-      ram_diag_data_buffer_bsn_reset_export        => OPEN,
-      ram_diag_data_buffer_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(21 - 1 downto 0),  -- 22 = ceil_log2(12  * 256k), so maximum possible data buffer size is 256 kSamples
-      ram_diag_data_buffer_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
-      ram_diag_data_buffer_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
-      ram_diag_data_buffer_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_bsn_reset_export        => OPEN,
-      reg_diag_data_buffer_bsn_clk_export          => OPEN,
-      reg_diag_data_buffer_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(12 - 1 downto 0),
-      reg_diag_data_buffer_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
-      reg_diag_data_buffer_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
-      reg_diag_data_buffer_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_aduh_monitor_clk_export               => OPEN,
-      ram_aduh_monitor_reset_export             => OPEN,
-      ram_aduh_monitor_address_export           => ram_aduh_monitor_mosi.address(12 - 1 downto 0),
-      ram_aduh_monitor_write_export             => ram_aduh_monitor_mosi.wr,
-      ram_aduh_monitor_writedata_export         => ram_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_aduh_monitor_read_export              => ram_aduh_monitor_mosi.rd,
-      ram_aduh_monitor_readdata_export          => ram_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_aduh_monitor_reset_export             => OPEN,
-      reg_aduh_monitor_clk_export               => OPEN,
-      reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(6 - 1 downto 0),
-      reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
-      reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
-      reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0)
-
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
+        --      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
+        --      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_mosi.address(11 downto 0),
+        jesd204b_write_export                     => jesd204b_mosi.wr,
+        jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_mosi.rd,
+        jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_jesd_ctrl_reset_export               => OPEN,
+        pio_jesd_ctrl_clk_export                 => OPEN,
+        pio_jesd_ctrl_address_export             => jesd_ctrl_mosi.address(0 downto 0),
+        pio_jesd_ctrl_write_export               => jesd_ctrl_mosi.wr,
+        pio_jesd_ctrl_writedata_export           => jesd_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_jesd_ctrl_read_export                => jesd_ctrl_mosi.rd,
+        pio_jesd_ctrl_readdata_export            => jesd_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(7 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_wg_clk_export                         => OPEN,
+        reg_wg_reset_export                       => OPEN,
+        reg_wg_address_export                     => reg_wg_mosi.address(5 downto 0),
+        reg_wg_read_export                        => reg_wg_mosi.rd,
+        reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
+        reg_wg_write_export                       => reg_wg_mosi.wr,
+        reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_clk_export                         => OPEN,
+        ram_wg_reset_export                       => OPEN,
+        ram_wg_address_export                     => ram_wg_mosi.address(13 downto 0),
+        ram_wg_read_export                        => ram_wg_mosi.rd,
+        ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
+        ram_wg_write_export                       => ram_wg_mosi.wr,
+        ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_shiftram_clk_export                => OPEN,
+        reg_dp_shiftram_reset_export              => OPEN,
+        reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(2 downto 0),
+        reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
+        reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
+        reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_clk_export                 => OPEN,
+        reg_bsn_source_reset_export               => OPEN,
+        reg_bsn_source_address_export             => reg_bsn_source_mosi.address(1 downto 0),
+        reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
+        reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
+        reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(0 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        ram_diag_data_buffer_bsn_clk_export          => OPEN,
+        ram_diag_data_buffer_bsn_reset_export        => OPEN,
+        ram_diag_data_buffer_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(21 - 1 downto 0),  -- 22 = ceil_log2(12  * 256k), so maximum possible data buffer size is 256 kSamples
+        ram_diag_data_buffer_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
+        ram_diag_data_buffer_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
+        ram_diag_data_buffer_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_bsn_reset_export        => OPEN,
+        reg_diag_data_buffer_bsn_clk_export          => OPEN,
+        reg_diag_data_buffer_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(12 - 1 downto 0),
+        reg_diag_data_buffer_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
+        reg_diag_data_buffer_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
+        reg_diag_data_buffer_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_aduh_monitor_clk_export               => OPEN,
+        ram_aduh_monitor_reset_export             => OPEN,
+        ram_aduh_monitor_address_export           => ram_aduh_monitor_mosi.address(12 - 1 downto 0),
+        ram_aduh_monitor_write_export             => ram_aduh_monitor_mosi.wr,
+        ram_aduh_monitor_writedata_export         => ram_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_aduh_monitor_read_export              => ram_aduh_monitor_mosi.rd,
+        ram_aduh_monitor_readdata_export          => ram_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_aduh_monitor_reset_export             => OPEN,
+        reg_aduh_monitor_clk_export               => OPEN,
+        reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(6 - 1 downto 0),
+        reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
+        reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
+        reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0)
+
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/node_adc_input_and_timing.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/node_adc_input_and_timing.vhd
index 594eb65cf5..3b5a8a4226 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/node_adc_input_and_timing.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/node_adc_input_and_timing.vhd
@@ -27,16 +27,16 @@
 --   See https://support.astron.nl/confluence/display/STAT/L5+SDPFW+DD%3A+ADC+data+input+and+timestamp
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, aduh_lib, dp_lib, tech_jesd204b_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.lofar2_unb2b_adc_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.lofar2_unb2b_adc_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity node_adc_input_and_timing is
   generic (
@@ -122,11 +122,13 @@ architecture str of node_adc_input_and_timing is
 
   constant c_nof_streams_jesd204b   : natural := 12;  -- IP is set up for 12 streams
 
-  constant c_mm_jesd_ctrl_reg       : t_c_mem := (latency  => 1,
-                                                  adr_w    => 1,
-                                                  dat_w    => c_word_w,
-                                                  nof_dat  => 1,
-                                                  init_sl  => '0');
+  constant c_mm_jesd_ctrl_reg       : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- Waveform Generator
   constant c_wg_buf_directory       : string := "data/";
@@ -191,35 +193,35 @@ begin
   -----------------------------------------------------------------------------
 
   u_jesd204b: entity tech_jesd204b_lib.tech_jesd204b
-  generic map(
-    g_sim                => g_sim,
-    g_nof_streams        => c_nof_streams_jesd204b,
-    g_nof_sync_n         => g_nof_sync_n,
-    g_jesd_freq          => g_jesd_freq
-  )
-  port map(
-    jesd204b_refclk      => JESD204B_REFCLK,
-    jesd204b_sysref      => JESD204B_SYSREF,
-    jesd204b_sync_n_arr  => jesd204b_sync_n,
-
-    rx_sosi_arr          => rx_sosi_arr,
-    rx_clk               => rx_clk,
-    rx_rst               => rx_rst,
-    rx_sysref            => rx_sysref,
-
-    jesd204b_disable_arr  => jesd204b_disable_arr,
-
-    -- MM
-    mm_clk               => mm_clk,
-    mm_rst               => mm_rst_internal,
-
-    jesd204b_mosi        => jesd204b_mosi,
-    jesd204b_miso        => jesd204b_miso,
-
-     -- Serial
-    serial_tx_arr        => open,
-    serial_rx_arr        => JESD204B_SERIAL_DATA(c_nof_streams_jesd204b - 1 downto 0)
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_nof_streams        => c_nof_streams_jesd204b,
+      g_nof_sync_n         => g_nof_sync_n,
+      g_jesd_freq          => g_jesd_freq
+    )
+    port map(
+      jesd204b_refclk      => JESD204B_REFCLK,
+      jesd204b_sysref      => JESD204B_SYSREF,
+      jesd204b_sync_n_arr  => jesd204b_sync_n,
+
+      rx_sosi_arr          => rx_sosi_arr,
+      rx_clk               => rx_clk,
+      rx_rst               => rx_rst,
+      rx_sysref            => rx_sysref,
+
+      jesd204b_disable_arr  => jesd204b_disable_arr,
+
+      -- MM
+      mm_clk               => mm_clk,
+      mm_rst               => mm_rst_internal,
+
+      jesd204b_mosi        => jesd204b_mosi,
+      jesd204b_miso        => jesd204b_miso,
+
+      -- Serial
+      serial_tx_arr        => open,
+      serial_rx_arr        => JESD204B_SERIAL_DATA(c_nof_streams_jesd204b - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
@@ -239,75 +241,75 @@ begin
 
 
   u_dp_shiftram : entity dp_lib.dp_shiftram
-  generic map (
-    g_nof_streams => c_nof_streams_jesd204b,
-    g_nof_words   => c_dp_shiftram_nof_samples,
-    g_data_w      => c_data_w,
-    g_use_sync_in => true
-  )
-  port map (
-    dp_rst   => rx_rst,
-    dp_clk   => rx_clk,
+    generic map (
+      g_nof_streams => c_nof_streams_jesd204b,
+      g_nof_words   => c_dp_shiftram_nof_samples,
+      g_data_w      => c_data_w,
+      g_use_sync_in => true
+    )
+    port map (
+      dp_rst   => rx_rst,
+      dp_clk   => rx_clk,
 
-    mm_rst   => mm_rst_internal,
-    mm_clk   => mm_clk,
+      mm_rst   => mm_rst_internal,
+      mm_clk   => mm_clk,
 
-    sync_in  => bs_sosi.sync,
+      sync_in  => bs_sosi.sync,
 
-    reg_mosi => reg_dp_shiftram_mosi,
-    reg_miso => reg_dp_shiftram_miso,
+      reg_mosi => reg_dp_shiftram_mosi,
+      reg_miso => reg_dp_shiftram_miso,
 
-    snk_in_arr => dp_shiftram_snk_in_arr,
+      snk_in_arr => dp_shiftram_snk_in_arr,
 
-    src_out_arr => ant_sosi_arr
-  );
+      src_out_arr => ant_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Timestamp
   -----------------------------------------------------------------------------
   u_bsn_source : entity dp_lib.mms_dp_bsn_source
-  generic map (
-    g_cross_clock_domain     => true,
-    g_block_size             => c_bs_block_size,
-    g_nof_block_per_sync     => c_bs_nof_block_per_sync,
-    g_bsn_w                  => c_bs_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst_internal,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-    dp_pps            => rx_sysref,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => reg_bsn_source_mosi,
-    reg_miso          => reg_bsn_source_miso,
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi
-  );
+    generic map (
+      g_cross_clock_domain     => true,
+      g_block_size             => c_bs_block_size,
+      g_nof_block_per_sync     => c_bs_nof_block_per_sync,
+      g_bsn_w                  => c_bs_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst_internal,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+      dp_pps            => rx_sysref,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => reg_bsn_source_mosi,
+      reg_miso          => reg_bsn_source_miso,
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi
+    );
 
   u_bsn_trigger_wg : entity dp_lib.mms_dp_bsn_scheduler
-  generic map (
-    g_cross_clock_domain => true,
-    g_bsn_w              => c_bs_bsn_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst_internal,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_bsn_scheduler_wg_mosi,
-    reg_miso    => reg_bsn_scheduler_wg_miso,
-
-    -- Streaming clock domain
-    dp_rst      => rx_rst,
-    dp_clk      => rx_clk,
-
-    snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
-    trigger_out => trigger_wg
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_bsn_w              => c_bs_bsn_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst_internal,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_bsn_scheduler_wg_mosi,
+      reg_miso    => reg_bsn_scheduler_wg_miso,
+
+      -- Streaming clock domain
+      dp_rst      => rx_rst,
+      dp_clk      => rx_clk,
+
+      snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
+      trigger_out => trigger_wg
+    );
 
 
   -----------------------------------------------------------------------------
@@ -315,39 +317,39 @@ begin
   -----------------------------------------------------------------------------
 
   u_wg_arr : entity diag_lib.mms_diag_wg_wideband_arr
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_buf_dir            => c_wg_buf_directory,
-
-    -- Wideband parameters
-    g_wideband_factor    => 1,
-
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w          => c_wg_buf_dat_w,
-    g_buf_addr_w         => c_wg_buf_addr_w,
-    g_calc_support       => true,
-    g_calc_gain_w        => 1,
-    g_calc_dat_w         => c_sdp_W_adc
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst_internal,
-    mm_clk              => mm_clk,
-
-    reg_mosi            => reg_wg_mosi,
-    reg_miso            => reg_wg_miso,
-
-    buf_mosi            => ram_wg_mosi,
-    buf_miso            => ram_wg_miso,
-
-    -- Streaming clock domain
-    st_rst              => rx_rst,
-    st_clk              => rx_clk,
-    st_restart          => trigger_wg,
-
-    out_sosi_arr        => wg_sosi_arr
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_buf_dir            => c_wg_buf_directory,
+
+      -- Wideband parameters
+      g_wideband_factor    => 1,
+
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w          => c_wg_buf_dat_w,
+      g_buf_addr_w         => c_wg_buf_addr_w,
+      g_calc_support       => true,
+      g_calc_gain_w        => 1,
+      g_calc_dat_w         => c_sdp_W_adc
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst_internal,
+      mm_clk              => mm_clk,
+
+      reg_mosi            => reg_wg_mosi,
+      reg_miso            => reg_wg_miso,
+
+      buf_mosi            => ram_wg_mosi,
+      buf_miso            => ram_wg_miso,
+
+      -- Streaming clock domain
+      st_rst              => rx_rst,
+      st_clk              => rx_clk,
+      st_restart          => trigger_wg,
+
+      out_sosi_arr        => wg_sosi_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -393,82 +395,82 @@ begin
   -- BSN monitor (Block Checker)
   ---------------------------------------------------------------------------------------
   u_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => 1,  -- They're all the same
-    g_sync_timeout       => g_bsn_sync_timeout,
-    g_bsn_w              => c_bs_bsn_w,
-    g_log_first_bsn      => false
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst_internal,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_input_mosi,
-    reg_miso    => reg_bsn_monitor_input_miso,
-
-    -- Streaming clock domain
-    dp_rst      => rx_rst,
-    dp_clk      => rx_clk,
-    in_sosi_arr => st_sosi_arr(0 downto 0)
-  );
+    generic map (
+      g_nof_streams        => 1,  -- They're all the same
+      g_sync_timeout       => g_bsn_sync_timeout,
+      g_bsn_w              => c_bs_bsn_w,
+      g_log_first_bsn      => false
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst_internal,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_input_mosi,
+      reg_miso    => reg_bsn_monitor_input_miso,
+
+      -- Streaming clock domain
+      dp_rst      => rx_rst,
+      dp_clk      => rx_clk,
+      in_sosi_arr => st_sosi_arr(0 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- Monitor ADU/WG output
   -----------------------------------------------------------------------------
   u_aduh_monitor : entity aduh_lib.mms_aduh_monitor_arr
-  generic map (
-    g_cross_clock_domain   => true,
-    g_nof_streams          => g_nof_streams,
-    g_symbol_w             => c_data_w,  -- TBD 16?
-    g_nof_symbols_per_data => 1,  -- Wideband factor is 1
-    g_nof_accumulations    => 200000512,  -- = 195313 blocks * 1024 samples
-    g_buffer_nof_symbols   => g_aduh_buffer_nof_symbols,  -- default 512, larger for full design
-    g_buffer_use_sync      => true  -- True to capture all streams synchronously
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst         => mm_rst_internal,
-    mm_clk         => mm_clk,
-
-    reg_mosi       => reg_aduh_monitor_mosi,  -- read only access to the signal path data mean sum and power sum registers
-    reg_miso       => reg_aduh_monitor_miso,
-    buf_mosi       => ram_aduh_monitor_mosi,  -- read and overwrite access to the signal path data buffers
-    buf_miso       => ram_aduh_monitor_miso,
-
-    -- Streaming clock domain
-    st_rst         => rx_rst,
-    st_clk         => rx_clk,
-
-    in_sosi_arr    => st_sosi_arr
-  );
+    generic map (
+      g_cross_clock_domain   => true,
+      g_nof_streams          => g_nof_streams,
+      g_symbol_w             => c_data_w,  -- TBD 16?
+      g_nof_symbols_per_data => 1,  -- Wideband factor is 1
+      g_nof_accumulations    => 200000512,  -- = 195313 blocks * 1024 samples
+      g_buffer_nof_symbols   => g_aduh_buffer_nof_symbols,  -- default 512, larger for full design
+      g_buffer_use_sync      => true  -- True to capture all streams synchronously
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst         => mm_rst_internal,
+      mm_clk         => mm_clk,
+
+      reg_mosi       => reg_aduh_monitor_mosi,  -- read only access to the signal path data mean sum and power sum registers
+      reg_miso       => reg_aduh_monitor_miso,
+      buf_mosi       => ram_aduh_monitor_mosi,  -- read and overwrite access to the signal path data buffers
+      buf_miso       => ram_aduh_monitor_miso,
+
+      -- Streaming clock domain
+      st_rst         => rx_rst,
+      st_clk         => rx_clk,
+
+      in_sosi_arr    => st_sosi_arr
+    );
 
 
- -----------------------------------------------------------------------------
--- Diagnostic Data Buffer
+  -----------------------------------------------------------------------------
+  -- Diagnostic Data Buffer
   -----------------------------------------------------------------------------
 
   u_diag_data_buffer_bsn : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => c_data_w,
-    g_buf_nof_data => g_buf_nof_data,
-    g_buf_use_sync => true  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
-  )
-  port map (
-    mm_rst            => mm_rst_internal,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_bsn_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_bsn_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_bsn_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_bsn_miso,
-
-    in_sosi_arr       => st_sosi_arr,
-    in_sync           => st_sosi_arr(0).sync
-  );
+    generic map (
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => c_data_w,
+      g_buf_nof_data => g_buf_nof_data,
+      g_buf_use_sync => true  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
+    )
+    port map (
+      mm_rst            => mm_rst_internal,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_bsn_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_bsn_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_bsn_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_bsn_miso,
+
+      in_sosi_arr       => st_sosi_arr,
+      in_sync           => st_sosi_arr(0).sync
+    );
 
 
   -----------------------------------------------------------------------------
@@ -500,24 +502,24 @@ begin
   -- JESD Control register
   -----------------------------------------------------------------------------
   u_mm_jesd_ctrl_reg : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_mm_jesd_ctrl_reg,
-    g_init_reg  => (others => '0')
-  )
-  port map (
-    rst       => mm_rst,
-    clk       => mm_clk,
-    -- control side
-    wr_en     => jesd_ctrl_mosi.wr,
-    wr_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
-    wr_dat    => jesd_ctrl_mosi.wrdata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
-    rd_en     => jesd_ctrl_mosi.rd,
-    rd_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
-    rd_dat    => jesd_ctrl_miso.rddata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
-    rd_val    => OPEN,
-    -- data side
-    out_reg   => mm_jesd_ctrl_reg,
-    in_reg    => mm_jesd_ctrl_reg
-  );
+    generic map (
+      g_reg       => c_mm_jesd_ctrl_reg,
+      g_init_reg  => (others => '0')
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+      -- control side
+      wr_en     => jesd_ctrl_mosi.wr,
+      wr_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
+      wr_dat    => jesd_ctrl_mosi.wrdata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
+      rd_en     => jesd_ctrl_mosi.rd,
+      rd_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
+      rd_dat    => jesd_ctrl_miso.rddata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
+      rd_val    => OPEN,
+      -- data side
+      out_reg   => mm_jesd_ctrl_reg,
+      in_reg    => mm_jesd_ctrl_reg
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd
index 8bc5901363..7e468bac45 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd
@@ -21,7 +21,7 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2b_adc_pkg is
 
@@ -30,213 +30,213 @@ package qsys_lofar2_unb2b_adc_pkg is
   -- $HDL_WORK/build/unb2b/quartus/unb2b_test_ddr/qsys_unb2b_test/sim/qsys_unb2b_test.vhd
   -----------------------------------------------------------------------------
 
-    component qsys_lofar2_unb2b_adc is
-        port (
-            avs_eth_0_clk_export                      : out std_logic;  -- export
-            avs_eth_0_irq_export                      : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export              : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                 : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export              : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                 : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                    : out std_logic;  -- export
-            avs_eth_0_tse_address_export              : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                 : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export          : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                   : in  std_logic                     := 'X';  -- clk
-            jesd204b_address_export                   : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_clk_export                       : out std_logic;  -- export
-            jesd204b_read_export                      : out std_logic;  -- export
-            jesd204b_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            jesd204b_reset_export                     : out std_logic;  -- export
-            jesd204b_write_export                     : out std_logic;  -- export
-            jesd204b_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            pio_jesd_ctrl_address_export              : out std_logic_vector(0 downto 0);  -- export
-            pio_jesd_ctrl_clk_export                  : out std_logic;  -- export
-            pio_jesd_ctrl_read_export                 : out std_logic;  -- export
-            pio_jesd_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_jesd_ctrl_reset_export                : out std_logic;  -- export
-            pio_jesd_ctrl_write_export                : out std_logic;  -- export
-            pio_jesd_ctrl_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_address_export                    : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                        : out std_logic;  -- export
-            pio_pps_read_export                       : out std_logic;  -- export
-            pio_pps_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                      : out std_logic;  -- export
-            pio_pps_write_export                      : out std_logic;  -- export
-            pio_pps_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export            : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                : out std_logic;  -- export
-            pio_system_info_read_export               : out std_logic;  -- export
-            pio_system_info_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export              : out std_logic;  -- export
-            pio_system_info_write_export              : out std_logic;  -- export
-            pio_system_info_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export        : out std_logic;  -- export
-            ram_aduh_monitor_address_export           : out std_logic_vector(11 downto 0);  -- export
-            ram_aduh_monitor_clk_export               : out std_logic;  -- export
-            ram_aduh_monitor_read_export              : out std_logic;  -- export
-            ram_aduh_monitor_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_aduh_monitor_reset_export             : out std_logic;  -- export
-            ram_aduh_monitor_write_export             : out std_logic;  -- export
-            ram_aduh_monitor_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_bsn_address_export   : out std_logic_vector(20 downto 0);  -- export
-            ram_diag_data_buffer_bsn_clk_export       : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_read_export      : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_bsn_reset_export     : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_write_export     : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_address_export                     : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_clk_export                         : out std_logic;  -- export
-            ram_wg_read_export                        : out std_logic;  -- export
-            ram_wg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_reset_export                       : out std_logic;  -- export
-            ram_wg_write_export                       : out std_logic;  -- export
-            ram_wg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_aduh_monitor_address_export           : out std_logic_vector(5 downto 0);  -- export
-            reg_aduh_monitor_clk_export               : out std_logic;  -- export
-            reg_aduh_monitor_read_export              : out std_logic;  -- export
-            reg_aduh_monitor_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_aduh_monitor_reset_export             : out std_logic;  -- export
-            reg_aduh_monitor_write_export             : out std_logic;  -- export
-            reg_aduh_monitor_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_input_address_export      : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_clk_export          : out std_logic;  -- export
-            reg_bsn_monitor_input_read_export         : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export        : out std_logic;  -- export
-            reg_bsn_monitor_input_write_export        : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_address_export          : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_clk_export              : out std_logic;  -- export
-            reg_bsn_scheduler_read_export             : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export            : out std_logic;  -- export
-            reg_bsn_scheduler_write_export            : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_address_export             : out std_logic_vector(1 downto 0);  -- export
-            reg_bsn_source_clk_export                 : out std_logic;  -- export
-            reg_bsn_source_read_export                : out std_logic;  -- export
-            reg_bsn_source_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_reset_export               : out std_logic;  -- export
-            reg_bsn_source_write_export               : out std_logic;  -- export
-            reg_bsn_source_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_bsn_address_export   : out std_logic_vector(11 downto 0);  -- export
-            reg_diag_data_buffer_bsn_clk_export       : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_read_export      : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_bsn_reset_export     : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_write_export     : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_shiftram_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_dp_shiftram_clk_export                : out std_logic;  -- export
-            reg_dp_shiftram_read_export               : out std_logic;  -- export
-            reg_dp_shiftram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_shiftram_reset_export              : out std_logic;  -- export
-            reg_dp_shiftram_write_export              : out std_logic;  -- export
-            reg_dp_shiftram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export              : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                  : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                 : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export              : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                  : out std_logic;  -- export
-            reg_dpmm_data_read_export                 : out std_logic;  -- export
-            reg_dpmm_data_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                : out std_logic;  -- export
-            reg_dpmm_data_write_export                : out std_logic;  -- export
-            reg_dpmm_data_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                   : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                       : out std_logic;  -- export
-            reg_epcs_read_export                      : out std_logic;  -- export
-            reg_epcs_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                     : out std_logic;  -- export
-            reg_epcs_write_export                     : out std_logic;  -- export
-            reg_epcs_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export         : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export             : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export            : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export           : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export           : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export      : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export          : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export         : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export        : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export        : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export              : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                  : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                 : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export              : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                  : out std_logic;  -- export
-            reg_mmdp_data_read_export                 : out std_logic;  -- export
-            reg_mmdp_data_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                : out std_logic;  -- export
-            reg_mmdp_data_write_export                : out std_logic;  -- export
-            reg_mmdp_data_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                   : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                       : out std_logic;  -- export
-            reg_remu_read_export                      : out std_logic;  -- export
-            reg_remu_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                     : out std_logic;  -- export
-            reg_remu_write_export                     : out std_logic;  -- export
-            reg_remu_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export              : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                  : out std_logic;  -- export
-            reg_unb_pmbus_read_export                 : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export                : out std_logic;  -- export
-            reg_unb_pmbus_write_export                : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export               : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                   : out std_logic;  -- export
-            reg_unb_sens_read_export                  : out std_logic;  -- export
-            reg_unb_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export                 : out std_logic;  -- export
-            reg_unb_sens_write_export                 : out std_logic;  -- export
-            reg_unb_sens_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                    : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                        : out std_logic;  -- export
-            reg_wdi_read_export                       : out std_logic;  -- export
-            reg_wdi_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                      : out std_logic;  -- export
-            reg_wdi_write_export                      : out std_logic;  -- export
-            reg_wdi_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_address_export                     : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_clk_export                         : out std_logic;  -- export
-            reg_wg_read_export                        : out std_logic;  -- export
-            reg_wg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_reset_export                       : out std_logic;  -- export
-            reg_wg_write_export                       : out std_logic;  -- export
-            reg_wg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                             : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export            : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export                : out std_logic;  -- export
-            rom_system_info_read_export               : out std_logic;  -- export
-            rom_system_info_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export              : out std_logic;  -- export
-            rom_system_info_write_export              : out std_logic;  -- export
-            rom_system_info_writedata_export          : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_lofar2_unb2b_adc;
+  component qsys_lofar2_unb2b_adc is
+    port (
+      avs_eth_0_clk_export                      : out std_logic;  -- export
+      avs_eth_0_irq_export                      : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export              : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                 : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export              : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                 : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                    : out std_logic;  -- export
+      avs_eth_0_tse_address_export              : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                 : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export          : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                   : in  std_logic                     := 'X';  -- clk
+      jesd204b_address_export                   : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_clk_export                       : out std_logic;  -- export
+      jesd204b_read_export                      : out std_logic;  -- export
+      jesd204b_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      jesd204b_reset_export                     : out std_logic;  -- export
+      jesd204b_write_export                     : out std_logic;  -- export
+      jesd204b_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      pio_jesd_ctrl_address_export              : out std_logic_vector(0 downto 0);  -- export
+      pio_jesd_ctrl_clk_export                  : out std_logic;  -- export
+      pio_jesd_ctrl_read_export                 : out std_logic;  -- export
+      pio_jesd_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_jesd_ctrl_reset_export                : out std_logic;  -- export
+      pio_jesd_ctrl_write_export                : out std_logic;  -- export
+      pio_jesd_ctrl_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_address_export                    : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                        : out std_logic;  -- export
+      pio_pps_read_export                       : out std_logic;  -- export
+      pio_pps_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                      : out std_logic;  -- export
+      pio_pps_write_export                      : out std_logic;  -- export
+      pio_pps_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export            : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                : out std_logic;  -- export
+      pio_system_info_read_export               : out std_logic;  -- export
+      pio_system_info_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export              : out std_logic;  -- export
+      pio_system_info_write_export              : out std_logic;  -- export
+      pio_system_info_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export        : out std_logic;  -- export
+      ram_aduh_monitor_address_export           : out std_logic_vector(11 downto 0);  -- export
+      ram_aduh_monitor_clk_export               : out std_logic;  -- export
+      ram_aduh_monitor_read_export              : out std_logic;  -- export
+      ram_aduh_monitor_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_aduh_monitor_reset_export             : out std_logic;  -- export
+      ram_aduh_monitor_write_export             : out std_logic;  -- export
+      ram_aduh_monitor_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_bsn_address_export   : out std_logic_vector(20 downto 0);  -- export
+      ram_diag_data_buffer_bsn_clk_export       : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_read_export      : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_bsn_reset_export     : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_write_export     : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_address_export                     : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_clk_export                         : out std_logic;  -- export
+      ram_wg_read_export                        : out std_logic;  -- export
+      ram_wg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_reset_export                       : out std_logic;  -- export
+      ram_wg_write_export                       : out std_logic;  -- export
+      ram_wg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_aduh_monitor_address_export           : out std_logic_vector(5 downto 0);  -- export
+      reg_aduh_monitor_clk_export               : out std_logic;  -- export
+      reg_aduh_monitor_read_export              : out std_logic;  -- export
+      reg_aduh_monitor_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_aduh_monitor_reset_export             : out std_logic;  -- export
+      reg_aduh_monitor_write_export             : out std_logic;  -- export
+      reg_aduh_monitor_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_input_address_export      : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_clk_export          : out std_logic;  -- export
+      reg_bsn_monitor_input_read_export         : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export        : out std_logic;  -- export
+      reg_bsn_monitor_input_write_export        : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_address_export          : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_clk_export              : out std_logic;  -- export
+      reg_bsn_scheduler_read_export             : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export            : out std_logic;  -- export
+      reg_bsn_scheduler_write_export            : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_address_export             : out std_logic_vector(1 downto 0);  -- export
+      reg_bsn_source_clk_export                 : out std_logic;  -- export
+      reg_bsn_source_read_export                : out std_logic;  -- export
+      reg_bsn_source_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_reset_export               : out std_logic;  -- export
+      reg_bsn_source_write_export               : out std_logic;  -- export
+      reg_bsn_source_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_bsn_address_export   : out std_logic_vector(11 downto 0);  -- export
+      reg_diag_data_buffer_bsn_clk_export       : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_read_export      : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_bsn_reset_export     : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_write_export     : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_shiftram_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_dp_shiftram_clk_export                : out std_logic;  -- export
+      reg_dp_shiftram_read_export               : out std_logic;  -- export
+      reg_dp_shiftram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_shiftram_reset_export              : out std_logic;  -- export
+      reg_dp_shiftram_write_export              : out std_logic;  -- export
+      reg_dp_shiftram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export              : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                  : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                 : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export              : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                  : out std_logic;  -- export
+      reg_dpmm_data_read_export                 : out std_logic;  -- export
+      reg_dpmm_data_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                : out std_logic;  -- export
+      reg_dpmm_data_write_export                : out std_logic;  -- export
+      reg_dpmm_data_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                   : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                       : out std_logic;  -- export
+      reg_epcs_read_export                      : out std_logic;  -- export
+      reg_epcs_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                     : out std_logic;  -- export
+      reg_epcs_write_export                     : out std_logic;  -- export
+      reg_epcs_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export         : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export             : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export            : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export           : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export           : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export      : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export          : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export         : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export        : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export        : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export              : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                  : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                 : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export              : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                  : out std_logic;  -- export
+      reg_mmdp_data_read_export                 : out std_logic;  -- export
+      reg_mmdp_data_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                : out std_logic;  -- export
+      reg_mmdp_data_write_export                : out std_logic;  -- export
+      reg_mmdp_data_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                   : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                       : out std_logic;  -- export
+      reg_remu_read_export                      : out std_logic;  -- export
+      reg_remu_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                     : out std_logic;  -- export
+      reg_remu_write_export                     : out std_logic;  -- export
+      reg_remu_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export              : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                  : out std_logic;  -- export
+      reg_unb_pmbus_read_export                 : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export                : out std_logic;  -- export
+      reg_unb_pmbus_write_export                : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export               : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                   : out std_logic;  -- export
+      reg_unb_sens_read_export                  : out std_logic;  -- export
+      reg_unb_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export                 : out std_logic;  -- export
+      reg_unb_sens_write_export                 : out std_logic;  -- export
+      reg_unb_sens_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                    : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                        : out std_logic;  -- export
+      reg_wdi_read_export                       : out std_logic;  -- export
+      reg_wdi_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                      : out std_logic;  -- export
+      reg_wdi_write_export                      : out std_logic;  -- export
+      reg_wdi_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_address_export                     : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_clk_export                         : out std_logic;  -- export
+      reg_wg_read_export                        : out std_logic;  -- export
+      reg_wg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_reset_export                       : out std_logic;  -- export
+      reg_wg_write_export                       : out std_logic;  -- export
+      reg_wg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                             : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export            : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export                : out std_logic;  -- export
+      rom_system_info_read_export               : out std_logic;  -- export
+      rom_system_info_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export              : out std_logic;  -- export
+      rom_system_info_write_export              : out std_logic;  -- export
+      rom_system_info_writedata_export          : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_lofar2_unb2b_adc;
 end qsys_lofar2_unb2b_adc_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc.vhd
index 1e1167a1cd..e1bceff11c 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc.vhd
@@ -31,11 +31,11 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_lofar2_unb2b_adc is
 end tb_lofar2_unb2b_adc;
@@ -113,50 +113,50 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_adc : entity work.lofar2_unb2b_adc
-  generic map (
-    g_design_name => "lofar2_unb2b_adc_one_node",
-    g_design_note => "Lofar2 adc with one node",
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA       => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK  => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name => "lofar2_unb2b_adc_one_node",
+      g_design_note => "Lofar2 adc with one node",
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA       => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK  => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd
index 061f75cf7b..7240c9949f 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd
@@ -31,12 +31,12 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, ip_arria10_e1sg_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use ip_arria10_e1sg_jesd204b_lib.ip_arria10_e1sg_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use ip_arria10_e1sg_jesd204b_lib.ip_arria10_e1sg_jesd204b_component_pkg.all;
 
 entity tb_lofar2_unb2b_adc_multichannel is
 end tb_lofar2_unb2b_adc_multichannel;
@@ -60,30 +60,34 @@ architecture tb of tb_lofar2_unb2b_adc_multichannel is
   -- Transport delays
   type t_time_arr            is array (0 to 11) of time;
   constant c_nof_jesd204b_tx    : natural := 3;  -- number of jesd204b input sources to instantiate
-  constant c_delay_data_arr     : t_time_arr := (4000 ps,
-                                                 5000 ps,
-                                                 6000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps) ;  -- transport delays tx to rx data
-  constant c_delay_sysreftoadc_arr : t_time_arr := (4000 ps,
-                                                 5000 ps,
-                                                 6000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps) ;  -- transport delays clock source to adc(tx)
+  constant c_delay_data_arr     : t_time_arr := (
+    4000 ps,
+    5000 ps,
+    6000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps
+  ) ;  -- transport delays tx to rx data
+  constant c_delay_sysreftoadc_arr : t_time_arr := (
+    4000 ps,
+    5000 ps,
+    6000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps
+  ) ;  -- transport delays clock source to adc(tx)
   constant c_delay_sysreftofpga : time := 10200 ps;
 
 
@@ -187,50 +191,50 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_adc : entity work.lofar2_unb2b_adc
-  generic map (
-    g_design_name => "lofar2_unb2b_adc_one_node",
-    g_design_note => "Lofar2 adc with one node",
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA    => bck_rx,
-    JESD204B_REFCLK         => jesd204b_sampclk_fpga,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref_fpga,
-    JESD204B_SYNC_N   => jesd204b_sync_n_fpga
-  );
+    generic map (
+      g_design_name => "lofar2_unb2b_adc_one_node",
+      g_design_note => "Lofar2 adc with one node",
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA    => bck_rx,
+      JESD204B_REFCLK         => jesd204b_sampclk_fpga,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref_fpga,
+      JESD204B_SYNC_N   => jesd204b_sync_n_fpga
+    );
 
 
   -----------------------------------------------------------------------------
@@ -240,7 +244,7 @@ begin
   gen_transport : for i in 0 to c_nof_jesd204b_tx - 1 generate
     jesd204b_sampclk_adc(i) <= transport jesd204b_sampclk after c_delay_sysreftoadc_arr(i);
     jesd204b_sysref_adc(i)  <= transport jesd204b_sysref after c_delay_sysreftoadc_arr(i);
---    txlink_clk(i) <= jesd204b_sampclk_div2 after c_delay_sysreftoadc_arr(i);
+    --    txlink_clk(i) <= jesd204b_sampclk_div2 after c_delay_sysreftoadc_arr(i);
     bck_rx(i) <= transport serial_tx(i) after c_delay_data_arr(i);
     jesd204b_sync_n_adc(i) <= transport jesd204b_sync_n_fpga(i) after c_delay_data_arr(i);
   end generate;
@@ -312,40 +316,40 @@ begin
       variable even_sample : boolean := true;
     begin
       if mm_rst = '1' then
-         jesd204b_tx_link_data_arr(i) <= (others => '0');
-         jesd204b_tx_link_valid(i) <= '0';
-         txlink_clk(i) <= '0';
-         data := 0;
-         even_sample := true;
-       else
-         if rising_edge(jesd204b_sampclk_adc(i)) then
-           txlink_clk(i) <= not txlink_clk(i);
-           jesd204b_sysref_adc_1(i) <= jesd204b_sysref_adc(i);
-           jesd204b_sysref_adc_2(i) <= jesd204b_sysref_adc_1(i);
-           if (jesd204b_sysref_adc(i) = '1' and jesd204b_sysref_adc_1(i) = '0') then
-             data := 1000;
-           elsif (jesd204b_sysref_adc_1(i) = '1' and jesd204b_sysref_adc_2(i) = '0') then
-             data := -1000;
-           else
-             data := 0;
-           end if;
-
-           -- Frame the data to 32 bits at half the rate
-           if(jesd204b_tx_link_ready(i) = '0') then
-             even_sample := true;
-           else
-             even_sample := not even_sample;
-           end if;
-           if (even_sample = true) then
-             jesd204b_tx_link_data_arr(i)(15 downto 0) <= TO_SVEC(data, 16);
-             jesd204b_tx_link_valid(i) <= '0';
-           else
-             jesd204b_tx_link_data_arr(i)(31 downto 16) <= TO_SVEC(data, 16);
-             jesd204b_tx_link_valid(i) <= '1';
-           end if;
-
-         end if;
-       end if;
+        jesd204b_tx_link_data_arr(i) <= (others => '0');
+        jesd204b_tx_link_valid(i) <= '0';
+        txlink_clk(i) <= '0';
+        data := 0;
+        even_sample := true;
+      else
+        if rising_edge(jesd204b_sampclk_adc(i)) then
+          txlink_clk(i) <= not txlink_clk(i);
+          jesd204b_sysref_adc_1(i) <= jesd204b_sysref_adc(i);
+          jesd204b_sysref_adc_2(i) <= jesd204b_sysref_adc_1(i);
+          if (jesd204b_sysref_adc(i) = '1' and jesd204b_sysref_adc_1(i) = '0') then
+            data := 1000;
+          elsif (jesd204b_sysref_adc_1(i) = '1' and jesd204b_sysref_adc_2(i) = '0') then
+            data := -1000;
+          else
+            data := 0;
+          end if;
+
+          -- Frame the data to 32 bits at half the rate
+          if(jesd204b_tx_link_ready(i) = '0') then
+            even_sample := true;
+          else
+            even_sample := not even_sample;
+          end if;
+          if (even_sample = true) then
+            jesd204b_tx_link_data_arr(i)(15 downto 0) <= TO_SVEC(data, 16);
+            jesd204b_tx_link_valid(i) <= '0';
+          else
+            jesd204b_tx_link_data_arr(i)(31 downto 16) <= TO_SVEC(data, 16);
+            jesd204b_tx_link_valid(i) <= '1';
+          end if;
+
+        end if;
+      end if;
     end process;
 
 
@@ -396,23 +400,23 @@ begin
     variable count  : natural := 0;
   begin
     if mm_rst = '1' then
-       jesd204b_sysref <= '0';
-       count := 0;
-     else
-       if rising_edge(jesd204b_sampclk) then
+      jesd204b_sysref <= '0';
+      count := 0;
+    else
+      if rising_edge(jesd204b_sampclk) then
         if (count = c_sysref_period - 1) then
-           count := 0;
-         else
-           count := count + 1;
-         end if;
-
-         if count > c_sysref_period - 8 then
-           jesd204b_sysref <= '1';
-         else
-           jesd204b_sysref <= '0';
-         end if;
-       end if;
-     end if;
+          count := 0;
+        else
+          count := count + 1;
+        end if;
+
+        if count > c_sysref_period - 8 then
+          jesd204b_sysref <= '1';
+        else
+          jesd204b_sysref <= '0';
+        end if;
+      end if;
+    end if;
   end process;
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd
index f3791a4aef..e8978913cf 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd
@@ -47,16 +47,16 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_lofar2_unb2b_adc_wg is
 end tb_lofar2_unb2b_adc_wg;
@@ -77,7 +77,7 @@ architecture tb of tb_lofar2_unb2b_adc_wg is
 
   constant c_tb_clk_period       : time := 100 ps;  -- use fast tb_clk to speed up M&C
   constant c_cable_delay         : time := 12 ns
-;
+                                           ;
   constant c_sample_freq         : natural := c_unb2b_board_ext_clk_freq_200M / 10**6;  -- 200 MSps
   constant c_sample_period       : time := (10**6 / c_sample_freq) * 1 ps;
 
@@ -183,50 +183,50 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_adc : entity work.lofar2_unb2b_adc
-  generic map (
-    g_design_name => "lofar2_unb2b_adc_one_node",
-    g_design_note => "Lofar2 adc with one node",
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA  => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK       => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name => "lofar2_unb2b_adc_one_node",
+      g_design_note => "Lofar2 adc with one node",
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA  => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK       => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node/lofar2_unb2b_beamformer_one_node.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node/lofar2_unb2b_beamformer_one_node.vhd
index cd8df535cd..e3881aa9ee 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node/lofar2_unb2b_beamformer_one_node.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node/lofar2_unb2b_beamformer_one_node.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_beamformer_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_beamformer_one_node is
   generic (
@@ -82,7 +82,7 @@ entity lofar2_unb2b_beamformer_one_node is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1  downto c_unb2b_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -119,58 +119,58 @@ begin
 
 
   u_revision : entity lofar2_unb2b_beamformer_lib.lofar2_unb2b_beamformer
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node_256MHz/lofar2_unb2b_beamformer_one_node_256MHz.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node_256MHz/lofar2_unb2b_beamformer_one_node_256MHz.vhd
index 1fd5cbce8c..eb4083666a 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node_256MHz/lofar2_unb2b_beamformer_one_node_256MHz.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/revisions/lofar2_unb2b_beamformer_one_node_256MHz/lofar2_unb2b_beamformer_one_node_256MHz.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_beamformer_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_beamformer_one_node_256MHz is
   generic (
@@ -82,7 +82,7 @@ entity lofar2_unb2b_beamformer_one_node_256MHz is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1  downto c_unb2b_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -119,58 +119,58 @@ begin
 
 
   u_revision : entity lofar2_unb2b_beamformer_lib.lofar2_unb2b_beamformer
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer.vhd
index 45b42b43b0..ac4852f4de 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer.vhd
@@ -27,19 +27,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_adc_lib, wpfb_lib, lofar2_sdp_lib, tech_pll_lib, nw_10gbe_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2b_beamformer_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2b_beamformer_pkg.all;
 
 entity lofar2_unb2b_beamformer is
   generic (
@@ -91,9 +91,9 @@ entity lofar2_unb2b_beamformer is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector(c_sdp_S_pn - 1 downto 0);
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic;  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -400,267 +400,267 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                => g_sim,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                => c_unb2b_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range,
-    g_dp_clk_freq        => c_dp_clk_freq,
-    g_dp_clk_use_pll     => false
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                => c_unb2b_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range,
+      g_dp_clk_freq        => c_dp_clk_freq,
+      g_dp_clk_use_pll     => false
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2b_beamformer
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- mm buses for signal flow blocks
-    -- Jesd ip status/control
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-    ram_st_sst_mosi             => ram_st_sst_mosi,
-    ram_st_sst_miso             => ram_st_sst_miso,
-    ram_fil_coefs_mosi          => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso          => ram_fil_coefs_miso,
-    reg_si_mosi                 => reg_si_mosi,
-    reg_si_miso                 => reg_si_miso,
-    ram_equalizer_gains_mosi    => ram_equalizer_gains_mosi,
-    ram_equalizer_gains_miso    => ram_equalizer_gains_miso,
-    reg_dp_selector_mosi        => reg_dp_selector_mosi,
-    reg_dp_selector_miso        => reg_dp_selector_miso,
-    reg_sdp_info_mosi           => reg_sdp_info_mosi,
-    reg_sdp_info_miso           => reg_sdp_info_miso,
-    ram_ss_ss_wide_mosi         => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso         => ram_ss_ss_wide_miso,
-    ram_bf_weights_mosi         => ram_bf_weights_mosi,
-    ram_bf_weights_miso         => ram_bf_weights_miso,
-    reg_bf_scale_mosi           => reg_bf_scale_mosi,
-    reg_bf_scale_miso           => reg_bf_scale_miso,
-    reg_hdr_dat_mosi            => reg_hdr_dat_mosi,
-    reg_hdr_dat_miso            => reg_hdr_dat_miso,
-    reg_dp_xonoff_mosi          => reg_dp_xonoff_mosi,
-    reg_dp_xonoff_miso          => reg_dp_xonoff_miso,
-    ram_st_bst_mosi             => ram_st_bst_mosi,
-    ram_st_bst_miso             => ram_st_bst_miso,
-    reg_nw_10GbE_mac_mosi       => reg_nw_10GbE_mac_mosi,
-    reg_nw_10GbE_mac_miso       => reg_nw_10GbE_mac_miso,
-    reg_nw_10GbE_eth10g_mosi    => reg_nw_10GbE_eth10g_mosi,
-    reg_nw_10GbE_eth10g_miso    => reg_nw_10GbE_eth10g_miso,
-    ram_scrap_mosi              => ram_scrap_mosi,
-    ram_scrap_miso              => ram_scrap_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- mm buses for signal flow blocks
+      -- Jesd ip status/control
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+      ram_st_sst_mosi             => ram_st_sst_mosi,
+      ram_st_sst_miso             => ram_st_sst_miso,
+      ram_fil_coefs_mosi          => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso          => ram_fil_coefs_miso,
+      reg_si_mosi                 => reg_si_mosi,
+      reg_si_miso                 => reg_si_miso,
+      ram_equalizer_gains_mosi    => ram_equalizer_gains_mosi,
+      ram_equalizer_gains_miso    => ram_equalizer_gains_miso,
+      reg_dp_selector_mosi        => reg_dp_selector_mosi,
+      reg_dp_selector_miso        => reg_dp_selector_miso,
+      reg_sdp_info_mosi           => reg_sdp_info_mosi,
+      reg_sdp_info_miso           => reg_sdp_info_miso,
+      ram_ss_ss_wide_mosi         => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso         => ram_ss_ss_wide_miso,
+      ram_bf_weights_mosi         => ram_bf_weights_mosi,
+      ram_bf_weights_miso         => ram_bf_weights_miso,
+      reg_bf_scale_mosi           => reg_bf_scale_mosi,
+      reg_bf_scale_miso           => reg_bf_scale_miso,
+      reg_hdr_dat_mosi            => reg_hdr_dat_mosi,
+      reg_hdr_dat_miso            => reg_hdr_dat_miso,
+      reg_dp_xonoff_mosi          => reg_dp_xonoff_mosi,
+      reg_dp_xonoff_miso          => reg_dp_xonoff_miso,
+      ram_st_bst_mosi             => ram_st_bst_mosi,
+      ram_st_bst_miso             => ram_st_bst_miso,
+      reg_nw_10GbE_mac_mosi       => reg_nw_10GbE_mac_mosi,
+      reg_nw_10GbE_mac_miso       => reg_nw_10GbE_mac_miso,
+      reg_nw_10GbE_eth10g_mosi    => reg_nw_10GbE_eth10g_mosi,
+      reg_nw_10GbE_eth10g_miso    => reg_nw_10GbE_eth10g_miso,
+      ram_scrap_mosi              => ram_scrap_mosi,
+      ram_scrap_miso              => ram_scrap_miso
+    );
 
   -----------------------------------------------------------------------------
   -- SDP Info register
   -----------------------------------------------------------------------------
   u_sdp_info : entity lofar2_sdp_lib.sdp_info
-  port map(
-    -- Clocks and reset
-    mm_rst    => mm_rst,  -- reset synchronous with mm_clk
-    mm_clk    => mm_clk,  -- memory-mapped bus clock
+    port map(
+      -- Clocks and reset
+      mm_rst    => mm_rst,  -- reset synchronous with mm_clk
+      mm_clk    => mm_clk,  -- memory-mapped bus clock
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    reg_mosi  => reg_sdp_info_mosi,
-    reg_miso  => reg_sdp_info_miso,
+      reg_mosi  => reg_sdp_info_mosi,
+      reg_miso  => reg_sdp_info_miso,
 
-    -- inputs from other blocks
-    gn_index  => gn_index,
-    f_adc     => c_f_adc,
-    fsub_type => c_fsub_type,
+      -- inputs from other blocks
+      gn_index  => gn_index,
+      f_adc     => c_f_adc,
+      fsub_type => c_fsub_type,
 
-    -- sdp info
-    sdp_info => sdp_info
-  );
+      -- sdp info
+      sdp_info => sdp_info
+    );
 
   -----------------------------------------------------------------------------
   -- nof beamsets node_sdp_beamformers (BF)
@@ -681,215 +681,215 @@ begin
   --   .Contains JESD receiver, bsn source and associated data buffers, diagnostics and statistics
   -----------------------------------------------------------------------------
   u_ait: entity lofar2_unb2b_adc_lib.node_adc_input_and_timing
-  generic map(
-    g_nof_streams               => c_sdp_S_pn,
-    g_buf_nof_data              => c_sdp_V_si_db,
-    g_sim                       => g_sim
-  )
-  port map(
-    -- clocks and resets
-    mm_clk                      => mm_clk,
-    mm_rst                      => mm_rst,
-    dp_clk                      => dp_clk,
-    dp_rst                      => dp_rst,
-
-    -- mm control buses
-    jesd_ctrl_mosi              => c_mem_mosi_rst,
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-
-     -- Jesd external IOs
-    jesd204b_serial_data       => JESD204B_SERIAL_DATA,
-    jesd204b_refclk            => JESD204B_REFCLK,
-    jesd204b_sysref            => JESD204B_SYSREF,
-    jesd204b_sync_n            => JESD204B_SYNC_N,
-
-    -- Streaming data output
-    out_sosi_arr               => ait_sosi_arr
-  );
+    generic map(
+      g_nof_streams               => c_sdp_S_pn,
+      g_buf_nof_data              => c_sdp_V_si_db,
+      g_sim                       => g_sim
+    )
+    port map(
+      -- clocks and resets
+      mm_clk                      => mm_clk,
+      mm_rst                      => mm_rst,
+      dp_clk                      => dp_clk,
+      dp_rst                      => dp_rst,
+
+      -- mm control buses
+      jesd_ctrl_mosi              => c_mem_mosi_rst,
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+
+      -- Jesd external IOs
+      jesd204b_serial_data       => JESD204B_SERIAL_DATA,
+      jesd204b_refclk            => JESD204B_REFCLK,
+      jesd204b_sysref            => JESD204B_SYSREF,
+      jesd204b_sync_n            => JESD204B_SYNC_N,
+
+      -- Streaming data output
+      out_sosi_arr               => ait_sosi_arr
+    );
 
 
   -----------------------------------------------------------------------------
   -- node_sdp_filterbank (FSUB)
   -----------------------------------------------------------------------------
   u_fsub : entity lofar2_sdp_lib.node_sdp_filterbank
-  generic map(
-    g_sim                    => g_sim,
-    g_wpfb                   => g_wpfb,
-    g_scope_selected_subband => g_scope_selected_subband
-  )
-  port map(
-    dp_clk             => dp_clk,
-    dp_rst             => dp_rst,
-
-    in_sosi_arr        => ait_sosi_arr,
-    pfb_sosi_arr       => pfb_sosi_arr,
-    fsub_sosi_arr      => fsub_sosi_arr,
-
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    reg_si_mosi        => reg_si_mosi,
-    reg_si_miso        => reg_si_miso,
-    ram_st_sst_mosi    => ram_st_sst_mosi,
-    ram_st_sst_miso    => ram_st_sst_miso,
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso => ram_fil_coefs_miso,
-    ram_gains_mosi     => ram_equalizer_gains_mosi,
-    ram_gains_miso     => ram_equalizer_gains_miso,
-    reg_selector_mosi  => reg_dp_selector_mosi,
-    reg_selector_miso  => reg_dp_selector_miso,
-
-    sdp_info           => sdp_info,
-    gn_id              => gn_id,
-    eth_src_mac        => stat_eth_src_mac,
-    ip_src_addr        => stat_ip_src_addr,
-    udp_src_port       => sst_udp_src_port
-  );
+    generic map(
+      g_sim                    => g_sim,
+      g_wpfb                   => g_wpfb,
+      g_scope_selected_subband => g_scope_selected_subband
+    )
+    port map(
+      dp_clk             => dp_clk,
+      dp_rst             => dp_rst,
+
+      in_sosi_arr        => ait_sosi_arr,
+      pfb_sosi_arr       => pfb_sosi_arr,
+      fsub_sosi_arr      => fsub_sosi_arr,
+
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      reg_si_mosi        => reg_si_mosi,
+      reg_si_miso        => reg_si_miso,
+      ram_st_sst_mosi    => ram_st_sst_mosi,
+      ram_st_sst_miso    => ram_st_sst_miso,
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso => ram_fil_coefs_miso,
+      ram_gains_mosi     => ram_equalizer_gains_mosi,
+      ram_gains_miso     => ram_equalizer_gains_miso,
+      reg_selector_mosi  => reg_dp_selector_mosi,
+      reg_selector_miso  => reg_dp_selector_miso,
+
+      sdp_info           => sdp_info,
+      gn_id              => gn_id,
+      eth_src_mac        => stat_eth_src_mac,
+      ip_src_addr        => stat_ip_src_addr,
+      udp_src_port       => sst_udp_src_port
+    );
 
 
 
   -- Beamformers
   gen_bf : for beamset_id in 0 to c_sdp_N_beamsets - 1 generate
     u_bf : entity lofar2_sdp_lib.node_sdp_beamformer
-    generic map(
-      g_sim                    => g_sim,
-      g_beamset_id             => beamset_id,
-      g_scope_selected_beamlet => g_scope_selected_subband
-    )
-    port map(
-      dp_clk       => dp_clk,
-      dp_rst       => dp_rst,
-
-      in_sosi_arr  => fsub_sosi_arr,
-      bf_udp_sosi  => bf_udp_sosi_arr(beamset_id),
-      bf_udp_siso  => bf_udp_siso_arr(beamset_id),
-      bst_udp_sosi => OPEN,
-
-      mm_rst       => mm_rst,
-      mm_clk       => mm_clk,
-
-      ram_ss_ss_wide_mosi => ram_ss_ss_wide_mosi_arr(beamset_id),
-      ram_ss_ss_wide_miso => ram_ss_ss_wide_miso_arr(beamset_id),
-      ram_bf_weights_mosi => ram_bf_weights_mosi_arr(beamset_id),
-      ram_bf_weights_miso => ram_bf_weights_miso_arr(beamset_id),
-      reg_bf_scale_mosi   => reg_bf_scale_mosi_arr(beamset_id),
-      reg_bf_scale_miso   => reg_bf_scale_miso_arr(beamset_id),
-      reg_hdr_dat_mosi    => reg_hdr_dat_mosi_arr(beamset_id),
-      reg_hdr_dat_miso    => reg_hdr_dat_miso_arr(beamset_id),
-      reg_dp_xonoff_mosi  => reg_dp_xonoff_mosi_arr(beamset_id),
-      reg_dp_xonoff_miso  => reg_dp_xonoff_miso_arr(beamset_id),
-      ram_st_sst_mosi     => ram_st_bst_mosi_arr(beamset_id),
-      ram_st_sst_miso     => ram_st_bst_miso_arr(beamset_id),
-
-      sdp_info => sdp_info,
-      gn_id    => gn_id,
-
-      eth_src_mac  => cep_eth_src_mac,
-      ip_src_addr  => cep_ip_src_addr,
-      udp_src_port => cep_udp_src_port,
-
-      hdr_fields_out => bf_10GbE_hdr_fields_out_arr(beamset_id)
-    );
+      generic map(
+        g_sim                    => g_sim,
+        g_beamset_id             => beamset_id,
+        g_scope_selected_beamlet => g_scope_selected_subband
+      )
+      port map(
+        dp_clk       => dp_clk,
+        dp_rst       => dp_rst,
+
+        in_sosi_arr  => fsub_sosi_arr,
+        bf_udp_sosi  => bf_udp_sosi_arr(beamset_id),
+        bf_udp_siso  => bf_udp_siso_arr(beamset_id),
+        bst_udp_sosi => OPEN,
+
+        mm_rst       => mm_rst,
+        mm_clk       => mm_clk,
+
+        ram_ss_ss_wide_mosi => ram_ss_ss_wide_mosi_arr(beamset_id),
+        ram_ss_ss_wide_miso => ram_ss_ss_wide_miso_arr(beamset_id),
+        ram_bf_weights_mosi => ram_bf_weights_mosi_arr(beamset_id),
+        ram_bf_weights_miso => ram_bf_weights_miso_arr(beamset_id),
+        reg_bf_scale_mosi   => reg_bf_scale_mosi_arr(beamset_id),
+        reg_bf_scale_miso   => reg_bf_scale_miso_arr(beamset_id),
+        reg_hdr_dat_mosi    => reg_hdr_dat_mosi_arr(beamset_id),
+        reg_hdr_dat_miso    => reg_hdr_dat_miso_arr(beamset_id),
+        reg_dp_xonoff_mosi  => reg_dp_xonoff_mosi_arr(beamset_id),
+        reg_dp_xonoff_miso  => reg_dp_xonoff_miso_arr(beamset_id),
+        ram_st_sst_mosi     => ram_st_bst_mosi_arr(beamset_id),
+        ram_st_sst_miso     => ram_st_bst_miso_arr(beamset_id),
+
+        sdp_info => sdp_info,
+        gn_id    => gn_id,
+
+        eth_src_mac  => cep_eth_src_mac,
+        ip_src_addr  => cep_ip_src_addr,
+        udp_src_port => cep_udp_src_port,
+
+        hdr_fields_out => bf_10GbE_hdr_fields_out_arr(beamset_id)
+      );
 
   end generate;
 
   -- MM multiplexing
   u_mem_mux_ram_ss_ss_wide : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_N_beamsets,
-    g_mult_addr_w => c_addr_w_ram_ss_ss_wide
-  )
-  port map (
-    mosi     => ram_ss_ss_wide_mosi,
-    miso     => ram_ss_ss_wide_miso,
-    mosi_arr => ram_ss_ss_wide_mosi_arr,
-    miso_arr => ram_ss_ss_wide_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_N_beamsets,
+      g_mult_addr_w => c_addr_w_ram_ss_ss_wide
+    )
+    port map (
+      mosi     => ram_ss_ss_wide_mosi,
+      miso     => ram_ss_ss_wide_miso,
+      mosi_arr => ram_ss_ss_wide_mosi_arr,
+      miso_arr => ram_ss_ss_wide_miso_arr
+    );
 
   u_mem_mux_ram_bf_weights : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_N_beamsets,
-    g_mult_addr_w => c_addr_w_ram_bf_weights
-  )
-  port map (
-    mosi     => ram_bf_weights_mosi,
-    miso     => ram_bf_weights_miso,
-    mosi_arr => ram_bf_weights_mosi_arr,
-    miso_arr => ram_bf_weights_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_N_beamsets,
+      g_mult_addr_w => c_addr_w_ram_bf_weights
+    )
+    port map (
+      mosi     => ram_bf_weights_mosi,
+      miso     => ram_bf_weights_miso,
+      mosi_arr => ram_bf_weights_mosi_arr,
+      miso_arr => ram_bf_weights_miso_arr
+    );
 
   u_mem_mux_reg_bf_scale : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_N_beamsets,
-    g_mult_addr_w => c_addr_w_reg_bf_scale
-  )
-  port map (
-    mosi     => reg_bf_scale_mosi,
-    miso     => reg_bf_scale_miso,
-    mosi_arr => reg_bf_scale_mosi_arr,
-    miso_arr => reg_bf_scale_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_N_beamsets,
+      g_mult_addr_w => c_addr_w_reg_bf_scale
+    )
+    port map (
+      mosi     => reg_bf_scale_mosi,
+      miso     => reg_bf_scale_miso,
+      mosi_arr => reg_bf_scale_mosi_arr,
+      miso_arr => reg_bf_scale_miso_arr
+    );
 
   u_mem_mux_reg_hdr_dat : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_N_beamsets,
-    g_mult_addr_w => c_addr_w_reg_hdr_dat
-  )
-  port map (
-    mosi     => reg_hdr_dat_mosi,
-    miso     => reg_hdr_dat_miso,
-    mosi_arr => reg_hdr_dat_mosi_arr,
-    miso_arr => reg_hdr_dat_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_N_beamsets,
+      g_mult_addr_w => c_addr_w_reg_hdr_dat
+    )
+    port map (
+      mosi     => reg_hdr_dat_mosi,
+      miso     => reg_hdr_dat_miso,
+      mosi_arr => reg_hdr_dat_mosi_arr,
+      miso_arr => reg_hdr_dat_miso_arr
+    );
 
   u_mem_mux_reg_dp_xonoff : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_N_beamsets,
-    g_mult_addr_w => c_addr_w_reg_dp_xonoff
-  )
-  port map (
-    mosi     => reg_dp_xonoff_mosi,
-    miso     => reg_dp_xonoff_miso,
-    mosi_arr => reg_dp_xonoff_mosi_arr,
-    miso_arr => reg_dp_xonoff_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_N_beamsets,
+      g_mult_addr_w => c_addr_w_reg_dp_xonoff
+    )
+    port map (
+      mosi     => reg_dp_xonoff_mosi,
+      miso     => reg_dp_xonoff_miso,
+      mosi_arr => reg_dp_xonoff_mosi_arr,
+      miso_arr => reg_dp_xonoff_miso_arr
+    );
 
   u_mem_mux_ram_st_bst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_N_beamsets,
-    g_mult_addr_w => c_addr_w_ram_st_bst
-  )
-  port map (
-    mosi     => ram_st_bst_mosi,
-    miso     => ram_st_bst_miso,
-    mosi_arr => ram_st_bst_mosi_arr,
-    miso_arr => ram_st_bst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_N_beamsets,
+      g_mult_addr_w => c_addr_w_ram_st_bst
+    )
+    port map (
+      mosi     => ram_st_bst_mosi,
+      miso     => ram_st_bst_miso,
+      mosi_arr => ram_st_bst_mosi_arr,
+      miso_arr => ram_st_bst_miso_arr
+    );
 
 
 
@@ -902,35 +902,35 @@ begin
   nw_10GbE_hdr_fields_in_arr(0) <= bf_10GbE_hdr_fields_out_arr(0);
 
   u_dp_mux : entity dp_lib.dp_mux
-  generic map (
-    g_nof_input => c_sdp_N_beamsets,
-    g_sel_ctrl_invert => true,
-    g_fifo_size => array_init(0,c_sdp_N_beamsets),  -- no FIFO used but must match g_nof_input
-    g_fifo_fill => array_init(0,c_sdp_N_beamsets)  -- no FIFO used but must match g_nof_input
-  )
-  port map (
-    clk => dp_clk,
-    rst => dp_rst,
-
-    snk_in_arr  => bf_udp_sosi_arr,
-    snk_out_arr => bf_udp_siso_arr,
-
-    src_out => nw_10gbe_snk_in_arr(0),
-    src_in  => nw_10gbe_snk_out_arr(0)
-  );
+    generic map (
+      g_nof_input => c_sdp_N_beamsets,
+      g_sel_ctrl_invert => true,
+      g_fifo_size => array_init(0,c_sdp_N_beamsets),  -- no FIFO used but must match g_nof_input
+      g_fifo_fill => array_init(0,c_sdp_N_beamsets)  -- no FIFO used but must match g_nof_input
+    )
+    port map (
+      clk => dp_clk,
+      rst => dp_rst,
+
+      snk_in_arr  => bf_udp_sosi_arr,
+      snk_out_arr => bf_udp_siso_arr,
+
+      src_out => nw_10gbe_snk_in_arr(0),
+      src_in  => nw_10gbe_snk_out_arr(0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- Interface : 10GbE
   -----------------------------------------------------------------------------
 
-    -- put the QSFP_TX/RX ports into arrays
-    i_QSFP_RX(0) <= QSFP_1_RX;
-    QSFP_1_TX <= i_QSFP_TX(0);
-    ------------
-    -- Front IO
-    ------------
-    u_front_io : entity unb2b_board_lib.unb2b_board_front_io
+  -- put the QSFP_TX/RX ports into arrays
+  i_QSFP_RX(0) <= QSFP_1_RX;
+  QSFP_1_TX <= i_QSFP_TX(0);
+  ------------
+  -- Front IO
+  ------------
+  u_front_io : entity unb2b_board_lib.unb2b_board_front_io
     generic map (
       g_nof_qsfp_bus => c_nof_qsfp_bus
     )
@@ -948,10 +948,10 @@ begin
     );
 
 
-    ---------
-    -- PLL
-    ---------
-    u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
+  ---------
+  -- PLL
+  ---------
+  u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
     generic map (
     )
     port map (
@@ -964,10 +964,10 @@ begin
     );
 
 
-    ---------------
-    -- nw_10GbE
-    ---------------
-    u_nw_10GbE: entity nw_10GbE_lib.nw_10GbE
+  ---------------
+  -- nw_10GbE
+  ---------------
+  u_nw_10GbE: entity nw_10GbE_lib.nw_10GbE
     generic map (
       g_sim           => g_sim,
       g_sim_level     => 1,
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer_pkg.vhd
index c9c60af0b8..ea10e16227 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/lofar2_unb2b_beamformer_pkg.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
 
 package lofar2_unb2b_beamformer_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -43,7 +43,7 @@ package lofar2_unb2b_beamformer_pkg is
   constant c_one_node_256MHz      : t_lofar2_unb2b_beamformer_config := (     12,     2,       12, c_unb2b_board_ext_clk_freq_256M );
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_beamformer_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_beamformer_config;
 
 
 end lofar2_unb2b_beamformer_pkg;
@@ -51,7 +51,7 @@ end lofar2_unb2b_beamformer_pkg;
 
 package body lofar2_unb2b_beamformer_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_beamformer_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_beamformer_config is
   begin
     if    g_design_name = "lofar2_unb2b_beamformer_one_node"        then return c_one_node;
     elsif g_design_name = "lofar2_unb2b_beamformer_one_node_256MHz" then return c_one_node_256MHz;
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/mmm_lofar2_unb2b_beamformer.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/mmm_lofar2_unb2b_beamformer.vhd
index 75fed2788b..9aa2e16eb1 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/mmm_lofar2_unb2b_beamformer.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/mmm_lofar2_unb2b_beamformer.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2b_beamformer_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2b_beamformer_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmm_lofar2_unb2b_beamformer is
   generic (
@@ -154,49 +154,49 @@ entity mmm_lofar2_unb2b_beamformer is
     reg_si_mosi                   : out t_mem_mosi;
     reg_si_miso                   : in  t_mem_miso;
 
-   -- Equalizer gains
-   ram_equalizer_gains_mosi       : out t_mem_mosi;
-   ram_equalizer_gains_miso       : in  t_mem_miso;
+    -- Equalizer gains
+    ram_equalizer_gains_mosi       : out t_mem_mosi;
+    ram_equalizer_gains_miso       : in  t_mem_miso;
 
-   -- DP Selector
-   reg_dp_selector_mosi           : out t_mem_mosi;
-   reg_dp_selector_miso           : in  t_mem_miso;
+    -- DP Selector
+    reg_dp_selector_mosi           : out t_mem_mosi;
+    reg_dp_selector_miso           : in  t_mem_miso;
 
-   -- SDP Info
-   reg_sdp_info_mosi              : out t_mem_mosi;
-   reg_sdp_info_miso              : in  t_mem_miso;
+    -- SDP Info
+    reg_sdp_info_mosi              : out t_mem_mosi;
+    reg_sdp_info_miso              : in  t_mem_miso;
 
-   -- Beamlet Subband Select
-   ram_ss_ss_wide_mosi            : out t_mem_mosi;
-   ram_ss_ss_wide_miso            : in  t_mem_miso;
+    -- Beamlet Subband Select
+    ram_ss_ss_wide_mosi            : out t_mem_mosi;
+    ram_ss_ss_wide_miso            : in  t_mem_miso;
 
-   -- Local BF bf weights
-   ram_bf_weights_mosi            : out t_mem_mosi;
-   ram_bf_weights_miso            : in  t_mem_miso;
+    -- Local BF bf weights
+    ram_bf_weights_mosi            : out t_mem_mosi;
+    ram_bf_weights_miso            : in  t_mem_miso;
 
-   -- mms_dp_scale Scale Beamlets
-   reg_bf_scale_mosi              : out t_mem_mosi;
-   reg_bf_scale_miso              : in  t_mem_miso;
+    -- mms_dp_scale Scale Beamlets
+    reg_bf_scale_mosi              : out t_mem_mosi;
+    reg_bf_scale_miso              : in  t_mem_miso;
 
-   -- Beamlet Data Output header fields
-   reg_hdr_dat_mosi               : out t_mem_mosi;
-   reg_hdr_dat_miso               : in  t_mem_miso;
+    -- Beamlet Data Output header fields
+    reg_hdr_dat_mosi               : out t_mem_mosi;
+    reg_hdr_dat_miso               : in  t_mem_miso;
 
-   -- Beamlet Data Output xonoff
-   reg_dp_xonoff_mosi             : out t_mem_mosi;
-   reg_dp_xonoff_miso             : in  t_mem_miso;
+    -- Beamlet Data Output xonoff
+    reg_dp_xonoff_mosi             : out t_mem_mosi;
+    reg_dp_xonoff_miso             : in  t_mem_miso;
 
-   -- Beamlet Statistics (BST)
-   ram_st_bst_mosi                : out t_mem_mosi;
-   ram_st_bst_miso                : in  t_mem_miso;
+    -- Beamlet Statistics (BST)
+    ram_st_bst_mosi                : out t_mem_mosi;
+    ram_st_bst_miso                : in  t_mem_miso;
 
-   -- 10 GbE mac
-   reg_nw_10GbE_mac_mosi          : out t_mem_mosi;
-   reg_nw_10GbE_mac_miso          : in  t_mem_miso;
+    -- 10 GbE mac
+    reg_nw_10GbE_mac_mosi          : out t_mem_mosi;
+    reg_nw_10GbE_mac_miso          : in  t_mem_miso;
 
-   -- 10 GbE eth
-   reg_nw_10GbE_eth10g_mosi       : out t_mem_mosi;
-   reg_nw_10GbE_eth10g_miso       : in  t_mem_miso;
+    -- 10 GbE eth
+    reg_nw_10GbE_eth10g_mosi       : out t_mem_mosi;
+    reg_nw_10GbE_eth10g_miso       : in  t_mem_miso;
 
     -- Scrap ram
     ram_scrap_mosi                : out t_mem_mosi;
@@ -220,112 +220,112 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_jesd204b               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B")
-                                                port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
+      port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
 
     u_mm_file_reg_dp_shiftram        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM")
-                                                port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
+      port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
 
     u_mm_file_reg_bsn_source         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE")
-                                                port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
+      port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
 
     u_mm_file_reg_bsn_scheduler      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                                port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
 
     u_mm_file_reg_bsn_monitor_input  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT")
-                                                port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
 
     u_mm_file_reg_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG")
-                                                port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
+      port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
     u_mm_file_ram_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG")
-                                               port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
+      port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
 
     u_mm_file_ram_diag_data_buf_jesd : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_JESD")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_jesd_mosi, ram_diag_data_buf_jesd_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_jesd_mosi, ram_diag_data_buf_jesd_miso );
     u_mm_file_reg_diag_data_buf_jesd : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_JESD")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_jesd_mosi, reg_diag_data_buf_jesd_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_jesd_mosi, reg_diag_data_buf_jesd_miso );
 
     u_mm_file_ram_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
     u_mm_file_reg_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
 
     u_mm_file_ram_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
     u_mm_file_reg_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
 
     u_mm_file_ram_st_sst             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST")
-                                               port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso );
+      port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso );
 
     u_mm_file_ram_fil_coefs          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_FIL_COEFS")
-                                               port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso );
+      port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso );
 
     u_mm_file_reg_si                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SI")
-                                              port map(mm_rst, mm_clk, reg_si_mosi, reg_si_miso );
+      port map(mm_rst, mm_clk, reg_si_mosi, reg_si_miso );
 
     u_mm_file_ram_equalizer_gains    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS")
-                                               port map(mm_rst, mm_clk, ram_equalizer_gains_mosi, ram_equalizer_gains_miso );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_mosi, ram_equalizer_gains_miso );
 
     u_mm_file_reg_dp_selector        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SELECTOR")
-                                              port map(mm_rst, mm_clk, reg_dp_selector_mosi, reg_dp_selector_miso );
+      port map(mm_rst, mm_clk, reg_dp_selector_mosi, reg_dp_selector_miso );
 
     u_mm_file_reg_sdp_info           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SDP_INFO")
-                                              port map(mm_rst, mm_clk, reg_sdp_info_mosi, reg_sdp_info_miso );
+      port map(mm_rst, mm_clk, reg_sdp_info_mosi, reg_sdp_info_miso );
 
     u_mm_file_ram_ss_ss_wide         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE")
-                                              port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso );
+      port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso );
 
     u_mm_file_ram_bf_weights         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_BF_WEIGHTS")
-                                              port map(mm_rst, mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso );
+      port map(mm_rst, mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso );
 
     u_mm_file_reg_bf_scale           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BF_SCALE")
-                                              port map(mm_rst, mm_clk, reg_bf_scale_mosi, reg_bf_scale_miso );
+      port map(mm_rst, mm_clk, reg_bf_scale_mosi, reg_bf_scale_miso );
 
     u_mm_file_reg_hdr_dat            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT")
-                                              port map(mm_rst, mm_clk, reg_hdr_dat_mosi, reg_hdr_dat_miso );
+      port map(mm_rst, mm_clk, reg_hdr_dat_mosi, reg_hdr_dat_miso );
 
     u_mm_file_reg_dp_xonoff          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF")
-                                              port map(mm_rst, mm_clk, reg_dp_xonoff_mosi, reg_dp_xonoff_miso );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_mosi, reg_dp_xonoff_miso );
 
     u_mm_file_ram_st_bst             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_BST")
-                                              port map(mm_rst, mm_clk, ram_st_bst_mosi, ram_st_bst_miso );
+      port map(mm_rst, mm_clk, ram_st_bst_mosi, ram_st_bst_miso );
 
     u_mm_file_reg_nw_10GbE_mac       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_MAC")
-                                              port map(mm_rst, mm_clk, reg_nw_10GbE_mac_mosi, reg_nw_10GbE_mac_miso );
+      port map(mm_rst, mm_clk, reg_nw_10GbE_mac_mosi, reg_nw_10GbE_mac_miso );
 
     u_mm_file_reg_nw_10GbE_eth10g    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_ETH10G")
-                                              port map(mm_rst, mm_clk, reg_nw_10GbE_eth10g_mosi, reg_nw_10GbE_eth10g_miso );
+      port map(mm_rst, mm_clk, reg_nw_10GbE_eth10g_mosi, reg_nw_10GbE_eth10g_miso );
 
     u_mm_file_ram_scrap              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
     -- the simulation time in ns
@@ -340,367 +340,367 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2b_beamformer
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
---    ToDo: This has changed in the peripherals package
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
---      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
---    ToDo: This has changed in the peripherals package
-      pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
---      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_mosi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
-      jesd204b_write_export                     => jesd204b_mosi.wr,
-      jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_mosi.rd,
-      jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_wg_clk_export                         => OPEN,
-      reg_wg_reset_export                       => OPEN,
-      reg_wg_address_export                     => reg_wg_mosi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
-      reg_wg_read_export                        => reg_wg_mosi.rd,
-      reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
-      reg_wg_write_export                       => reg_wg_mosi.wr,
-      reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_clk_export                         => OPEN,
-      ram_wg_reset_export                       => OPEN,
-      ram_wg_address_export                     => ram_wg_mosi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
-      ram_wg_read_export                        => ram_wg_mosi.rd,
-      ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
-      ram_wg_write_export                       => ram_wg_mosi.wr,
-      ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_shiftram_clk_export                => OPEN,
-      reg_dp_shiftram_reset_export              => OPEN,
-      reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
-      reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
-      reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
-      reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_clk_export                 => OPEN,
-      reg_bsn_source_reset_export               => OPEN,
-      reg_bsn_source_address_export             => reg_bsn_source_mosi.address(2 - 1 downto 0),
-      reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
-      reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
-      reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      ram_diag_data_buf_bsn_clk_export          => OPEN,
-      ram_diag_data_buf_bsn_reset_export        => OPEN,
-      ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(14 - 1 downto 0),
-      ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
-      ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
-      ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_bsn_reset_export        => OPEN,
-      reg_diag_data_buf_bsn_clk_export          => OPEN,
-      reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
-      reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
-      reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
-      reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buf_jesd_clk_export         => OPEN,
-      ram_diag_data_buf_jesd_reset_export       => OPEN,
-      ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(11 - 1 downto 0),
-      ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
-      ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
-      ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_jesd_reset_export       => OPEN,
-      reg_diag_data_buf_jesd_clk_export         => OPEN,
-      reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(2 - 1 downto 0),
-      reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
-      reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
-      reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_aduh_monitor_reset_export             => OPEN,
-      reg_aduh_monitor_clk_export               => OPEN,
-      reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
-      reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
-      reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
-      reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_fil_coefs_clk_export                  => OPEN,
-      ram_fil_coefs_reset_export                => OPEN,
-      ram_fil_coefs_address_export              => ram_fil_coefs_mosi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
-      ram_fil_coefs_write_export                => ram_fil_coefs_mosi.wr,
-      ram_fil_coefs_writedata_export            => ram_fil_coefs_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_fil_coefs_read_export                 => ram_fil_coefs_mosi.rd,
-      ram_fil_coefs_readdata_export             => ram_fil_coefs_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_st_sst_clk_export                     => OPEN,
-      ram_st_sst_reset_export                   => OPEN,
-      ram_st_sst_address_export                 => ram_st_sst_mosi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
-      ram_st_sst_write_export                   => ram_st_sst_mosi.wr,
-      ram_st_sst_writedata_export               => ram_st_sst_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_st_sst_read_export                    => ram_st_sst_mosi.rd,
-      ram_st_sst_readdata_export                => ram_st_sst_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_si_clk_export                         => OPEN,
-      reg_si_reset_export                       => OPEN,
-      reg_si_address_export                     => reg_si_mosi.address(c_sdp_reg_si_addr_w - 1 downto 0),
-      reg_si_write_export                       => reg_si_mosi.wr,
-      reg_si_writedata_export                   => reg_si_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_si_read_export                        => reg_si_mosi.rd,
-      reg_si_readdata_export                    => reg_si_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_clk_export            => OPEN,
-      ram_equalizer_gains_reset_export          => OPEN,
-      ram_equalizer_gains_address_export        => ram_equalizer_gains_mosi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_write_export          => ram_equalizer_gains_mosi.wr,
-      ram_equalizer_gains_writedata_export      => ram_equalizer_gains_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_read_export           => ram_equalizer_gains_mosi.rd,
-      ram_equalizer_gains_readdata_export       => ram_equalizer_gains_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_selector_clk_export                => OPEN,
-      reg_dp_selector_reset_export              => OPEN,
-      reg_dp_selector_address_export            => reg_dp_selector_mosi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
-      reg_dp_selector_write_export              => reg_dp_selector_mosi.wr,
-      reg_dp_selector_writedata_export          => reg_dp_selector_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_selector_read_export               => reg_dp_selector_mosi.rd,
-      reg_dp_selector_readdata_export           => reg_dp_selector_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_sdp_info_clk_export                   => OPEN,
-      reg_sdp_info_reset_export                 => OPEN,
-      reg_sdp_info_address_export               => reg_sdp_info_mosi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
-      reg_sdp_info_write_export                 => reg_sdp_info_mosi.wr,
-      reg_sdp_info_writedata_export             => reg_sdp_info_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_sdp_info_read_export                  => reg_sdp_info_mosi.rd,
-      reg_sdp_info_readdata_export              => reg_sdp_info_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_ss_ss_wide_clk_export                 => OPEN,
-      ram_ss_ss_wide_reset_export               => OPEN,
-      ram_ss_ss_wide_address_export             => ram_ss_ss_wide_mosi.address(c_sdp_ram_ss_ss_wide_addr_w - 1 downto 0),
-      ram_ss_ss_wide_write_export               => ram_ss_ss_wide_mosi.wr,
-      ram_ss_ss_wide_writedata_export           => ram_ss_ss_wide_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_ss_ss_wide_read_export                => ram_ss_ss_wide_mosi.rd,
-      ram_ss_ss_wide_readdata_export            => ram_ss_ss_wide_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_bf_weights_clk_export                 => OPEN,
-      ram_bf_weights_reset_export               => OPEN,
-      ram_bf_weights_address_export             => ram_bf_weights_mosi.address(c_sdp_ram_bf_weights_addr_w - 1 downto 0),
-      ram_bf_weights_write_export               => ram_bf_weights_mosi.wr,
-      ram_bf_weights_writedata_export           => ram_bf_weights_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_bf_weights_read_export                => ram_bf_weights_mosi.rd,
-      ram_bf_weights_readdata_export            => ram_bf_weights_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bf_scale_clk_export                   => OPEN,
-      reg_bf_scale_reset_export                 => OPEN,
-      reg_bf_scale_address_export               => reg_bf_scale_mosi.address(c_sdp_reg_bf_scale_addr_w - 1 downto 0),
-      reg_bf_scale_write_export                 => reg_bf_scale_mosi.wr,
-      reg_bf_scale_writedata_export             => reg_bf_scale_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bf_scale_read_export                  => reg_bf_scale_mosi.rd,
-      reg_bf_scale_readdata_export              => reg_bf_scale_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_hdr_dat_clk_export                    => OPEN,
-      reg_hdr_dat_reset_export                  => OPEN,
-      reg_hdr_dat_address_export                => reg_hdr_dat_mosi.address(c_sdp_reg_bf_hdr_dat_addr_w - 1 downto 0),
-      reg_hdr_dat_write_export                  => reg_hdr_dat_mosi.wr,
-      reg_hdr_dat_writedata_export              => reg_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_hdr_dat_read_export                   => reg_hdr_dat_mosi.rd,
-      reg_hdr_dat_readdata_export               => reg_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_clk_export                  => OPEN,
-      reg_dp_xonoff_reset_export                => OPEN,
-      reg_dp_xonoff_address_export              => reg_dp_xonoff_mosi.address(c_sdp_reg_dp_xonoff_addr_w - 1 downto 0),
-      reg_dp_xonoff_write_export                => reg_dp_xonoff_mosi.wr,
-      reg_dp_xonoff_writedata_export            => reg_dp_xonoff_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_read_export                 => reg_dp_xonoff_mosi.rd,
-      reg_dp_xonoff_readdata_export             => reg_dp_xonoff_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_st_bst_clk_export                     => OPEN,
-      ram_st_bst_reset_export                   => OPEN,
-      ram_st_bst_address_export                 => ram_st_bst_mosi.address(c_sdp_ram_st_bst_addr_w - 1 downto 0),
-      ram_st_bst_write_export                   => ram_st_bst_mosi.wr,
-      ram_st_bst_writedata_export               => ram_st_bst_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_st_bst_read_export                    => ram_st_bst_mosi.rd,
-      ram_st_bst_readdata_export                => ram_st_bst_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_nw_10GbE_mac_clk_export               => OPEN,
-      reg_nw_10GbE_mac_reset_export             => OPEN,
-      reg_nw_10GbE_mac_address_export           => reg_nw_10GbE_mac_mosi.address(c_sdp_reg_nw_10GbE_mac_addr_w - 1 downto 0),
-      reg_nw_10GbE_mac_write_export             => reg_nw_10GbE_mac_mosi.wr,
-      reg_nw_10GbE_mac_writedata_export         => reg_nw_10GbE_mac_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_nw_10GbE_mac_read_export              => reg_nw_10GbE_mac_mosi.rd,
-      reg_nw_10GbE_mac_readdata_export          => reg_nw_10GbE_mac_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_nw_10GbE_eth10g_clk_export            => OPEN,
-      reg_nw_10GbE_eth10g_reset_export          => OPEN,
-      reg_nw_10GbE_eth10g_address_export        => reg_nw_10GbE_eth10g_mosi.address(c_sdp_reg_nw_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_nw_10GbE_eth10g_write_export          => reg_nw_10GbE_eth10g_mosi.wr,
-      reg_nw_10GbE_eth10g_writedata_export      => reg_nw_10GbE_eth10g_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_nw_10GbE_eth10g_read_export           => reg_nw_10GbE_eth10g_mosi.rd,
-      reg_nw_10GbE_eth10g_readdata_export       => reg_nw_10GbE_eth10g_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(9 - 1 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
+        --      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
+        --      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_mosi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
+        jesd204b_write_export                     => jesd204b_mosi.wr,
+        jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_mosi.rd,
+        jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_wg_clk_export                         => OPEN,
+        reg_wg_reset_export                       => OPEN,
+        reg_wg_address_export                     => reg_wg_mosi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
+        reg_wg_read_export                        => reg_wg_mosi.rd,
+        reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
+        reg_wg_write_export                       => reg_wg_mosi.wr,
+        reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_clk_export                         => OPEN,
+        ram_wg_reset_export                       => OPEN,
+        ram_wg_address_export                     => ram_wg_mosi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
+        ram_wg_read_export                        => ram_wg_mosi.rd,
+        ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
+        ram_wg_write_export                       => ram_wg_mosi.wr,
+        ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_shiftram_clk_export                => OPEN,
+        reg_dp_shiftram_reset_export              => OPEN,
+        reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
+        reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
+        reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
+        reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_clk_export                 => OPEN,
+        reg_bsn_source_reset_export               => OPEN,
+        reg_bsn_source_address_export             => reg_bsn_source_mosi.address(2 - 1 downto 0),
+        reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
+        reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
+        reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        ram_diag_data_buf_bsn_clk_export          => OPEN,
+        ram_diag_data_buf_bsn_reset_export        => OPEN,
+        ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(14 - 1 downto 0),
+        ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
+        ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
+        ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_bsn_reset_export        => OPEN,
+        reg_diag_data_buf_bsn_clk_export          => OPEN,
+        reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
+        reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
+        reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
+        reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buf_jesd_clk_export         => OPEN,
+        ram_diag_data_buf_jesd_reset_export       => OPEN,
+        ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(11 - 1 downto 0),
+        ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
+        ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
+        ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_jesd_reset_export       => OPEN,
+        reg_diag_data_buf_jesd_clk_export         => OPEN,
+        reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(2 - 1 downto 0),
+        reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
+        reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
+        reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_aduh_monitor_reset_export             => OPEN,
+        reg_aduh_monitor_clk_export               => OPEN,
+        reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
+        reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
+        reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
+        reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_fil_coefs_clk_export                  => OPEN,
+        ram_fil_coefs_reset_export                => OPEN,
+        ram_fil_coefs_address_export              => ram_fil_coefs_mosi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
+        ram_fil_coefs_write_export                => ram_fil_coefs_mosi.wr,
+        ram_fil_coefs_writedata_export            => ram_fil_coefs_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_fil_coefs_read_export                 => ram_fil_coefs_mosi.rd,
+        ram_fil_coefs_readdata_export             => ram_fil_coefs_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_st_sst_clk_export                     => OPEN,
+        ram_st_sst_reset_export                   => OPEN,
+        ram_st_sst_address_export                 => ram_st_sst_mosi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
+        ram_st_sst_write_export                   => ram_st_sst_mosi.wr,
+        ram_st_sst_writedata_export               => ram_st_sst_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_st_sst_read_export                    => ram_st_sst_mosi.rd,
+        ram_st_sst_readdata_export                => ram_st_sst_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_si_clk_export                         => OPEN,
+        reg_si_reset_export                       => OPEN,
+        reg_si_address_export                     => reg_si_mosi.address(c_sdp_reg_si_addr_w - 1 downto 0),
+        reg_si_write_export                       => reg_si_mosi.wr,
+        reg_si_writedata_export                   => reg_si_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_si_read_export                        => reg_si_mosi.rd,
+        reg_si_readdata_export                    => reg_si_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_clk_export            => OPEN,
+        ram_equalizer_gains_reset_export          => OPEN,
+        ram_equalizer_gains_address_export        => ram_equalizer_gains_mosi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_write_export          => ram_equalizer_gains_mosi.wr,
+        ram_equalizer_gains_writedata_export      => ram_equalizer_gains_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_read_export           => ram_equalizer_gains_mosi.rd,
+        ram_equalizer_gains_readdata_export       => ram_equalizer_gains_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_selector_clk_export                => OPEN,
+        reg_dp_selector_reset_export              => OPEN,
+        reg_dp_selector_address_export            => reg_dp_selector_mosi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
+        reg_dp_selector_write_export              => reg_dp_selector_mosi.wr,
+        reg_dp_selector_writedata_export          => reg_dp_selector_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_selector_read_export               => reg_dp_selector_mosi.rd,
+        reg_dp_selector_readdata_export           => reg_dp_selector_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_sdp_info_clk_export                   => OPEN,
+        reg_sdp_info_reset_export                 => OPEN,
+        reg_sdp_info_address_export               => reg_sdp_info_mosi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
+        reg_sdp_info_write_export                 => reg_sdp_info_mosi.wr,
+        reg_sdp_info_writedata_export             => reg_sdp_info_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_sdp_info_read_export                  => reg_sdp_info_mosi.rd,
+        reg_sdp_info_readdata_export              => reg_sdp_info_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_ss_ss_wide_clk_export                 => OPEN,
+        ram_ss_ss_wide_reset_export               => OPEN,
+        ram_ss_ss_wide_address_export             => ram_ss_ss_wide_mosi.address(c_sdp_ram_ss_ss_wide_addr_w - 1 downto 0),
+        ram_ss_ss_wide_write_export               => ram_ss_ss_wide_mosi.wr,
+        ram_ss_ss_wide_writedata_export           => ram_ss_ss_wide_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_ss_ss_wide_read_export                => ram_ss_ss_wide_mosi.rd,
+        ram_ss_ss_wide_readdata_export            => ram_ss_ss_wide_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_bf_weights_clk_export                 => OPEN,
+        ram_bf_weights_reset_export               => OPEN,
+        ram_bf_weights_address_export             => ram_bf_weights_mosi.address(c_sdp_ram_bf_weights_addr_w - 1 downto 0),
+        ram_bf_weights_write_export               => ram_bf_weights_mosi.wr,
+        ram_bf_weights_writedata_export           => ram_bf_weights_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_bf_weights_read_export                => ram_bf_weights_mosi.rd,
+        ram_bf_weights_readdata_export            => ram_bf_weights_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bf_scale_clk_export                   => OPEN,
+        reg_bf_scale_reset_export                 => OPEN,
+        reg_bf_scale_address_export               => reg_bf_scale_mosi.address(c_sdp_reg_bf_scale_addr_w - 1 downto 0),
+        reg_bf_scale_write_export                 => reg_bf_scale_mosi.wr,
+        reg_bf_scale_writedata_export             => reg_bf_scale_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bf_scale_read_export                  => reg_bf_scale_mosi.rd,
+        reg_bf_scale_readdata_export              => reg_bf_scale_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_hdr_dat_clk_export                    => OPEN,
+        reg_hdr_dat_reset_export                  => OPEN,
+        reg_hdr_dat_address_export                => reg_hdr_dat_mosi.address(c_sdp_reg_bf_hdr_dat_addr_w - 1 downto 0),
+        reg_hdr_dat_write_export                  => reg_hdr_dat_mosi.wr,
+        reg_hdr_dat_writedata_export              => reg_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_hdr_dat_read_export                   => reg_hdr_dat_mosi.rd,
+        reg_hdr_dat_readdata_export               => reg_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_clk_export                  => OPEN,
+        reg_dp_xonoff_reset_export                => OPEN,
+        reg_dp_xonoff_address_export              => reg_dp_xonoff_mosi.address(c_sdp_reg_dp_xonoff_addr_w - 1 downto 0),
+        reg_dp_xonoff_write_export                => reg_dp_xonoff_mosi.wr,
+        reg_dp_xonoff_writedata_export            => reg_dp_xonoff_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_read_export                 => reg_dp_xonoff_mosi.rd,
+        reg_dp_xonoff_readdata_export             => reg_dp_xonoff_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_st_bst_clk_export                     => OPEN,
+        ram_st_bst_reset_export                   => OPEN,
+        ram_st_bst_address_export                 => ram_st_bst_mosi.address(c_sdp_ram_st_bst_addr_w - 1 downto 0),
+        ram_st_bst_write_export                   => ram_st_bst_mosi.wr,
+        ram_st_bst_writedata_export               => ram_st_bst_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_st_bst_read_export                    => ram_st_bst_mosi.rd,
+        ram_st_bst_readdata_export                => ram_st_bst_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_nw_10GbE_mac_clk_export               => OPEN,
+        reg_nw_10GbE_mac_reset_export             => OPEN,
+        reg_nw_10GbE_mac_address_export           => reg_nw_10GbE_mac_mosi.address(c_sdp_reg_nw_10GbE_mac_addr_w - 1 downto 0),
+        reg_nw_10GbE_mac_write_export             => reg_nw_10GbE_mac_mosi.wr,
+        reg_nw_10GbE_mac_writedata_export         => reg_nw_10GbE_mac_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_nw_10GbE_mac_read_export              => reg_nw_10GbE_mac_mosi.rd,
+        reg_nw_10GbE_mac_readdata_export          => reg_nw_10GbE_mac_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_nw_10GbE_eth10g_clk_export            => OPEN,
+        reg_nw_10GbE_eth10g_reset_export          => OPEN,
+        reg_nw_10GbE_eth10g_address_export        => reg_nw_10GbE_eth10g_mosi.address(c_sdp_reg_nw_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_nw_10GbE_eth10g_write_export          => reg_nw_10GbE_eth10g_mosi.wr,
+        reg_nw_10GbE_eth10g_writedata_export      => reg_nw_10GbE_eth10g_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_nw_10GbE_eth10g_read_export           => reg_nw_10GbE_eth10g_mosi.rd,
+        reg_nw_10GbE_eth10g_readdata_export       => reg_nw_10GbE_eth10g_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(9 - 1 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/qsys_lofar2_unb2b_beamformer_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/qsys_lofar2_unb2b_beamformer_pkg.vhd
index eec711a09d..b4b2c4613f 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/qsys_lofar2_unb2b_beamformer_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/src/vhdl/qsys_lofar2_unb2b_beamformer_pkg.vhd
@@ -19,332 +19,332 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2b_beamformer_pkg is
 
   -----------------------------------------------------------------------------
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
-    component qsys_lofar2_unb2b_beamformer is
-        port (
-            avs_eth_0_clk_export                    : out std_logic;  -- export
-            avs_eth_0_irq_export                    : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export            : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export               : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export              : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export            : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export               : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export              : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                  : out std_logic;  -- export
-            avs_eth_0_tse_address_export            : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export               : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export        : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export              : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                 : in  std_logic                     := 'X';  -- clk
-            jesd204b_address_export                 : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_clk_export                     : out std_logic;  -- export
-            jesd204b_read_export                    : out std_logic;  -- export
-            jesd204b_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            jesd204b_reset_export                   : out std_logic;  -- export
-            jesd204b_write_export                   : out std_logic;  -- export
-            jesd204b_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                      : out std_logic;  -- export
-            pio_pps_read_export                     : out std_logic;  -- export
-            pio_pps_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                    : out std_logic;  -- export
-            pio_pps_write_export                    : out std_logic;  -- export
-            pio_pps_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export          : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export              : out std_logic;  -- export
-            pio_system_info_read_export             : out std_logic;  -- export
-            pio_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export            : out std_logic;  -- export
-            pio_system_info_write_export            : out std_logic;  -- export
-            pio_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export      : out std_logic;  -- export
-            ram_aduh_monitor_address_export         : out std_logic_vector(12 downto 0);  -- export
-            ram_aduh_monitor_clk_export             : out std_logic;  -- export
-            ram_aduh_monitor_read_export            : out std_logic;  -- export
-            ram_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_aduh_monitor_reset_export           : out std_logic;  -- export
-            ram_aduh_monitor_write_export           : out std_logic;  -- export
-            ram_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            ram_bf_weights_address_export           : out std_logic_vector(14 downto 0);  -- export
-            ram_bf_weights_clk_export               : out std_logic;  -- export
-            ram_bf_weights_read_export              : out std_logic;  -- export
-            ram_bf_weights_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_bf_weights_reset_export             : out std_logic;  -- export
-            ram_bf_weights_write_export             : out std_logic;  -- export
-            ram_bf_weights_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_bsn_address_export    : out std_logic_vector(13 downto 0);  -- export
-            ram_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
-            ram_diag_data_buf_bsn_read_export       : out std_logic;  -- export
-            ram_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
-            ram_diag_data_buf_bsn_write_export      : out std_logic;  -- export
-            ram_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_jesd_address_export   : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
-            ram_diag_data_buf_jesd_read_export      : out std_logic;  -- export
-            ram_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
-            ram_diag_data_buf_jesd_write_export     : out std_logic;  -- export
-            ram_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_address_export      : out std_logic_vector(12 downto 0);  -- export
-            ram_equalizer_gains_clk_export          : out std_logic;  -- export
-            ram_equalizer_gains_read_export         : out std_logic;  -- export
-            ram_equalizer_gains_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_equalizer_gains_reset_export        : out std_logic;  -- export
-            ram_equalizer_gains_write_export        : out std_logic;  -- export
-            ram_equalizer_gains_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            ram_fil_coefs_address_export            : out std_logic_vector(13 downto 0);  -- export
-            ram_fil_coefs_clk_export                : out std_logic;  -- export
-            ram_fil_coefs_read_export               : out std_logic;  -- export
-            ram_fil_coefs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_fil_coefs_reset_export              : out std_logic;  -- export
-            ram_fil_coefs_write_export              : out std_logic;  -- export
-            ram_fil_coefs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                    : out std_logic;  -- export
-            ram_scrap_read_export                   : out std_logic;  -- export
-            ram_scrap_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                  : out std_logic;  -- export
-            ram_scrap_write_export                  : out std_logic;  -- export
-            ram_scrap_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            ram_ss_ss_wide_address_export           : out std_logic_vector(13 downto 0);  -- export
-            ram_ss_ss_wide_clk_export               : out std_logic;  -- export
-            ram_ss_ss_wide_read_export              : out std_logic;  -- export
-            ram_ss_ss_wide_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_ss_ss_wide_reset_export             : out std_logic;  -- export
-            ram_ss_ss_wide_write_export             : out std_logic;  -- export
-            ram_ss_ss_wide_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            ram_st_bst_address_export               : out std_logic_vector(11 downto 0);  -- export
-            ram_st_bst_clk_export                   : out std_logic;  -- export
-            ram_st_bst_read_export                  : out std_logic;  -- export
-            ram_st_bst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_bst_reset_export                 : out std_logic;  -- export
-            ram_st_bst_write_export                 : out std_logic;  -- export
-            ram_st_bst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_st_sst_address_export               : out std_logic_vector(13 downto 0);  -- export
-            ram_st_sst_clk_export                   : out std_logic;  -- export
-            ram_st_sst_read_export                  : out std_logic;  -- export
-            ram_st_sst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_sst_reset_export                 : out std_logic;  -- export
-            ram_st_sst_write_export                 : out std_logic;  -- export
-            ram_st_sst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_address_export                   : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_clk_export                       : out std_logic;  -- export
-            ram_wg_read_export                      : out std_logic;  -- export
-            ram_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_reset_export                     : out std_logic;  -- export
-            ram_wg_write_export                     : out std_logic;  -- export
-            ram_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_aduh_monitor_address_export         : out std_logic_vector(5 downto 0);  -- export
-            reg_aduh_monitor_clk_export             : out std_logic;  -- export
-            reg_aduh_monitor_read_export            : out std_logic;  -- export
-            reg_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_aduh_monitor_reset_export           : out std_logic;  -- export
-            reg_aduh_monitor_write_export           : out std_logic;  -- export
-            reg_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_bf_scale_address_export             : out std_logic_vector(1 downto 0);  -- export
-            reg_bf_scale_clk_export                 : out std_logic;  -- export
-            reg_bf_scale_read_export                : out std_logic;  -- export
-            reg_bf_scale_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bf_scale_reset_export               : out std_logic;  -- export
-            reg_bf_scale_write_export               : out std_logic;  -- export
-            reg_bf_scale_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_input_address_export    : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_clk_export        : out std_logic;  -- export
-            reg_bsn_monitor_input_read_export       : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export      : out std_logic;  -- export
-            reg_bsn_monitor_input_write_export      : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_address_export        : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_clk_export            : out std_logic;  -- export
-            reg_bsn_scheduler_read_export           : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export          : out std_logic;  -- export
-            reg_bsn_scheduler_write_export          : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_address_export           : out std_logic_vector(1 downto 0);  -- export
-            reg_bsn_source_clk_export               : out std_logic;  -- export
-            reg_bsn_source_read_export              : out std_logic;  -- export
-            reg_bsn_source_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_reset_export             : out std_logic;  -- export
-            reg_bsn_source_write_export             : out std_logic;  -- export
-            reg_bsn_source_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_bsn_address_export    : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
-            reg_diag_data_buf_bsn_read_export       : out std_logic;  -- export
-            reg_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
-            reg_diag_data_buf_bsn_write_export      : out std_logic;  -- export
-            reg_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_jesd_address_export   : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
-            reg_diag_data_buf_jesd_read_export      : out std_logic;  -- export
-            reg_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
-            reg_diag_data_buf_jesd_write_export     : out std_logic;  -- export
-            reg_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_selector_address_export          : out std_logic_vector(0 downto 0);  -- export
-            reg_dp_selector_clk_export              : out std_logic;  -- export
-            reg_dp_selector_read_export             : out std_logic;  -- export
-            reg_dp_selector_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_selector_reset_export            : out std_logic;  -- export
-            reg_dp_selector_write_export            : out std_logic;  -- export
-            reg_dp_selector_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_shiftram_address_export          : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_shiftram_clk_export              : out std_logic;  -- export
-            reg_dp_shiftram_read_export             : out std_logic;  -- export
-            reg_dp_shiftram_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_shiftram_reset_export            : out std_logic;  -- export
-            reg_dp_shiftram_write_export            : out std_logic;  -- export
-            reg_dp_shiftram_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_address_export            : out std_logic_vector(1 downto 0);  -- export
-            reg_dp_xonoff_clk_export                : out std_logic;  -- export
-            reg_dp_xonoff_read_export               : out std_logic;  -- export
-            reg_dp_xonoff_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_reset_export              : out std_logic;  -- export
-            reg_dp_xonoff_write_export              : out std_logic;  -- export
-            reg_dp_xonoff_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                : out std_logic;  -- export
-            reg_dpmm_data_read_export               : out std_logic;  -- export
-            reg_dpmm_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export              : out std_logic;  -- export
-            reg_dpmm_data_write_export              : out std_logic;  -- export
-            reg_dpmm_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                     : out std_logic;  -- export
-            reg_epcs_read_export                    : out std_logic;  -- export
-            reg_epcs_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                   : out std_logic;  -- export
-            reg_epcs_write_export                   : out std_logic;  -- export
-            reg_epcs_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export       : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export           : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export    : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export        : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_hdr_dat_address_export              : out std_logic_vector(6 downto 0);  -- export
-            reg_hdr_dat_clk_export                  : out std_logic;  -- export
-            reg_hdr_dat_read_export                 : out std_logic;  -- export
-            reg_hdr_dat_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_hdr_dat_reset_export                : out std_logic;  -- export
-            reg_hdr_dat_write_export                : out std_logic;  -- export
-            reg_hdr_dat_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                : out std_logic;  -- export
-            reg_mmdp_data_read_export               : out std_logic;  -- export
-            reg_mmdp_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export              : out std_logic;  -- export
-            reg_mmdp_data_write_export              : out std_logic;  -- export
-            reg_mmdp_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_nw_10gbe_eth10g_address_export      : out std_logic_vector(0 downto 0);  -- export
-            reg_nw_10gbe_eth10g_clk_export          : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_read_export         : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_eth10g_reset_export        : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_write_export        : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_nw_10gbe_mac_address_export         : out std_logic_vector(12 downto 0);  -- export
-            reg_nw_10gbe_mac_clk_export             : out std_logic;  -- export
-            reg_nw_10gbe_mac_read_export            : out std_logic;  -- export
-            reg_nw_10gbe_mac_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_mac_reset_export           : out std_logic;  -- export
-            reg_nw_10gbe_mac_write_export           : out std_logic;  -- export
-            reg_nw_10gbe_mac_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                     : out std_logic;  -- export
-            reg_remu_read_export                    : out std_logic;  -- export
-            reg_remu_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                   : out std_logic;  -- export
-            reg_remu_write_export                   : out std_logic;  -- export
-            reg_remu_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_sdp_info_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_sdp_info_clk_export                 : out std_logic;  -- export
-            reg_sdp_info_read_export                : out std_logic;  -- export
-            reg_sdp_info_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_reset_export               : out std_logic;  -- export
-            reg_sdp_info_write_export               : out std_logic;  -- export
-            reg_sdp_info_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_si_address_export                   : out std_logic_vector(0 downto 0);  -- export
-            reg_si_clk_export                       : out std_logic;  -- export
-            reg_si_read_export                      : out std_logic;  -- export
-            reg_si_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_si_reset_export                     : out std_logic;  -- export
-            reg_si_write_export                     : out std_logic;  -- export
-            reg_si_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export            : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                : out std_logic;  -- export
-            reg_unb_pmbus_read_export               : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export              : out std_logic;  -- export
-            reg_unb_pmbus_write_export              : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export             : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                 : out std_logic;  -- export
-            reg_unb_sens_read_export                : out std_logic;  -- export
-            reg_unb_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export               : out std_logic;  -- export
-            reg_unb_sens_write_export               : out std_logic;  -- export
-            reg_unb_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                      : out std_logic;  -- export
-            reg_wdi_read_export                     : out std_logic;  -- export
-            reg_wdi_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                    : out std_logic;  -- export
-            reg_wdi_write_export                    : out std_logic;  -- export
-            reg_wdi_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_address_export                   : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_clk_export                       : out std_logic;  -- export
-            reg_wg_read_export                      : out std_logic;  -- export
-            reg_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_reset_export                     : out std_logic;  -- export
-            reg_wg_write_export                     : out std_logic;  -- export
-            reg_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                           : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export          : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export              : out std_logic;  -- export
-            rom_system_info_read_export             : out std_logic;  -- export
-            rom_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export            : out std_logic;  -- export
-            rom_system_info_write_export            : out std_logic;  -- export
-            rom_system_info_writedata_export        : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_lofar2_unb2b_beamformer;
+  component qsys_lofar2_unb2b_beamformer is
+    port (
+      avs_eth_0_clk_export                    : out std_logic;  -- export
+      avs_eth_0_irq_export                    : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export            : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export               : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export              : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export            : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export               : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export              : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                  : out std_logic;  -- export
+      avs_eth_0_tse_address_export            : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export               : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export        : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export              : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                 : in  std_logic                     := 'X';  -- clk
+      jesd204b_address_export                 : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_clk_export                     : out std_logic;  -- export
+      jesd204b_read_export                    : out std_logic;  -- export
+      jesd204b_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      jesd204b_reset_export                   : out std_logic;  -- export
+      jesd204b_write_export                   : out std_logic;  -- export
+      jesd204b_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                      : out std_logic;  -- export
+      pio_pps_read_export                     : out std_logic;  -- export
+      pio_pps_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                    : out std_logic;  -- export
+      pio_pps_write_export                    : out std_logic;  -- export
+      pio_pps_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export          : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export              : out std_logic;  -- export
+      pio_system_info_read_export             : out std_logic;  -- export
+      pio_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export            : out std_logic;  -- export
+      pio_system_info_write_export            : out std_logic;  -- export
+      pio_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export      : out std_logic;  -- export
+      ram_aduh_monitor_address_export         : out std_logic_vector(12 downto 0);  -- export
+      ram_aduh_monitor_clk_export             : out std_logic;  -- export
+      ram_aduh_monitor_read_export            : out std_logic;  -- export
+      ram_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_aduh_monitor_reset_export           : out std_logic;  -- export
+      ram_aduh_monitor_write_export           : out std_logic;  -- export
+      ram_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      ram_bf_weights_address_export           : out std_logic_vector(14 downto 0);  -- export
+      ram_bf_weights_clk_export               : out std_logic;  -- export
+      ram_bf_weights_read_export              : out std_logic;  -- export
+      ram_bf_weights_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_bf_weights_reset_export             : out std_logic;  -- export
+      ram_bf_weights_write_export             : out std_logic;  -- export
+      ram_bf_weights_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_bsn_address_export    : out std_logic_vector(13 downto 0);  -- export
+      ram_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
+      ram_diag_data_buf_bsn_read_export       : out std_logic;  -- export
+      ram_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
+      ram_diag_data_buf_bsn_write_export      : out std_logic;  -- export
+      ram_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_jesd_address_export   : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
+      ram_diag_data_buf_jesd_read_export      : out std_logic;  -- export
+      ram_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
+      ram_diag_data_buf_jesd_write_export     : out std_logic;  -- export
+      ram_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_address_export      : out std_logic_vector(12 downto 0);  -- export
+      ram_equalizer_gains_clk_export          : out std_logic;  -- export
+      ram_equalizer_gains_read_export         : out std_logic;  -- export
+      ram_equalizer_gains_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_equalizer_gains_reset_export        : out std_logic;  -- export
+      ram_equalizer_gains_write_export        : out std_logic;  -- export
+      ram_equalizer_gains_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      ram_fil_coefs_address_export            : out std_logic_vector(13 downto 0);  -- export
+      ram_fil_coefs_clk_export                : out std_logic;  -- export
+      ram_fil_coefs_read_export               : out std_logic;  -- export
+      ram_fil_coefs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_fil_coefs_reset_export              : out std_logic;  -- export
+      ram_fil_coefs_write_export              : out std_logic;  -- export
+      ram_fil_coefs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                    : out std_logic;  -- export
+      ram_scrap_read_export                   : out std_logic;  -- export
+      ram_scrap_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                  : out std_logic;  -- export
+      ram_scrap_write_export                  : out std_logic;  -- export
+      ram_scrap_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      ram_ss_ss_wide_address_export           : out std_logic_vector(13 downto 0);  -- export
+      ram_ss_ss_wide_clk_export               : out std_logic;  -- export
+      ram_ss_ss_wide_read_export              : out std_logic;  -- export
+      ram_ss_ss_wide_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_ss_ss_wide_reset_export             : out std_logic;  -- export
+      ram_ss_ss_wide_write_export             : out std_logic;  -- export
+      ram_ss_ss_wide_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      ram_st_bst_address_export               : out std_logic_vector(11 downto 0);  -- export
+      ram_st_bst_clk_export                   : out std_logic;  -- export
+      ram_st_bst_read_export                  : out std_logic;  -- export
+      ram_st_bst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_bst_reset_export                 : out std_logic;  -- export
+      ram_st_bst_write_export                 : out std_logic;  -- export
+      ram_st_bst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_st_sst_address_export               : out std_logic_vector(13 downto 0);  -- export
+      ram_st_sst_clk_export                   : out std_logic;  -- export
+      ram_st_sst_read_export                  : out std_logic;  -- export
+      ram_st_sst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_sst_reset_export                 : out std_logic;  -- export
+      ram_st_sst_write_export                 : out std_logic;  -- export
+      ram_st_sst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_address_export                   : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_clk_export                       : out std_logic;  -- export
+      ram_wg_read_export                      : out std_logic;  -- export
+      ram_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_reset_export                     : out std_logic;  -- export
+      ram_wg_write_export                     : out std_logic;  -- export
+      ram_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_aduh_monitor_address_export         : out std_logic_vector(5 downto 0);  -- export
+      reg_aduh_monitor_clk_export             : out std_logic;  -- export
+      reg_aduh_monitor_read_export            : out std_logic;  -- export
+      reg_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_aduh_monitor_reset_export           : out std_logic;  -- export
+      reg_aduh_monitor_write_export           : out std_logic;  -- export
+      reg_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_bf_scale_address_export             : out std_logic_vector(1 downto 0);  -- export
+      reg_bf_scale_clk_export                 : out std_logic;  -- export
+      reg_bf_scale_read_export                : out std_logic;  -- export
+      reg_bf_scale_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bf_scale_reset_export               : out std_logic;  -- export
+      reg_bf_scale_write_export               : out std_logic;  -- export
+      reg_bf_scale_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_input_address_export    : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_clk_export        : out std_logic;  -- export
+      reg_bsn_monitor_input_read_export       : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export      : out std_logic;  -- export
+      reg_bsn_monitor_input_write_export      : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_address_export        : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_clk_export            : out std_logic;  -- export
+      reg_bsn_scheduler_read_export           : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export          : out std_logic;  -- export
+      reg_bsn_scheduler_write_export          : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_address_export           : out std_logic_vector(1 downto 0);  -- export
+      reg_bsn_source_clk_export               : out std_logic;  -- export
+      reg_bsn_source_read_export              : out std_logic;  -- export
+      reg_bsn_source_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_reset_export             : out std_logic;  -- export
+      reg_bsn_source_write_export             : out std_logic;  -- export
+      reg_bsn_source_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_bsn_address_export    : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
+      reg_diag_data_buf_bsn_read_export       : out std_logic;  -- export
+      reg_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
+      reg_diag_data_buf_bsn_write_export      : out std_logic;  -- export
+      reg_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_jesd_address_export   : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
+      reg_diag_data_buf_jesd_read_export      : out std_logic;  -- export
+      reg_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
+      reg_diag_data_buf_jesd_write_export     : out std_logic;  -- export
+      reg_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_selector_address_export          : out std_logic_vector(0 downto 0);  -- export
+      reg_dp_selector_clk_export              : out std_logic;  -- export
+      reg_dp_selector_read_export             : out std_logic;  -- export
+      reg_dp_selector_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_selector_reset_export            : out std_logic;  -- export
+      reg_dp_selector_write_export            : out std_logic;  -- export
+      reg_dp_selector_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_shiftram_address_export          : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_shiftram_clk_export              : out std_logic;  -- export
+      reg_dp_shiftram_read_export             : out std_logic;  -- export
+      reg_dp_shiftram_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_shiftram_reset_export            : out std_logic;  -- export
+      reg_dp_shiftram_write_export            : out std_logic;  -- export
+      reg_dp_shiftram_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_address_export            : out std_logic_vector(1 downto 0);  -- export
+      reg_dp_xonoff_clk_export                : out std_logic;  -- export
+      reg_dp_xonoff_read_export               : out std_logic;  -- export
+      reg_dp_xonoff_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_reset_export              : out std_logic;  -- export
+      reg_dp_xonoff_write_export              : out std_logic;  -- export
+      reg_dp_xonoff_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                : out std_logic;  -- export
+      reg_dpmm_data_read_export               : out std_logic;  -- export
+      reg_dpmm_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export              : out std_logic;  -- export
+      reg_dpmm_data_write_export              : out std_logic;  -- export
+      reg_dpmm_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                     : out std_logic;  -- export
+      reg_epcs_read_export                    : out std_logic;  -- export
+      reg_epcs_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                   : out std_logic;  -- export
+      reg_epcs_write_export                   : out std_logic;  -- export
+      reg_epcs_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export       : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export           : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export    : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export        : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_hdr_dat_address_export              : out std_logic_vector(6 downto 0);  -- export
+      reg_hdr_dat_clk_export                  : out std_logic;  -- export
+      reg_hdr_dat_read_export                 : out std_logic;  -- export
+      reg_hdr_dat_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_hdr_dat_reset_export                : out std_logic;  -- export
+      reg_hdr_dat_write_export                : out std_logic;  -- export
+      reg_hdr_dat_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                : out std_logic;  -- export
+      reg_mmdp_data_read_export               : out std_logic;  -- export
+      reg_mmdp_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export              : out std_logic;  -- export
+      reg_mmdp_data_write_export              : out std_logic;  -- export
+      reg_mmdp_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_nw_10gbe_eth10g_address_export      : out std_logic_vector(0 downto 0);  -- export
+      reg_nw_10gbe_eth10g_clk_export          : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_read_export         : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_eth10g_reset_export        : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_write_export        : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_nw_10gbe_mac_address_export         : out std_logic_vector(12 downto 0);  -- export
+      reg_nw_10gbe_mac_clk_export             : out std_logic;  -- export
+      reg_nw_10gbe_mac_read_export            : out std_logic;  -- export
+      reg_nw_10gbe_mac_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_mac_reset_export           : out std_logic;  -- export
+      reg_nw_10gbe_mac_write_export           : out std_logic;  -- export
+      reg_nw_10gbe_mac_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                     : out std_logic;  -- export
+      reg_remu_read_export                    : out std_logic;  -- export
+      reg_remu_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                   : out std_logic;  -- export
+      reg_remu_write_export                   : out std_logic;  -- export
+      reg_remu_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_sdp_info_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_sdp_info_clk_export                 : out std_logic;  -- export
+      reg_sdp_info_read_export                : out std_logic;  -- export
+      reg_sdp_info_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_reset_export               : out std_logic;  -- export
+      reg_sdp_info_write_export               : out std_logic;  -- export
+      reg_sdp_info_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_si_address_export                   : out std_logic_vector(0 downto 0);  -- export
+      reg_si_clk_export                       : out std_logic;  -- export
+      reg_si_read_export                      : out std_logic;  -- export
+      reg_si_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_si_reset_export                     : out std_logic;  -- export
+      reg_si_write_export                     : out std_logic;  -- export
+      reg_si_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export            : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                : out std_logic;  -- export
+      reg_unb_pmbus_read_export               : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export              : out std_logic;  -- export
+      reg_unb_pmbus_write_export              : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export             : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                 : out std_logic;  -- export
+      reg_unb_sens_read_export                : out std_logic;  -- export
+      reg_unb_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export               : out std_logic;  -- export
+      reg_unb_sens_write_export               : out std_logic;  -- export
+      reg_unb_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                      : out std_logic;  -- export
+      reg_wdi_read_export                     : out std_logic;  -- export
+      reg_wdi_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                    : out std_logic;  -- export
+      reg_wdi_write_export                    : out std_logic;  -- export
+      reg_wdi_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_address_export                   : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_clk_export                       : out std_logic;  -- export
+      reg_wg_read_export                      : out std_logic;  -- export
+      reg_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_reset_export                     : out std_logic;  -- export
+      reg_wg_write_export                     : out std_logic;  -- export
+      reg_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                           : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export          : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export              : out std_logic;  -- export
+      rom_system_info_read_export             : out std_logic;  -- export
+      rom_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export            : out std_logic;  -- export
+      rom_system_info_write_export            : out std_logic;  -- export
+      rom_system_info_writedata_export        : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_lofar2_unb2b_beamformer;
 end qsys_lofar2_unb2b_beamformer_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/tb/vhdl/tb_lofar2_unb2b_beamformer.vhd b/applications/lofar2/designs/lofar2_unb2b_beamformer/tb/vhdl/tb_lofar2_unb2b_beamformer.vhd
index 64c94a7e6b..8b234bae6a 100644
--- a/applications/lofar2/designs/lofar2_unb2b_beamformer/tb/vhdl/tb_lofar2_unb2b_beamformer.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/tb/vhdl/tb_lofar2_unb2b_beamformer.vhd
@@ -49,20 +49,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2b_beamformer is
 end tb_lofar2_unb2b_beamformer;
@@ -223,60 +223,60 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_beamformer : entity work.lofar2_unb2b_beamformer
-  generic map (
-    g_design_name            => "lofar2_unb2b_beamformer_full",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    -- front transceivers
-    QSFP_1_RX    => si_lpbk_0,
-    QSFP_1_TX    => si_lpbk_0,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
-
-    u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
+    generic map (
+      g_design_name            => "lofar2_unb2b_beamformer_full",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      -- front transceivers
+      QSFP_1_RX    => si_lpbk_0,
+      QSFP_1_TX    => si_lpbk_0,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
+
+  u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
     port map (
       refclk_644 => SA_CLK,
       rst_in     => pps_rst,
@@ -286,7 +286,7 @@ begin
       rst_312    => open
     );
 
-    u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
+  u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
     generic map (
       g_sim           => true,
       g_sim_level     => 1,
@@ -435,8 +435,8 @@ begin
 
           -- Convert STD_LOGIC_VECTOR to REAL
           v_sp_beamlet_power := real(TO_UINT(rd_data(29 downto 0) &
-              sp_beamlet_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
-              real(TO_UINT(sp_beamlet_powers_arr2(v_S)(v_B)(29 downto 0)));
+                                             sp_beamlet_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
+                                real(TO_UINT(sp_beamlet_powers_arr2(v_S)(v_B)(29 downto 0)));
           -- sum
           sp_beamlet_power_sum(v_S) <= sp_beamlet_power_sum(v_S) + v_sp_beamlet_power;
         end if;
@@ -447,7 +447,7 @@ begin
     -- because the input is a sinus, so most power will be in 1 subband. The sp_beamlet_power_leakage_sum shows
     -- how much power from the input sinus at a specific subband has leaked into the 511 other subbands.
     sp_beamlet_power_0 <= real(TO_UINT(sp_beamlet_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(61 downto 30))) * 2.0**30 +
-        real(TO_UINT(sp_beamlet_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(29 downto 0)));
+                          real(TO_UINT(sp_beamlet_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(29 downto 0)));
 
     sp_beamlet_power_sum_0 <= sp_beamlet_power_sum(0);
 
@@ -499,13 +499,13 @@ begin
       if v_S = 0 then
         -- Convert STD_LOGIC_VECTOR to REAL
         v_sp_beamlet_power := real(TO_UINT(rd_data(29 downto 0) &
-            sp_beamlet_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
-            real(TO_UINT(sp_beamlet_powers_arr2(v_S)(v_B)(29 downto 0)));
+                                           sp_beamlet_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
+                              real(TO_UINT(sp_beamlet_powers_arr2(v_S)(v_B)(29 downto 0)));
 
         -- Convert STD_LOGIC_VECTOR to REAL
         v_sp_subband_power := real(TO_UINT(rd_data(29 downto 0) &
-            sp_subband_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
-            real(TO_UINT(sp_subband_powers_arr2(v_S)(v_B)(29 downto 0)));
+                                           sp_subband_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
+                              real(TO_UINT(sp_subband_powers_arr2(v_S)(v_B)(29 downto 0)));
 
         -- verify if subband power and beamlet power are the same. This is expected because we only use 1 WG input and the BF weights have unit value.
         -- the difference should not be larger than 0.5% (+/- 2^13 for low values)
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full/lofar2_unb2b_filterbank_full.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full/lofar2_unb2b_filterbank_full.vhd
index f40c7e0420..dd0e496789 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full/lofar2_unb2b_filterbank_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full/lofar2_unb2b_filterbank_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_filterbank_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_filterbank_full is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2b_filterbank_full is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1  downto c_unb2b_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -112,51 +112,51 @@ begin
 
 
   u_revision : entity lofar2_unb2b_filterbank_lib.lofar2_unb2b_filterbank
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full_256MHz/lofar2_unb2b_filterbank_full_256MHz.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full_256MHz/lofar2_unb2b_filterbank_full_256MHz.vhd
index 74c1bb4c1d..4b8fe968d3 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full_256MHz/lofar2_unb2b_filterbank_full_256MHz.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/revisions/lofar2_unb2b_filterbank_full_256MHz/lofar2_unb2b_filterbank_full_256MHz.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_filterbank_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_filterbank_full_256MHz is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2b_filterbank_full_256MHz is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1  downto c_unb2b_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -112,51 +112,51 @@ begin
 
 
   u_revision : entity lofar2_unb2b_filterbank_lib.lofar2_unb2b_filterbank
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd
index 99bc1ee68f..450d5d11e0 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd
@@ -27,19 +27,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_adc_lib, wpfb_lib, lofar2_sdp_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2b_filterbank_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2b_filterbank_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity lofar2_unb2b_filterbank is
   generic (
@@ -87,9 +87,9 @@ entity lofar2_unb2b_filterbank is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector((c_unb2b_board_tr_jesd204b.bus_w * c_unb2b_board_tr_jesd204b.nof_bus) - 1 downto 0);
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic;  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -304,243 +304,243 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2b_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range,
-    g_dp_clk_freq             => c_dp_clk_freq,
-    g_dp_clk_use_pll          => false,
-    g_udp_offload             => true,
-    g_udp_offload_nof_streams => c_eth_nof_udp_ports
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- eth1g UDP streaming
-    udp_tx_sosi_arr          => udp_tx_sosi_arr,
-    udp_tx_siso_arr          => udp_tx_siso_arr,
-
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2b_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range,
+      g_dp_clk_freq             => c_dp_clk_freq,
+      g_dp_clk_use_pll          => false,
+      g_udp_offload             => true,
+      g_udp_offload_nof_streams => c_eth_nof_udp_ports
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- eth1g UDP streaming
+      udp_tx_sosi_arr          => udp_tx_sosi_arr,
+      udp_tx_siso_arr          => udp_tx_siso_arr,
+
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2b_filterbank
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- mm buses for signal flow blocks
-    -- Jesd ip status/control
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-    ram_st_sst_mosi             => ram_st_sst_mosi,
-    ram_st_sst_miso             => ram_st_sst_miso,
-    ram_fil_coefs_mosi          => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso          => ram_fil_coefs_miso,
-    reg_si_mosi                 => reg_si_mosi,
-    reg_si_miso                 => reg_si_miso,
-    ram_equalizer_gains_mosi    => ram_equalizer_gains_mosi,
-    ram_equalizer_gains_miso    => ram_equalizer_gains_miso,
-    reg_dp_selector_mosi        => reg_dp_selector_mosi,
-    reg_dp_selector_miso        => reg_dp_selector_miso,
-    ram_scrap_mosi              => ram_scrap_mosi,
-    ram_scrap_miso              => ram_scrap_miso,
-
-    -- Jesd reset control
-    jesd_ctrl_mosi            => jesd_ctrl_mosi,
-    jesd_ctrl_miso            => jesd_ctrl_miso,
-
-    -- Statistics offload
-    reg_sdp_info_mosi           => reg_sdp_info_mosi,
-    reg_sdp_info_miso           => reg_sdp_info_miso,
-    reg_stat_enable_mosi        => reg_stat_enable_mosi,
-    reg_stat_enable_miso        => reg_stat_enable_miso,
-    reg_stat_hdr_dat_mosi       => reg_stat_hdr_dat_mosi,
-    reg_stat_hdr_dat_miso       => reg_stat_hdr_dat_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- mm buses for signal flow blocks
+      -- Jesd ip status/control
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+      ram_st_sst_mosi             => ram_st_sst_mosi,
+      ram_st_sst_miso             => ram_st_sst_miso,
+      ram_fil_coefs_mosi          => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso          => ram_fil_coefs_miso,
+      reg_si_mosi                 => reg_si_mosi,
+      reg_si_miso                 => reg_si_miso,
+      ram_equalizer_gains_mosi    => ram_equalizer_gains_mosi,
+      ram_equalizer_gains_miso    => ram_equalizer_gains_miso,
+      reg_dp_selector_mosi        => reg_dp_selector_mosi,
+      reg_dp_selector_miso        => reg_dp_selector_miso,
+      ram_scrap_mosi              => ram_scrap_mosi,
+      ram_scrap_miso              => ram_scrap_miso,
+
+      -- Jesd reset control
+      jesd_ctrl_mosi            => jesd_ctrl_mosi,
+      jesd_ctrl_miso            => jesd_ctrl_miso,
+
+      -- Statistics offload
+      reg_sdp_info_mosi           => reg_sdp_info_mosi,
+      reg_sdp_info_miso           => reg_sdp_info_miso,
+      reg_stat_enable_mosi        => reg_stat_enable_mosi,
+      reg_stat_enable_miso        => reg_stat_enable_miso,
+      reg_stat_hdr_dat_mosi       => reg_stat_hdr_dat_mosi,
+      reg_stat_hdr_dat_miso       => reg_stat_hdr_dat_miso
+    );
 
   -----------------------------------------------------------------------------
   -- SDP Info register
@@ -553,25 +553,25 @@ begin
   sst_udp_src_port <= c_sdp_sst_udp_src_port_15_8 & ID;
 
   u_sdp_info : entity lofar2_sdp_lib.sdp_info
-  port map(
-    -- Clocks and reset
-    mm_rst    => mm_rst,  -- reset synchronous with mm_clk
-    mm_clk    => mm_clk,  -- memory-mapped bus clock
+    port map(
+      -- Clocks and reset
+      mm_rst    => mm_rst,  -- reset synchronous with mm_clk
+      mm_clk    => mm_clk,  -- memory-mapped bus clock
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    reg_mosi  => reg_sdp_info_mosi,
-    reg_miso  => reg_sdp_info_miso,
+      reg_mosi  => reg_sdp_info_mosi,
+      reg_miso  => reg_sdp_info_miso,
 
-    -- inputs from other blocks
-    gn_index  => gn_index,
-    f_adc     => c_f_adc,
-    fsub_type => c_fsub_type,
+      -- inputs from other blocks
+      gn_index  => gn_index,
+      f_adc     => c_f_adc,
+      fsub_type => c_fsub_type,
 
-    -- sdp info
-    sdp_info => sdp_info
-  );
+      -- sdp info
+      sdp_info => sdp_info
+    );
 
   -----------------------------------------------------------------------------
   -- node_adc_input_and_timing (AIT)
@@ -579,103 +579,103 @@ begin
   -----------------------------------------------------------------------------
 
   u_ait: entity lofar2_unb2b_adc_lib.node_adc_input_and_timing
-  generic map(
-    g_nof_streams               => c_sdp_S_pn,
-    g_buf_nof_data              => c_sdp_V_si_db,
-    g_sim                       => g_sim
-  )
-  port map(
-    -- clocks and resets
-    mm_clk                      => mm_clk,
-    mm_rst                      => mm_rst,
-    dp_clk                      => dp_clk,
-    dp_rst                      => dp_rst,
-
-    -- mm control buses
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-    jesd_ctrl_mosi              => jesd_ctrl_mosi,
-    jesd_ctrl_miso              => jesd_ctrl_miso,
-
-     -- Jesd external IOs
-    jesd204b_serial_data       => JESD204B_SERIAL_DATA,
-    jesd204b_refclk            => JESD204B_REFCLK,
-    jesd204b_sysref            => JESD204B_SYSREF,
-    jesd204b_sync_n            => JESD204B_SYNC_N,
-
-    -- Streaming data output
-    out_sosi_arr               => ait_sosi_arr
-  );
+    generic map(
+      g_nof_streams               => c_sdp_S_pn,
+      g_buf_nof_data              => c_sdp_V_si_db,
+      g_sim                       => g_sim
+    )
+    port map(
+      -- clocks and resets
+      mm_clk                      => mm_clk,
+      mm_rst                      => mm_rst,
+      dp_clk                      => dp_clk,
+      dp_rst                      => dp_rst,
+
+      -- mm control buses
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+      jesd_ctrl_mosi              => jesd_ctrl_mosi,
+      jesd_ctrl_miso              => jesd_ctrl_miso,
+
+      -- Jesd external IOs
+      jesd204b_serial_data       => JESD204B_SERIAL_DATA,
+      jesd204b_refclk            => JESD204B_REFCLK,
+      jesd204b_sysref            => JESD204B_SYSREF,
+      jesd204b_sync_n            => JESD204B_SYNC_N,
+
+      -- Streaming data output
+      out_sosi_arr               => ait_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- node_sdp_filterbank (FSUB)
   -----------------------------------------------------------------------------
   u_fsub : entity lofar2_sdp_lib.node_sdp_filterbank
-  generic map(
-    g_sim                    => g_sim,
-    g_wpfb                   => g_wpfb,
-    g_scope_selected_subband => g_scope_selected_subband
-  )
-  port map(
-    dp_clk             => dp_clk,
-    dp_rst             => dp_rst,
-
-    in_sosi_arr        => ait_sosi_arr,
-    pfb_sosi_arr       => pfb_sosi_arr,
-    fsub_sosi_arr      => fsub_sosi_arr,
-
-    sst_udp_sosi       => udp_tx_sosi_arr(0),
-    sst_udp_siso       => udp_tx_siso_arr(0),
-
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    reg_si_mosi        => reg_si_mosi,
-    reg_si_miso        => reg_si_miso,
-    ram_st_sst_mosi    => ram_st_sst_mosi,
-    ram_st_sst_miso    => ram_st_sst_miso,
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso => ram_fil_coefs_miso,
-    ram_gains_mosi     => ram_equalizer_gains_mosi,
-    ram_gains_miso     => ram_equalizer_gains_miso,
-    reg_selector_mosi  => reg_dp_selector_mosi,
-    reg_selector_miso  => reg_dp_selector_miso,
-
-    reg_enable_mosi    => reg_stat_enable_mosi,
-    reg_enable_miso    => reg_stat_enable_miso,
-    reg_hdr_dat_mosi   => reg_stat_hdr_dat_mosi,
-    reg_hdr_dat_miso   => reg_stat_hdr_dat_miso,
-
-    sdp_info           => sdp_info,
-    gn_id              => gn_id,
-
-    eth_src_mac        => stat_eth_src_mac,
-    ip_src_addr        => stat_ip_src_addr,
-    udp_src_port       => sst_udp_src_port
-  );
+    generic map(
+      g_sim                    => g_sim,
+      g_wpfb                   => g_wpfb,
+      g_scope_selected_subband => g_scope_selected_subband
+    )
+    port map(
+      dp_clk             => dp_clk,
+      dp_rst             => dp_rst,
+
+      in_sosi_arr        => ait_sosi_arr,
+      pfb_sosi_arr       => pfb_sosi_arr,
+      fsub_sosi_arr      => fsub_sosi_arr,
+
+      sst_udp_sosi       => udp_tx_sosi_arr(0),
+      sst_udp_siso       => udp_tx_siso_arr(0),
+
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      reg_si_mosi        => reg_si_mosi,
+      reg_si_miso        => reg_si_miso,
+      ram_st_sst_mosi    => ram_st_sst_mosi,
+      ram_st_sst_miso    => ram_st_sst_miso,
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso => ram_fil_coefs_miso,
+      ram_gains_mosi     => ram_equalizer_gains_mosi,
+      ram_gains_miso     => ram_equalizer_gains_miso,
+      reg_selector_mosi  => reg_dp_selector_mosi,
+      reg_selector_miso  => reg_dp_selector_miso,
+
+      reg_enable_mosi    => reg_stat_enable_mosi,
+      reg_enable_miso    => reg_stat_enable_miso,
+      reg_hdr_dat_mosi   => reg_stat_hdr_dat_mosi,
+      reg_hdr_dat_miso   => reg_stat_hdr_dat_miso,
+
+      sdp_info           => sdp_info,
+      gn_id              => gn_id,
+
+      eth_src_mac        => stat_eth_src_mac,
+      ip_src_addr        => stat_ip_src_addr,
+      udp_src_port       => sst_udp_src_port
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank_pkg.vhd
index 54639dc946..f1be9ebfe3 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank_pkg.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
 
 package lofar2_unb2b_filterbank_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -43,7 +43,7 @@ package lofar2_unb2b_filterbank_pkg is
   constant c_full_256MHz      : t_lofar2_unb2b_filterbank_config := (     12,     2,       12, c_unb2b_board_ext_clk_freq_256M );
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_filterbank_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_filterbank_config;
 
 
 end lofar2_unb2b_filterbank_pkg;
@@ -51,7 +51,7 @@ end lofar2_unb2b_filterbank_pkg;
 
 package body lofar2_unb2b_filterbank_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_filterbank_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_filterbank_config is
   begin
     if    g_design_name = "lofar2_unb2b_filterbank_full"        then return c_full;
     elsif g_design_name = "lofar2_unb2b_filterbank_full_256MHz" then return c_full_256MHz;
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/mmm_lofar2_unb2b_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/mmm_lofar2_unb2b_filterbank.vhd
index 27c0955ee3..a4f9e82e39 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/mmm_lofar2_unb2b_filterbank.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/mmm_lofar2_unb2b_filterbank.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2b_filterbank_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2b_filterbank_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmm_lofar2_unb2b_filterbank is
   generic (
@@ -200,94 +200,94 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_jesd204b               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B")
-                                                port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
+      port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
 
     u_mm_file_reg_dp_shiftram        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM")
-                                                port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
+      port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
 
     u_mm_file_reg_bsn_source         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE")
-                                                port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
+      port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
 
     u_mm_file_reg_bsn_scheduler      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                                port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
 
     u_mm_file_reg_bsn_monitor_input  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT")
-                                                port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
 
     u_mm_file_reg_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG")
-                                                port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
+      port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
     u_mm_file_ram_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG")
-                                               port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
+      port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
 
     u_mm_file_ram_diag_data_buf_jesd : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_JESD")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_jesd_mosi, ram_diag_data_buf_jesd_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_jesd_mosi, ram_diag_data_buf_jesd_miso );
     u_mm_file_reg_diag_data_buf_jesd : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_JESD")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_jesd_mosi, reg_diag_data_buf_jesd_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_jesd_mosi, reg_diag_data_buf_jesd_miso );
 
     u_mm_file_ram_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
     u_mm_file_reg_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
 
     u_mm_file_ram_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
     u_mm_file_reg_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
 
     u_mm_file_ram_st_sst             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST")
-                                               port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso );
+      port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso );
 
     u_mm_file_ram_fil_coefs          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_FIL_COEFS")
-                                               port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso );
+      port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso );
 
     u_mm_file_reg_si                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SI")
-                                              port map(mm_rst, mm_clk, reg_si_mosi, reg_si_miso );
+      port map(mm_rst, mm_clk, reg_si_mosi, reg_si_miso );
 
     u_mm_file_ram_equalizer_gains    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS")
-                                               port map(mm_rst, mm_clk, ram_equalizer_gains_mosi, ram_equalizer_gains_miso );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_mosi, ram_equalizer_gains_miso );
 
     u_mm_file_reg_dp_selector        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SELECTOR")
-                                              port map(mm_rst, mm_clk, reg_dp_selector_mosi, reg_dp_selector_miso );
+      port map(mm_rst, mm_clk, reg_dp_selector_mosi, reg_dp_selector_miso );
 
     u_mm_file_ram_scrap              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
 
     u_mm_file_reg_sdp_info           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SDP_INFO")
-                                              port map(mm_rst, mm_clk, reg_sdp_info_mosi, reg_sdp_info_miso );
+      port map(mm_rst, mm_clk, reg_sdp_info_mosi, reg_sdp_info_miso );
 
     u_mm_file_reg_stat_enable        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE")
-                                               port map(mm_rst, mm_clk, reg_stat_enable_mosi, reg_stat_enable_miso );
+      port map(mm_rst, mm_clk, reg_stat_enable_mosi, reg_stat_enable_miso );
 
     u_mm_file_reg_stat_hdr_info      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT")
-                                               port map(mm_rst, mm_clk, reg_stat_hdr_dat_mosi, reg_stat_hdr_dat_miso);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_mosi, reg_stat_hdr_dat_miso);
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -303,325 +303,325 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2b_filterbank
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
---    ToDo: This has changed in the peripherals package
-      pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
---      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_mosi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
-      jesd204b_write_export                     => jesd204b_mosi.wr,
-      jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_mosi.rd,
-      jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_jesd_ctrl_reset_export               => OPEN,
-      pio_jesd_ctrl_clk_export                 => OPEN,
-      pio_jesd_ctrl_address_export             => jesd_ctrl_mosi.address(0 downto 0),
-      pio_jesd_ctrl_write_export               => jesd_ctrl_mosi.wr,
-      pio_jesd_ctrl_writedata_export           => jesd_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_jesd_ctrl_read_export                => jesd_ctrl_mosi.rd,
-      pio_jesd_ctrl_readdata_export            => jesd_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_wg_clk_export                         => OPEN,
-      reg_wg_reset_export                       => OPEN,
-      reg_wg_address_export                     => reg_wg_mosi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
-      reg_wg_read_export                        => reg_wg_mosi.rd,
-      reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
-      reg_wg_write_export                       => reg_wg_mosi.wr,
-      reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_clk_export                         => OPEN,
-      ram_wg_reset_export                       => OPEN,
-      ram_wg_address_export                     => ram_wg_mosi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
-      ram_wg_read_export                        => ram_wg_mosi.rd,
-      ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
-      ram_wg_write_export                       => ram_wg_mosi.wr,
-      ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_shiftram_clk_export                => OPEN,
-      reg_dp_shiftram_reset_export              => OPEN,
-      reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
-      reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
-      reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
-      reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_clk_export                 => OPEN,
-      reg_bsn_source_reset_export               => OPEN,
-      reg_bsn_source_address_export             => reg_bsn_source_mosi.address(2 - 1 downto 0),
-      reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
-      reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
-      reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      ram_diag_data_buf_bsn_clk_export          => OPEN,
-      ram_diag_data_buf_bsn_reset_export        => OPEN,
-      ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(14 - 1 downto 0),
-      ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
-      ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
-      ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_bsn_reset_export        => OPEN,
-      reg_diag_data_buf_bsn_clk_export          => OPEN,
-      reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
-      reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
-      reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
-      reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buf_jesd_clk_export         => OPEN,
-      ram_diag_data_buf_jesd_reset_export       => OPEN,
-      ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(11 - 1 downto 0),
-      ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
-      ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
-      ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_jesd_reset_export       => OPEN,
-      reg_diag_data_buf_jesd_clk_export         => OPEN,
-      reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(2 - 1 downto 0),
-      reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
-      reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
-      reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_aduh_monitor_reset_export             => OPEN,
-      reg_aduh_monitor_clk_export               => OPEN,
-      reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
-      reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
-      reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
-      reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_fil_coefs_clk_export                  => OPEN,
-      ram_fil_coefs_reset_export                => OPEN,
-      ram_fil_coefs_address_export              => ram_fil_coefs_mosi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
-      ram_fil_coefs_write_export                => ram_fil_coefs_mosi.wr,
-      ram_fil_coefs_writedata_export            => ram_fil_coefs_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_fil_coefs_read_export                 => ram_fil_coefs_mosi.rd,
-      ram_fil_coefs_readdata_export             => ram_fil_coefs_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_st_sst_clk_export                     => OPEN,
-      ram_st_sst_reset_export                   => OPEN,
-      ram_st_sst_address_export                 => ram_st_sst_mosi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
-      ram_st_sst_write_export                   => ram_st_sst_mosi.wr,
-      ram_st_sst_writedata_export               => ram_st_sst_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_st_sst_read_export                    => ram_st_sst_mosi.rd,
-      ram_st_sst_readdata_export                => ram_st_sst_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_si_clk_export                         => OPEN,
-      reg_si_reset_export                       => OPEN,
-      reg_si_address_export                     => reg_si_mosi.address(c_sdp_reg_si_addr_w - 1 downto 0),
-      reg_si_write_export                       => reg_si_mosi.wr,
-      reg_si_writedata_export                   => reg_si_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_si_read_export                        => reg_si_mosi.rd,
-      reg_si_readdata_export                    => reg_si_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_clk_export            => OPEN,
-      ram_equalizer_gains_reset_export          => OPEN,
-      ram_equalizer_gains_address_export        => ram_equalizer_gains_mosi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_write_export          => ram_equalizer_gains_mosi.wr,
-      ram_equalizer_gains_writedata_export      => ram_equalizer_gains_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_read_export           => ram_equalizer_gains_mosi.rd,
-      ram_equalizer_gains_readdata_export       => ram_equalizer_gains_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_selector_clk_export                => OPEN,
-      reg_dp_selector_reset_export              => OPEN,
-      reg_dp_selector_address_export            => reg_dp_selector_mosi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
-      reg_dp_selector_write_export              => reg_dp_selector_mosi.wr,
-      reg_dp_selector_writedata_export          => reg_dp_selector_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_selector_read_export               => reg_dp_selector_mosi.rd,
-      reg_dp_selector_readdata_export           => reg_dp_selector_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(9 - 1 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_sdp_info_clk_export                   => OPEN,
-      reg_sdp_info_reset_export                 => OPEN,
-      reg_sdp_info_address_export               => reg_sdp_info_mosi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
-      reg_sdp_info_write_export                 => reg_sdp_info_mosi.wr,
-      reg_sdp_info_writedata_export             => reg_sdp_info_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_sdp_info_read_export                  => reg_sdp_info_mosi.rd,
-      reg_sdp_info_readdata_export              => reg_sdp_info_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_clk_export                => OPEN,
-      reg_stat_enable_reset_export              => OPEN,
-      reg_stat_enable_address_export            => reg_stat_enable_mosi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
-      reg_stat_enable_write_export              => reg_stat_enable_mosi.wr,
-      reg_stat_enable_writedata_export          => reg_stat_enable_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_read_export               => reg_stat_enable_mosi.rd,
-      reg_stat_enable_readdata_export           => reg_stat_enable_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_clk_export                => OPEN,
-      reg_stat_hdr_dat_reset_export              => OPEN,
-      reg_stat_hdr_dat_address_export            => reg_stat_hdr_dat_mosi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_write_export              => reg_stat_hdr_dat_mosi.wr,
-      reg_stat_hdr_dat_writedata_export          => reg_stat_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_read_export               => reg_stat_hdr_dat_mosi.rd,
-      reg_stat_hdr_dat_readdata_export           => reg_stat_hdr_dat_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
+        --      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_mosi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
+        jesd204b_write_export                     => jesd204b_mosi.wr,
+        jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_mosi.rd,
+        jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_jesd_ctrl_reset_export               => OPEN,
+        pio_jesd_ctrl_clk_export                 => OPEN,
+        pio_jesd_ctrl_address_export             => jesd_ctrl_mosi.address(0 downto 0),
+        pio_jesd_ctrl_write_export               => jesd_ctrl_mosi.wr,
+        pio_jesd_ctrl_writedata_export           => jesd_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_jesd_ctrl_read_export                => jesd_ctrl_mosi.rd,
+        pio_jesd_ctrl_readdata_export            => jesd_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_wg_clk_export                         => OPEN,
+        reg_wg_reset_export                       => OPEN,
+        reg_wg_address_export                     => reg_wg_mosi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
+        reg_wg_read_export                        => reg_wg_mosi.rd,
+        reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
+        reg_wg_write_export                       => reg_wg_mosi.wr,
+        reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_clk_export                         => OPEN,
+        ram_wg_reset_export                       => OPEN,
+        ram_wg_address_export                     => ram_wg_mosi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
+        ram_wg_read_export                        => ram_wg_mosi.rd,
+        ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
+        ram_wg_write_export                       => ram_wg_mosi.wr,
+        ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_shiftram_clk_export                => OPEN,
+        reg_dp_shiftram_reset_export              => OPEN,
+        reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
+        reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
+        reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
+        reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_clk_export                 => OPEN,
+        reg_bsn_source_reset_export               => OPEN,
+        reg_bsn_source_address_export             => reg_bsn_source_mosi.address(2 - 1 downto 0),
+        reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
+        reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
+        reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        ram_diag_data_buf_bsn_clk_export          => OPEN,
+        ram_diag_data_buf_bsn_reset_export        => OPEN,
+        ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(14 - 1 downto 0),
+        ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
+        ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
+        ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_bsn_reset_export        => OPEN,
+        reg_diag_data_buf_bsn_clk_export          => OPEN,
+        reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
+        reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
+        reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
+        reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buf_jesd_clk_export         => OPEN,
+        ram_diag_data_buf_jesd_reset_export       => OPEN,
+        ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(11 - 1 downto 0),
+        ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
+        ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
+        ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_jesd_reset_export       => OPEN,
+        reg_diag_data_buf_jesd_clk_export         => OPEN,
+        reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(2 - 1 downto 0),
+        reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
+        reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
+        reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_aduh_monitor_reset_export             => OPEN,
+        reg_aduh_monitor_clk_export               => OPEN,
+        reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
+        reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
+        reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
+        reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_fil_coefs_clk_export                  => OPEN,
+        ram_fil_coefs_reset_export                => OPEN,
+        ram_fil_coefs_address_export              => ram_fil_coefs_mosi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
+        ram_fil_coefs_write_export                => ram_fil_coefs_mosi.wr,
+        ram_fil_coefs_writedata_export            => ram_fil_coefs_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_fil_coefs_read_export                 => ram_fil_coefs_mosi.rd,
+        ram_fil_coefs_readdata_export             => ram_fil_coefs_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_st_sst_clk_export                     => OPEN,
+        ram_st_sst_reset_export                   => OPEN,
+        ram_st_sst_address_export                 => ram_st_sst_mosi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
+        ram_st_sst_write_export                   => ram_st_sst_mosi.wr,
+        ram_st_sst_writedata_export               => ram_st_sst_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_st_sst_read_export                    => ram_st_sst_mosi.rd,
+        ram_st_sst_readdata_export                => ram_st_sst_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_si_clk_export                         => OPEN,
+        reg_si_reset_export                       => OPEN,
+        reg_si_address_export                     => reg_si_mosi.address(c_sdp_reg_si_addr_w - 1 downto 0),
+        reg_si_write_export                       => reg_si_mosi.wr,
+        reg_si_writedata_export                   => reg_si_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_si_read_export                        => reg_si_mosi.rd,
+        reg_si_readdata_export                    => reg_si_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_clk_export            => OPEN,
+        ram_equalizer_gains_reset_export          => OPEN,
+        ram_equalizer_gains_address_export        => ram_equalizer_gains_mosi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_write_export          => ram_equalizer_gains_mosi.wr,
+        ram_equalizer_gains_writedata_export      => ram_equalizer_gains_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_read_export           => ram_equalizer_gains_mosi.rd,
+        ram_equalizer_gains_readdata_export       => ram_equalizer_gains_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_selector_clk_export                => OPEN,
+        reg_dp_selector_reset_export              => OPEN,
+        reg_dp_selector_address_export            => reg_dp_selector_mosi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
+        reg_dp_selector_write_export              => reg_dp_selector_mosi.wr,
+        reg_dp_selector_writedata_export          => reg_dp_selector_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_selector_read_export               => reg_dp_selector_mosi.rd,
+        reg_dp_selector_readdata_export           => reg_dp_selector_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(9 - 1 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_sdp_info_clk_export                   => OPEN,
+        reg_sdp_info_reset_export                 => OPEN,
+        reg_sdp_info_address_export               => reg_sdp_info_mosi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
+        reg_sdp_info_write_export                 => reg_sdp_info_mosi.wr,
+        reg_sdp_info_writedata_export             => reg_sdp_info_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_sdp_info_read_export                  => reg_sdp_info_mosi.rd,
+        reg_sdp_info_readdata_export              => reg_sdp_info_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_clk_export                => OPEN,
+        reg_stat_enable_reset_export              => OPEN,
+        reg_stat_enable_address_export            => reg_stat_enable_mosi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
+        reg_stat_enable_write_export              => reg_stat_enable_mosi.wr,
+        reg_stat_enable_writedata_export          => reg_stat_enable_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_read_export               => reg_stat_enable_mosi.rd,
+        reg_stat_enable_readdata_export           => reg_stat_enable_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_clk_export                => OPEN,
+        reg_stat_hdr_dat_reset_export              => OPEN,
+        reg_stat_hdr_dat_address_export            => reg_stat_hdr_dat_mosi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_write_export              => reg_stat_hdr_dat_mosi.wr,
+        reg_stat_hdr_dat_writedata_export          => reg_stat_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_read_export               => reg_stat_hdr_dat_mosi.rd,
+        reg_stat_hdr_dat_readdata_export           => reg_stat_hdr_dat_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd
index e06b06d095..894df9cff2 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd
@@ -19,300 +19,300 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2b_filterbank_pkg is
 
   -----------------------------------------------------------------------------
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
-   component qsys_lofar2_unb2b_filterbank is
-        port (
-            avs_eth_0_clk_export                    : out std_logic;  -- export
-            avs_eth_0_irq_export                    : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export            : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export               : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export              : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export            : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export               : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export              : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                  : out std_logic;  -- export
-            avs_eth_0_tse_address_export            : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export               : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export        : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export              : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                 : in  std_logic                     := 'X';  -- clk
-            jesd204b_address_export                 : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_clk_export                     : out std_logic;  -- export
-            jesd204b_read_export                    : out std_logic;  -- export
-            jesd204b_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            jesd204b_reset_export                   : out std_logic;  -- export
-            jesd204b_write_export                   : out std_logic;  -- export
-            jesd204b_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                      : out std_logic;  -- export
-            pio_pps_read_export                     : out std_logic;  -- export
-            pio_pps_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                    : out std_logic;  -- export
-            pio_pps_write_export                    : out std_logic;  -- export
-            pio_pps_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export          : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export              : out std_logic;  -- export
-            pio_system_info_read_export             : out std_logic;  -- export
-            pio_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export            : out std_logic;  -- export
-            pio_system_info_write_export            : out std_logic;  -- export
-            pio_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export      : out std_logic;  -- export
-            ram_aduh_monitor_address_export         : out std_logic_vector(12 downto 0);  -- export
-            ram_aduh_monitor_clk_export             : out std_logic;  -- export
-            ram_aduh_monitor_read_export            : out std_logic;  -- export
-            ram_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_aduh_monitor_reset_export           : out std_logic;  -- export
-            ram_aduh_monitor_write_export           : out std_logic;  -- export
-            ram_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_bsn_address_export    : out std_logic_vector(13 downto 0);  -- export
-            ram_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
-            ram_diag_data_buf_bsn_read_export       : out std_logic;  -- export
-            ram_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
-            ram_diag_data_buf_bsn_write_export      : out std_logic;  -- export
-            ram_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_jesd_address_export   : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
-            ram_diag_data_buf_jesd_read_export      : out std_logic;  -- export
-            ram_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
-            ram_diag_data_buf_jesd_write_export     : out std_logic;  -- export
-            ram_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_address_export      : out std_logic_vector(12 downto 0);  -- export
-            ram_equalizer_gains_clk_export          : out std_logic;  -- export
-            ram_equalizer_gains_read_export         : out std_logic;  -- export
-            ram_equalizer_gains_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_equalizer_gains_reset_export        : out std_logic;  -- export
-            ram_equalizer_gains_write_export        : out std_logic;  -- export
-            ram_equalizer_gains_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            ram_fil_coefs_address_export            : out std_logic_vector(13 downto 0);  -- export
-            ram_fil_coefs_clk_export                : out std_logic;  -- export
-            ram_fil_coefs_read_export               : out std_logic;  -- export
-            ram_fil_coefs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_fil_coefs_reset_export              : out std_logic;  -- export
-            ram_fil_coefs_write_export              : out std_logic;  -- export
-            ram_fil_coefs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                    : out std_logic;  -- export
-            ram_scrap_read_export                   : out std_logic;  -- export
-            ram_scrap_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                  : out std_logic;  -- export
-            ram_scrap_write_export                  : out std_logic;  -- export
-            ram_scrap_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            ram_st_sst_address_export               : out std_logic_vector(13 downto 0);  -- export
-            ram_st_sst_clk_export                   : out std_logic;  -- export
-            ram_st_sst_read_export                  : out std_logic;  -- export
-            ram_st_sst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_sst_reset_export                 : out std_logic;  -- export
-            ram_st_sst_write_export                 : out std_logic;  -- export
-            ram_st_sst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_address_export                   : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_clk_export                       : out std_logic;  -- export
-            ram_wg_read_export                      : out std_logic;  -- export
-            ram_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_reset_export                     : out std_logic;  -- export
-            ram_wg_write_export                     : out std_logic;  -- export
-            ram_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+  component qsys_lofar2_unb2b_filterbank is
+    port (
+      avs_eth_0_clk_export                    : out std_logic;  -- export
+      avs_eth_0_irq_export                    : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export            : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export               : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export              : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export            : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export               : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export              : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                  : out std_logic;  -- export
+      avs_eth_0_tse_address_export            : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export               : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export        : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export              : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                 : in  std_logic                     := 'X';  -- clk
+      jesd204b_address_export                 : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_clk_export                     : out std_logic;  -- export
+      jesd204b_read_export                    : out std_logic;  -- export
+      jesd204b_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      jesd204b_reset_export                   : out std_logic;  -- export
+      jesd204b_write_export                   : out std_logic;  -- export
+      jesd204b_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                      : out std_logic;  -- export
+      pio_pps_read_export                     : out std_logic;  -- export
+      pio_pps_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                    : out std_logic;  -- export
+      pio_pps_write_export                    : out std_logic;  -- export
+      pio_pps_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export          : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export              : out std_logic;  -- export
+      pio_system_info_read_export             : out std_logic;  -- export
+      pio_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export            : out std_logic;  -- export
+      pio_system_info_write_export            : out std_logic;  -- export
+      pio_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export      : out std_logic;  -- export
+      ram_aduh_monitor_address_export         : out std_logic_vector(12 downto 0);  -- export
+      ram_aduh_monitor_clk_export             : out std_logic;  -- export
+      ram_aduh_monitor_read_export            : out std_logic;  -- export
+      ram_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_aduh_monitor_reset_export           : out std_logic;  -- export
+      ram_aduh_monitor_write_export           : out std_logic;  -- export
+      ram_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_bsn_address_export    : out std_logic_vector(13 downto 0);  -- export
+      ram_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
+      ram_diag_data_buf_bsn_read_export       : out std_logic;  -- export
+      ram_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
+      ram_diag_data_buf_bsn_write_export      : out std_logic;  -- export
+      ram_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_jesd_address_export   : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
+      ram_diag_data_buf_jesd_read_export      : out std_logic;  -- export
+      ram_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
+      ram_diag_data_buf_jesd_write_export     : out std_logic;  -- export
+      ram_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_address_export      : out std_logic_vector(12 downto 0);  -- export
+      ram_equalizer_gains_clk_export          : out std_logic;  -- export
+      ram_equalizer_gains_read_export         : out std_logic;  -- export
+      ram_equalizer_gains_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_equalizer_gains_reset_export        : out std_logic;  -- export
+      ram_equalizer_gains_write_export        : out std_logic;  -- export
+      ram_equalizer_gains_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      ram_fil_coefs_address_export            : out std_logic_vector(13 downto 0);  -- export
+      ram_fil_coefs_clk_export                : out std_logic;  -- export
+      ram_fil_coefs_read_export               : out std_logic;  -- export
+      ram_fil_coefs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_fil_coefs_reset_export              : out std_logic;  -- export
+      ram_fil_coefs_write_export              : out std_logic;  -- export
+      ram_fil_coefs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                    : out std_logic;  -- export
+      ram_scrap_read_export                   : out std_logic;  -- export
+      ram_scrap_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                  : out std_logic;  -- export
+      ram_scrap_write_export                  : out std_logic;  -- export
+      ram_scrap_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      ram_st_sst_address_export               : out std_logic_vector(13 downto 0);  -- export
+      ram_st_sst_clk_export                   : out std_logic;  -- export
+      ram_st_sst_read_export                  : out std_logic;  -- export
+      ram_st_sst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_sst_reset_export                 : out std_logic;  -- export
+      ram_st_sst_write_export                 : out std_logic;  -- export
+      ram_st_sst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_address_export                   : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_clk_export                       : out std_logic;  -- export
+      ram_wg_read_export                      : out std_logic;  -- export
+      ram_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_reset_export                     : out std_logic;  -- export
+      ram_wg_write_export                     : out std_logic;  -- export
+      ram_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
 
-            reg_sdp_info_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_sdp_info_clk_export                 : out std_logic;  -- export
-            reg_sdp_info_read_export                : out std_logic;  -- export
-            reg_sdp_info_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_reset_export               : out std_logic;  -- export
-            reg_sdp_info_write_export               : out std_logic;  -- export
-            reg_sdp_info_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_address_export          : out std_logic_vector(0 downto 0);  -- export
-            reg_stat_enable_clk_export              : out std_logic;  -- export
-            reg_stat_enable_read_export             : out std_logic;  -- export
-            reg_stat_enable_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_reset_export            : out std_logic;  -- export
-            reg_stat_enable_write_export            : out std_logic;  -- export
-            reg_stat_enable_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_address_export         : out std_logic_vector(5 downto 0);  -- export
-            reg_stat_hdr_dat_clk_export             : out std_logic;  -- export
-            reg_stat_hdr_dat_read_export            : out std_logic;  -- export
-            reg_stat_hdr_dat_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_reset_export           : out std_logic;  -- export
-            reg_stat_hdr_dat_write_export           : out std_logic;  -- export
-            reg_stat_hdr_dat_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_sdp_info_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_sdp_info_clk_export                 : out std_logic;  -- export
+      reg_sdp_info_read_export                : out std_logic;  -- export
+      reg_sdp_info_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_reset_export               : out std_logic;  -- export
+      reg_sdp_info_write_export               : out std_logic;  -- export
+      reg_sdp_info_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_address_export          : out std_logic_vector(0 downto 0);  -- export
+      reg_stat_enable_clk_export              : out std_logic;  -- export
+      reg_stat_enable_read_export             : out std_logic;  -- export
+      reg_stat_enable_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_reset_export            : out std_logic;  -- export
+      reg_stat_enable_write_export            : out std_logic;  -- export
+      reg_stat_enable_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_address_export         : out std_logic_vector(5 downto 0);  -- export
+      reg_stat_hdr_dat_clk_export             : out std_logic;  -- export
+      reg_stat_hdr_dat_read_export            : out std_logic;  -- export
+      reg_stat_hdr_dat_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_reset_export           : out std_logic;  -- export
+      reg_stat_hdr_dat_write_export           : out std_logic;  -- export
+      reg_stat_hdr_dat_writedata_export       : out std_logic_vector(31 downto 0);  -- export
 
-            reg_aduh_monitor_address_export         : out std_logic_vector(5 downto 0);  -- export
-            reg_aduh_monitor_clk_export             : out std_logic;  -- export
-            reg_aduh_monitor_read_export            : out std_logic;  -- export
-            reg_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_aduh_monitor_reset_export           : out std_logic;  -- export
-            reg_aduh_monitor_write_export           : out std_logic;  -- export
-            reg_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_aduh_monitor_address_export         : out std_logic_vector(5 downto 0);  -- export
+      reg_aduh_monitor_clk_export             : out std_logic;  -- export
+      reg_aduh_monitor_read_export            : out std_logic;  -- export
+      reg_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_aduh_monitor_reset_export           : out std_logic;  -- export
+      reg_aduh_monitor_write_export           : out std_logic;  -- export
+      reg_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
 
-            reg_bsn_monitor_input_address_export    : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_clk_export        : out std_logic;  -- export
-            reg_bsn_monitor_input_read_export       : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export      : out std_logic;  -- export
-            reg_bsn_monitor_input_write_export      : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_address_export        : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_clk_export            : out std_logic;  -- export
-            reg_bsn_scheduler_read_export           : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export          : out std_logic;  -- export
-            reg_bsn_scheduler_write_export          : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_address_export           : out std_logic_vector(1 downto 0);  -- export
-            reg_bsn_source_clk_export               : out std_logic;  -- export
-            reg_bsn_source_read_export              : out std_logic;  -- export
-            reg_bsn_source_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_reset_export             : out std_logic;  -- export
-            reg_bsn_source_write_export             : out std_logic;  -- export
-            reg_bsn_source_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_bsn_address_export    : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
-            reg_diag_data_buf_bsn_read_export       : out std_logic;  -- export
-            reg_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
-            reg_diag_data_buf_bsn_write_export      : out std_logic;  -- export
-            reg_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_jesd_address_export   : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
-            reg_diag_data_buf_jesd_read_export      : out std_logic;  -- export
-            reg_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
-            reg_diag_data_buf_jesd_write_export     : out std_logic;  -- export
-            reg_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_selector_address_export          : out std_logic_vector(0 downto 0);  -- export
-            reg_dp_selector_clk_export              : out std_logic;  -- export
-            reg_dp_selector_read_export             : out std_logic;  -- export
-            reg_dp_selector_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_selector_reset_export            : out std_logic;  -- export
-            reg_dp_selector_write_export            : out std_logic;  -- export
-            reg_dp_selector_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_shiftram_address_export          : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_shiftram_clk_export              : out std_logic;  -- export
-            reg_dp_shiftram_read_export             : out std_logic;  -- export
-            reg_dp_shiftram_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_shiftram_reset_export            : out std_logic;  -- export
-            reg_dp_shiftram_write_export            : out std_logic;  -- export
-            reg_dp_shiftram_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                : out std_logic;  -- export
-            reg_dpmm_data_read_export               : out std_logic;  -- export
-            reg_dpmm_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export              : out std_logic;  -- export
-            reg_dpmm_data_write_export              : out std_logic;  -- export
-            reg_dpmm_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                     : out std_logic;  -- export
-            reg_epcs_read_export                    : out std_logic;  -- export
-            reg_epcs_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                   : out std_logic;  -- export
-            reg_epcs_write_export                   : out std_logic;  -- export
-            reg_epcs_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export       : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export           : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export    : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export        : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                : out std_logic;  -- export
-            reg_mmdp_data_read_export               : out std_logic;  -- export
-            reg_mmdp_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export              : out std_logic;  -- export
-            reg_mmdp_data_write_export              : out std_logic;  -- export
-            reg_mmdp_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                     : out std_logic;  -- export
-            reg_remu_read_export                    : out std_logic;  -- export
-            reg_remu_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                   : out std_logic;  -- export
-            reg_remu_write_export                   : out std_logic;  -- export
-            reg_remu_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_si_address_export                   : out std_logic_vector(0 downto 0);  -- export
-            reg_si_clk_export                       : out std_logic;  -- export
-            reg_si_read_export                      : out std_logic;  -- export
-            reg_si_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_si_reset_export                     : out std_logic;  -- export
-            reg_si_write_export                     : out std_logic;  -- export
-            reg_si_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export            : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                : out std_logic;  -- export
-            reg_unb_pmbus_read_export               : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export              : out std_logic;  -- export
-            reg_unb_pmbus_write_export              : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export             : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                 : out std_logic;  -- export
-            reg_unb_sens_read_export                : out std_logic;  -- export
-            reg_unb_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export               : out std_logic;  -- export
-            reg_unb_sens_write_export               : out std_logic;  -- export
-            reg_unb_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                      : out std_logic;  -- export
-            reg_wdi_read_export                     : out std_logic;  -- export
-            reg_wdi_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                    : out std_logic;  -- export
-            reg_wdi_write_export                    : out std_logic;  -- export
-            reg_wdi_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_address_export                   : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_clk_export                       : out std_logic;  -- export
-            reg_wg_read_export                      : out std_logic;  -- export
-            reg_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_reset_export                     : out std_logic;  -- export
-            reg_wg_write_export                     : out std_logic;  -- export
-            reg_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                           : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export          : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_clk_export              : out std_logic;  -- export
-            rom_system_info_read_export             : out std_logic;  -- export
-            rom_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export            : out std_logic;  -- export
-            rom_system_info_write_export            : out std_logic;  -- export
-            rom_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            pio_jesd_ctrl_reset_export              : out std_logic;  -- export
-            pio_jesd_ctrl_clk_export                : out std_logic;  -- export
-            pio_jesd_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            pio_jesd_ctrl_write_export              : out std_logic;  -- export
-            pio_jesd_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            pio_jesd_ctrl_read_export               : out std_logic;  -- export
-            pio_jesd_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_lofar2_unb2b_filterbank;
+      reg_bsn_monitor_input_address_export    : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_clk_export        : out std_logic;  -- export
+      reg_bsn_monitor_input_read_export       : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export      : out std_logic;  -- export
+      reg_bsn_monitor_input_write_export      : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_address_export        : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_clk_export            : out std_logic;  -- export
+      reg_bsn_scheduler_read_export           : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export          : out std_logic;  -- export
+      reg_bsn_scheduler_write_export          : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_address_export           : out std_logic_vector(1 downto 0);  -- export
+      reg_bsn_source_clk_export               : out std_logic;  -- export
+      reg_bsn_source_read_export              : out std_logic;  -- export
+      reg_bsn_source_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_reset_export             : out std_logic;  -- export
+      reg_bsn_source_write_export             : out std_logic;  -- export
+      reg_bsn_source_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_bsn_address_export    : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
+      reg_diag_data_buf_bsn_read_export       : out std_logic;  -- export
+      reg_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
+      reg_diag_data_buf_bsn_write_export      : out std_logic;  -- export
+      reg_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_jesd_address_export   : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
+      reg_diag_data_buf_jesd_read_export      : out std_logic;  -- export
+      reg_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
+      reg_diag_data_buf_jesd_write_export     : out std_logic;  -- export
+      reg_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_selector_address_export          : out std_logic_vector(0 downto 0);  -- export
+      reg_dp_selector_clk_export              : out std_logic;  -- export
+      reg_dp_selector_read_export             : out std_logic;  -- export
+      reg_dp_selector_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_selector_reset_export            : out std_logic;  -- export
+      reg_dp_selector_write_export            : out std_logic;  -- export
+      reg_dp_selector_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_shiftram_address_export          : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_shiftram_clk_export              : out std_logic;  -- export
+      reg_dp_shiftram_read_export             : out std_logic;  -- export
+      reg_dp_shiftram_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_shiftram_reset_export            : out std_logic;  -- export
+      reg_dp_shiftram_write_export            : out std_logic;  -- export
+      reg_dp_shiftram_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                : out std_logic;  -- export
+      reg_dpmm_data_read_export               : out std_logic;  -- export
+      reg_dpmm_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export              : out std_logic;  -- export
+      reg_dpmm_data_write_export              : out std_logic;  -- export
+      reg_dpmm_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                     : out std_logic;  -- export
+      reg_epcs_read_export                    : out std_logic;  -- export
+      reg_epcs_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                   : out std_logic;  -- export
+      reg_epcs_write_export                   : out std_logic;  -- export
+      reg_epcs_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export       : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export           : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export    : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export        : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                : out std_logic;  -- export
+      reg_mmdp_data_read_export               : out std_logic;  -- export
+      reg_mmdp_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export              : out std_logic;  -- export
+      reg_mmdp_data_write_export              : out std_logic;  -- export
+      reg_mmdp_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                     : out std_logic;  -- export
+      reg_remu_read_export                    : out std_logic;  -- export
+      reg_remu_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                   : out std_logic;  -- export
+      reg_remu_write_export                   : out std_logic;  -- export
+      reg_remu_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_si_address_export                   : out std_logic_vector(0 downto 0);  -- export
+      reg_si_clk_export                       : out std_logic;  -- export
+      reg_si_read_export                      : out std_logic;  -- export
+      reg_si_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_si_reset_export                     : out std_logic;  -- export
+      reg_si_write_export                     : out std_logic;  -- export
+      reg_si_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export            : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                : out std_logic;  -- export
+      reg_unb_pmbus_read_export               : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export              : out std_logic;  -- export
+      reg_unb_pmbus_write_export              : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export             : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                 : out std_logic;  -- export
+      reg_unb_sens_read_export                : out std_logic;  -- export
+      reg_unb_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export               : out std_logic;  -- export
+      reg_unb_sens_write_export               : out std_logic;  -- export
+      reg_unb_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                      : out std_logic;  -- export
+      reg_wdi_read_export                     : out std_logic;  -- export
+      reg_wdi_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                    : out std_logic;  -- export
+      reg_wdi_write_export                    : out std_logic;  -- export
+      reg_wdi_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_address_export                   : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_clk_export                       : out std_logic;  -- export
+      reg_wg_read_export                      : out std_logic;  -- export
+      reg_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_reset_export                     : out std_logic;  -- export
+      reg_wg_write_export                     : out std_logic;  -- export
+      reg_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                           : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export          : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_clk_export              : out std_logic;  -- export
+      rom_system_info_read_export             : out std_logic;  -- export
+      rom_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export            : out std_logic;  -- export
+      rom_system_info_write_export            : out std_logic;  -- export
+      rom_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      pio_jesd_ctrl_reset_export              : out std_logic;  -- export
+      pio_jesd_ctrl_clk_export                : out std_logic;  -- export
+      pio_jesd_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      pio_jesd_ctrl_write_export              : out std_logic;  -- export
+      pio_jesd_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      pio_jesd_ctrl_read_export               : out std_logic;  -- export
+      pio_jesd_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_lofar2_unb2b_filterbank;
 end qsys_lofar2_unb2b_filterbank_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/tb/vhdl/tb_lofar2_unb2b_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/tb/vhdl/tb_lofar2_unb2b_filterbank.vhd
index 034c6ca655..9b3226d29c 100644
--- a/applications/lofar2/designs/lofar2_unb2b_filterbank/tb/vhdl/tb_lofar2_unb2b_filterbank.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/tb/vhdl/tb_lofar2_unb2b_filterbank.vhd
@@ -51,19 +51,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_filterbank is
 end tb_lofar2_unb2b_filterbank;
@@ -203,52 +203,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_filterbank : entity work.lofar2_unb2b_filterbank
-  generic map (
-    g_design_name            => "lofar2_unb2b_filterbank_full",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_filterbank_full",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -379,8 +379,8 @@ begin
 
         -- Convert STD_LOGIC_VECTOR to REAL
         v_sp_subband_power := real(TO_UINT(rd_data(29 downto 0) &
-            sp_subband_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
-            real(TO_UINT(sp_subband_powers_arr2(v_S)(v_B)(29 downto 0)));
+                                           sp_subband_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
+                              real(TO_UINT(sp_subband_powers_arr2(v_S)(v_B)(29 downto 0)));
         -- sum
         sp_subband_power_sum(v_S) <= sp_subband_power_sum(v_S) + v_sp_subband_power;
       end if;
@@ -390,7 +390,7 @@ begin
     -- because the input is a sinus, so most power will be in 1 subband. The sp_subband_power_leakage_sum shows
     -- how much power from the input sinus at a specific subband has leaked into the 511 other subbands.
     sp_subband_power_0 <= real(TO_UINT(sp_subband_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(61 downto 30))) * 2.0**30 +
-        real(TO_UINT(sp_subband_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(29 downto 0)));
+                          real(TO_UINT(sp_subband_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(29 downto 0)));
 
     sp_subband_power_sum_0 <= sp_subband_power_sum(0);
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/lofar2_unb2b_ring_full.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/lofar2_unb2b_ring_full.vhd
index 812ae5e19a..062bb38acd 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/lofar2_unb2b_ring_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/lofar2_unb2b_ring_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_ring_full is
   generic (
@@ -95,57 +95,57 @@ architecture str of lofar2_unb2b_ring_full is
 begin
 
   u_revision : entity lofar2_unb2b_ring_lib.lofar2_unb2b_ring
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/tb_lofar2_unb2b_ring_full.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/tb_lofar2_unb2b_ring_full.vhd
index 1731e4fb08..a45aee1ff0 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/tb_lofar2_unb2b_ring_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_full/tb_lofar2_unb2b_ring_full.vhd
@@ -28,12 +28,12 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2b_ring_full is
 end tb_lofar2_unb2b_ring_full;
@@ -105,53 +105,53 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_ring_full : entity work.lofar2_unb2b_ring_full
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => i_QSFP_0_RX,
-    QSFP_0_TX    => i_QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => i_RING_0_RX,
-    RING_0_TX    => i_RING_0_TX,
-    RING_1_RX    => i_RING_1_RX,
-    RING_1_TX    => i_RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => open
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => i_QSFP_0_RX,
+      QSFP_0_TX    => i_QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => i_RING_0_RX,
+      RING_0_TX    => i_RING_0_TX,
+      RING_1_RX    => i_RING_1_RX,
+      RING_1_TX    => i_RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => open
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/lofar2_unb2b_ring_one.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/lofar2_unb2b_ring_one.vhd
index b2b6bae2fc..871201b1de 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/lofar2_unb2b_ring_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/lofar2_unb2b_ring_one.vhd
@@ -30,13 +30,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_ring_one is
   generic (
@@ -98,57 +98,57 @@ architecture str of lofar2_unb2b_ring_one is
 begin
 
   u_revision : entity lofar2_unb2b_ring_lib.lofar2_unb2b_ring
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/tb_lofar2_unb2b_ring_one.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/tb_lofar2_unb2b_ring_one.vhd
index 171687d127..0bf177b074 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/tb_lofar2_unb2b_ring_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/revisions/lofar2_unb2b_ring_one/tb_lofar2_unb2b_ring_one.vhd
@@ -28,12 +28,12 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2b_ring_one is
 end tb_lofar2_unb2b_ring_one;
@@ -105,53 +105,53 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_ring_one : entity work.lofar2_unb2b_ring_one
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => i_QSFP_0_RX,
-    QSFP_0_TX    => i_QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => i_RING_0_RX,
-    RING_0_TX    => i_RING_0_TX,
-    RING_1_RX    => i_RING_1_RX,
-    RING_1_TX    => i_RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => open
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => i_QSFP_0_RX,
+      QSFP_0_TX    => i_QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => i_RING_0_RX,
+      RING_0_TX    => i_RING_0_TX,
+      RING_1_RX    => i_RING_1_RX,
+      RING_1_TX    => i_RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => open
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring.vhd
index 709c058321..012624c561 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring.vhd
@@ -27,21 +27,21 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, wpfb_lib, lofar2_sdp_lib, tech_pll_lib, tr_10gbe_lib, eth_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2b_ring_pkg.all;
-use eth_lib.eth_pkg.all;
-use ring_lib.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2b_ring_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use ring_lib.ring_pkg.all;
 
 
 entity lofar2_unb2b_ring is
@@ -113,7 +113,7 @@ architecture str of lofar2_unb2b_ring is
   constant c_mm_clk_freq            : natural := c_unb2b_board_mm_clk_freq_100M;
   constant c_lofar2_sample_clk_freq : natural := c_sdp_N_clk_per_sync;  -- fixed 200 MHz for LOFAR2.0 stage 1
 
-   -- QSFP
+  -- QSFP
   constant c_nof_qsfp_bus           : natural := 1;
   constant c_nof_streams_qsfp       : natural := c_unb2b_board_tr_qsfp.bus_w * c_nof_qsfp_bus;  -- 4
 
@@ -153,11 +153,13 @@ architecture str of lofar2_unb2b_ring is
   constant c_addr_w_reg_dp_block_validate_bsn_at_sync : natural := ceil_log2(3);
 
 
-  constant c_reg_ring_input_select     : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_lanes),
-                                         dat_w    => 1,
-                                         nof_dat  => c_nof_lanes,
-                                         init_sl  => '0');  -- default use lane input = 0, 1 = local input.
+  constant c_reg_ring_input_select     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_lanes),
+    dat_w    => 1,
+    nof_dat  => c_nof_lanes,
+    init_sl  => '0'
+  );  -- default use lane input = 0, 1 = local input.
 
   signal gn_index                   : natural;
   signal this_rn                    : std_logic_vector(c_byte_w - 1 downto 0);
@@ -352,292 +354,292 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2b_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range,
-    g_dp_clk_freq             => c_unb2b_board_ext_clk_freq_200M,
-    g_dp_clk_use_pll          => false
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_copi,
-    reg_remu_miso            => reg_remu_cipo,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_copi,
-    reg_dpmm_data_miso       => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_copi,
-    reg_mmdp_data_miso       => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_copi,
-    reg_epcs_miso            => reg_epcs_cipo,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_copi,
-    reg_wdi_miso             => reg_wdi_cipo,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_copi,
-    reg_unb_system_info_miso => reg_unb_system_info_cipo,
-    rom_unb_system_info_mosi => rom_unb_system_info_copi,
-    rom_unb_system_info_miso => rom_unb_system_info_cipo,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_copi,
-    reg_unb_sens_miso        => reg_unb_sens_cipo,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_copi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_cipo,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_copi,
-    reg_ppsh_miso            => reg_ppsh_cipo,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_copi,
-    eth1g_tse_miso           => eth1g_tse_cipo,
-    eth1g_reg_mosi           => eth1g_reg_copi,
-    eth1g_reg_miso           => eth1g_reg_cipo,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_copi,
-    eth1g_ram_miso           => eth1g_ram_cipo,
-
-    ram_scrap_mosi           => ram_scrap_copi,
-    ram_scrap_miso           => ram_scrap_cipo,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2b_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range,
+      g_dp_clk_freq             => c_unb2b_board_ext_clk_freq_200M,
+      g_dp_clk_use_pll          => false
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_copi,
+      reg_remu_miso            => reg_remu_cipo,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_copi,
+      reg_dpmm_data_miso       => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_copi,
+      reg_mmdp_data_miso       => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_copi,
+      reg_epcs_miso            => reg_epcs_cipo,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_copi,
+      reg_wdi_miso             => reg_wdi_cipo,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_copi,
+      reg_unb_system_info_miso => reg_unb_system_info_cipo,
+      rom_unb_system_info_mosi => rom_unb_system_info_copi,
+      rom_unb_system_info_miso => rom_unb_system_info_cipo,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_copi,
+      reg_unb_sens_miso        => reg_unb_sens_cipo,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_copi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_cipo,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_copi,
+      reg_ppsh_miso            => reg_ppsh_cipo,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_copi,
+      eth1g_tse_miso           => eth1g_tse_cipo,
+      eth1g_reg_mosi           => eth1g_reg_copi,
+      eth1g_reg_miso           => eth1g_reg_cipo,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_copi,
+      eth1g_ram_miso           => eth1g_ram_cipo,
+
+      ram_scrap_mosi           => ram_scrap_copi,
+      ram_scrap_miso           => ram_scrap_cipo,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM controller
   -----------------------------------------------------------------------------
   u_mmc : entity work.mmc_lofar2_unb2b_ring
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_copi                           => reg_wdi_copi,
-    reg_wdi_cipo                           => reg_wdi_cipo,
-    reg_unb_system_info_copi               => reg_unb_system_info_copi,
-    reg_unb_system_info_cipo               => reg_unb_system_info_cipo,
-    rom_unb_system_info_copi               => rom_unb_system_info_copi,
-    rom_unb_system_info_cipo               => rom_unb_system_info_cipo,
-    reg_unb_sens_copi                      => reg_unb_sens_copi,
-    reg_unb_sens_cipo                      => reg_unb_sens_cipo,
-    reg_unb_pmbus_copi                     => reg_unb_pmbus_copi,
-    reg_unb_pmbus_cipo                     => reg_unb_pmbus_cipo,
-    reg_fpga_temp_sens_copi                => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_cipo                => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_copi             => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_cipo             => reg_fpga_voltage_sens_cipo,
-    reg_ppsh_copi                          => reg_ppsh_copi,
-    reg_ppsh_cipo                          => reg_ppsh_cipo,
-    eth1g_mm_rst                           => eth1g_mm_rst,
-    eth1g_tse_copi                         => eth1g_tse_copi,
-    eth1g_tse_cipo                         => eth1g_tse_cipo,
-    eth1g_reg_copi                         => eth1g_reg_copi,
-    eth1g_reg_cipo                         => eth1g_reg_cipo,
-    eth1g_reg_interrupt                    => eth1g_reg_interrupt,
-    eth1g_ram_copi                         => eth1g_ram_copi,
-    eth1g_ram_cipo                         => eth1g_ram_cipo,
-    reg_dpmm_data_copi                     => reg_dpmm_data_copi,
-    reg_dpmm_data_cipo                     => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_copi                     => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_cipo                     => reg_dpmm_ctrl_cipo,
-    reg_mmdp_data_copi                     => reg_mmdp_data_copi,
-    reg_mmdp_data_cipo                     => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_copi                     => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_cipo                     => reg_mmdp_ctrl_cipo,
-    reg_epcs_copi                          => reg_epcs_copi,
-    reg_epcs_cipo                          => reg_epcs_cipo,
-    reg_remu_copi                          => reg_remu_copi,
-    reg_remu_cipo                          => reg_remu_cipo,
-    reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi,
-    reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo,
-    reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi,
-    reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo,
-    reg_diag_bg_copi                       => reg_diag_bg_copi,
-    reg_diag_bg_cipo                       => reg_diag_bg_cipo,
-    ram_diag_bg_copi                       => ram_diag_bg_copi,
-    ram_diag_bg_cipo                       => ram_diag_bg_cipo,
-    reg_ring_lane_info_copi                => reg_ring_lane_info_copi,
-    reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo,
-    reg_dp_xonoff_lane_copi                => reg_dp_xonoff_lane_copi,
-    reg_dp_xonoff_lane_cipo                => reg_dp_xonoff_lane_cipo,
-    reg_dp_xonoff_local_copi               => reg_dp_xonoff_local_copi,
-    reg_dp_xonoff_local_cipo               => reg_dp_xonoff_local_cipo,
-    reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi,
-    reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo,
-    reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi,
-    reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo,
-    reg_ring_info_copi                     => reg_ring_info_copi,
-    reg_ring_info_cipo                     => reg_ring_info_cipo,
-    reg_tr_10GbE_mac_copi                  => reg_tr_10GbE_mac_copi,
-    reg_tr_10GbE_mac_cipo                  => reg_tr_10GbE_mac_cipo,
-    reg_tr_10GbE_eth10g_copi               => reg_tr_10GbE_eth10g_copi,
-    reg_tr_10GbE_eth10g_cipo               => reg_tr_10GbE_eth10g_cipo,
-    ram_scrap_copi                         => ram_scrap_copi,
-    ram_scrap_cipo                         => ram_scrap_cipo
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_copi                           => reg_wdi_copi,
+      reg_wdi_cipo                           => reg_wdi_cipo,
+      reg_unb_system_info_copi               => reg_unb_system_info_copi,
+      reg_unb_system_info_cipo               => reg_unb_system_info_cipo,
+      rom_unb_system_info_copi               => rom_unb_system_info_copi,
+      rom_unb_system_info_cipo               => rom_unb_system_info_cipo,
+      reg_unb_sens_copi                      => reg_unb_sens_copi,
+      reg_unb_sens_cipo                      => reg_unb_sens_cipo,
+      reg_unb_pmbus_copi                     => reg_unb_pmbus_copi,
+      reg_unb_pmbus_cipo                     => reg_unb_pmbus_cipo,
+      reg_fpga_temp_sens_copi                => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_cipo                => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_copi             => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_cipo             => reg_fpga_voltage_sens_cipo,
+      reg_ppsh_copi                          => reg_ppsh_copi,
+      reg_ppsh_cipo                          => reg_ppsh_cipo,
+      eth1g_mm_rst                           => eth1g_mm_rst,
+      eth1g_tse_copi                         => eth1g_tse_copi,
+      eth1g_tse_cipo                         => eth1g_tse_cipo,
+      eth1g_reg_copi                         => eth1g_reg_copi,
+      eth1g_reg_cipo                         => eth1g_reg_cipo,
+      eth1g_reg_interrupt                    => eth1g_reg_interrupt,
+      eth1g_ram_copi                         => eth1g_ram_copi,
+      eth1g_ram_cipo                         => eth1g_ram_cipo,
+      reg_dpmm_data_copi                     => reg_dpmm_data_copi,
+      reg_dpmm_data_cipo                     => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_copi                     => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_cipo                     => reg_dpmm_ctrl_cipo,
+      reg_mmdp_data_copi                     => reg_mmdp_data_copi,
+      reg_mmdp_data_cipo                     => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_copi                     => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_cipo                     => reg_mmdp_ctrl_cipo,
+      reg_epcs_copi                          => reg_epcs_copi,
+      reg_epcs_cipo                          => reg_epcs_cipo,
+      reg_remu_copi                          => reg_remu_copi,
+      reg_remu_cipo                          => reg_remu_cipo,
+      reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi,
+      reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo,
+      reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi,
+      reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo,
+      reg_diag_bg_copi                       => reg_diag_bg_copi,
+      reg_diag_bg_cipo                       => reg_diag_bg_cipo,
+      ram_diag_bg_copi                       => ram_diag_bg_copi,
+      ram_diag_bg_cipo                       => ram_diag_bg_cipo,
+      reg_ring_lane_info_copi                => reg_ring_lane_info_copi,
+      reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo,
+      reg_dp_xonoff_lane_copi                => reg_dp_xonoff_lane_copi,
+      reg_dp_xonoff_lane_cipo                => reg_dp_xonoff_lane_cipo,
+      reg_dp_xonoff_local_copi               => reg_dp_xonoff_local_copi,
+      reg_dp_xonoff_local_cipo               => reg_dp_xonoff_local_cipo,
+      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi,
+      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo,
+      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi,
+      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo,
+      reg_ring_info_copi                     => reg_ring_info_copi,
+      reg_ring_info_cipo                     => reg_ring_info_cipo,
+      reg_tr_10GbE_mac_copi                  => reg_tr_10GbE_mac_copi,
+      reg_tr_10GbE_mac_cipo                  => reg_tr_10GbE_mac_cipo,
+      reg_tr_10GbE_eth10g_copi               => reg_tr_10GbE_eth10g_copi,
+      reg_tr_10GbE_eth10g_cipo               => reg_tr_10GbE_eth10g_cipo,
+      ram_scrap_copi                         => ram_scrap_copi,
+      ram_scrap_cipo                         => ram_scrap_cipo
+    );
 
   -----------------------------------------------------------------------------
   -- MM Mux
   -----------------------------------------------------------------------------
   u_mem_mux_ring_lane_info : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_ring_lane_info
-  )
-  port map (
-    mosi     => reg_ring_lane_info_copi,
-    miso     => reg_ring_lane_info_cipo,
-    mosi_arr => reg_ring_lane_info_copi_arr,
-    miso_arr => reg_ring_lane_info_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_ring_lane_info
+    )
+    port map (
+      mosi     => reg_ring_lane_info_copi,
+      miso     => reg_ring_lane_info_cipo,
+      mosi_arr => reg_ring_lane_info_copi_arr,
+      miso_arr => reg_ring_lane_info_cipo_arr
+    );
 
   u_mem_mux_bsn_monitor_v2_ring_rx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_rx
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_ring_rx_copi,
-    miso     => reg_bsn_monitor_v2_ring_rx_cipo,
-    mosi_arr => reg_bsn_monitor_v2_ring_rx_copi_arr,
-    miso_arr => reg_bsn_monitor_v2_ring_rx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_rx
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_ring_rx_copi,
+      miso     => reg_bsn_monitor_v2_ring_rx_cipo,
+      mosi_arr => reg_bsn_monitor_v2_ring_rx_copi_arr,
+      miso_arr => reg_bsn_monitor_v2_ring_rx_cipo_arr
+    );
 
   u_mem_mux_bsn_monitor_v2_ring_tx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_tx
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_ring_tx_copi,
-    miso     => reg_bsn_monitor_v2_ring_tx_cipo,
-    mosi_arr => reg_bsn_monitor_v2_ring_tx_copi_arr,
-    miso_arr => reg_bsn_monitor_v2_ring_tx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_tx
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_ring_tx_copi,
+      miso     => reg_bsn_monitor_v2_ring_tx_cipo,
+      mosi_arr => reg_bsn_monitor_v2_ring_tx_copi_arr,
+      miso_arr => reg_bsn_monitor_v2_ring_tx_cipo_arr
+    );
 
   u_mem_mux_dp_block_validate_err : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_dp_block_validate_err
-  )
-  port map (
-    mosi     => reg_dp_block_validate_err_copi,
-    miso     => reg_dp_block_validate_err_cipo,
-    mosi_arr => reg_dp_block_validate_err_copi_arr,
-    miso_arr => reg_dp_block_validate_err_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_dp_block_validate_err
+    )
+    port map (
+      mosi     => reg_dp_block_validate_err_copi,
+      miso     => reg_dp_block_validate_err_cipo,
+      mosi_arr => reg_dp_block_validate_err_copi_arr,
+      miso_arr => reg_dp_block_validate_err_cipo_arr
+    );
 
   u_mem_mux_dp_block_validate_bsn_at_sync : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_dp_block_validate_bsn_at_sync
-  )
-  port map (
-    mosi     => reg_dp_block_validate_bsn_at_sync_copi,
-    miso     => reg_dp_block_validate_bsn_at_sync_cipo,
-    mosi_arr => reg_dp_block_validate_bsn_at_sync_copi_arr,
-    miso_arr => reg_dp_block_validate_bsn_at_sync_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_dp_block_validate_bsn_at_sync
+    )
+    port map (
+      mosi     => reg_dp_block_validate_bsn_at_sync_copi,
+      miso     => reg_dp_block_validate_bsn_at_sync_cipo,
+      mosi_arr => reg_dp_block_validate_bsn_at_sync_copi_arr,
+      miso_arr => reg_dp_block_validate_bsn_at_sync_cipo_arr
+    );
 
   -----------------------------------------------------------------------------
   -- MMP diag_block_gen
   -----------------------------------------------------------------------------
   u_mmp_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-    dp_rst  => dp_rst,
-    dp_clk  => dp_clk,
-    en_sync => dp_pps,
-
-   reg_bg_ctrl_mosi => reg_diag_bg_copi,
-   reg_bg_ctrl_miso => reg_diag_bg_cipo,
-   ram_bg_data_mosi => ram_diag_bg_copi,
-   ram_bg_data_miso => ram_diag_bg_cipo,
-
-   out_sosi_arr(0)  => local_sosi
-  );
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+      dp_rst  => dp_rst,
+      dp_clk  => dp_clk,
+      en_sync => dp_pps,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_copi,
+      reg_bg_ctrl_miso => reg_diag_bg_cipo,
+      ram_bg_data_mosi => ram_diag_bg_copi,
+      ram_bg_data_miso => ram_diag_bg_cipo,
+
+      out_sosi_arr(0)  => local_sosi
+    );
   bs_sosi <= local_sosi;
 
 
@@ -645,26 +647,26 @@ begin
   -- MMP dp_xonoff from_lane_sosi
   -----------------------------------------------------------------------------
   u_mmp_dp_xonoff_lane : entity dp_lib.mms_dp_xonoff
-  generic map (
-    g_nof_streams   => c_nof_lanes,
-    g_default_value => '1'  -- default enabled, because standard behaviour is to only pass on packets from lane.
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    generic map (
+      g_nof_streams   => c_nof_lanes,
+      g_default_value => '1'  -- default enabled, because standard behaviour is to only pass on packets from lane.
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    reg_mosi => reg_dp_xonoff_lane_copi,
-    reg_miso => reg_dp_xonoff_lane_cipo,
+      reg_mosi => reg_dp_xonoff_lane_copi,
+      reg_miso => reg_dp_xonoff_lane_cipo,
 
-    dp_rst  => dp_rst,
-    dp_clk  => dp_clk,
+      dp_rst  => dp_rst,
+      dp_clk  => dp_clk,
 
-    snk_out_arr => OPEN,
-    snk_in_arr  => from_lane_sosi_arr,
+      snk_out_arr => OPEN,
+      snk_in_arr  => from_lane_sosi_arr,
 
-    src_in_arr  => dp_xonoff_lane_src_in_arr,
-    src_out_arr => dp_xonoff_lane_src_out_arr
-  );
+      src_in_arr  => dp_xonoff_lane_src_in_arr,
+      src_out_arr => dp_xonoff_lane_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- MMP dp_xonoff local_sosi
@@ -674,26 +676,26 @@ begin
   end generate;
 
   u_mmp_dp_xonoff_local : entity dp_lib.mms_dp_xonoff
-  generic map (
-    g_nof_streams   => c_nof_lanes,
-    g_default_value => '0'  -- default disabled, because standard behaviour is to only pass on packets from lane.
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    generic map (
+      g_nof_streams   => c_nof_lanes,
+      g_default_value => '0'  -- default disabled, because standard behaviour is to only pass on packets from lane.
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    reg_mosi => reg_dp_xonoff_local_copi,
-    reg_miso => reg_dp_xonoff_local_cipo,
+      reg_mosi => reg_dp_xonoff_local_copi,
+      reg_miso => reg_dp_xonoff_local_cipo,
 
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
 
-    snk_out_arr => OPEN,
-    snk_in_arr  => dp_xonoff_local_snk_in_arr,
+      snk_out_arr => OPEN,
+      snk_in_arr  => dp_xonoff_local_snk_in_arr,
 
-    src_in_arr  => dp_xonoff_local_src_in_arr,
-    src_out_arr => dp_xonoff_local_src_out_arr
-  );
+      src_in_arr  => dp_xonoff_local_src_in_arr,
+      src_out_arr => dp_xonoff_local_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- DP Mux
@@ -706,49 +708,49 @@ begin
     dp_mux_snk_in_2arr(I)(1)      <= dp_xonoff_local_src_out_arr(I);
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      g_append_channel_lo => false,
-      g_sel_ctrl_invert   => true,
-      g_use_fifo          => true,
-      g_bsn_w             => c_longword_w,
-      g_data_w            => c_lane_data_w,
-      g_in_channel_w      => c_byte_w,
-      g_error_w           => c_nof_err_counts,
-      g_use_bsn           => true,
-      g_use_in_channel    => true,
-      g_use_error         => true,
-      g_use_sync          => true,
-      -- Using fifo size of 2 * packet length for both inputs of the mux as a packet might arrive on both the local and remote input.
-      g_fifo_size         => array_init(2 * c_lane_packet_length, 2)
-    )
-    port map (
-      rst => dp_rst,
-      clk => dp_clk,
-
-      snk_out_arr => dp_mux_snk_out_2arr(I),
-      snk_in_arr  => dp_mux_snk_in_2arr(I),
-
-      src_in  => c_dp_siso_rdy,
-      src_out => to_lane_sosi_arr(I)
-    );
+      generic map (
+        g_append_channel_lo => false,
+        g_sel_ctrl_invert   => true,
+        g_use_fifo          => true,
+        g_bsn_w             => c_longword_w,
+        g_data_w            => c_lane_data_w,
+        g_in_channel_w      => c_byte_w,
+        g_error_w           => c_nof_err_counts,
+        g_use_bsn           => true,
+        g_use_in_channel    => true,
+        g_use_error         => true,
+        g_use_sync          => true,
+        -- Using fifo size of 2 * packet length for both inputs of the mux as a packet might arrive on both the local and remote input.
+        g_fifo_size         => array_init(2 * c_lane_packet_length, 2)
+      )
+      port map (
+        rst => dp_rst,
+        clk => dp_clk,
+
+        snk_out_arr => dp_mux_snk_out_2arr(I),
+        snk_in_arr  => dp_mux_snk_in_2arr(I),
+
+        src_in  => c_dp_siso_rdy,
+        src_out => to_lane_sosi_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- Ring info
   -----------------------------------------------------------------------------
   u_ring_info : entity ring_lib.ring_info
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
 
-    reg_copi => reg_ring_info_copi,
-    reg_cipo => reg_ring_info_cipo,
+      reg_copi => reg_ring_info_copi,
+      reg_cipo => reg_ring_info_cipo,
 
-    ring_info => ring_info
-  );
+      ring_info => ring_info
+    );
 
   -- Use full c_byte_w range of ID for gn_index and ring_info.O_rn
   gn_index <= TO_UINT(ID);
@@ -759,50 +761,50 @@ begin
   -----------------------------------------------------------------------------
   gen_even_lanes: for I in 0 to c_nof_even_lanes - 1 generate
     u_ring_lane : entity ring_lib.ring_lane
-    generic map (
-      g_lane_direction            => 1,  -- transport in positive direction.
-      g_lane_data_w               => c_lane_data_w,
-      g_lane_packet_length        => c_lane_packet_length,
-      g_use_dp_layer              => c_use_dp_layer,
-      g_nof_rx_monitors           => c_nof_rx_monitors,
-      g_nof_tx_monitors           => c_nof_tx_monitors,
-      g_err_bi                    => c_err_bi,
-      g_nof_err_counts            => c_nof_err_counts,
-      g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
-      g_validate_channel          => c_validate_channel,
-      g_validate_channel_mode     => c_validate_channel_mode,
-      g_sync_timeout              => c_sync_timeout
-    )
-    port map (
-      mm_rst => mm_rst,
-      mm_clk => mm_clk,
-      dp_clk => dp_clk,
-      dp_rst => dp_rst,
-
-      from_lane_sosi     => from_lane_sosi_arr(2 * I),  -- even indices
-      to_lane_sosi       => to_lane_sosi_arr(2 * I),
-      lane_rx_cable_sosi => lane_rx_cable_even_sosi_arr(I),
-      lane_rx_board_sosi => lane_rx_board_even_sosi_arr(I),
-      lane_tx_cable_sosi => lane_tx_cable_even_sosi_arr(I),
-      lane_tx_board_sosi => lane_tx_board_even_sosi_arr(I),
-      bs_sosi            => bs_sosi,
-
-      reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I),
-      reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I),
-      reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I),
-      reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I),
-      reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I),
-      reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I),
-      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I),
-      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I),
-      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I),
-      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I),
-
-      this_rn   => this_rn,
-      N_rn      => ring_info.N_rn,
-      rx_select => ring_info.use_cable_to_previous_rn,
-      tx_select => ring_info.use_cable_to_next_rn
-    );
+      generic map (
+        g_lane_direction            => 1,  -- transport in positive direction.
+        g_lane_data_w               => c_lane_data_w,
+        g_lane_packet_length        => c_lane_packet_length,
+        g_use_dp_layer              => c_use_dp_layer,
+        g_nof_rx_monitors           => c_nof_rx_monitors,
+        g_nof_tx_monitors           => c_nof_tx_monitors,
+        g_err_bi                    => c_err_bi,
+        g_nof_err_counts            => c_nof_err_counts,
+        g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
+        g_validate_channel          => c_validate_channel,
+        g_validate_channel_mode     => c_validate_channel_mode,
+        g_sync_timeout              => c_sync_timeout
+      )
+      port map (
+        mm_rst => mm_rst,
+        mm_clk => mm_clk,
+        dp_clk => dp_clk,
+        dp_rst => dp_rst,
+
+        from_lane_sosi     => from_lane_sosi_arr(2 * I),  -- even indices
+        to_lane_sosi       => to_lane_sosi_arr(2 * I),
+        lane_rx_cable_sosi => lane_rx_cable_even_sosi_arr(I),
+        lane_rx_board_sosi => lane_rx_board_even_sosi_arr(I),
+        lane_tx_cable_sosi => lane_tx_cable_even_sosi_arr(I),
+        lane_tx_board_sosi => lane_tx_board_even_sosi_arr(I),
+        bs_sosi            => bs_sosi,
+
+        reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I),
+        reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I),
+        reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I),
+        reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I),
+        reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I),
+        reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I),
+        reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I),
+        reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I),
+        reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I),
+        reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I),
+
+        this_rn   => this_rn,
+        N_rn      => ring_info.N_rn,
+        rx_select => ring_info.use_cable_to_previous_rn,
+        tx_select => ring_info.use_cable_to_next_rn
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -810,50 +812,50 @@ begin
   -----------------------------------------------------------------------------
   gen_odd_lanes : for I in 0 to c_nof_odd_lanes - 1 generate
     u_ring_lane : entity ring_lib.ring_lane
-    generic map (
-      g_lane_direction            => 0,  -- transport in negative direction.
-      g_lane_data_w               => c_lane_data_w,
-      g_lane_packet_length        => c_lane_packet_length,
-      g_use_dp_layer              => c_use_dp_layer,
-      g_nof_rx_monitors           => c_nof_rx_monitors,
-      g_nof_tx_monitors           => c_nof_tx_monitors,
-      g_err_bi                    => c_err_bi,
-      g_nof_err_counts            => c_nof_err_counts,
-      g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
-      g_validate_channel          => c_validate_channel,
-      g_validate_channel_mode     => c_validate_channel_mode,
-      g_sync_timeout              => c_sync_timeout
-    )
-    port map (
-      mm_rst => mm_rst,
-      mm_clk => mm_clk,
-      dp_clk => dp_clk,
-      dp_rst => dp_rst,
-
-      from_lane_sosi     => from_lane_sosi_arr(2 * I + 1),  -- odd indices
-      to_lane_sosi       => to_lane_sosi_arr(2 * I + 1),
-      lane_rx_cable_sosi => lane_rx_cable_odd_sosi_arr(I),
-      lane_rx_board_sosi => lane_rx_board_odd_sosi_arr(I),
-      lane_tx_cable_sosi => lane_tx_cable_odd_sosi_arr(I),
-      lane_tx_board_sosi => lane_tx_board_odd_sosi_arr(I),
-      bs_sosi            => bs_sosi,
-
-      reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I + 1),
-      reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I + 1),
-      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I + 1),
-      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I + 1),
-      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I + 1),
-      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I + 1),
-
-      this_rn   => this_rn,
-      N_rn      => ring_info.N_rn,
-      rx_select => ring_info.use_cable_to_next_rn,  -- reverse tx/rx select for odd indices.
-      tx_select => ring_info.use_cable_to_previous_rn
-    );
+      generic map (
+        g_lane_direction            => 0,  -- transport in negative direction.
+        g_lane_data_w               => c_lane_data_w,
+        g_lane_packet_length        => c_lane_packet_length,
+        g_use_dp_layer              => c_use_dp_layer,
+        g_nof_rx_monitors           => c_nof_rx_monitors,
+        g_nof_tx_monitors           => c_nof_tx_monitors,
+        g_err_bi                    => c_err_bi,
+        g_nof_err_counts            => c_nof_err_counts,
+        g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
+        g_validate_channel          => c_validate_channel,
+        g_validate_channel_mode     => c_validate_channel_mode,
+        g_sync_timeout              => c_sync_timeout
+      )
+      port map (
+        mm_rst => mm_rst,
+        mm_clk => mm_clk,
+        dp_clk => dp_clk,
+        dp_rst => dp_rst,
+
+        from_lane_sosi     => from_lane_sosi_arr(2 * I + 1),  -- odd indices
+        to_lane_sosi       => to_lane_sosi_arr(2 * I + 1),
+        lane_rx_cable_sosi => lane_rx_cable_odd_sosi_arr(I),
+        lane_rx_board_sosi => lane_rx_board_odd_sosi_arr(I),
+        lane_tx_cable_sosi => lane_tx_cable_odd_sosi_arr(I),
+        lane_tx_board_sosi => lane_tx_board_odd_sosi_arr(I),
+        bs_sosi            => bs_sosi,
+
+        reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I + 1),
+        reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I + 1),
+        reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I + 1),
+        reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I + 1),
+        reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I + 1),
+        reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I + 1),
+
+        this_rn   => this_rn,
+        N_rn      => ring_info.N_rn,
+        rx_select => ring_info.use_cable_to_next_rn,  -- reverse tx/rx select for odd indices.
+        tx_select => ring_info.use_cable_to_previous_rn
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -882,45 +884,45 @@ begin
   -- tr_10GbE
   -----------------------------------------------------------------------------
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map (
-    g_sim           => g_sim,
-    g_sim_level     => 1,
-    g_nof_macs      => c_nof_mac,
-    g_direction     => "TX_RX",
-    g_tx_fifo_fill  => c_fifo_tx_fill,
-    g_tx_fifo_size  => c_fifo_tx_size
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644        => SA_CLK,
-    tr_ref_clk_312        => tr_ref_clk_312,
-    tr_ref_clk_156        => tr_ref_clk_156,
-    tr_ref_rst_156        => tr_ref_rst_156,
-
-    -- MM interface
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    reg_mac_mosi          => reg_tr_10GbE_mac_copi,
-    reg_mac_miso          => reg_tr_10GbE_mac_cipo,
-
-    reg_eth10g_mosi       => reg_tr_10GbE_eth10g_copi,
-    reg_eth10g_miso       => reg_tr_10GbE_eth10g_cipo,
-
-    -- DP interface
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    src_out_arr           => tr_10gbe_src_out_arr,
-    src_in_arr            => tr_10gbe_src_in_arr,
-
-    snk_out_arr           => tr_10gbe_snk_out_arr,
-    snk_in_arr            => tr_10gbe_snk_in_arr,
-
-    -- Serial IO
-    serial_tx_arr         => tr_10gbe_serial_tx_arr,
-    serial_rx_arr         => tr_10gbe_serial_rx_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_sim_level     => 1,
+      g_nof_macs      => c_nof_mac,
+      g_direction     => "TX_RX",
+      g_tx_fifo_fill  => c_fifo_tx_fill,
+      g_tx_fifo_size  => c_fifo_tx_size
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644        => SA_CLK,
+      tr_ref_clk_312        => tr_ref_clk_312,
+      tr_ref_clk_156        => tr_ref_clk_156,
+      tr_ref_rst_156        => tr_ref_rst_156,
+
+      -- MM interface
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      reg_mac_mosi          => reg_tr_10GbE_mac_copi,
+      reg_mac_miso          => reg_tr_10GbE_mac_cipo,
+
+      reg_eth10g_mosi       => reg_tr_10GbE_eth10g_copi,
+      reg_eth10g_miso       => reg_tr_10GbE_eth10g_cipo,
+
+      -- DP interface
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      src_out_arr           => tr_10gbe_src_out_arr,
+      src_in_arr            => tr_10gbe_src_in_arr,
+
+      snk_out_arr           => tr_10gbe_snk_out_arr,
+      snk_in_arr            => tr_10gbe_snk_in_arr,
+
+      -- Serial IO
+      serial_tx_arr         => tr_10gbe_serial_tx_arr,
+      serial_rx_arr         => tr_10gbe_serial_rx_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -949,14 +951,14 @@ begin
   -- PLL
   ---------
   u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
   ------------
   -- Front IO
@@ -966,21 +968,21 @@ begin
   QSFP_0_TX <= i_QSFP_TX(0);
 
   u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-  )
-  port map (
-    serial_tx_arr => unb2_board_front_io_serial_tx_arr,
-    serial_rx_arr => unb2_board_front_io_serial_rx_arr,
+    generic map (
+      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+    )
+    port map (
+      serial_tx_arr => unb2_board_front_io_serial_tx_arr,
+      serial_rx_arr => unb2_board_front_io_serial_rx_arr,
 
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
 
-    QSFP_RX       => i_QSFP_RX,
-    QSFP_TX       => i_QSFP_TX,
+      QSFP_RX       => i_QSFP_RX,
+      QSFP_TX       => i_QSFP_TX,
 
-    QSFP_LED      => QSFP_LED
-  );
+      QSFP_LED      => QSFP_LED
+    );
 
   ------------
   -- RING IO
@@ -995,19 +997,19 @@ begin
   ------------
   unb2_board_qsfp_leds_tx_siso_arr(0) <=  tr_10gbe_snk_out_arr(0);
   u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr,
-
-    tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr,
+
+      tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring_pkg.vhd
index d594f682ef..a6827d93fe 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/lofar2_unb2b_ring_pkg.vhd
@@ -19,14 +19,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
 
 package lofar2_unb2b_ring_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -39,7 +39,7 @@ package lofar2_unb2b_ring_pkg is
   constant c_full : t_lofar2_unb2b_ring_config := (8, 8);
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_ring_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_ring_config;
 
 
 end lofar2_unb2b_ring_pkg;
@@ -47,7 +47,7 @@ end lofar2_unb2b_ring_pkg;
 
 package body lofar2_unb2b_ring_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_ring_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_ring_config is
   begin
     if    g_design_name = "lofar2_unb2b_ring_one"        then return c_one;
     else  return c_full;
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/mmc_lofar2_unb2b_ring.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/mmc_lofar2_unb2b_ring.vhd
index b1396c516b..42946ef234 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/mmc_lofar2_unb2b_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/mmc_lofar2_unb2b_ring.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2b_ring_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2b_ring_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmc_lofar2_unb2b_ring is
   generic (
@@ -165,70 +165,70 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                           port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
 
     u_mm_file_rom_unb_system_info                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                           port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
 
     u_mm_file_reg_wdi                            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                           port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
+      port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
 
     u_mm_file_reg_unb_sens                       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                           port map(mm_rst, mm_clk, reg_unb_sens_copi, reg_unb_sens_cipo );
+      port map(mm_rst, mm_clk, reg_unb_sens_copi, reg_unb_sens_cipo );
 
     u_mm_file_reg_unb_pmbus                      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                                           port map(mm_rst, mm_clk, reg_unb_pmbus_copi, reg_unb_pmbus_cipo );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_copi, reg_unb_pmbus_cipo );
 
     u_mm_file_reg_fpga_temp_sens                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                                           port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
 
     u_mm_file_reg_fpga_voltage_sens              :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                                           port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
 
     u_mm_file_reg_ppsh                           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                           port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
+      port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                           port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
+      port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
 
     u_mm_file_reg_dp_block_validate_err          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR")
-                                                           port map(mm_rst, mm_clk, reg_dp_block_validate_err_copi, reg_dp_block_validate_err_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_err_copi, reg_dp_block_validate_err_cipo );
 
     u_mm_file_reg_dp_block_validate_bsn_at_sync  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC")
-                                                           port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_copi, reg_dp_block_validate_bsn_at_sync_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_copi, reg_dp_block_validate_bsn_at_sync_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_rx         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX")
-                                                           port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_copi, reg_bsn_monitor_v2_ring_rx_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_copi, reg_bsn_monitor_v2_ring_rx_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_tx         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX")
-                                                           port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_copi, reg_bsn_monitor_v2_ring_tx_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_copi, reg_bsn_monitor_v2_ring_tx_cipo );
 
     u_mm_file_reg_bg                             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-                                                           port map(mm_rst, mm_clk, reg_diag_bg_copi, reg_diag_bg_cipo );
+      port map(mm_rst, mm_clk, reg_diag_bg_copi, reg_diag_bg_cipo );
     u_mm_file_ram_bg                             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-                                                           port map(mm_rst, mm_clk, ram_diag_bg_copi, ram_diag_bg_cipo );
+      port map(mm_rst, mm_clk, ram_diag_bg_copi, ram_diag_bg_cipo );
 
     u_mm_file_reg_ring_lane_info                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO")
-                                                           port map(mm_rst, mm_clk, reg_ring_lane_info_copi, reg_ring_lane_info_cipo );
+      port map(mm_rst, mm_clk, reg_ring_lane_info_copi, reg_ring_lane_info_cipo );
 
     u_mm_file_reg_dp_xonoff_lane                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF_LANE")
-                                                           port map(mm_rst, mm_clk, reg_dp_xonoff_lane_copi, reg_dp_xonoff_lane_cipo );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_lane_copi, reg_dp_xonoff_lane_cipo );
 
     u_mm_file_reg_dp_xonoff_local                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF_LOCAL")
-                                                           port map(mm_rst, mm_clk, reg_dp_xonoff_local_copi, reg_dp_xonoff_local_cipo );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_local_copi, reg_dp_xonoff_local_cipo );
 
     u_mm_file_reg_ring_info                      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_INFO")
-                                                           port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo);
+      port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo);
 
     u_mm_file_reg_tr_10GbE_mac                   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_MAC")
-                                                           port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
 
     u_mm_file_reg_tr_10GbE_eth10g                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_ETH10G")
-                                                           port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
 
     u_mm_file_ram_scrap                          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                                           port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
+      port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
     -- the simulation time in ns
@@ -243,250 +243,250 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2b_ring
-    port map (
-
-      clk_clk                                            => mm_clk,
-      reset_reset_n                                      => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export                 => pout_wdi,
-
-      avs_eth_0_reset_export                             => eth1g_mm_rst,
-      avs_eth_0_clk_export                               => OPEN,
-      avs_eth_0_tse_address_export                       => eth1g_tse_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                         => eth1g_tse_copi.wr,
-      avs_eth_0_tse_read_export                          => eth1g_tse_copi.rd,
-      avs_eth_0_tse_writedata_export                     => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export                      => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export                   => eth1g_tse_cipo.waitrequest,
-      avs_eth_0_reg_address_export                       => eth1g_reg_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                         => eth1g_reg_copi.wr,
-      avs_eth_0_reg_read_export                          => eth1g_reg_copi.rd,
-      avs_eth_0_reg_writedata_export                     => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export                      => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export                       => eth1g_ram_copi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                         => eth1g_ram_copi.wr,
-      avs_eth_0_ram_read_export                          => eth1g_ram_copi.rd,
-      avs_eth_0_ram_writedata_export                     => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export                      => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                               => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                          => OPEN,
-      reg_unb_sens_clk_export                            => OPEN,
-      reg_unb_sens_address_export                        => reg_unb_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                          => reg_unb_sens_copi.wr,
-      reg_unb_sens_writedata_export                      => reg_unb_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                           => reg_unb_sens_copi.rd,
-      reg_unb_sens_readdata_export                       => reg_unb_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                         => OPEN,
-      reg_unb_pmbus_clk_export                           => OPEN,
-      reg_unb_pmbus_address_export                       => reg_unb_pmbus_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                         => reg_unb_pmbus_copi.wr,
-      reg_unb_pmbus_writedata_export                     => reg_unb_pmbus_copi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                          => reg_unb_pmbus_copi.rd,
-      reg_unb_pmbus_readdata_export                      => reg_unb_pmbus_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export                    => OPEN,
-      reg_fpga_temp_sens_clk_export                      => OPEN,
-      reg_fpga_temp_sens_address_export                  => reg_fpga_temp_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export                    => reg_fpga_temp_sens_copi.wr,
-      reg_fpga_temp_sens_writedata_export                => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export                     => reg_fpga_temp_sens_copi.rd,
-      reg_fpga_temp_sens_readdata_export                 => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export                 => OPEN,
-      reg_fpga_voltage_sens_clk_export                   => OPEN,
-      reg_fpga_voltage_sens_address_export               => reg_fpga_voltage_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export                 => reg_fpga_voltage_sens_copi.wr,
-      reg_fpga_voltage_sens_writedata_export             => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export                  => reg_fpga_voltage_sens_copi.rd,
-      reg_fpga_voltage_sens_readdata_export              => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export                       => OPEN,
-      rom_system_info_clk_export                         => OPEN,
-      rom_system_info_address_export                     => rom_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export                       => rom_unb_system_info_copi.wr,
-      rom_system_info_writedata_export                   => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export                        => rom_unb_system_info_copi.rd,
-      rom_system_info_readdata_export                    => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export                       => OPEN,
-      pio_system_info_clk_export                         => OPEN,
-      pio_system_info_address_export                     => reg_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export                       => reg_unb_system_info_copi.wr,
-      pio_system_info_writedata_export                   => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export                        => reg_unb_system_info_copi.rd,
-      pio_system_info_readdata_export                    => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                               => OPEN,
-      pio_pps_clk_export                                 => OPEN,
-      pio_pps_address_export                             => reg_ppsh_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                               => reg_ppsh_copi.wr,
-      pio_pps_writedata_export                           => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                                => reg_ppsh_copi.rd,
-      pio_pps_readdata_export                            => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                               => OPEN,
-      reg_wdi_clk_export                                 => OPEN,
-      reg_wdi_address_export                             => reg_wdi_copi.address(0 downto 0),
-      reg_wdi_write_export                               => reg_wdi_copi.wr,
-      reg_wdi_writedata_export                           => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                                => reg_wdi_copi.rd,
-      reg_wdi_readdata_export                            => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                              => OPEN,
-      reg_remu_clk_export                                => OPEN,
-      reg_remu_address_export                            => reg_remu_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                              => reg_remu_copi.wr,
-      reg_remu_writedata_export                          => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                               => reg_remu_copi.rd,
-      reg_remu_readdata_export                           => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_rx_address_export          => reg_bsn_monitor_v2_ring_rx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_clk_export              => OPEN,
-      reg_bsn_monitor_v2_ring_rx_read_export             => reg_bsn_monitor_v2_ring_rx_copi.rd,
-      reg_bsn_monitor_v2_ring_rx_readdata_export         => reg_bsn_monitor_v2_ring_rx_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_reset_export            => OPEN,
-      reg_bsn_monitor_v2_ring_rx_write_export            => reg_bsn_monitor_v2_ring_rx_copi.wr,
-      reg_bsn_monitor_v2_ring_rx_writedata_export        => reg_bsn_monitor_v2_ring_rx_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_tx_address_export          => reg_bsn_monitor_v2_ring_tx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_clk_export              => OPEN,
-      reg_bsn_monitor_v2_ring_tx_read_export             => reg_bsn_monitor_v2_ring_tx_copi.rd,
-      reg_bsn_monitor_v2_ring_tx_readdata_export         => reg_bsn_monitor_v2_ring_tx_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_reset_export            => OPEN,
-      reg_bsn_monitor_v2_ring_tx_write_export            => reg_bsn_monitor_v2_ring_tx_copi.wr,
-      reg_bsn_monitor_v2_ring_tx_writedata_export        => reg_bsn_monitor_v2_ring_tx_copi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_diag_bg_clk_export                             => OPEN,
-      reg_diag_bg_reset_export                           => OPEN,
-      reg_diag_bg_address_export                         => reg_diag_bg_copi.address(c_sdp_reg_diag_bg_addr_w - 1 downto 0),
-      reg_diag_bg_read_export                            => reg_diag_bg_copi.rd,
-      reg_diag_bg_readdata_export                        => reg_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_write_export                           => reg_diag_bg_copi.wr,
-      reg_diag_bg_writedata_export                       => reg_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_clk_export                             => OPEN,
-      ram_diag_bg_reset_export                           => OPEN,
-      ram_diag_bg_address_export                         => ram_diag_bg_copi.address(c_sdp_ram_diag_bg_addr_w - 1 downto 0),
-      ram_diag_bg_read_export                            => ram_diag_bg_copi.rd,
-      ram_diag_bg_readdata_export                        => ram_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_write_export                           => ram_diag_bg_copi.wr,
-      ram_diag_bg_writedata_export                       => ram_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                              => OPEN,
-      reg_epcs_clk_export                                => OPEN,
-      reg_epcs_address_export                            => reg_epcs_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                              => reg_epcs_copi.wr,
-      reg_epcs_writedata_export                          => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                               => reg_epcs_copi.rd,
-      reg_epcs_readdata_export                           => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                         => OPEN,
-      reg_dpmm_ctrl_clk_export                           => OPEN,
-      reg_dpmm_ctrl_address_export                       => reg_dpmm_ctrl_copi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                         => reg_dpmm_ctrl_copi.wr,
-      reg_dpmm_ctrl_writedata_export                     => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                          => reg_dpmm_ctrl_copi.rd,
-      reg_dpmm_ctrl_readdata_export                      => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                         => OPEN,
-      reg_mmdp_data_clk_export                           => OPEN,
-      reg_mmdp_data_address_export                       => reg_mmdp_data_copi.address(0 downto 0),
-      reg_mmdp_data_write_export                         => reg_mmdp_data_copi.wr,
-      reg_mmdp_data_writedata_export                     => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                          => reg_mmdp_data_copi.rd,
-      reg_mmdp_data_readdata_export                      => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                         => OPEN,
-      reg_dpmm_data_clk_export                           => OPEN,
-      reg_dpmm_data_address_export                       => reg_dpmm_data_copi.address(0 downto 0),
-      reg_dpmm_data_read_export                          => reg_dpmm_data_copi.rd,
-      reg_dpmm_data_readdata_export                      => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                         => reg_dpmm_data_copi.wr,
-      reg_dpmm_data_writedata_export                     => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                         => OPEN,
-      reg_mmdp_ctrl_clk_export                           => OPEN,
-      reg_mmdp_ctrl_address_export                       => reg_mmdp_ctrl_copi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                          => reg_mmdp_ctrl_copi.rd,
-      reg_mmdp_ctrl_readdata_export                      => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                         => reg_mmdp_ctrl_copi.wr,
-      reg_mmdp_ctrl_writedata_export                     => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_ring_lane_info_clk_export                      => OPEN,
-      reg_ring_lane_info_reset_export                    => OPEN,
-      reg_ring_lane_info_address_export                  => reg_ring_lane_info_copi.address(c_sdp_reg_ring_lane_info_addr_w - 1 downto 0),
-      reg_ring_lane_info_write_export                    => reg_ring_lane_info_copi.wr,
-      reg_ring_lane_info_writedata_export                => reg_ring_lane_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_lane_info_read_export                     => reg_ring_lane_info_copi.rd,
-      reg_ring_lane_info_readdata_export                 => reg_ring_lane_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_lane_clk_export                      => OPEN,
-      reg_dp_xonoff_lane_reset_export                    => OPEN,
-      reg_dp_xonoff_lane_address_export                  => reg_dp_xonoff_lane_copi.address(c_sdp_reg_dp_xonoff_lane_addr_w - 1 downto 0),
-      reg_dp_xonoff_lane_write_export                    => reg_dp_xonoff_lane_copi.wr,
-      reg_dp_xonoff_lane_writedata_export                => reg_dp_xonoff_lane_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_lane_read_export                     => reg_dp_xonoff_lane_copi.rd,
-      reg_dp_xonoff_lane_readdata_export                 => reg_dp_xonoff_lane_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_local_clk_export                     => OPEN,
-      reg_dp_xonoff_local_reset_export                   => OPEN,
-      reg_dp_xonoff_local_address_export                 => reg_dp_xonoff_local_copi.address(c_sdp_reg_dp_xonoff_local_addr_w - 1 downto 0),
-      reg_dp_xonoff_local_write_export                   => reg_dp_xonoff_local_copi.wr,
-      reg_dp_xonoff_local_writedata_export               => reg_dp_xonoff_local_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_local_read_export                    => reg_dp_xonoff_local_copi.rd,
-      reg_dp_xonoff_local_readdata_export                => reg_dp_xonoff_local_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_err_clk_export               => OPEN,
-      reg_dp_block_validate_err_reset_export             => OPEN,
-      reg_dp_block_validate_err_address_export           => reg_dp_block_validate_err_copi.address(c_sdp_reg_dp_block_validate_err_addr_w - 1 downto 0),
-      reg_dp_block_validate_err_write_export             => reg_dp_block_validate_err_copi.wr,
-      reg_dp_block_validate_err_writedata_export         => reg_dp_block_validate_err_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_err_read_export              => reg_dp_block_validate_err_copi.rd,
-      reg_dp_block_validate_err_readdata_export          => reg_dp_block_validate_err_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_bsn_at_sync_clk_export       => OPEN,
-      reg_dp_block_validate_bsn_at_sync_reset_export     => OPEN,
-      reg_dp_block_validate_bsn_at_sync_address_export   => reg_dp_block_validate_bsn_at_sync_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_addr_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_write_export     => reg_dp_block_validate_bsn_at_sync_copi.wr,
-      reg_dp_block_validate_bsn_at_sync_writedata_export => reg_dp_block_validate_bsn_at_sync_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_read_export      => reg_dp_block_validate_bsn_at_sync_copi.rd,
-      reg_dp_block_validate_bsn_at_sync_readdata_export  => reg_dp_block_validate_bsn_at_sync_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_info_clk_export                           => OPEN,
-      reg_ring_info_reset_export                         => OPEN,
-      reg_ring_info_address_export                       => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
-      reg_ring_info_write_export                         => reg_ring_info_copi.wr,
-      reg_ring_info_writedata_export                     => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_info_read_export                          => reg_ring_info_copi.rd,
-      reg_ring_info_readdata_export                      => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_mac_clk_export                        => OPEN,
-      reg_tr_10GbE_mac_reset_export                      => OPEN,
-      reg_tr_10GbE_mac_address_export                    => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
-      reg_tr_10GbE_mac_write_export                      => reg_tr_10GbE_mac_copi.wr,
-      reg_tr_10GbE_mac_writedata_export                  => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_mac_read_export                       => reg_tr_10GbE_mac_copi.rd,
-      reg_tr_10GbE_mac_readdata_export                   => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_eth10g_clk_export                     => OPEN,
-      reg_tr_10GbE_eth10g_reset_export                   => OPEN,
-      reg_tr_10GbE_eth10g_address_export                 => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_write_export                   => reg_tr_10GbE_eth10g_copi.wr,
-      reg_tr_10GbE_eth10g_writedata_export               => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_read_export                    => reg_tr_10GbE_eth10g_copi.rd,
-      reg_tr_10GbE_eth10g_readdata_export                => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                               => OPEN,
-      ram_scrap_reset_export                             => OPEN,
-      ram_scrap_address_export                           => ram_scrap_copi.address(9 - 1 downto 0),
-      ram_scrap_write_export                             => ram_scrap_copi.wr,
-      ram_scrap_writedata_export                         => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                              => ram_scrap_copi.rd,
-      ram_scrap_readdata_export                          => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                            => mm_clk,
+        reset_reset_n                                      => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export                 => pout_wdi,
+
+        avs_eth_0_reset_export                             => eth1g_mm_rst,
+        avs_eth_0_clk_export                               => OPEN,
+        avs_eth_0_tse_address_export                       => eth1g_tse_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                         => eth1g_tse_copi.wr,
+        avs_eth_0_tse_read_export                          => eth1g_tse_copi.rd,
+        avs_eth_0_tse_writedata_export                     => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export                      => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export                   => eth1g_tse_cipo.waitrequest,
+        avs_eth_0_reg_address_export                       => eth1g_reg_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                         => eth1g_reg_copi.wr,
+        avs_eth_0_reg_read_export                          => eth1g_reg_copi.rd,
+        avs_eth_0_reg_writedata_export                     => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export                      => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export                       => eth1g_ram_copi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                         => eth1g_ram_copi.wr,
+        avs_eth_0_ram_read_export                          => eth1g_ram_copi.rd,
+        avs_eth_0_ram_writedata_export                     => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export                      => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                               => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                          => OPEN,
+        reg_unb_sens_clk_export                            => OPEN,
+        reg_unb_sens_address_export                        => reg_unb_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                          => reg_unb_sens_copi.wr,
+        reg_unb_sens_writedata_export                      => reg_unb_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                           => reg_unb_sens_copi.rd,
+        reg_unb_sens_readdata_export                       => reg_unb_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                         => OPEN,
+        reg_unb_pmbus_clk_export                           => OPEN,
+        reg_unb_pmbus_address_export                       => reg_unb_pmbus_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                         => reg_unb_pmbus_copi.wr,
+        reg_unb_pmbus_writedata_export                     => reg_unb_pmbus_copi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                          => reg_unb_pmbus_copi.rd,
+        reg_unb_pmbus_readdata_export                      => reg_unb_pmbus_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export                    => OPEN,
+        reg_fpga_temp_sens_clk_export                      => OPEN,
+        reg_fpga_temp_sens_address_export                  => reg_fpga_temp_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export                    => reg_fpga_temp_sens_copi.wr,
+        reg_fpga_temp_sens_writedata_export                => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export                     => reg_fpga_temp_sens_copi.rd,
+        reg_fpga_temp_sens_readdata_export                 => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export                 => OPEN,
+        reg_fpga_voltage_sens_clk_export                   => OPEN,
+        reg_fpga_voltage_sens_address_export               => reg_fpga_voltage_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export                 => reg_fpga_voltage_sens_copi.wr,
+        reg_fpga_voltage_sens_writedata_export             => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export                  => reg_fpga_voltage_sens_copi.rd,
+        reg_fpga_voltage_sens_readdata_export              => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export                       => OPEN,
+        rom_system_info_clk_export                         => OPEN,
+        rom_system_info_address_export                     => rom_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export                       => rom_unb_system_info_copi.wr,
+        rom_system_info_writedata_export                   => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export                        => rom_unb_system_info_copi.rd,
+        rom_system_info_readdata_export                    => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export                       => OPEN,
+        pio_system_info_clk_export                         => OPEN,
+        pio_system_info_address_export                     => reg_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export                       => reg_unb_system_info_copi.wr,
+        pio_system_info_writedata_export                   => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export                        => reg_unb_system_info_copi.rd,
+        pio_system_info_readdata_export                    => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                               => OPEN,
+        pio_pps_clk_export                                 => OPEN,
+        pio_pps_address_export                             => reg_ppsh_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                               => reg_ppsh_copi.wr,
+        pio_pps_writedata_export                           => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                                => reg_ppsh_copi.rd,
+        pio_pps_readdata_export                            => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                               => OPEN,
+        reg_wdi_clk_export                                 => OPEN,
+        reg_wdi_address_export                             => reg_wdi_copi.address(0 downto 0),
+        reg_wdi_write_export                               => reg_wdi_copi.wr,
+        reg_wdi_writedata_export                           => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                                => reg_wdi_copi.rd,
+        reg_wdi_readdata_export                            => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                              => OPEN,
+        reg_remu_clk_export                                => OPEN,
+        reg_remu_address_export                            => reg_remu_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                              => reg_remu_copi.wr,
+        reg_remu_writedata_export                          => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                               => reg_remu_copi.rd,
+        reg_remu_readdata_export                           => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_rx_address_export          => reg_bsn_monitor_v2_ring_rx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_clk_export              => OPEN,
+        reg_bsn_monitor_v2_ring_rx_read_export             => reg_bsn_monitor_v2_ring_rx_copi.rd,
+        reg_bsn_monitor_v2_ring_rx_readdata_export         => reg_bsn_monitor_v2_ring_rx_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_reset_export            => OPEN,
+        reg_bsn_monitor_v2_ring_rx_write_export            => reg_bsn_monitor_v2_ring_rx_copi.wr,
+        reg_bsn_monitor_v2_ring_rx_writedata_export        => reg_bsn_monitor_v2_ring_rx_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_tx_address_export          => reg_bsn_monitor_v2_ring_tx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_clk_export              => OPEN,
+        reg_bsn_monitor_v2_ring_tx_read_export             => reg_bsn_monitor_v2_ring_tx_copi.rd,
+        reg_bsn_monitor_v2_ring_tx_readdata_export         => reg_bsn_monitor_v2_ring_tx_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_reset_export            => OPEN,
+        reg_bsn_monitor_v2_ring_tx_write_export            => reg_bsn_monitor_v2_ring_tx_copi.wr,
+        reg_bsn_monitor_v2_ring_tx_writedata_export        => reg_bsn_monitor_v2_ring_tx_copi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_diag_bg_clk_export                             => OPEN,
+        reg_diag_bg_reset_export                           => OPEN,
+        reg_diag_bg_address_export                         => reg_diag_bg_copi.address(c_sdp_reg_diag_bg_addr_w - 1 downto 0),
+        reg_diag_bg_read_export                            => reg_diag_bg_copi.rd,
+        reg_diag_bg_readdata_export                        => reg_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_write_export                           => reg_diag_bg_copi.wr,
+        reg_diag_bg_writedata_export                       => reg_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_clk_export                             => OPEN,
+        ram_diag_bg_reset_export                           => OPEN,
+        ram_diag_bg_address_export                         => ram_diag_bg_copi.address(c_sdp_ram_diag_bg_addr_w - 1 downto 0),
+        ram_diag_bg_read_export                            => ram_diag_bg_copi.rd,
+        ram_diag_bg_readdata_export                        => ram_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_write_export                           => ram_diag_bg_copi.wr,
+        ram_diag_bg_writedata_export                       => ram_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                              => OPEN,
+        reg_epcs_clk_export                                => OPEN,
+        reg_epcs_address_export                            => reg_epcs_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                              => reg_epcs_copi.wr,
+        reg_epcs_writedata_export                          => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                               => reg_epcs_copi.rd,
+        reg_epcs_readdata_export                           => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                         => OPEN,
+        reg_dpmm_ctrl_clk_export                           => OPEN,
+        reg_dpmm_ctrl_address_export                       => reg_dpmm_ctrl_copi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                         => reg_dpmm_ctrl_copi.wr,
+        reg_dpmm_ctrl_writedata_export                     => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                          => reg_dpmm_ctrl_copi.rd,
+        reg_dpmm_ctrl_readdata_export                      => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                         => OPEN,
+        reg_mmdp_data_clk_export                           => OPEN,
+        reg_mmdp_data_address_export                       => reg_mmdp_data_copi.address(0 downto 0),
+        reg_mmdp_data_write_export                         => reg_mmdp_data_copi.wr,
+        reg_mmdp_data_writedata_export                     => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                          => reg_mmdp_data_copi.rd,
+        reg_mmdp_data_readdata_export                      => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                         => OPEN,
+        reg_dpmm_data_clk_export                           => OPEN,
+        reg_dpmm_data_address_export                       => reg_dpmm_data_copi.address(0 downto 0),
+        reg_dpmm_data_read_export                          => reg_dpmm_data_copi.rd,
+        reg_dpmm_data_readdata_export                      => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                         => reg_dpmm_data_copi.wr,
+        reg_dpmm_data_writedata_export                     => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                         => OPEN,
+        reg_mmdp_ctrl_clk_export                           => OPEN,
+        reg_mmdp_ctrl_address_export                       => reg_mmdp_ctrl_copi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                          => reg_mmdp_ctrl_copi.rd,
+        reg_mmdp_ctrl_readdata_export                      => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                         => reg_mmdp_ctrl_copi.wr,
+        reg_mmdp_ctrl_writedata_export                     => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_ring_lane_info_clk_export                      => OPEN,
+        reg_ring_lane_info_reset_export                    => OPEN,
+        reg_ring_lane_info_address_export                  => reg_ring_lane_info_copi.address(c_sdp_reg_ring_lane_info_addr_w - 1 downto 0),
+        reg_ring_lane_info_write_export                    => reg_ring_lane_info_copi.wr,
+        reg_ring_lane_info_writedata_export                => reg_ring_lane_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_lane_info_read_export                     => reg_ring_lane_info_copi.rd,
+        reg_ring_lane_info_readdata_export                 => reg_ring_lane_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_lane_clk_export                      => OPEN,
+        reg_dp_xonoff_lane_reset_export                    => OPEN,
+        reg_dp_xonoff_lane_address_export                  => reg_dp_xonoff_lane_copi.address(c_sdp_reg_dp_xonoff_lane_addr_w - 1 downto 0),
+        reg_dp_xonoff_lane_write_export                    => reg_dp_xonoff_lane_copi.wr,
+        reg_dp_xonoff_lane_writedata_export                => reg_dp_xonoff_lane_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_lane_read_export                     => reg_dp_xonoff_lane_copi.rd,
+        reg_dp_xonoff_lane_readdata_export                 => reg_dp_xonoff_lane_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_local_clk_export                     => OPEN,
+        reg_dp_xonoff_local_reset_export                   => OPEN,
+        reg_dp_xonoff_local_address_export                 => reg_dp_xonoff_local_copi.address(c_sdp_reg_dp_xonoff_local_addr_w - 1 downto 0),
+        reg_dp_xonoff_local_write_export                   => reg_dp_xonoff_local_copi.wr,
+        reg_dp_xonoff_local_writedata_export               => reg_dp_xonoff_local_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_local_read_export                    => reg_dp_xonoff_local_copi.rd,
+        reg_dp_xonoff_local_readdata_export                => reg_dp_xonoff_local_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_err_clk_export               => OPEN,
+        reg_dp_block_validate_err_reset_export             => OPEN,
+        reg_dp_block_validate_err_address_export           => reg_dp_block_validate_err_copi.address(c_sdp_reg_dp_block_validate_err_addr_w - 1 downto 0),
+        reg_dp_block_validate_err_write_export             => reg_dp_block_validate_err_copi.wr,
+        reg_dp_block_validate_err_writedata_export         => reg_dp_block_validate_err_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_err_read_export              => reg_dp_block_validate_err_copi.rd,
+        reg_dp_block_validate_err_readdata_export          => reg_dp_block_validate_err_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_bsn_at_sync_clk_export       => OPEN,
+        reg_dp_block_validate_bsn_at_sync_reset_export     => OPEN,
+        reg_dp_block_validate_bsn_at_sync_address_export   => reg_dp_block_validate_bsn_at_sync_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_addr_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_write_export     => reg_dp_block_validate_bsn_at_sync_copi.wr,
+        reg_dp_block_validate_bsn_at_sync_writedata_export => reg_dp_block_validate_bsn_at_sync_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_read_export      => reg_dp_block_validate_bsn_at_sync_copi.rd,
+        reg_dp_block_validate_bsn_at_sync_readdata_export  => reg_dp_block_validate_bsn_at_sync_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_info_clk_export                           => OPEN,
+        reg_ring_info_reset_export                         => OPEN,
+        reg_ring_info_address_export                       => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
+        reg_ring_info_write_export                         => reg_ring_info_copi.wr,
+        reg_ring_info_writedata_export                     => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_info_read_export                          => reg_ring_info_copi.rd,
+        reg_ring_info_readdata_export                      => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_mac_clk_export                        => OPEN,
+        reg_tr_10GbE_mac_reset_export                      => OPEN,
+        reg_tr_10GbE_mac_address_export                    => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
+        reg_tr_10GbE_mac_write_export                      => reg_tr_10GbE_mac_copi.wr,
+        reg_tr_10GbE_mac_writedata_export                  => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_mac_read_export                       => reg_tr_10GbE_mac_copi.rd,
+        reg_tr_10GbE_mac_readdata_export                   => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_eth10g_clk_export                     => OPEN,
+        reg_tr_10GbE_eth10g_reset_export                   => OPEN,
+        reg_tr_10GbE_eth10g_address_export                 => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_write_export                   => reg_tr_10GbE_eth10g_copi.wr,
+        reg_tr_10GbE_eth10g_writedata_export               => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_read_export                    => reg_tr_10GbE_eth10g_copi.rd,
+        reg_tr_10GbE_eth10g_readdata_export                => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                               => OPEN,
+        ram_scrap_reset_export                             => OPEN,
+        ram_scrap_address_export                           => ram_scrap_copi.address(9 - 1 downto 0),
+        ram_scrap_write_export                             => ram_scrap_copi.wr,
+        ram_scrap_writedata_export                         => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                              => ram_scrap_copi.rd,
+        ram_scrap_readdata_export                          => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/qsys_lofar2_unb2b_ring_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/qsys_lofar2_unb2b_ring_pkg.vhd
index d30654d49c..7472297f11 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/qsys_lofar2_unb2b_ring_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/src/vhdl/qsys_lofar2_unb2b_ring_pkg.vhd
@@ -19,7 +19,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2b_ring_pkg is
 
@@ -27,220 +27,220 @@ package qsys_lofar2_unb2b_ring_pkg is
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
 
-    component qsys_lofar2_unb2b_ring is
-        port (
-            avs_eth_0_clk_export                               : out std_logic;  -- export
-            avs_eth_0_irq_export                               : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export                       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                          : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                         : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export                       : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                          : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                         : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                             : out std_logic;  -- export
-            avs_eth_0_tse_address_export                       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                          : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                         : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                            : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export                             : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_clk_export                                 : out std_logic;  -- export
-            pio_pps_read_export                                : out std_logic;  -- export
-            pio_pps_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                               : out std_logic;  -- export
-            pio_pps_write_export                               : out std_logic;  -- export
-            pio_pps_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export                     : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                         : out std_logic;  -- export
-            pio_system_info_read_export                        : out std_logic;  -- export
-            pio_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                       : out std_logic;  -- export
-            pio_system_info_write_export                       : out std_logic;  -- export
-            pio_system_info_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export                 : out std_logic;  -- export
-            ram_diag_bg_address_export                         : out std_logic_vector(6 downto 0);  -- export
-            ram_diag_bg_clk_export                             : out std_logic;  -- export
-            ram_diag_bg_read_export                            : out std_logic;  -- export
-            ram_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_reset_export                           : out std_logic;  -- export
-            ram_diag_bg_write_export                           : out std_logic;  -- export
-            ram_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                           : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                               : out std_logic;  -- export
-            ram_scrap_read_export                              : out std_logic;  -- export
-            ram_scrap_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                             : out std_logic;  -- export
-            ram_scrap_write_export                             : out std_logic;  -- export
-            ram_scrap_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_address_export          : out std_logic_vector(9 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_rx_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_address_export          : out std_logic_vector(9 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_tx_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_address_export                         : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_clk_export                             : out std_logic;  -- export
-            reg_diag_bg_read_export                            : out std_logic;  -- export
-            reg_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_reset_export                           : out std_logic;  -- export
-            reg_diag_bg_write_export                           : out std_logic;  -- export
-            reg_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_address_export   : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_clk_export       : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_read_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_bsn_at_sync_reset_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_write_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_err_address_export           : out std_logic_vector(6 downto 0);  -- export
-            reg_dp_block_validate_err_clk_export               : out std_logic;  -- export
-            reg_dp_block_validate_err_read_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_err_reset_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_write_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_lane_address_export                  : out std_logic_vector(3 downto 0);  -- export
-            reg_dp_xonoff_lane_clk_export                      : out std_logic;  -- export
-            reg_dp_xonoff_lane_read_export                     : out std_logic;  -- export
-            reg_dp_xonoff_lane_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_lane_reset_export                    : out std_logic;  -- export
-            reg_dp_xonoff_lane_write_export                    : out std_logic;  -- export
-            reg_dp_xonoff_lane_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_local_address_export                 : out std_logic_vector(3 downto 0);  -- export
-            reg_dp_xonoff_local_clk_export                     : out std_logic;  -- export
-            reg_dp_xonoff_local_read_export                    : out std_logic;  -- export
-            reg_dp_xonoff_local_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_local_reset_export                   : out std_logic;  -- export
-            reg_dp_xonoff_local_write_export                   : out std_logic;  -- export
-            reg_dp_xonoff_local_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                           : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                          : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                         : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                         : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                           : out std_logic;  -- export
-            reg_dpmm_data_read_export                          : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                         : out std_logic;  -- export
-            reg_dpmm_data_write_export                         : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                            : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                                : out std_logic;  -- export
-            reg_epcs_read_export                               : out std_logic;  -- export
-            reg_epcs_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                              : out std_logic;  -- export
-            reg_epcs_write_export                              : out std_logic;  -- export
-            reg_epcs_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export                  : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export                      : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export                     : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export                    : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export                    : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export               : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export                   : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export                  : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export                 : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export                 : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                           : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                          : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                         : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                         : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                           : out std_logic;  -- export
-            reg_mmdp_data_read_export                          : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                         : out std_logic;  -- export
-            reg_mmdp_data_write_export                         : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                            : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                                : out std_logic;  -- export
-            reg_remu_read_export                               : out std_logic;  -- export
-            reg_remu_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                              : out std_logic;  -- export
-            reg_remu_write_export                              : out std_logic;  -- export
-            reg_remu_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_info_address_export                       : out std_logic_vector(1 downto 0);  -- export
-            reg_ring_info_clk_export                           : out std_logic;  -- export
-            reg_ring_info_read_export                          : out std_logic;  -- export
-            reg_ring_info_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_info_reset_export                         : out std_logic;  -- export
-            reg_ring_info_write_export                         : out std_logic;  -- export
-            reg_ring_info_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_lane_info_address_export                  : out std_logic_vector(3 downto 0);  -- export
-            reg_ring_lane_info_clk_export                      : out std_logic;  -- export
-            reg_ring_lane_info_read_export                     : out std_logic;  -- export
-            reg_ring_lane_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_lane_info_reset_export                    : out std_logic;  -- export
-            reg_ring_lane_info_write_export                    : out std_logic;  -- export
-            reg_ring_lane_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_eth10g_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_tr_10gbe_eth10g_clk_export                     : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_read_export                    : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_eth10g_reset_export                   : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_write_export                   : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_mac_address_export                    : out std_logic_vector(12 downto 0);  -- export
-            reg_tr_10gbe_mac_clk_export                        : out std_logic;  -- export
-            reg_tr_10gbe_mac_read_export                       : out std_logic;  -- export
-            reg_tr_10gbe_mac_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_mac_reset_export                      : out std_logic;  -- export
-            reg_tr_10gbe_mac_write_export                      : out std_logic;  -- export
-            reg_tr_10gbe_mac_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export                       : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                           : out std_logic;  -- export
-            reg_unb_pmbus_read_export                          : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export                         : out std_logic;  -- export
-            reg_unb_pmbus_write_export                         : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export                        : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                            : out std_logic;  -- export
-            reg_unb_sens_read_export                           : out std_logic;  -- export
-            reg_unb_sens_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export                          : out std_logic;  -- export
-            reg_unb_sens_write_export                          : out std_logic;  -- export
-            reg_unb_sens_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                             : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                                 : out std_logic;  -- export
-            reg_wdi_read_export                                : out std_logic;  -- export
-            reg_wdi_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                               : out std_logic;  -- export
-            reg_wdi_write_export                               : out std_logic;  -- export
-            reg_wdi_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                                      : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export                     : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_clk_export                         : out std_logic;  -- export
-            rom_system_info_read_export                        : out std_logic;  -- export
-            rom_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                       : out std_logic;  -- export
-            rom_system_info_write_export                       : out std_logic;  -- export
-            rom_system_info_writedata_export                   : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_lofar2_unb2b_ring;
+  component qsys_lofar2_unb2b_ring is
+    port (
+      avs_eth_0_clk_export                               : out std_logic;  -- export
+      avs_eth_0_irq_export                               : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export                       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                          : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                         : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export                       : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                          : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                         : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                             : out std_logic;  -- export
+      avs_eth_0_tse_address_export                       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                          : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                         : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                            : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export                             : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_clk_export                                 : out std_logic;  -- export
+      pio_pps_read_export                                : out std_logic;  -- export
+      pio_pps_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                               : out std_logic;  -- export
+      pio_pps_write_export                               : out std_logic;  -- export
+      pio_pps_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export                     : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                         : out std_logic;  -- export
+      pio_system_info_read_export                        : out std_logic;  -- export
+      pio_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                       : out std_logic;  -- export
+      pio_system_info_write_export                       : out std_logic;  -- export
+      pio_system_info_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export                 : out std_logic;  -- export
+      ram_diag_bg_address_export                         : out std_logic_vector(6 downto 0);  -- export
+      ram_diag_bg_clk_export                             : out std_logic;  -- export
+      ram_diag_bg_read_export                            : out std_logic;  -- export
+      ram_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_reset_export                           : out std_logic;  -- export
+      ram_diag_bg_write_export                           : out std_logic;  -- export
+      ram_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                           : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                               : out std_logic;  -- export
+      ram_scrap_read_export                              : out std_logic;  -- export
+      ram_scrap_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                             : out std_logic;  -- export
+      ram_scrap_write_export                             : out std_logic;  -- export
+      ram_scrap_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_address_export          : out std_logic_vector(9 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_rx_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_address_export          : out std_logic_vector(9 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_tx_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_address_export                         : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_clk_export                             : out std_logic;  -- export
+      reg_diag_bg_read_export                            : out std_logic;  -- export
+      reg_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_reset_export                           : out std_logic;  -- export
+      reg_diag_bg_write_export                           : out std_logic;  -- export
+      reg_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_address_export   : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_clk_export       : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_read_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_bsn_at_sync_reset_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_write_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_err_address_export           : out std_logic_vector(6 downto 0);  -- export
+      reg_dp_block_validate_err_clk_export               : out std_logic;  -- export
+      reg_dp_block_validate_err_read_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_err_reset_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_write_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_lane_address_export                  : out std_logic_vector(3 downto 0);  -- export
+      reg_dp_xonoff_lane_clk_export                      : out std_logic;  -- export
+      reg_dp_xonoff_lane_read_export                     : out std_logic;  -- export
+      reg_dp_xonoff_lane_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_lane_reset_export                    : out std_logic;  -- export
+      reg_dp_xonoff_lane_write_export                    : out std_logic;  -- export
+      reg_dp_xonoff_lane_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_local_address_export                 : out std_logic_vector(3 downto 0);  -- export
+      reg_dp_xonoff_local_clk_export                     : out std_logic;  -- export
+      reg_dp_xonoff_local_read_export                    : out std_logic;  -- export
+      reg_dp_xonoff_local_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_local_reset_export                   : out std_logic;  -- export
+      reg_dp_xonoff_local_write_export                   : out std_logic;  -- export
+      reg_dp_xonoff_local_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                           : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                          : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                         : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                         : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                           : out std_logic;  -- export
+      reg_dpmm_data_read_export                          : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                         : out std_logic;  -- export
+      reg_dpmm_data_write_export                         : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                            : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                                : out std_logic;  -- export
+      reg_epcs_read_export                               : out std_logic;  -- export
+      reg_epcs_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                              : out std_logic;  -- export
+      reg_epcs_write_export                              : out std_logic;  -- export
+      reg_epcs_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export                  : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export                      : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export                     : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export                    : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export                    : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export               : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export                   : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export                  : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export                 : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export                 : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                           : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                          : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                         : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                         : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                           : out std_logic;  -- export
+      reg_mmdp_data_read_export                          : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                         : out std_logic;  -- export
+      reg_mmdp_data_write_export                         : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                            : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                                : out std_logic;  -- export
+      reg_remu_read_export                               : out std_logic;  -- export
+      reg_remu_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                              : out std_logic;  -- export
+      reg_remu_write_export                              : out std_logic;  -- export
+      reg_remu_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_info_address_export                       : out std_logic_vector(1 downto 0);  -- export
+      reg_ring_info_clk_export                           : out std_logic;  -- export
+      reg_ring_info_read_export                          : out std_logic;  -- export
+      reg_ring_info_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_info_reset_export                         : out std_logic;  -- export
+      reg_ring_info_write_export                         : out std_logic;  -- export
+      reg_ring_info_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_lane_info_address_export                  : out std_logic_vector(3 downto 0);  -- export
+      reg_ring_lane_info_clk_export                      : out std_logic;  -- export
+      reg_ring_lane_info_read_export                     : out std_logic;  -- export
+      reg_ring_lane_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_lane_info_reset_export                    : out std_logic;  -- export
+      reg_ring_lane_info_write_export                    : out std_logic;  -- export
+      reg_ring_lane_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_eth10g_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_tr_10gbe_eth10g_clk_export                     : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_read_export                    : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_eth10g_reset_export                   : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_write_export                   : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_mac_address_export                    : out std_logic_vector(12 downto 0);  -- export
+      reg_tr_10gbe_mac_clk_export                        : out std_logic;  -- export
+      reg_tr_10gbe_mac_read_export                       : out std_logic;  -- export
+      reg_tr_10gbe_mac_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_mac_reset_export                      : out std_logic;  -- export
+      reg_tr_10gbe_mac_write_export                      : out std_logic;  -- export
+      reg_tr_10gbe_mac_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export                       : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                           : out std_logic;  -- export
+      reg_unb_pmbus_read_export                          : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export                         : out std_logic;  -- export
+      reg_unb_pmbus_write_export                         : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export                        : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                            : out std_logic;  -- export
+      reg_unb_sens_read_export                           : out std_logic;  -- export
+      reg_unb_sens_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export                          : out std_logic;  -- export
+      reg_unb_sens_write_export                          : out std_logic;  -- export
+      reg_unb_sens_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                             : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                                 : out std_logic;  -- export
+      reg_wdi_read_export                                : out std_logic;  -- export
+      reg_wdi_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                               : out std_logic;  -- export
+      reg_wdi_write_export                               : out std_logic;  -- export
+      reg_wdi_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                                      : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export                     : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_clk_export                         : out std_logic;  -- export
+      rom_system_info_read_export                        : out std_logic;  -- export
+      rom_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                       : out std_logic;  -- export
+      rom_system_info_write_export                       : out std_logic;  -- export
+      rom_system_info_writedata_export                   : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_lofar2_unb2b_ring;
 end qsys_lofar2_unb2b_ring_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_lofar2_unb2b_ring.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_lofar2_unb2b_ring.vhd
index d8e3d80726..26332fb3e5 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_lofar2_unb2b_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_lofar2_unb2b_ring.vhd
@@ -33,22 +33,22 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use ring_lib.ring_pkg.all;
-use work.lofar2_unb2b_ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use ring_lib.ring_pkg.all;
+  use work.lofar2_unb2b_ring_pkg.all;
 
 entity tb_lofar2_unb2b_ring is
   generic (
@@ -170,55 +170,55 @@ begin
   ------------------------------------------------------------------------------
   gen_dut : for RN in 0 to g_nof_rn - 1 generate
     u_lofar_unb2b_ring : entity work.lofar2_unb2b_ring
-    generic map (
-      g_design_name            => g_design_name,
-      g_design_note            => "",
-      g_sim                    => c_sim,
-      g_sim_unb_nr             => g_unb_nr + (RN / c_quad),
-      g_sim_node_nr            => RN mod c_quad,
-      g_sim_sync_timeout       => c_sync_timeout
-    )
-    port map (
-      -- GENERAL
-      CLK          => ext_clk,
-      PPS          => pps,
-      WDI          => WDI,
-      INTA         => INTA,
-      INTB         => INTB,
-
-      -- Others
-      VERSION      => c_version,
-      ID           => ( TO_UVEC(RN / c_quad, c_unb2b_board_nof_uniboard_w) & TO_UVEC(RN mod c_quad, c_unb2b_board_nof_chip_w) ),
-      TESTIO       => open,
-
-      -- I2C Interface to Sensors
-      SENS_SC      => sens_scl,
-      SENS_SD      => sens_sda,
-
-      PMBUS_SC     => pmbus_scl,
-      PMBUS_SD     => pmbus_sda,
-      PMBUS_ALERT  => open,
-
-      -- 1GbE Control Interface
-      ETH_CLK      => eth_clk,
-      ETH_SGIN     => eth_rxp,
-      ETH_SGOUT    => eth_txp,
-
-      -- Transceiver clocks
-      SA_CLK       => SA_CLK,
-      -- front transceivers
-      QSFP_0_RX    => i_QSFP_0_RX(RN),
-      QSFP_0_TX    => i_QSFP_0_TX(RN),
-
-      -- ring transceivers
-      RING_0_RX    => i_RING_0_RX(RN),
-      RING_0_TX    => i_RING_0_TX(RN),
-      RING_1_RX    => i_RING_1_RX(RN),
-      RING_1_TX    => i_RING_1_TX(RN),
-      -- LEDs
-      QSFP_LED     => open
-
-    );
+      generic map (
+        g_design_name            => g_design_name,
+        g_design_note            => "",
+        g_sim                    => c_sim,
+        g_sim_unb_nr             => g_unb_nr + (RN / c_quad),
+        g_sim_node_nr            => RN mod c_quad,
+        g_sim_sync_timeout       => c_sync_timeout
+      )
+      port map (
+        -- GENERAL
+        CLK          => ext_clk,
+        PPS          => pps,
+        WDI          => WDI,
+        INTA         => INTA,
+        INTB         => INTB,
+
+        -- Others
+        VERSION      => c_version,
+        ID           => ( TO_UVEC(RN / c_quad, c_unb2b_board_nof_uniboard_w) & TO_UVEC(RN mod c_quad, c_unb2b_board_nof_chip_w) ),
+        TESTIO       => open,
+
+        -- I2C Interface to Sensors
+        SENS_SC      => sens_scl,
+        SENS_SD      => sens_sda,
+
+        PMBUS_SC     => pmbus_scl,
+        PMBUS_SD     => pmbus_sda,
+        PMBUS_ALERT  => open,
+
+        -- 1GbE Control Interface
+        ETH_CLK      => eth_clk,
+        ETH_SGIN     => eth_rxp,
+        ETH_SGOUT    => eth_txp,
+
+        -- Transceiver clocks
+        SA_CLK       => SA_CLK,
+        -- front transceivers
+        QSFP_0_RX    => i_QSFP_0_RX(RN),
+        QSFP_0_TX    => i_QSFP_0_TX(RN),
+
+        -- ring transceivers
+        RING_0_RX    => i_RING_0_RX(RN),
+        RING_0_TX    => i_RING_0_TX(RN),
+        RING_1_RX    => i_RING_1_RX(RN),
+        RING_1_TX    => i_RING_1_TX(RN),
+        -- LEDs
+        QSFP_LED     => open
+
+      );
   end generate;
 
   -- Ring connections
@@ -327,8 +327,8 @@ begin
     -- Verify Access scheme 2,3 by reading rx / tx monitors on all RN
     ----------------------------------------------------------------------------
     else
-    -- Wait for bsn monitor to have received a sync period.
-    mmf_mm_wait_until_value(mmf_unb_file_prefix(g_unb_nr + ((g_nof_rn - 1) / c_quad), (g_nof_rn - 1) mod c_quad) & "REG_BSN_MONITOR_V2_RING_RX", 4,  -- read nof valid
+      -- Wait for bsn monitor to have received a sync period.
+      mmf_mm_wait_until_value(mmf_unb_file_prefix(g_unb_nr + ((g_nof_rn - 1) / c_quad), (g_nof_rn - 1) mod c_quad) & "REG_BSN_MONITOR_V2_RING_RX", 4,  -- read nof valid
                             "SIGNED", rd_data, ">", 0,  -- this is the wait until condition
                             1 us, tb_clk);  -- read every 1 us
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_tb_lofar2_unb2b_ring.vhd b/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_tb_lofar2_unb2b_ring.vhd
index d71e7bdcae..11955c5ea7 100644
--- a/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_tb_lofar2_unb2b_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_ring/tb/vhdl/tb_tb_lofar2_unb2b_ring.vhd
@@ -29,9 +29,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity tb_tb_lofar2_unb2b_ring is
 end tb_tb_lofar2_unb2b_ring;
@@ -43,14 +43,14 @@ architecture tb of tb_tb_lofar2_unb2b_ring is
   signal   tb_end     : std_logic;  -- declare tb_end as STD_LOGIC to avoid 'No objects found' error on 'when -label tb_end' in *.do file
 begin
 
---    g_multi_tb            : BOOLEAN              := FALSE;
---    g_unb_nr              : NATURAL              := 4;
---    g_design_name         : STRING               := "lofar2_unb2c_ring_one";
---    g_nof_rn              : NATURAL              := 16;
---    g_nof_block_per_sync  : NATURAL              := 32;
---    g_access_scheme       : INTEGER RANGE 1 TO 3 := 2
+  --    g_multi_tb            : BOOLEAN              := FALSE;
+  --    g_unb_nr              : NATURAL              := 4;
+  --    g_design_name         : STRING               := "lofar2_unb2c_ring_one";
+  --    g_nof_rn              : NATURAL              := 16;
+  --    g_nof_block_per_sync  : NATURAL              := 32;
+  --    g_access_scheme       : INTEGER RANGE 1 TO 3 := 2
 
--- using different g_unb_nr to avoid MM file clashing.
+  -- using different g_unb_nr to avoid MM file clashing.
   u_one_1    : entity work.tb_lofar2_unb2b_ring generic map(true, 0, "lofar2_unb2b_ring_one",  c_nof_rn,  3, 1) port map(tb_end_vec(0));  -- access scheme 1.
   u_one_2_3  : entity work.tb_lofar2_unb2b_ring generic map(true, 1, "lofar2_unb2b_ring_one",  c_nof_rn,  3, 2) port map(tb_end_vec(1));  -- access scheme 2/3. Tb for access scheme 2 is same tb for 3
   u_full_1   : entity work.tb_lofar2_unb2b_ring generic map(true, 2, "lofar2_unb2b_ring_full", c_nof_rn,  3, 1) port map(tb_end_vec(2));  -- access scheme 1.
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd
index b8a3553025..633bea65eb 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity disturb2_unb2b_sdp_station_full is
   generic (
@@ -91,7 +91,7 @@ entity disturb2_unb2b_sdp_station_full is
     RING_1_RX    : in    std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -128,67 +128,67 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd
index 06248f9562..c461398e42 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd
@@ -27,15 +27,15 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 
 entity disturb2_unb2b_sdp_station_full_wg is
@@ -104,61 +104,61 @@ architecture str of disturb2_unb2b_sdp_station_full_wg is
 begin
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name  => g_design_name,
-    g_design_note  => g_design_note,
-    g_sim          => g_sim,
-    g_sim_unb_nr   => g_sim_unb_nr,
-    g_sim_node_nr  => g_sim_node_nr,
-    g_stamp_date   => g_stamp_date,
-    g_stamp_time   => g_stamp_time,
-    g_revision_id  => g_revision_id,
-    g_wpfb         => g_wpfb,
-    g_wpfb_complex => g_wpfb_complex
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX
-  );
+    generic map (
+      g_design_name  => g_design_name,
+      g_design_note  => g_design_note,
+      g_sim          => g_sim,
+      g_sim_unb_nr   => g_sim_unb_nr,
+      g_sim_node_nr  => g_sim_node_nr,
+      g_stamp_date   => g_stamp_date,
+      g_stamp_time   => g_stamp_time,
+      g_revision_id  => g_revision_id,
+      g_wpfb         => g_wpfb,
+      g_wpfb_complex => g_wpfb_complex
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd
index 8b30fa74fd..d1abb51ad5 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd
@@ -63,20 +63,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_disturb2_unb2b_sdp_station_full_wg is
 end tb_disturb2_unb2b_sdp_station_full_wg;
@@ -250,52 +250,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_disturb2_unb2b_sdp_station_full_wg : entity work.disturb2_unb2b_sdp_station_full_wg
-  generic map (
-    g_design_name            => "disturb2_unb2b_sdp_station_full_wg",
-    g_design_note            => "SIM Disturb2 SDP station full design WG",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_wpfb_complex           => c_wpfb_complex_sim
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    -- front transceivers
-    QSFP_1_RX    => si_lpbk_0,
-    QSFP_1_TX    => si_lpbk_0,
-
-    -- LEDs
-    QSFP_LED     => open
-  );
-
-    u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
+    generic map (
+      g_design_name            => "disturb2_unb2b_sdp_station_full_wg",
+      g_design_note            => "SIM Disturb2 SDP station full design WG",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_wpfb_complex           => c_wpfb_complex_sim
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      -- front transceivers
+      QSFP_1_RX    => si_lpbk_0,
+      QSFP_1_TX    => si_lpbk_0,
+
+      -- LEDs
+      QSFP_LED     => open
+    );
+
+  u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
     port map (
       refclk_644 => SA_CLK,
       rst_in     => pps_rst,
@@ -305,7 +305,7 @@ begin
       rst_312    => open
     );
 
-    u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
+  u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
     generic map (
       g_sim           => true,
       g_sim_level     => 1,
@@ -475,14 +475,14 @@ begin
         -- . get last beamlet of block
         beamlet_arr2_re(v_beamlet_index_offset + c_sdp_N_pol_bf * c_sdp_cep_nof_beamlets_per_block - 1) <= tr_10GbE_src_out.data(63 downto 56);
         beamlet_arr2_im(v_beamlet_index_offset + c_sdp_N_pol_bf * c_sdp_cep_nof_beamlets_per_block - 1) <= tr_10GbE_src_out.data(55 downto 48);
-        -- Loop for next block in packet
+      -- Loop for next block in packet
       end loop;
       -- Make rx_beamlet_valid low for next header or after loop during verify.
       -- Cannot wait one ext_clk cycle here to include last beamlet of block,
       -- because next packet sop may follow immediately after this packet eop.
       rx_beamlet_valid <= '0';
 
-      -- Loop and wait for next packet.
+    -- Loop and wait for next packet.
     end loop;
 
     ---------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/lofar2_unb2b_sdp_station_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/lofar2_unb2b_sdp_station_adc.vhd
index e1cf5d4b90..4003c02121 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/lofar2_unb2b_sdp_station_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/lofar2_unb2b_sdp_station_adc.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_adc is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2b_sdp_station_adc is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -112,51 +112,51 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/tb_lofar2_unb2b_sdp_station_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/tb_lofar2_unb2b_sdp_station_adc.vhd
index 415eb6e682..abab427126 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/tb_lofar2_unb2b_sdp_station_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_adc/tb_lofar2_unb2b_sdp_station_adc.vhd
@@ -44,19 +44,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_adc is
 end tb_lofar2_unb2b_sdp_station_adc;
@@ -171,52 +171,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_adc : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_adc",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_adc",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/lofar2_unb2b_sdp_station_bf.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/lofar2_unb2b_sdp_station_bf.vhd
index ee4ec32e9d..1db614c734 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/lofar2_unb2b_sdp_station_bf.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/lofar2_unb2b_sdp_station_bf.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_bf is
   generic (
@@ -82,7 +82,7 @@ entity lofar2_unb2b_sdp_station_bf is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -119,58 +119,58 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf.vhd
index 1d0a9613ed..35df18785c 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf.vhd
@@ -65,24 +65,24 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use lofar2_sdp_lib.tb_sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use lofar2_sdp_lib.tb_sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_bf is
   generic (
@@ -143,16 +143,20 @@ architecture tb of tb_lofar2_unb2b_sdp_station_bf is
   constant c_exp_beamlet_scale   : natural := natural(g_beamlet_scale * real(c_sdp_unit_beamlet_scale));  -- c_sdp_unit_beamlet_scale = 2**15;
 
   constant c_exp_sdp_info        : t_sdp_info := (
-                                     TO_UVEC(3, 6),  -- antenna_field_index
-                                     TO_UVEC(601, 10),  -- station_id
-                                     '0',  -- antenna_band_index
-                                     x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
-                                     b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
-                                     '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
-                                     '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
-                                     '0',  -- beam_repositioning_flag
-                                     x"1400"  -- block_period = 5120
-                                   );
+    TO_UVEC(
+             3,
+             6),  -- antenna_field_index
+    TO_UVEC(
+             601,
+             10),  -- station_id
+    '0',  -- antenna_band_index
+    x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
+    b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
+    '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
+    '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
+    '0',  -- beam_repositioning_flag
+    x"1400"  -- block_period = 5120
+  );
 
   -- WG
   constant c_bsn_start_wg         : natural := c_init_bsn + 2;  -- start WG at this BSN to instead of some BSN, to avoid mismatches in exact expected data values
@@ -382,126 +386,126 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_bf : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_bf",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => g_subband
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    -- front transceivers
-    QSFP_1_RX    => si_lpbk_0,
-    QSFP_1_TX    => si_lpbk_0,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_bf",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => g_subband
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      -- front transceivers
+      QSFP_1_RX    => si_lpbk_0,
+      QSFP_1_TX    => si_lpbk_0,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => dest_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => dest_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map (
-    g_sim           => true,
-    g_sim_level     => 1,
-    g_nof_macs      => 1,
-    g_use_mdio      => false
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644      => SA_CLK,
-    tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-    tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-    tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-    -- MM interface
-    mm_rst              => dest_rst,
-    mm_clk              => tb_clk,
-
-    -- DP interface
-    dp_rst              => dest_rst,
-    dp_clk              => ext_clk,
-
-    serial_rx_arr(0)    => si_lpbk_0(0),
-
-    src_out_arr(0)      => tr_10GbE_src_out,
-    src_in_arr(0)       => tr_10GbE_src_in
-  );
+    generic map (
+      g_sim           => true,
+      g_sim_level     => 1,
+      g_nof_macs      => 1,
+      g_use_mdio      => false
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644      => SA_CLK,
+      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+      -- MM interface
+      mm_rst              => dest_rst,
+      mm_clk              => tb_clk,
+
+      -- DP interface
+      dp_rst              => dest_rst,
+      dp_clk              => ext_clk,
+
+      serial_rx_arr(0)    => si_lpbk_0(0),
+
+      src_out_arr(0)      => tr_10GbE_src_out,
+      src_in_arr(0)       => tr_10GbE_src_in
+    );
 
 
   u_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_longword_w,
-    g_symbol_w            => c_octet_w,
-    g_hdr_field_arr       => c_sdp_cep_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => dest_rst,
-    mm_clk                => tb_clk,
-
-    dp_rst                => dest_rst,
-    dp_clk                => ext_clk,
-
-    reg_hdr_dat_mosi      => offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => offload_rx_hdr_dat_miso,
-
-    snk_in_arr(0)         => tr_10GbE_src_out,
-    snk_out_arr(0)        => tr_10GbE_src_in,
-
-    src_out_arr(0)        => test_offload_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_longword_w,
+      g_symbol_w            => c_octet_w,
+      g_hdr_field_arr       => c_sdp_cep_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => dest_rst,
+      mm_clk                => tb_clk,
+
+      dp_rst                => dest_rst,
+      dp_clk                => ext_clk,
+
+      reg_hdr_dat_mosi      => offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => offload_rx_hdr_dat_miso,
+
+      snk_in_arr(0)         => tr_10GbE_src_out,
+      snk_out_arr(0)        => tr_10GbE_src_in,
+
+      src_out_arr(0)        => test_offload_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf_bst_offload.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf_bst_offload.vhd
index 44fb9e2230..87d96910b7 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf_bst_offload.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_bf/tb_lofar2_unb2b_sdp_station_bf_bst_offload.vhd
@@ -39,19 +39,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_bf_bst_offload is
 end tb_lofar2_unb2b_sdp_station_bf_bst_offload;
@@ -153,52 +153,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_bf : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_bf",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_bf",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -244,10 +244,10 @@ begin
       g_runtime_timeout     => c_eth_runtime_timeout,
       g_check_nof_valid     => true,
       g_check_nof_valid_ref => c_eth_check_nof_valid
-   )
-  port map (
-    eth_serial_in => eth_txp(0),
-    tb_end        => eth_done
-  );
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      tb_end        => eth_done
+    );
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/lofar2_unb2b_sdp_station_fsub.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/lofar2_unb2b_sdp_station_fsub.vhd
index 7ec3d86fa0..2df61399b6 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/lofar2_unb2b_sdp_station_fsub.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/lofar2_unb2b_sdp_station_fsub.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_fsub is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2b_sdp_station_fsub is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -111,51 +111,51 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub.vhd
index 8527173e38..7089fea2a8 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub.vhd
@@ -59,19 +59,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_fsub is
   generic (
@@ -248,53 +248,53 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_fsub : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_fsub",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => g_subband
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_fsub",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => g_subband
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   -- Raw or weighted subbands
   exp_subband_ampl  <= sel_a_b(sst_offload_weighted_subbands = '0', c_exp_subband_ampl_raw, c_exp_subband_ampl_weighted);
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub_sst_offload.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub_sst_offload.vhd
index 14f55f913c..dc387106c8 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub_sst_offload.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_fsub/tb_lofar2_unb2b_sdp_station_fsub_sst_offload.vhd
@@ -38,19 +38,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_fsub_sst_offload is
 end tb_lofar2_unb2b_sdp_station_fsub_sst_offload;
@@ -152,52 +152,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_fsub : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_fsub",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_fsub",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -243,10 +243,10 @@ begin
       g_runtime_timeout     => c_eth_runtime_timeout,
       g_check_nof_valid     => true,
       g_check_nof_valid_ref => c_eth_check_nof_valid
-   )
-  port map (
-    eth_serial_in => eth_txp(0),
-    tb_end        => eth_done
-  );
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      tb_end        => eth_done
+    );
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full/lofar2_unb2b_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full/lofar2_unb2b_sdp_station_full.vhd
index 10bb1a1329..4bbce4b2f3 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full/lofar2_unb2b_sdp_station_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full/lofar2_unb2b_sdp_station_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_full is
   generic (
@@ -91,7 +91,7 @@ entity lofar2_unb2b_sdp_station_full is
     RING_1_RX    : in    std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -128,67 +128,67 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full_wg/lofar2_unb2b_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full_wg/lofar2_unb2b_sdp_station_full_wg.vhd
index d2000d761e..04bcf78bce 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full_wg/lofar2_unb2b_sdp_station_full_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_full_wg/lofar2_unb2b_sdp_station_full_wg.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_full_wg is
   generic (
@@ -99,59 +99,59 @@ architecture str of lofar2_unb2b_sdp_station_full_wg is
 begin
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/lofar2_unb2b_sdp_station_xsub_one.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/lofar2_unb2b_sdp_station_xsub_one.vhd
index 3fd7c6e5f7..004fb056b6 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/lofar2_unb2b_sdp_station_xsub_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/lofar2_unb2b_sdp_station_xsub_one.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_xsub_one is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2b_sdp_station_xsub_one is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -111,51 +111,51 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one.vhd
index 91392de7bb..3a67f1e3bb 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one.vhd
@@ -46,19 +46,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_xsub_one is
 end tb_lofar2_unb2b_sdp_station_xsub_one;
@@ -180,53 +180,53 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_xsub_one : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_xsub_one",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_xsub_one",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one_xst_offload.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one_xst_offload.vhd
index fc89b3f07f..9e37aee052 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one_xst_offload.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_one/tb_lofar2_unb2b_sdp_station_xsub_one_xst_offload.vhd
@@ -39,19 +39,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2b_sdp_station_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_xsub_one_xst_offload is
 end tb_lofar2_unb2b_sdp_station_xsub_one_xst_offload;
@@ -152,52 +152,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_sdp_station_xsub_one : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_xsub_one",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_xsub_one",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -255,10 +255,10 @@ begin
       g_runtime_timeout     => c_eth_runtime_timeout,
       g_check_nof_valid     => true,
       g_check_nof_valid_ref => c_eth_check_nof_valid
-   )
-  port map (
-    eth_serial_in => eth_txp(0),
-    tb_end        => eth_done
-  );
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      tb_end        => eth_done
+    );
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/lofar2_unb2b_sdp_station_xsub_ring.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/lofar2_unb2b_sdp_station_xsub_ring.vhd
index bdc156ac01..7f635b195b 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/lofar2_unb2b_sdp_station_xsub_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/lofar2_unb2b_sdp_station_xsub_ring.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2b_sdp_station_xsub_ring is
   generic (
@@ -91,7 +91,7 @@ entity lofar2_unb2b_sdp_station_xsub_ring is
     RING_1_RX    : in    std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2b)
+    -- back transceivers (note only 6 are used in unb2b)
     BCK_RX       : in    std_logic_vector(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b - 1 downto c_unb2b_board_nof_tr_jesd204b);  -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -128,67 +128,67 @@ begin
 
 
   u_revision : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/tb_lofar2_unb2b_sdp_station_xsub_ring.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/tb_lofar2_unb2b_sdp_station_xsub_ring.vhd
index aaf28671a3..d1b7eb65c2 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/tb_lofar2_unb2b_sdp_station_xsub_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/lofar2_unb2b_sdp_station_xsub_ring/tb_lofar2_unb2b_sdp_station_xsub_ring.vhd
@@ -46,20 +46,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, lofar2_unb2b_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station_xsub_ring is
 end tb_lofar2_unb2b_sdp_station_xsub_ring;
@@ -196,65 +196,65 @@ begin
   ------------------------------------------------------------------------------
   gen_dut : for RN in 0 to c_nof_rn - 1 generate
     u_lofar_unb2b_sdp_station_xsub_ring : entity lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station
-    generic map (
-      g_design_name            => "lofar2_unb2b_sdp_station_xsub_ring",
-      g_design_note            => "",
-      g_sim                    => c_sim,
-      g_sim_unb_nr             => c_unb_nr + (RN / c_quad),
-      g_sim_node_nr            => RN mod c_quad,
-      g_wpfb                   => c_wpfb_sim,
-      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-      g_scope_selected_subband => natural(c_subband_sp_0)
-    )
-    port map (
-      -- GENERAL
-      CLK          => ext_clk,
-      PPS          => pps,
-      WDI          => WDI,
-      INTA         => INTA,
-      INTB         => INTB,
-
-      -- Others
-      VERSION      => c_version,
-      ID           => ( TO_UVEC(RN / c_quad, c_unb2b_board_nof_uniboard_w) & TO_UVEC(RN mod c_quad, c_unb2b_board_nof_chip_w) ),
-      TESTIO       => open,
-
-      -- I2C Interface to Sensors
-      SENS_SC      => sens_scl,
-      SENS_SD      => sens_sda,
-
-      PMBUS_SC     => pmbus_scl,
-      PMBUS_SD     => pmbus_sda,
-      PMBUS_ALERT  => open,
-
-      -- 1GbE Control Interface
-      ETH_CLK      => eth_clk,
-      ETH_SGIN     => eth_rxp,
-      ETH_SGOUT    => eth_txp,
-
-      -- Transceiver clocks
-      SA_CLK       => SA_CLK,
-      -- front transceivers
-      QSFP_0_RX    => i_QSFP_0_RX(RN),
-      QSFP_0_TX    => i_QSFP_0_TX(RN),
-
-      -- ring transceivers
-      RING_0_RX    => i_RING_0_RX(RN),
-      RING_0_TX    => i_RING_0_TX(RN),
-      RING_1_RX    => i_RING_1_RX(RN),
-      RING_1_TX    => i_RING_1_TX(RN),
-
-      -- LEDs
-      QSFP_LED     => open,
-
-      -- back transceivers
-      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-      JESD204B_REFCLK      => JESD204B_REFCLK,
-
-      -- jesd204b syncronization signals
-      JESD204B_SYSREF => jesd204b_sysref,
-      JESD204B_SYNC_N => jesd204b_sync_n
-    );
+      generic map (
+        g_design_name            => "lofar2_unb2b_sdp_station_xsub_ring",
+        g_design_note            => "",
+        g_sim                    => c_sim,
+        g_sim_unb_nr             => c_unb_nr + (RN / c_quad),
+        g_sim_node_nr            => RN mod c_quad,
+        g_wpfb                   => c_wpfb_sim,
+        g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+        g_scope_selected_subband => natural(c_subband_sp_0)
+      )
+      port map (
+        -- GENERAL
+        CLK          => ext_clk,
+        PPS          => pps,
+        WDI          => WDI,
+        INTA         => INTA,
+        INTB         => INTB,
+
+        -- Others
+        VERSION      => c_version,
+        ID           => ( TO_UVEC(RN / c_quad, c_unb2b_board_nof_uniboard_w) & TO_UVEC(RN mod c_quad, c_unb2b_board_nof_chip_w) ),
+        TESTIO       => open,
+
+        -- I2C Interface to Sensors
+        SENS_SC      => sens_scl,
+        SENS_SD      => sens_sda,
+
+        PMBUS_SC     => pmbus_scl,
+        PMBUS_SD     => pmbus_sda,
+        PMBUS_ALERT  => open,
+
+        -- 1GbE Control Interface
+        ETH_CLK      => eth_clk,
+        ETH_SGIN     => eth_rxp,
+        ETH_SGOUT    => eth_txp,
+
+        -- Transceiver clocks
+        SA_CLK       => SA_CLK,
+        -- front transceivers
+        QSFP_0_RX    => i_QSFP_0_RX(RN),
+        QSFP_0_TX    => i_QSFP_0_TX(RN),
+
+        -- ring transceivers
+        RING_0_RX    => i_RING_0_RX(RN),
+        RING_0_TX    => i_RING_0_TX(RN),
+        RING_1_RX    => i_RING_1_RX(RN),
+        RING_1_TX    => i_RING_1_TX(RN),
+
+        -- LEDs
+        QSFP_LED     => open,
+
+        -- back transceivers
+        JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+        JESD204B_REFCLK      => JESD204B_REFCLK,
+
+        -- jesd204b syncronization signals
+        JESD204B_SYSREF => jesd204b_sysref,
+        JESD204B_SYNC_N => jesd204b_sync_n
+      );
   end generate;
 
   -- Ring connections
@@ -320,18 +320,18 @@ begin
         mmf_mm_bus_wr(mmf_unb_file_prefix(c_unb_nr + (RN / c_quad), RN mod c_quad) & "REG_RING_LANE_INFO_XST", I * 2 + 1, c_nof_rn - 1, tb_clk);
       end loop;
 
-    ----------------------------------------------------------------------------
-    -- Disable unused streams in dp_bsn_align_v2
-    ----------------------------------------------------------------------------
+      ----------------------------------------------------------------------------
+      -- Disable unused streams in dp_bsn_align_v2
+      ----------------------------------------------------------------------------
       for I in 0 to c_sdp_P_sq - 1 loop
         if I >= c_P_sq then
           mmf_mm_bus_wr(mmf_unb_file_prefix(c_unb_nr + (RN / c_quad), RN mod c_quad) & "REG_BSN_ALIGN_V2_XSUB", I, 0, tb_clk);
         end if;
       end loop;
 
-    ----------------------------------------------------------------------------
-    -- Crosslets Info
-    ----------------------------------------------------------------------------
+      ----------------------------------------------------------------------------
+      -- Crosslets Info
+      ----------------------------------------------------------------------------
       mmf_mm_bus_wr(mmf_unb_file_prefix(c_unb_nr + (RN / c_quad), RN mod c_quad) & "REG_CROSSLETS_INFO", 0,  integer(c_subband_sp_0), tb_clk);  -- offset
       mmf_mm_bus_wr(mmf_unb_file_prefix(c_unb_nr + (RN / c_quad), RN mod c_quad) & "REG_CROSSLETS_INFO", 15, 0                      , tb_clk);  -- stepsize
     end loop;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd
index df3f7acae0..98f6fc16db 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd
@@ -27,20 +27,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, wpfb_lib, lofar2_sdp_lib, tech_pll_lib, nw_10gbe_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2b_sdp_station_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2b_sdp_station_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 
 entity lofar2_unb2b_sdp_station is
@@ -105,9 +105,9 @@ entity lofar2_unb2b_sdp_station is
     RING_1_RX    : in    std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2b_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector(c_sdp_S_pn - 1 downto 0) := (others => '0');  -- c_sdp_S_pn = 12, c_unb2b_board_nof_tr_jesd204b = 6
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic := '0';  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -491,315 +491,315 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2b_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range,
-    g_dp_clk_freq             => c_unb2b_board_ext_clk_freq_200M,
-    g_dp_clk_use_pll          => false,
-    g_udp_offload             => true,
-    g_udp_offload_nof_streams => c_eth_nof_udp_ports
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_copi,
-    reg_remu_miso            => reg_remu_cipo,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_copi,
-    reg_dpmm_data_miso       => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_copi,
-    reg_mmdp_data_miso       => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_copi,
-    reg_epcs_miso            => reg_epcs_cipo,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_copi,
-    reg_wdi_miso             => reg_wdi_cipo,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_copi,
-    reg_unb_system_info_miso => reg_unb_system_info_cipo,
-    rom_unb_system_info_mosi => rom_unb_system_info_copi,
-    rom_unb_system_info_miso => rom_unb_system_info_cipo,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_copi,
-    reg_unb_sens_miso        => reg_unb_sens_cipo,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_copi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_cipo,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_copi,
-    reg_ppsh_miso            => reg_ppsh_cipo,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_copi,
-    eth1g_tse_miso           => eth1g_tse_cipo,
-    eth1g_reg_mosi           => eth1g_reg_copi,
-    eth1g_reg_miso           => eth1g_reg_cipo,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_copi,
-    eth1g_ram_miso           => eth1g_ram_cipo,
-
-    -- eth1g UDP streaming
-    udp_tx_sosi_arr          => udp_tx_sosi_arr,
-    udp_tx_siso_arr          => udp_tx_siso_arr,
-
-    ram_scrap_mosi           => ram_scrap_copi,
-    ram_scrap_miso           => ram_scrap_cipo,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2b_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range,
+      g_dp_clk_freq             => c_unb2b_board_ext_clk_freq_200M,
+      g_dp_clk_use_pll          => false,
+      g_udp_offload             => true,
+      g_udp_offload_nof_streams => c_eth_nof_udp_ports
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_copi,
+      reg_remu_miso            => reg_remu_cipo,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_copi,
+      reg_dpmm_data_miso       => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_copi,
+      reg_mmdp_data_miso       => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_copi,
+      reg_epcs_miso            => reg_epcs_cipo,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_copi,
+      reg_wdi_miso             => reg_wdi_cipo,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_copi,
+      reg_unb_system_info_miso => reg_unb_system_info_cipo,
+      rom_unb_system_info_mosi => rom_unb_system_info_copi,
+      rom_unb_system_info_miso => rom_unb_system_info_cipo,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_copi,
+      reg_unb_sens_miso        => reg_unb_sens_cipo,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_copi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_cipo,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_copi,
+      reg_ppsh_miso            => reg_ppsh_cipo,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_copi,
+      eth1g_tse_miso           => eth1g_tse_cipo,
+      eth1g_reg_mosi           => eth1g_reg_copi,
+      eth1g_reg_miso           => eth1g_reg_cipo,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_copi,
+      eth1g_ram_miso           => eth1g_ram_cipo,
+
+      -- eth1g UDP streaming
+      udp_tx_sosi_arr          => udp_tx_sosi_arr,
+      udp_tx_siso_arr          => udp_tx_siso_arr,
+
+      ram_scrap_mosi           => ram_scrap_copi,
+      ram_scrap_miso           => ram_scrap_cipo,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2b_sdp_station
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_copi                => reg_wdi_copi,
-    reg_wdi_cipo                => reg_wdi_cipo,
-    reg_unb_system_info_copi    => reg_unb_system_info_copi,
-    reg_unb_system_info_cipo    => reg_unb_system_info_cipo,
-    rom_unb_system_info_copi    => rom_unb_system_info_copi,
-    rom_unb_system_info_cipo    => rom_unb_system_info_cipo,
-    reg_unb_sens_copi           => reg_unb_sens_copi,
-    reg_unb_sens_cipo           => reg_unb_sens_cipo,
-    reg_unb_pmbus_copi          => reg_unb_pmbus_copi,
-    reg_unb_pmbus_cipo          => reg_unb_pmbus_cipo,
-    reg_fpga_temp_sens_copi     => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_cipo     => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_copi  => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_cipo  => reg_fpga_voltage_sens_cipo,
-    reg_ppsh_copi               => reg_ppsh_copi,
-    reg_ppsh_cipo               => reg_ppsh_cipo,
-    eth1g_mm_rst                => eth1g_mm_rst,
-    eth1g_tse_copi              => eth1g_tse_copi,
-    eth1g_tse_cipo              => eth1g_tse_cipo,
-    eth1g_reg_copi              => eth1g_reg_copi,
-    eth1g_reg_cipo              => eth1g_reg_cipo,
-    eth1g_reg_interrupt         => eth1g_reg_interrupt,
-    eth1g_ram_copi              => eth1g_ram_copi,
-    eth1g_ram_cipo              => eth1g_ram_cipo,
-    reg_dpmm_data_copi          => reg_dpmm_data_copi,
-    reg_dpmm_data_cipo          => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_copi          => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_cipo          => reg_dpmm_ctrl_cipo,
-    reg_mmdp_data_copi          => reg_mmdp_data_copi,
-    reg_mmdp_data_cipo          => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_copi          => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_cipo          => reg_mmdp_ctrl_cipo,
-    reg_epcs_copi               => reg_epcs_copi,
-    reg_epcs_cipo               => reg_epcs_cipo,
-    reg_remu_copi               => reg_remu_copi,
-    reg_remu_cipo               => reg_remu_cipo,
-
-    -- mm buses for signal flow blocks
-    -- Jesd ip status/control
-    jesd204b_copi                                => jesd204b_copi,
-    jesd204b_cipo                                => jesd204b_cipo,
-    jesd_ctrl_copi                               => jesd_ctrl_copi,
-    jesd_ctrl_cipo                               => jesd_ctrl_cipo,
-    reg_dp_shiftram_copi                         => reg_dp_shiftram_copi,
-    reg_dp_shiftram_cipo                         => reg_dp_shiftram_cipo,
-    reg_bsn_source_v2_copi                       => reg_bsn_source_v2_copi,
-    reg_bsn_source_v2_cipo                       => reg_bsn_source_v2_cipo,
-    reg_bsn_scheduler_copi                       => reg_bsn_scheduler_wg_copi,
-    reg_bsn_scheduler_cipo                       => reg_bsn_scheduler_wg_cipo,
-    reg_wg_copi                                  => reg_wg_copi,
-    reg_wg_cipo                                  => reg_wg_cipo,
-    ram_wg_copi                                  => ram_wg_copi,
-    ram_wg_cipo                                  => ram_wg_cipo,
-    reg_bsn_monitor_input_copi                   => reg_bsn_monitor_input_copi,
-    reg_bsn_monitor_input_cipo                   => reg_bsn_monitor_input_cipo,
-    ram_diag_data_buf_bsn_copi                   => ram_diag_data_buf_bsn_copi,
-    ram_diag_data_buf_bsn_cipo                   => ram_diag_data_buf_bsn_cipo,
-    reg_diag_data_buf_bsn_copi                   => reg_diag_data_buf_bsn_copi,
-    reg_diag_data_buf_bsn_cipo                   => reg_diag_data_buf_bsn_cipo,
-    ram_st_histogram_copi                        => ram_st_histogram_copi,
-    ram_st_histogram_cipo                        => ram_st_histogram_cipo,
-    reg_aduh_monitor_copi                        => reg_aduh_monitor_copi,
-    reg_aduh_monitor_cipo                        => reg_aduh_monitor_cipo,
-    ram_st_sst_copi                              => ram_st_sst_copi,
-    ram_st_sst_cipo                              => ram_st_sst_cipo,
-    ram_fil_coefs_copi                           => ram_fil_coefs_copi,
-    ram_fil_coefs_cipo                           => ram_fil_coefs_cipo,
-    reg_si_copi                                  => reg_si_copi,
-    reg_si_cipo                                  => reg_si_cipo,
-    ram_equalizer_gains_copi                     => ram_equalizer_gains_copi,
-    ram_equalizer_gains_cipo                     => ram_equalizer_gains_cipo,
-    ram_equalizer_gains_cross_copi               => ram_equalizer_gains_cross_copi,
-    ram_equalizer_gains_cross_cipo               => ram_equalizer_gains_cross_cipo,
-    reg_dp_selector_copi                         => reg_dp_selector_copi,
-    reg_dp_selector_cipo                         => reg_dp_selector_cipo,
-    reg_sdp_info_copi                            => reg_sdp_info_copi,
-    reg_sdp_info_cipo                            => reg_sdp_info_cipo,
-    reg_ring_info_copi                           => reg_ring_info_copi,
-    reg_ring_info_cipo                           => reg_ring_info_cipo,
-    ram_ss_ss_wide_copi                          => ram_ss_ss_wide_copi,
-    ram_ss_ss_wide_cipo                          => ram_ss_ss_wide_cipo,
-    ram_bf_weights_copi                          => ram_bf_weights_copi,
-    ram_bf_weights_cipo                          => ram_bf_weights_cipo,
-    reg_bf_scale_copi                            => reg_bf_scale_copi,
-    reg_bf_scale_cipo                            => reg_bf_scale_cipo,
-    reg_hdr_dat_copi                             => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo                             => reg_hdr_dat_cipo,
-    reg_dp_xonoff_copi                           => reg_dp_xonoff_copi,
-    reg_dp_xonoff_cipo                           => reg_dp_xonoff_cipo,
-    ram_st_bst_copi                              => ram_st_bst_copi,
-    ram_st_bst_cipo                              => ram_st_bst_cipo,
-    reg_bsn_align_v2_bf_copi                     => reg_bsn_align_v2_bf_copi,
-    reg_bsn_align_v2_bf_cipo                     => reg_bsn_align_v2_bf_cipo,
-    reg_bsn_monitor_v2_rx_align_bf_copi          => reg_bsn_monitor_v2_rx_align_bf_copi,
-    reg_bsn_monitor_v2_rx_align_bf_cipo          => reg_bsn_monitor_v2_rx_align_bf_cipo,
-    reg_bsn_monitor_v2_aligned_bf_copi           => reg_bsn_monitor_v2_aligned_bf_copi,
-    reg_bsn_monitor_v2_aligned_bf_cipo           => reg_bsn_monitor_v2_aligned_bf_cipo,
-    reg_ring_lane_info_bf_copi                   => reg_ring_lane_info_bf_copi,
-    reg_ring_lane_info_bf_cipo                   => reg_ring_lane_info_bf_cipo,
-    reg_bsn_monitor_v2_ring_rx_bf_copi           => reg_bsn_monitor_v2_ring_rx_bf_copi,
-    reg_bsn_monitor_v2_ring_rx_bf_cipo           => reg_bsn_monitor_v2_ring_rx_bf_cipo,
-    reg_bsn_monitor_v2_ring_tx_bf_copi           => reg_bsn_monitor_v2_ring_tx_bf_copi,
-    reg_bsn_monitor_v2_ring_tx_bf_cipo           => reg_bsn_monitor_v2_ring_tx_bf_cipo,
-    reg_dp_block_validate_err_bf_copi            => reg_dp_block_validate_err_bf_copi,
-    reg_dp_block_validate_err_bf_cipo            => reg_dp_block_validate_err_bf_cipo,
-    reg_dp_block_validate_bsn_at_sync_bf_copi    => reg_dp_block_validate_bsn_at_sync_bf_copi,
-    reg_dp_block_validate_bsn_at_sync_bf_cipo    => reg_dp_block_validate_bsn_at_sync_bf_cipo,
-    reg_nw_10GbE_mac_copi                        => reg_nw_10GbE_mac_copi,
-    reg_nw_10GbE_mac_cipo                        => reg_nw_10GbE_mac_cipo,
-    reg_nw_10GbE_eth10g_copi                     => reg_nw_10GbE_eth10g_copi,
-    reg_nw_10GbE_eth10g_cipo                     => reg_nw_10GbE_eth10g_cipo,
-    ram_scrap_copi                               => ram_scrap_copi,
-    ram_scrap_cipo                               => ram_scrap_cipo,
-    reg_stat_enable_sst_copi                     => reg_stat_enable_sst_copi,
-    reg_stat_enable_sst_cipo                     => reg_stat_enable_sst_cipo,
-    reg_stat_hdr_dat_sst_copi                    => reg_stat_hdr_dat_sst_copi,
-    reg_stat_hdr_dat_sst_cipo                    => reg_stat_hdr_dat_sst_cipo,
-    reg_stat_enable_xst_copi                     => reg_stat_enable_xst_copi,
-    reg_stat_enable_xst_cipo                     => reg_stat_enable_xst_cipo,
-    reg_stat_hdr_dat_xst_copi                    => reg_stat_hdr_dat_xst_copi,
-    reg_stat_hdr_dat_xst_cipo                    => reg_stat_hdr_dat_xst_cipo,
-    reg_stat_enable_bst_copi                     => reg_stat_enable_bst_copi,
-    reg_stat_enable_bst_cipo                     => reg_stat_enable_bst_cipo,
-    reg_stat_hdr_dat_bst_copi                    => reg_stat_hdr_dat_bst_copi,
-    reg_stat_hdr_dat_bst_cipo                    => reg_stat_hdr_dat_bst_cipo,
-    reg_crosslets_info_copi                      => reg_crosslets_info_copi,
-    reg_crosslets_info_cipo                      => reg_crosslets_info_cipo,
-    reg_nof_crosslets_copi                       => reg_nof_crosslets_copi,
-    reg_nof_crosslets_cipo                       => reg_nof_crosslets_cipo,
-    reg_bsn_sync_scheduler_xsub_copi             => reg_bsn_sync_scheduler_xsub_copi,
-    reg_bsn_sync_scheduler_xsub_cipo             => reg_bsn_sync_scheduler_xsub_cipo,
-    reg_bsn_align_v2_xsub_copi                   => reg_bsn_align_v2_xsub_copi,
-    reg_bsn_align_v2_xsub_cipo                   => reg_bsn_align_v2_xsub_cipo,
-    reg_bsn_monitor_v2_rx_align_xsub_copi        => reg_bsn_monitor_v2_rx_align_xsub_copi,
-    reg_bsn_monitor_v2_rx_align_xsub_cipo        => reg_bsn_monitor_v2_rx_align_xsub_cipo,
-    reg_bsn_monitor_v2_aligned_xsub_copi         => reg_bsn_monitor_v2_aligned_xsub_copi,
-    reg_bsn_monitor_v2_aligned_xsub_cipo         => reg_bsn_monitor_v2_aligned_xsub_cipo,
-    reg_bsn_monitor_v2_xst_offload_copi          => reg_bsn_monitor_v2_xst_offload_copi,
-    reg_bsn_monitor_v2_xst_offload_cipo          => reg_bsn_monitor_v2_xst_offload_cipo,
-    reg_bsn_monitor_v2_bst_offload_copi          => reg_bsn_monitor_v2_bst_offload_copi,
-    reg_bsn_monitor_v2_bst_offload_cipo          => reg_bsn_monitor_v2_bst_offload_cipo,
-    reg_bsn_monitor_v2_beamlet_output_copi       => reg_bsn_monitor_v2_beamlet_output_copi,
-    reg_bsn_monitor_v2_beamlet_output_cipo       => reg_bsn_monitor_v2_beamlet_output_cipo,
-    reg_bsn_monitor_v2_sst_offload_copi          => reg_bsn_monitor_v2_sst_offload_copi,
-    reg_bsn_monitor_v2_sst_offload_cipo          => reg_bsn_monitor_v2_sst_offload_cipo,
-    reg_ring_lane_info_xst_copi                  => reg_ring_lane_info_xst_copi,
-    reg_ring_lane_info_xst_cipo                  => reg_ring_lane_info_xst_cipo,
-    reg_bsn_monitor_v2_ring_rx_xst_copi          => reg_bsn_monitor_v2_ring_rx_xst_copi,
-    reg_bsn_monitor_v2_ring_rx_xst_cipo          => reg_bsn_monitor_v2_ring_rx_xst_cipo,
-    reg_bsn_monitor_v2_ring_tx_xst_copi          => reg_bsn_monitor_v2_ring_tx_xst_copi,
-    reg_bsn_monitor_v2_ring_tx_xst_cipo          => reg_bsn_monitor_v2_ring_tx_xst_cipo,
-    reg_dp_block_validate_err_xst_copi           => reg_dp_block_validate_err_xst_copi,
-    reg_dp_block_validate_err_xst_cipo           => reg_dp_block_validate_err_xst_cipo,
-    reg_dp_block_validate_bsn_at_sync_xst_copi   => reg_dp_block_validate_bsn_at_sync_xst_copi,
-    reg_dp_block_validate_bsn_at_sync_xst_cipo   => reg_dp_block_validate_bsn_at_sync_xst_cipo,
-    reg_tr_10GbE_mac_copi                        => reg_tr_10GbE_mac_copi,
-    reg_tr_10GbE_mac_cipo                        => reg_tr_10GbE_mac_cipo,
-    reg_tr_10GbE_eth10g_copi                     => reg_tr_10GbE_eth10g_copi,
-    reg_tr_10GbE_eth10g_cipo                     => reg_tr_10GbE_eth10g_cipo,
-    ram_st_xsq_copi                              => ram_st_xsq_copi,
-    ram_st_xsq_cipo                              => ram_st_xsq_cipo
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_copi                => reg_wdi_copi,
+      reg_wdi_cipo                => reg_wdi_cipo,
+      reg_unb_system_info_copi    => reg_unb_system_info_copi,
+      reg_unb_system_info_cipo    => reg_unb_system_info_cipo,
+      rom_unb_system_info_copi    => rom_unb_system_info_copi,
+      rom_unb_system_info_cipo    => rom_unb_system_info_cipo,
+      reg_unb_sens_copi           => reg_unb_sens_copi,
+      reg_unb_sens_cipo           => reg_unb_sens_cipo,
+      reg_unb_pmbus_copi          => reg_unb_pmbus_copi,
+      reg_unb_pmbus_cipo          => reg_unb_pmbus_cipo,
+      reg_fpga_temp_sens_copi     => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_cipo     => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_copi  => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_cipo  => reg_fpga_voltage_sens_cipo,
+      reg_ppsh_copi               => reg_ppsh_copi,
+      reg_ppsh_cipo               => reg_ppsh_cipo,
+      eth1g_mm_rst                => eth1g_mm_rst,
+      eth1g_tse_copi              => eth1g_tse_copi,
+      eth1g_tse_cipo              => eth1g_tse_cipo,
+      eth1g_reg_copi              => eth1g_reg_copi,
+      eth1g_reg_cipo              => eth1g_reg_cipo,
+      eth1g_reg_interrupt         => eth1g_reg_interrupt,
+      eth1g_ram_copi              => eth1g_ram_copi,
+      eth1g_ram_cipo              => eth1g_ram_cipo,
+      reg_dpmm_data_copi          => reg_dpmm_data_copi,
+      reg_dpmm_data_cipo          => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_copi          => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_cipo          => reg_dpmm_ctrl_cipo,
+      reg_mmdp_data_copi          => reg_mmdp_data_copi,
+      reg_mmdp_data_cipo          => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_copi          => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_cipo          => reg_mmdp_ctrl_cipo,
+      reg_epcs_copi               => reg_epcs_copi,
+      reg_epcs_cipo               => reg_epcs_cipo,
+      reg_remu_copi               => reg_remu_copi,
+      reg_remu_cipo               => reg_remu_cipo,
+
+      -- mm buses for signal flow blocks
+      -- Jesd ip status/control
+      jesd204b_copi                                => jesd204b_copi,
+      jesd204b_cipo                                => jesd204b_cipo,
+      jesd_ctrl_copi                               => jesd_ctrl_copi,
+      jesd_ctrl_cipo                               => jesd_ctrl_cipo,
+      reg_dp_shiftram_copi                         => reg_dp_shiftram_copi,
+      reg_dp_shiftram_cipo                         => reg_dp_shiftram_cipo,
+      reg_bsn_source_v2_copi                       => reg_bsn_source_v2_copi,
+      reg_bsn_source_v2_cipo                       => reg_bsn_source_v2_cipo,
+      reg_bsn_scheduler_copi                       => reg_bsn_scheduler_wg_copi,
+      reg_bsn_scheduler_cipo                       => reg_bsn_scheduler_wg_cipo,
+      reg_wg_copi                                  => reg_wg_copi,
+      reg_wg_cipo                                  => reg_wg_cipo,
+      ram_wg_copi                                  => ram_wg_copi,
+      ram_wg_cipo                                  => ram_wg_cipo,
+      reg_bsn_monitor_input_copi                   => reg_bsn_monitor_input_copi,
+      reg_bsn_monitor_input_cipo                   => reg_bsn_monitor_input_cipo,
+      ram_diag_data_buf_bsn_copi                   => ram_diag_data_buf_bsn_copi,
+      ram_diag_data_buf_bsn_cipo                   => ram_diag_data_buf_bsn_cipo,
+      reg_diag_data_buf_bsn_copi                   => reg_diag_data_buf_bsn_copi,
+      reg_diag_data_buf_bsn_cipo                   => reg_diag_data_buf_bsn_cipo,
+      ram_st_histogram_copi                        => ram_st_histogram_copi,
+      ram_st_histogram_cipo                        => ram_st_histogram_cipo,
+      reg_aduh_monitor_copi                        => reg_aduh_monitor_copi,
+      reg_aduh_monitor_cipo                        => reg_aduh_monitor_cipo,
+      ram_st_sst_copi                              => ram_st_sst_copi,
+      ram_st_sst_cipo                              => ram_st_sst_cipo,
+      ram_fil_coefs_copi                           => ram_fil_coefs_copi,
+      ram_fil_coefs_cipo                           => ram_fil_coefs_cipo,
+      reg_si_copi                                  => reg_si_copi,
+      reg_si_cipo                                  => reg_si_cipo,
+      ram_equalizer_gains_copi                     => ram_equalizer_gains_copi,
+      ram_equalizer_gains_cipo                     => ram_equalizer_gains_cipo,
+      ram_equalizer_gains_cross_copi               => ram_equalizer_gains_cross_copi,
+      ram_equalizer_gains_cross_cipo               => ram_equalizer_gains_cross_cipo,
+      reg_dp_selector_copi                         => reg_dp_selector_copi,
+      reg_dp_selector_cipo                         => reg_dp_selector_cipo,
+      reg_sdp_info_copi                            => reg_sdp_info_copi,
+      reg_sdp_info_cipo                            => reg_sdp_info_cipo,
+      reg_ring_info_copi                           => reg_ring_info_copi,
+      reg_ring_info_cipo                           => reg_ring_info_cipo,
+      ram_ss_ss_wide_copi                          => ram_ss_ss_wide_copi,
+      ram_ss_ss_wide_cipo                          => ram_ss_ss_wide_cipo,
+      ram_bf_weights_copi                          => ram_bf_weights_copi,
+      ram_bf_weights_cipo                          => ram_bf_weights_cipo,
+      reg_bf_scale_copi                            => reg_bf_scale_copi,
+      reg_bf_scale_cipo                            => reg_bf_scale_cipo,
+      reg_hdr_dat_copi                             => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo                             => reg_hdr_dat_cipo,
+      reg_dp_xonoff_copi                           => reg_dp_xonoff_copi,
+      reg_dp_xonoff_cipo                           => reg_dp_xonoff_cipo,
+      ram_st_bst_copi                              => ram_st_bst_copi,
+      ram_st_bst_cipo                              => ram_st_bst_cipo,
+      reg_bsn_align_v2_bf_copi                     => reg_bsn_align_v2_bf_copi,
+      reg_bsn_align_v2_bf_cipo                     => reg_bsn_align_v2_bf_cipo,
+      reg_bsn_monitor_v2_rx_align_bf_copi          => reg_bsn_monitor_v2_rx_align_bf_copi,
+      reg_bsn_monitor_v2_rx_align_bf_cipo          => reg_bsn_monitor_v2_rx_align_bf_cipo,
+      reg_bsn_monitor_v2_aligned_bf_copi           => reg_bsn_monitor_v2_aligned_bf_copi,
+      reg_bsn_monitor_v2_aligned_bf_cipo           => reg_bsn_monitor_v2_aligned_bf_cipo,
+      reg_ring_lane_info_bf_copi                   => reg_ring_lane_info_bf_copi,
+      reg_ring_lane_info_bf_cipo                   => reg_ring_lane_info_bf_cipo,
+      reg_bsn_monitor_v2_ring_rx_bf_copi           => reg_bsn_monitor_v2_ring_rx_bf_copi,
+      reg_bsn_monitor_v2_ring_rx_bf_cipo           => reg_bsn_monitor_v2_ring_rx_bf_cipo,
+      reg_bsn_monitor_v2_ring_tx_bf_copi           => reg_bsn_monitor_v2_ring_tx_bf_copi,
+      reg_bsn_monitor_v2_ring_tx_bf_cipo           => reg_bsn_monitor_v2_ring_tx_bf_cipo,
+      reg_dp_block_validate_err_bf_copi            => reg_dp_block_validate_err_bf_copi,
+      reg_dp_block_validate_err_bf_cipo            => reg_dp_block_validate_err_bf_cipo,
+      reg_dp_block_validate_bsn_at_sync_bf_copi    => reg_dp_block_validate_bsn_at_sync_bf_copi,
+      reg_dp_block_validate_bsn_at_sync_bf_cipo    => reg_dp_block_validate_bsn_at_sync_bf_cipo,
+      reg_nw_10GbE_mac_copi                        => reg_nw_10GbE_mac_copi,
+      reg_nw_10GbE_mac_cipo                        => reg_nw_10GbE_mac_cipo,
+      reg_nw_10GbE_eth10g_copi                     => reg_nw_10GbE_eth10g_copi,
+      reg_nw_10GbE_eth10g_cipo                     => reg_nw_10GbE_eth10g_cipo,
+      ram_scrap_copi                               => ram_scrap_copi,
+      ram_scrap_cipo                               => ram_scrap_cipo,
+      reg_stat_enable_sst_copi                     => reg_stat_enable_sst_copi,
+      reg_stat_enable_sst_cipo                     => reg_stat_enable_sst_cipo,
+      reg_stat_hdr_dat_sst_copi                    => reg_stat_hdr_dat_sst_copi,
+      reg_stat_hdr_dat_sst_cipo                    => reg_stat_hdr_dat_sst_cipo,
+      reg_stat_enable_xst_copi                     => reg_stat_enable_xst_copi,
+      reg_stat_enable_xst_cipo                     => reg_stat_enable_xst_cipo,
+      reg_stat_hdr_dat_xst_copi                    => reg_stat_hdr_dat_xst_copi,
+      reg_stat_hdr_dat_xst_cipo                    => reg_stat_hdr_dat_xst_cipo,
+      reg_stat_enable_bst_copi                     => reg_stat_enable_bst_copi,
+      reg_stat_enable_bst_cipo                     => reg_stat_enable_bst_cipo,
+      reg_stat_hdr_dat_bst_copi                    => reg_stat_hdr_dat_bst_copi,
+      reg_stat_hdr_dat_bst_cipo                    => reg_stat_hdr_dat_bst_cipo,
+      reg_crosslets_info_copi                      => reg_crosslets_info_copi,
+      reg_crosslets_info_cipo                      => reg_crosslets_info_cipo,
+      reg_nof_crosslets_copi                       => reg_nof_crosslets_copi,
+      reg_nof_crosslets_cipo                       => reg_nof_crosslets_cipo,
+      reg_bsn_sync_scheduler_xsub_copi             => reg_bsn_sync_scheduler_xsub_copi,
+      reg_bsn_sync_scheduler_xsub_cipo             => reg_bsn_sync_scheduler_xsub_cipo,
+      reg_bsn_align_v2_xsub_copi                   => reg_bsn_align_v2_xsub_copi,
+      reg_bsn_align_v2_xsub_cipo                   => reg_bsn_align_v2_xsub_cipo,
+      reg_bsn_monitor_v2_rx_align_xsub_copi        => reg_bsn_monitor_v2_rx_align_xsub_copi,
+      reg_bsn_monitor_v2_rx_align_xsub_cipo        => reg_bsn_monitor_v2_rx_align_xsub_cipo,
+      reg_bsn_monitor_v2_aligned_xsub_copi         => reg_bsn_monitor_v2_aligned_xsub_copi,
+      reg_bsn_monitor_v2_aligned_xsub_cipo         => reg_bsn_monitor_v2_aligned_xsub_cipo,
+      reg_bsn_monitor_v2_xst_offload_copi          => reg_bsn_monitor_v2_xst_offload_copi,
+      reg_bsn_monitor_v2_xst_offload_cipo          => reg_bsn_monitor_v2_xst_offload_cipo,
+      reg_bsn_monitor_v2_bst_offload_copi          => reg_bsn_monitor_v2_bst_offload_copi,
+      reg_bsn_monitor_v2_bst_offload_cipo          => reg_bsn_monitor_v2_bst_offload_cipo,
+      reg_bsn_monitor_v2_beamlet_output_copi       => reg_bsn_monitor_v2_beamlet_output_copi,
+      reg_bsn_monitor_v2_beamlet_output_cipo       => reg_bsn_monitor_v2_beamlet_output_cipo,
+      reg_bsn_monitor_v2_sst_offload_copi          => reg_bsn_monitor_v2_sst_offload_copi,
+      reg_bsn_monitor_v2_sst_offload_cipo          => reg_bsn_monitor_v2_sst_offload_cipo,
+      reg_ring_lane_info_xst_copi                  => reg_ring_lane_info_xst_copi,
+      reg_ring_lane_info_xst_cipo                  => reg_ring_lane_info_xst_cipo,
+      reg_bsn_monitor_v2_ring_rx_xst_copi          => reg_bsn_monitor_v2_ring_rx_xst_copi,
+      reg_bsn_monitor_v2_ring_rx_xst_cipo          => reg_bsn_monitor_v2_ring_rx_xst_cipo,
+      reg_bsn_monitor_v2_ring_tx_xst_copi          => reg_bsn_monitor_v2_ring_tx_xst_copi,
+      reg_bsn_monitor_v2_ring_tx_xst_cipo          => reg_bsn_monitor_v2_ring_tx_xst_cipo,
+      reg_dp_block_validate_err_xst_copi           => reg_dp_block_validate_err_xst_copi,
+      reg_dp_block_validate_err_xst_cipo           => reg_dp_block_validate_err_xst_cipo,
+      reg_dp_block_validate_bsn_at_sync_xst_copi   => reg_dp_block_validate_bsn_at_sync_xst_copi,
+      reg_dp_block_validate_bsn_at_sync_xst_cipo   => reg_dp_block_validate_bsn_at_sync_xst_cipo,
+      reg_tr_10GbE_mac_copi                        => reg_tr_10GbE_mac_copi,
+      reg_tr_10GbE_mac_cipo                        => reg_tr_10GbE_mac_cipo,
+      reg_tr_10GbE_eth10g_copi                     => reg_tr_10GbE_eth10g_copi,
+      reg_tr_10GbE_eth10g_cipo                     => reg_tr_10GbE_eth10g_cipo,
+      ram_st_xsq_copi                              => ram_st_xsq_copi,
+      ram_st_xsq_cipo                              => ram_st_xsq_cipo
+    );
 
 
   -- Use full 8 bit gn_id = ID
@@ -809,200 +809,200 @@ begin
   -- sdp nodes
   -----------------------------------------------------------------------------
   u_sdp_station : entity lofar2_sdp_lib.sdp_station
-  generic map (
-    g_sim                    => g_sim,
-    g_wpfb                   => g_wpfb,
-    g_wpfb_complex           => g_wpfb_complex,
-    g_bsn_nof_clk_per_sync   => g_bsn_nof_clk_per_sync,
-    g_scope_selected_subband => g_scope_selected_subband,
-    g_no_jesd                => c_revision_select.no_jesd,
-    g_use_fsub               => c_revision_select.use_fsub,
-    g_use_oversample         => c_revision_select.use_oversample,
-    g_use_xsub               => c_revision_select.use_xsub,
-    g_use_bf                 => c_revision_select.use_bf,
-    g_use_ring               => c_revision_select.use_ring,
-    g_P_sq                   => c_revision_select.P_sq
-  )
-  port map (
-
-    mm_clk => mm_clk,
-    mm_rst => mm_rst,
-
-    dp_pps => dp_pps,
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    gn_id        => gn_id,
-    this_bck_id  => this_bck_id,
-    this_chip_id => this_chip_id,
-
-    SA_CLK => SA_CLK,
-
-    -- jesd204b
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-    JESD204B_SYSREF      => JESD204B_SYSREF,
-    JESD204B_SYNC_N      => JESD204B_SYNC_N,
-
-    -- UDP Offload
-    udp_tx_sosi_arr      =>  udp_tx_sosi_arr,
-    udp_tx_siso_arr      =>  udp_tx_siso_arr,
-
-    -- 10 GbE
-    reg_nw_10GbE_mac_copi       => reg_nw_10GbE_mac_copi,
-    reg_nw_10GbE_mac_cipo       => reg_nw_10GbE_mac_cipo,
-    reg_nw_10GbE_eth10g_copi    => reg_nw_10GbE_eth10g_copi,
-    reg_nw_10GbE_eth10g_cipo    => reg_nw_10GbE_eth10g_cipo,
-
-    -- AIT
-    jesd204b_copi               => jesd204b_copi,
-    jesd204b_cipo               => jesd204b_cipo,
-    jesd_ctrl_copi              => jesd_ctrl_copi,
-    jesd_ctrl_cipo              => jesd_ctrl_cipo,
-    reg_dp_shiftram_copi        => reg_dp_shiftram_copi,
-    reg_dp_shiftram_cipo        => reg_dp_shiftram_cipo,
-    reg_bsn_source_v2_copi      => reg_bsn_source_v2_copi,
-    reg_bsn_source_v2_cipo      => reg_bsn_source_v2_cipo,
-    reg_bsn_scheduler_wg_copi   => reg_bsn_scheduler_wg_copi,
-    reg_bsn_scheduler_wg_cipo   => reg_bsn_scheduler_wg_cipo,
-    reg_wg_copi                 => reg_wg_copi,
-    reg_wg_cipo                 => reg_wg_cipo,
-    ram_wg_copi                 => ram_wg_copi,
-    ram_wg_cipo                 => ram_wg_cipo,
-    reg_bsn_monitor_input_copi  => reg_bsn_monitor_input_copi,
-    reg_bsn_monitor_input_cipo  => reg_bsn_monitor_input_cipo,
-    ram_diag_data_buf_bsn_copi  => ram_diag_data_buf_bsn_copi,
-    ram_diag_data_buf_bsn_cipo  => ram_diag_data_buf_bsn_cipo,
-    reg_diag_data_buf_bsn_copi  => reg_diag_data_buf_bsn_copi,
-    reg_diag_data_buf_bsn_cipo  => reg_diag_data_buf_bsn_cipo,
-    ram_st_histogram_copi       => ram_st_histogram_copi,
-    ram_st_histogram_cipo       => ram_st_histogram_cipo,
-    reg_aduh_monitor_copi       => reg_aduh_monitor_copi,
-    reg_aduh_monitor_cipo       => reg_aduh_monitor_cipo,
-
-    -- FSUB
-    ram_st_sst_copi             => ram_st_sst_copi,
-    ram_st_sst_cipo             => ram_st_sst_cipo,
-    reg_si_copi                 => reg_si_copi,
-    reg_si_cipo                 => reg_si_cipo,
-    ram_fil_coefs_copi          => ram_fil_coefs_copi,
-    ram_fil_coefs_cipo          => ram_fil_coefs_cipo,
-    ram_equalizer_gains_copi    => ram_equalizer_gains_copi,
-    ram_equalizer_gains_cipo    => ram_equalizer_gains_cipo,
-    ram_equalizer_gains_cross_copi => ram_equalizer_gains_cross_copi,
-    ram_equalizer_gains_cross_cipo => ram_equalizer_gains_cross_cipo,
-    reg_dp_selector_copi        => reg_dp_selector_copi,
-    reg_dp_selector_cipo        => reg_dp_selector_cipo,
-
-    -- SDP Info
-    reg_sdp_info_copi           => reg_sdp_info_copi,
-    reg_sdp_info_cipo           => reg_sdp_info_cipo,
-
-    -- RING Info
-    reg_ring_info_copi          => reg_ring_info_copi,
-    reg_ring_info_cipo          => reg_ring_info_cipo,
-
-    -- XSUB
-    reg_crosslets_info_copi     => reg_crosslets_info_copi,
-    reg_crosslets_info_cipo     => reg_crosslets_info_cipo,
-    reg_nof_crosslets_copi      => reg_nof_crosslets_copi,
-    reg_nof_crosslets_cipo      => reg_nof_crosslets_cipo,
-    reg_bsn_sync_scheduler_xsub_copi => reg_bsn_sync_scheduler_xsub_copi,
-    reg_bsn_sync_scheduler_xsub_cipo => reg_bsn_sync_scheduler_xsub_cipo,
-    ram_st_xsq_copi             => ram_st_xsq_copi,
-    ram_st_xsq_cipo             => ram_st_xsq_cipo,
-
-    -- BF
-    ram_ss_ss_wide_copi         => ram_ss_ss_wide_copi,
-    ram_ss_ss_wide_cipo         => ram_ss_ss_wide_cipo,
-    ram_bf_weights_copi         => ram_bf_weights_copi,
-    ram_bf_weights_cipo         => ram_bf_weights_cipo,
-    reg_bf_scale_copi           => reg_bf_scale_copi,
-    reg_bf_scale_cipo           => reg_bf_scale_cipo,
-    reg_hdr_dat_copi            => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo            => reg_hdr_dat_cipo,
-    reg_dp_xonoff_copi          => reg_dp_xonoff_copi,
-    reg_dp_xonoff_cipo          => reg_dp_xonoff_cipo,
-    ram_st_bst_copi             => ram_st_bst_copi,
-    ram_st_bst_cipo             => ram_st_bst_cipo,
-    reg_bsn_align_v2_bf_copi    => reg_bsn_align_v2_bf_copi,
-    reg_bsn_align_v2_bf_cipo    => reg_bsn_align_v2_bf_cipo,
-    reg_bsn_monitor_v2_rx_align_bf_copi => reg_bsn_monitor_v2_rx_align_bf_copi,
-    reg_bsn_monitor_v2_rx_align_bf_cipo => reg_bsn_monitor_v2_rx_align_bf_cipo,
-    reg_bsn_monitor_v2_aligned_bf_copi  => reg_bsn_monitor_v2_aligned_bf_copi,
-    reg_bsn_monitor_v2_aligned_bf_cipo  => reg_bsn_monitor_v2_aligned_bf_cipo,
-    reg_ring_lane_info_bf_copi          => reg_ring_lane_info_bf_copi,
-    reg_ring_lane_info_bf_cipo          => reg_ring_lane_info_bf_cipo,
-    reg_bsn_monitor_v2_ring_rx_bf_copi  => reg_bsn_monitor_v2_ring_rx_bf_copi,
-    reg_bsn_monitor_v2_ring_rx_bf_cipo  => reg_bsn_monitor_v2_ring_rx_bf_cipo,
-    reg_bsn_monitor_v2_ring_tx_bf_copi  => reg_bsn_monitor_v2_ring_tx_bf_copi,
-    reg_bsn_monitor_v2_ring_tx_bf_cipo  => reg_bsn_monitor_v2_ring_tx_bf_cipo,
-    reg_dp_block_validate_err_bf_copi   => reg_dp_block_validate_err_bf_copi,
-    reg_dp_block_validate_err_bf_cipo   => reg_dp_block_validate_err_bf_cipo,
-    reg_dp_block_validate_bsn_at_sync_bf_copi => reg_dp_block_validate_bsn_at_sync_bf_copi,
-    reg_dp_block_validate_bsn_at_sync_bf_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo,
-
-    -- SST
-    reg_stat_enable_sst_copi            => reg_stat_enable_sst_copi,
-    reg_stat_enable_sst_cipo            => reg_stat_enable_sst_cipo,
-    reg_stat_hdr_dat_sst_copi           => reg_stat_hdr_dat_sst_copi,
-    reg_stat_hdr_dat_sst_cipo           => reg_stat_hdr_dat_sst_cipo,
-    reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
-    reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
-
-    -- XST
-    reg_stat_enable_xst_copi    => reg_stat_enable_xst_copi,
-    reg_stat_enable_xst_cipo    => reg_stat_enable_xst_cipo,
-    reg_stat_hdr_dat_xst_copi   => reg_stat_hdr_dat_xst_copi,
-    reg_stat_hdr_dat_xst_cipo   => reg_stat_hdr_dat_xst_cipo,
-
-    reg_bsn_align_v2_xsub_copi                 => reg_bsn_align_v2_xsub_copi,
-    reg_bsn_align_v2_xsub_cipo                 => reg_bsn_align_v2_xsub_cipo,
-    reg_bsn_monitor_v2_rx_align_xsub_copi      => reg_bsn_monitor_v2_rx_align_xsub_copi,
-    reg_bsn_monitor_v2_rx_align_xsub_cipo      => reg_bsn_monitor_v2_rx_align_xsub_cipo,
-    reg_bsn_monitor_v2_aligned_xsub_copi       => reg_bsn_monitor_v2_aligned_xsub_copi,
-    reg_bsn_monitor_v2_aligned_xsub_cipo       => reg_bsn_monitor_v2_aligned_xsub_cipo,
-    reg_bsn_monitor_v2_xst_offload_copi        => reg_bsn_monitor_v2_xst_offload_copi,
-    reg_bsn_monitor_v2_xst_offload_cipo        => reg_bsn_monitor_v2_xst_offload_cipo,
-    reg_ring_lane_info_xst_copi                => reg_ring_lane_info_xst_copi,
-    reg_ring_lane_info_xst_cipo                => reg_ring_lane_info_xst_cipo,
-    reg_bsn_monitor_v2_ring_rx_xst_copi        => reg_bsn_monitor_v2_ring_rx_xst_copi,
-    reg_bsn_monitor_v2_ring_rx_xst_cipo        => reg_bsn_monitor_v2_ring_rx_xst_cipo,
-    reg_bsn_monitor_v2_ring_tx_xst_copi        => reg_bsn_monitor_v2_ring_tx_xst_copi,
-    reg_bsn_monitor_v2_ring_tx_xst_cipo        => reg_bsn_monitor_v2_ring_tx_xst_cipo,
-    reg_dp_block_validate_err_xst_copi         => reg_dp_block_validate_err_xst_copi,
-    reg_dp_block_validate_err_xst_cipo         => reg_dp_block_validate_err_xst_cipo,
-    reg_dp_block_validate_bsn_at_sync_xst_copi => reg_dp_block_validate_bsn_at_sync_xst_copi,
-    reg_dp_block_validate_bsn_at_sync_xst_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo,
-    reg_tr_10GbE_mac_copi                      => reg_tr_10GbE_mac_copi,
-    reg_tr_10GbE_mac_cipo                      => reg_tr_10GbE_mac_cipo,
-    reg_tr_10GbE_eth10g_copi                   => reg_tr_10GbE_eth10g_copi,
-    reg_tr_10GbE_eth10g_cipo                   => reg_tr_10GbE_eth10g_cipo,
-
-    -- BST
-    reg_stat_enable_bst_copi               => reg_stat_enable_bst_copi,
-    reg_stat_enable_bst_cipo               => reg_stat_enable_bst_cipo,
-    reg_stat_hdr_dat_bst_copi              => reg_stat_hdr_dat_bst_copi,
-    reg_stat_hdr_dat_bst_cipo              => reg_stat_hdr_dat_bst_cipo,
-    reg_bsn_monitor_v2_bst_offload_copi    => reg_bsn_monitor_v2_bst_offload_copi,
-    reg_bsn_monitor_v2_bst_offload_cipo    => reg_bsn_monitor_v2_bst_offload_cipo,
-    reg_bsn_monitor_v2_beamlet_output_copi => reg_bsn_monitor_v2_beamlet_output_copi,
-    reg_bsn_monitor_v2_beamlet_output_cipo => reg_bsn_monitor_v2_beamlet_output_cipo,
-
-    RING_0_TX => RING_0_TX,
-    RING_0_RX => RING_0_RX,
-    RING_1_TX => RING_1_TX,
-    RING_1_RX => RING_1_RX,
-
-    -- QSFP serial
-    unb2_board_front_io_serial_tx_arr => unb2_board_front_io_serial_tx_arr,
-    unb2_board_front_io_serial_rx_arr => unb2_board_front_io_serial_rx_arr,
-
-    -- QSFP LEDS
-    unb2_board_qsfp_leds_tx_sosi_arr  => unb2_board_qsfp_leds_tx_sosi_arr,
-    unb2_board_qsfp_leds_tx_siso_arr  => unb2_board_qsfp_leds_tx_siso_arr,
-    unb2_board_qsfp_leds_rx_sosi_arr  => unb2_board_qsfp_leds_rx_sosi_arr
-  );
+    generic map (
+      g_sim                    => g_sim,
+      g_wpfb                   => g_wpfb,
+      g_wpfb_complex           => g_wpfb_complex,
+      g_bsn_nof_clk_per_sync   => g_bsn_nof_clk_per_sync,
+      g_scope_selected_subband => g_scope_selected_subband,
+      g_no_jesd                => c_revision_select.no_jesd,
+      g_use_fsub               => c_revision_select.use_fsub,
+      g_use_oversample         => c_revision_select.use_oversample,
+      g_use_xsub               => c_revision_select.use_xsub,
+      g_use_bf                 => c_revision_select.use_bf,
+      g_use_ring               => c_revision_select.use_ring,
+      g_P_sq                   => c_revision_select.P_sq
+    )
+    port map (
+
+      mm_clk => mm_clk,
+      mm_rst => mm_rst,
+
+      dp_pps => dp_pps,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      gn_id        => gn_id,
+      this_bck_id  => this_bck_id,
+      this_chip_id => this_chip_id,
+
+      SA_CLK => SA_CLK,
+
+      -- jesd204b
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+      JESD204B_SYSREF      => JESD204B_SYSREF,
+      JESD204B_SYNC_N      => JESD204B_SYNC_N,
+
+      -- UDP Offload
+      udp_tx_sosi_arr      =>  udp_tx_sosi_arr,
+      udp_tx_siso_arr      =>  udp_tx_siso_arr,
+
+      -- 10 GbE
+      reg_nw_10GbE_mac_copi       => reg_nw_10GbE_mac_copi,
+      reg_nw_10GbE_mac_cipo       => reg_nw_10GbE_mac_cipo,
+      reg_nw_10GbE_eth10g_copi    => reg_nw_10GbE_eth10g_copi,
+      reg_nw_10GbE_eth10g_cipo    => reg_nw_10GbE_eth10g_cipo,
+
+      -- AIT
+      jesd204b_copi               => jesd204b_copi,
+      jesd204b_cipo               => jesd204b_cipo,
+      jesd_ctrl_copi              => jesd_ctrl_copi,
+      jesd_ctrl_cipo              => jesd_ctrl_cipo,
+      reg_dp_shiftram_copi        => reg_dp_shiftram_copi,
+      reg_dp_shiftram_cipo        => reg_dp_shiftram_cipo,
+      reg_bsn_source_v2_copi      => reg_bsn_source_v2_copi,
+      reg_bsn_source_v2_cipo      => reg_bsn_source_v2_cipo,
+      reg_bsn_scheduler_wg_copi   => reg_bsn_scheduler_wg_copi,
+      reg_bsn_scheduler_wg_cipo   => reg_bsn_scheduler_wg_cipo,
+      reg_wg_copi                 => reg_wg_copi,
+      reg_wg_cipo                 => reg_wg_cipo,
+      ram_wg_copi                 => ram_wg_copi,
+      ram_wg_cipo                 => ram_wg_cipo,
+      reg_bsn_monitor_input_copi  => reg_bsn_monitor_input_copi,
+      reg_bsn_monitor_input_cipo  => reg_bsn_monitor_input_cipo,
+      ram_diag_data_buf_bsn_copi  => ram_diag_data_buf_bsn_copi,
+      ram_diag_data_buf_bsn_cipo  => ram_diag_data_buf_bsn_cipo,
+      reg_diag_data_buf_bsn_copi  => reg_diag_data_buf_bsn_copi,
+      reg_diag_data_buf_bsn_cipo  => reg_diag_data_buf_bsn_cipo,
+      ram_st_histogram_copi       => ram_st_histogram_copi,
+      ram_st_histogram_cipo       => ram_st_histogram_cipo,
+      reg_aduh_monitor_copi       => reg_aduh_monitor_copi,
+      reg_aduh_monitor_cipo       => reg_aduh_monitor_cipo,
+
+      -- FSUB
+      ram_st_sst_copi             => ram_st_sst_copi,
+      ram_st_sst_cipo             => ram_st_sst_cipo,
+      reg_si_copi                 => reg_si_copi,
+      reg_si_cipo                 => reg_si_cipo,
+      ram_fil_coefs_copi          => ram_fil_coefs_copi,
+      ram_fil_coefs_cipo          => ram_fil_coefs_cipo,
+      ram_equalizer_gains_copi    => ram_equalizer_gains_copi,
+      ram_equalizer_gains_cipo    => ram_equalizer_gains_cipo,
+      ram_equalizer_gains_cross_copi => ram_equalizer_gains_cross_copi,
+      ram_equalizer_gains_cross_cipo => ram_equalizer_gains_cross_cipo,
+      reg_dp_selector_copi        => reg_dp_selector_copi,
+      reg_dp_selector_cipo        => reg_dp_selector_cipo,
+
+      -- SDP Info
+      reg_sdp_info_copi           => reg_sdp_info_copi,
+      reg_sdp_info_cipo           => reg_sdp_info_cipo,
+
+      -- RING Info
+      reg_ring_info_copi          => reg_ring_info_copi,
+      reg_ring_info_cipo          => reg_ring_info_cipo,
+
+      -- XSUB
+      reg_crosslets_info_copi     => reg_crosslets_info_copi,
+      reg_crosslets_info_cipo     => reg_crosslets_info_cipo,
+      reg_nof_crosslets_copi      => reg_nof_crosslets_copi,
+      reg_nof_crosslets_cipo      => reg_nof_crosslets_cipo,
+      reg_bsn_sync_scheduler_xsub_copi => reg_bsn_sync_scheduler_xsub_copi,
+      reg_bsn_sync_scheduler_xsub_cipo => reg_bsn_sync_scheduler_xsub_cipo,
+      ram_st_xsq_copi             => ram_st_xsq_copi,
+      ram_st_xsq_cipo             => ram_st_xsq_cipo,
+
+      -- BF
+      ram_ss_ss_wide_copi         => ram_ss_ss_wide_copi,
+      ram_ss_ss_wide_cipo         => ram_ss_ss_wide_cipo,
+      ram_bf_weights_copi         => ram_bf_weights_copi,
+      ram_bf_weights_cipo         => ram_bf_weights_cipo,
+      reg_bf_scale_copi           => reg_bf_scale_copi,
+      reg_bf_scale_cipo           => reg_bf_scale_cipo,
+      reg_hdr_dat_copi            => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo            => reg_hdr_dat_cipo,
+      reg_dp_xonoff_copi          => reg_dp_xonoff_copi,
+      reg_dp_xonoff_cipo          => reg_dp_xonoff_cipo,
+      ram_st_bst_copi             => ram_st_bst_copi,
+      ram_st_bst_cipo             => ram_st_bst_cipo,
+      reg_bsn_align_v2_bf_copi    => reg_bsn_align_v2_bf_copi,
+      reg_bsn_align_v2_bf_cipo    => reg_bsn_align_v2_bf_cipo,
+      reg_bsn_monitor_v2_rx_align_bf_copi => reg_bsn_monitor_v2_rx_align_bf_copi,
+      reg_bsn_monitor_v2_rx_align_bf_cipo => reg_bsn_monitor_v2_rx_align_bf_cipo,
+      reg_bsn_monitor_v2_aligned_bf_copi  => reg_bsn_monitor_v2_aligned_bf_copi,
+      reg_bsn_monitor_v2_aligned_bf_cipo  => reg_bsn_monitor_v2_aligned_bf_cipo,
+      reg_ring_lane_info_bf_copi          => reg_ring_lane_info_bf_copi,
+      reg_ring_lane_info_bf_cipo          => reg_ring_lane_info_bf_cipo,
+      reg_bsn_monitor_v2_ring_rx_bf_copi  => reg_bsn_monitor_v2_ring_rx_bf_copi,
+      reg_bsn_monitor_v2_ring_rx_bf_cipo  => reg_bsn_monitor_v2_ring_rx_bf_cipo,
+      reg_bsn_monitor_v2_ring_tx_bf_copi  => reg_bsn_monitor_v2_ring_tx_bf_copi,
+      reg_bsn_monitor_v2_ring_tx_bf_cipo  => reg_bsn_monitor_v2_ring_tx_bf_cipo,
+      reg_dp_block_validate_err_bf_copi   => reg_dp_block_validate_err_bf_copi,
+      reg_dp_block_validate_err_bf_cipo   => reg_dp_block_validate_err_bf_cipo,
+      reg_dp_block_validate_bsn_at_sync_bf_copi => reg_dp_block_validate_bsn_at_sync_bf_copi,
+      reg_dp_block_validate_bsn_at_sync_bf_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo,
+
+      -- SST
+      reg_stat_enable_sst_copi            => reg_stat_enable_sst_copi,
+      reg_stat_enable_sst_cipo            => reg_stat_enable_sst_cipo,
+      reg_stat_hdr_dat_sst_copi           => reg_stat_hdr_dat_sst_copi,
+      reg_stat_hdr_dat_sst_cipo           => reg_stat_hdr_dat_sst_cipo,
+      reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
+      reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
+
+      -- XST
+      reg_stat_enable_xst_copi    => reg_stat_enable_xst_copi,
+      reg_stat_enable_xst_cipo    => reg_stat_enable_xst_cipo,
+      reg_stat_hdr_dat_xst_copi   => reg_stat_hdr_dat_xst_copi,
+      reg_stat_hdr_dat_xst_cipo   => reg_stat_hdr_dat_xst_cipo,
+
+      reg_bsn_align_v2_xsub_copi                 => reg_bsn_align_v2_xsub_copi,
+      reg_bsn_align_v2_xsub_cipo                 => reg_bsn_align_v2_xsub_cipo,
+      reg_bsn_monitor_v2_rx_align_xsub_copi      => reg_bsn_monitor_v2_rx_align_xsub_copi,
+      reg_bsn_monitor_v2_rx_align_xsub_cipo      => reg_bsn_monitor_v2_rx_align_xsub_cipo,
+      reg_bsn_monitor_v2_aligned_xsub_copi       => reg_bsn_monitor_v2_aligned_xsub_copi,
+      reg_bsn_monitor_v2_aligned_xsub_cipo       => reg_bsn_monitor_v2_aligned_xsub_cipo,
+      reg_bsn_monitor_v2_xst_offload_copi        => reg_bsn_monitor_v2_xst_offload_copi,
+      reg_bsn_monitor_v2_xst_offload_cipo        => reg_bsn_monitor_v2_xst_offload_cipo,
+      reg_ring_lane_info_xst_copi                => reg_ring_lane_info_xst_copi,
+      reg_ring_lane_info_xst_cipo                => reg_ring_lane_info_xst_cipo,
+      reg_bsn_monitor_v2_ring_rx_xst_copi        => reg_bsn_monitor_v2_ring_rx_xst_copi,
+      reg_bsn_monitor_v2_ring_rx_xst_cipo        => reg_bsn_monitor_v2_ring_rx_xst_cipo,
+      reg_bsn_monitor_v2_ring_tx_xst_copi        => reg_bsn_monitor_v2_ring_tx_xst_copi,
+      reg_bsn_monitor_v2_ring_tx_xst_cipo        => reg_bsn_monitor_v2_ring_tx_xst_cipo,
+      reg_dp_block_validate_err_xst_copi         => reg_dp_block_validate_err_xst_copi,
+      reg_dp_block_validate_err_xst_cipo         => reg_dp_block_validate_err_xst_cipo,
+      reg_dp_block_validate_bsn_at_sync_xst_copi => reg_dp_block_validate_bsn_at_sync_xst_copi,
+      reg_dp_block_validate_bsn_at_sync_xst_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo,
+      reg_tr_10GbE_mac_copi                      => reg_tr_10GbE_mac_copi,
+      reg_tr_10GbE_mac_cipo                      => reg_tr_10GbE_mac_cipo,
+      reg_tr_10GbE_eth10g_copi                   => reg_tr_10GbE_eth10g_copi,
+      reg_tr_10GbE_eth10g_cipo                   => reg_tr_10GbE_eth10g_cipo,
+
+      -- BST
+      reg_stat_enable_bst_copi               => reg_stat_enable_bst_copi,
+      reg_stat_enable_bst_cipo               => reg_stat_enable_bst_cipo,
+      reg_stat_hdr_dat_bst_copi              => reg_stat_hdr_dat_bst_copi,
+      reg_stat_hdr_dat_bst_cipo              => reg_stat_hdr_dat_bst_cipo,
+      reg_bsn_monitor_v2_bst_offload_copi    => reg_bsn_monitor_v2_bst_offload_copi,
+      reg_bsn_monitor_v2_bst_offload_cipo    => reg_bsn_monitor_v2_bst_offload_cipo,
+      reg_bsn_monitor_v2_beamlet_output_copi => reg_bsn_monitor_v2_beamlet_output_copi,
+      reg_bsn_monitor_v2_beamlet_output_cipo => reg_bsn_monitor_v2_beamlet_output_cipo,
+
+      RING_0_TX => RING_0_TX,
+      RING_0_RX => RING_0_RX,
+      RING_1_TX => RING_1_TX,
+      RING_1_RX => RING_1_RX,
+
+      -- QSFP serial
+      unb2_board_front_io_serial_tx_arr => unb2_board_front_io_serial_tx_arr,
+      unb2_board_front_io_serial_rx_arr => unb2_board_front_io_serial_rx_arr,
+
+      -- QSFP LEDS
+      unb2_board_qsfp_leds_tx_sosi_arr  => unb2_board_qsfp_leds_tx_sosi_arr,
+      unb2_board_qsfp_leds_tx_siso_arr  => unb2_board_qsfp_leds_tx_siso_arr,
+      unb2_board_qsfp_leds_rx_sosi_arr  => unb2_board_qsfp_leds_rx_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Interface : 10GbE
@@ -1016,41 +1016,41 @@ begin
   -- Front IO
   ------------
   u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-  )
-  port map (
-    serial_tx_arr => unb2_board_front_io_serial_tx_arr,
-    serial_rx_arr => unb2_board_front_io_serial_rx_arr,
+    generic map (
+      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+    )
+    port map (
+      serial_tx_arr => unb2_board_front_io_serial_tx_arr,
+      serial_rx_arr => unb2_board_front_io_serial_rx_arr,
 
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
 
-    QSFP_RX       => i_QSFP_RX,
-    QSFP_TX       => i_QSFP_TX,
+      QSFP_RX       => i_QSFP_RX,
+      QSFP_TX       => i_QSFP_TX,
 
-    QSFP_LED      => QSFP_LED
-  );
+      QSFP_LED      => QSFP_LED
+    );
 
   ------------
   -- LEDs
   ------------
   u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr,
-
-    tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr,
-    tx_sosi_arr     => unb2_board_qsfp_leds_tx_sosi_arr,
-    rx_sosi_arr     => unb2_board_qsfp_leds_rx_sosi_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr,
+
+      tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr,
+      tx_sosi_arr     => unb2_board_qsfp_leds_tx_sosi_arr,
+      rx_sosi_arr     => unb2_board_qsfp_leds_rx_sosi_arr
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd
index e8670d3d44..0e33cbe577 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
 
 package lofar2_unb2b_sdp_station_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -53,7 +53,7 @@ package lofar2_unb2b_sdp_station_pkg is
   constant c_full_os    : t_lofar2_unb2b_sdp_station_config := (false, true,  true,  true,  true,  true,  9);
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_sdp_station_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_sdp_station_config;
 
 
 end lofar2_unb2b_sdp_station_pkg;
@@ -61,7 +61,7 @@ end lofar2_unb2b_sdp_station_pkg;
 
 package body lofar2_unb2b_sdp_station_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2b_sdp_station_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2b_sdp_station_config is
   begin
     if    g_design_name = "lofar2_unb2b_sdp_station_adc"        then return c_ait;
     elsif g_design_name = "lofar2_unb2b_sdp_station_fsub"       then return c_fsub;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/mmm_lofar2_unb2b_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/mmm_lofar2_unb2b_sdp_station.vhd
index feaf68b374..a5438f4373 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/mmm_lofar2_unb2b_sdp_station.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/mmm_lofar2_unb2b_sdp_station.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2b_sdp_station_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2b_sdp_station_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmm_lofar2_unb2b_sdp_station is
   generic (
@@ -150,187 +150,187 @@ entity mmm_lofar2_unb2b_sdp_station is
     reg_si_copi                   : out t_mem_copi;
     reg_si_cipo                   : in  t_mem_cipo;
 
-   -- Equalizer gains
-   ram_equalizer_gains_copi       : out t_mem_copi;
-   ram_equalizer_gains_cipo       : in  t_mem_cipo;
-   ram_equalizer_gains_cross_copi : out t_mem_copi;
-   ram_equalizer_gains_cross_cipo : in  t_mem_cipo;
+    -- Equalizer gains
+    ram_equalizer_gains_copi       : out t_mem_copi;
+    ram_equalizer_gains_cipo       : in  t_mem_cipo;
+    ram_equalizer_gains_cross_copi : out t_mem_copi;
+    ram_equalizer_gains_cross_cipo : in  t_mem_cipo;
 
-   -- DP Selector
-   reg_dp_selector_copi           : out t_mem_copi;
-   reg_dp_selector_cipo           : in  t_mem_cipo;
+    -- DP Selector
+    reg_dp_selector_copi           : out t_mem_copi;
+    reg_dp_selector_cipo           : in  t_mem_cipo;
 
-   -- SDP Info
-   reg_sdp_info_copi              : out t_mem_copi;
-   reg_sdp_info_cipo              : in  t_mem_cipo;
+    -- SDP Info
+    reg_sdp_info_copi              : out t_mem_copi;
+    reg_sdp_info_cipo              : in  t_mem_cipo;
 
-   -- RING Info
-   reg_ring_info_copi             : out t_mem_copi;
-   reg_ring_info_cipo             : in  t_mem_cipo;
+    -- RING Info
+    reg_ring_info_copi             : out t_mem_copi;
+    reg_ring_info_cipo             : in  t_mem_cipo;
 
-   -- Beamlet Subband Select
-   ram_ss_ss_wide_copi            : out t_mem_copi;
-   ram_ss_ss_wide_cipo            : in  t_mem_cipo;
+    -- Beamlet Subband Select
+    ram_ss_ss_wide_copi            : out t_mem_copi;
+    ram_ss_ss_wide_cipo            : in  t_mem_cipo;
 
-   -- Local BF bf weights
-   ram_bf_weights_copi            : out t_mem_copi;
-   ram_bf_weights_cipo            : in  t_mem_cipo;
+    -- Local BF bf weights
+    ram_bf_weights_copi            : out t_mem_copi;
+    ram_bf_weights_cipo            : in  t_mem_cipo;
 
-   -- BF bsn aligner_v2
-   reg_bsn_align_v2_bf_copi       : out t_mem_copi;
-   reg_bsn_align_v2_bf_cipo       : in  t_mem_cipo;
+    -- BF bsn aligner_v2
+    reg_bsn_align_v2_bf_copi       : out t_mem_copi;
+    reg_bsn_align_v2_bf_cipo       : in  t_mem_cipo;
 
-   -- BF bsn aligner_v2 bsn monitors
-   reg_bsn_monitor_v2_rx_align_bf_copi : out t_mem_copi;
-   reg_bsn_monitor_v2_rx_align_bf_cipo : in  t_mem_cipo;
-   reg_bsn_monitor_v2_aligned_bf_copi  : out t_mem_copi;
-   reg_bsn_monitor_v2_aligned_bf_cipo  : in  t_mem_cipo;
-
-   -- mms_dp_scale Scale Beamlets
-   reg_bf_scale_copi              : out t_mem_copi;
-   reg_bf_scale_cipo              : in  t_mem_cipo;
-
-   -- Beamlet Data Output header fields
-   reg_hdr_dat_copi               : out t_mem_copi;
-   reg_hdr_dat_cipo               : in  t_mem_cipo;
-
-   -- Beamlet Data Output xonoff
-   reg_dp_xonoff_copi             : out t_mem_copi;
-   reg_dp_xonoff_cipo             : in  t_mem_cipo;
-
-   -- BF ring lane info
-   reg_ring_lane_info_bf_copi                 : out t_mem_copi;
-   reg_ring_lane_info_bf_cipo                 : in  t_mem_cipo;
-
-   -- BF ring bsn monitor rx
-   reg_bsn_monitor_v2_ring_rx_bf_copi         : out t_mem_copi;
-   reg_bsn_monitor_v2_ring_rx_bf_cipo         : in  t_mem_cipo;
-
-   -- BF ring bsn monitor tx
-   reg_bsn_monitor_v2_ring_tx_bf_copi         : out t_mem_copi;
-   reg_bsn_monitor_v2_ring_tx_bf_cipo         : in  t_mem_cipo;
-
-   -- BF ring validate err
-   reg_dp_block_validate_err_bf_copi          : out t_mem_copi;
-   reg_dp_block_validate_err_bf_cipo          : in  t_mem_cipo;
-
-   -- BF ring bsn at sync
-   reg_dp_block_validate_bsn_at_sync_bf_copi  : out t_mem_copi;
-   reg_dp_block_validate_bsn_at_sync_bf_cipo  : in  t_mem_cipo;
-
-   -- Beamlet Statistics (BST)
-   ram_st_bst_copi                : out t_mem_copi;
-   ram_st_bst_cipo                : in  t_mem_cipo;
-
-   -- Subband Statistics offload
-   reg_stat_enable_sst_copi       : out t_mem_copi;
-   reg_stat_enable_sst_cipo       : in  t_mem_cipo;
-
-   -- Statistics header info
-   reg_stat_hdr_dat_sst_copi      : out t_mem_copi;
-   reg_stat_hdr_dat_sst_cipo      : in  t_mem_cipo;
-
-   -- Crosslet Statistics offload
-   reg_stat_enable_xst_copi       : out t_mem_copi;
-   reg_stat_enable_xst_cipo       : in  t_mem_cipo;
-
-   -- Crosslet Statistics header info
-   reg_stat_hdr_dat_xst_copi      : out t_mem_copi;
-   reg_stat_hdr_dat_xst_cipo      : in  t_mem_cipo;
-
-   -- Beamlet Statistics offload
-   reg_stat_enable_bst_copi       : out t_mem_copi;
-   reg_stat_enable_bst_cipo       : in  t_mem_cipo;
-
-   -- Beamlet Statistics header info
-   reg_stat_hdr_dat_bst_copi      : out t_mem_copi;
-   reg_stat_hdr_dat_bst_cipo      : in  t_mem_cipo;
-
-   -- crosslets_info
-   reg_crosslets_info_copi        : out t_mem_copi;
-   reg_crosslets_info_cipo        : in  t_mem_cipo;
-
-   -- crosslets_info
-   reg_nof_crosslets_copi         : out t_mem_copi;
-   reg_nof_crosslets_cipo         : in  t_mem_cipo;
-
-   -- bsn_sync_scheduler_xsub
-   reg_bsn_sync_scheduler_xsub_copi    : out t_mem_copi;
-   reg_bsn_sync_scheduler_xsub_cipo    : in  t_mem_cipo;
-
-   -- st_xsq (XST)
-   ram_st_xsq_copi                : out t_mem_copi;
-   ram_st_xsq_cipo                : in  t_mem_cipo;
-
-   -- 10 GbE mac
-   reg_nw_10GbE_mac_copi          : out t_mem_copi;
-   reg_nw_10GbE_mac_cipo          : in  t_mem_cipo;
-
-   -- 10 GbE eth
-   reg_nw_10GbE_eth10g_copi       : out t_mem_copi;
-   reg_nw_10GbE_eth10g_cipo       : in  t_mem_cipo;
-
-   -- XST bsn aligner_v2
-   reg_bsn_align_v2_xsub_copi                : out t_mem_copi;
-   reg_bsn_align_v2_xsub_cipo                : in  t_mem_cipo;
-
-   -- XST bsn aligner_v2 bsn monitors
-   reg_bsn_monitor_v2_rx_align_xsub_copi     : out t_mem_copi;
-   reg_bsn_monitor_v2_rx_align_xsub_cipo     : in  t_mem_cipo;
-   reg_bsn_monitor_v2_aligned_xsub_copi      : out t_mem_copi;
-   reg_bsn_monitor_v2_aligned_xsub_cipo      : in  t_mem_cipo;
-
-   -- XST UDP offload bsn monitor
-   reg_bsn_monitor_v2_xst_offload_copi       : out t_mem_copi;
-   reg_bsn_monitor_v2_xst_offload_cipo       : in  t_mem_cipo;
-
-   -- BST UDP offload bsn monitor
-   reg_bsn_monitor_v2_bst_offload_copi       : out t_mem_copi;
-   reg_bsn_monitor_v2_bst_offload_cipo       : in  t_mem_cipo;
-
-   -- Beamlet output bsn monitor
-   reg_bsn_monitor_v2_beamlet_output_copi    : out t_mem_copi;
-   reg_bsn_monitor_v2_beamlet_output_cipo    : in  t_mem_cipo;
-
-   -- SST UDP offload bsn monitor
-   reg_bsn_monitor_v2_sst_offload_copi       : out t_mem_copi;
-   reg_bsn_monitor_v2_sst_offload_cipo       : in  t_mem_cipo;
-
-   -- XST ring lane info
-   reg_ring_lane_info_xst_copi    : out t_mem_copi;
-   reg_ring_lane_info_xst_cipo    : in  t_mem_cipo;
-
-   -- XST ring bsn monitor rx
-   reg_bsn_monitor_v2_ring_rx_xst_copi: out t_mem_copi;
-   reg_bsn_monitor_v2_ring_rx_xst_cipo: in  t_mem_cipo;
-
-   -- XST ring bsn monitor tx
-   reg_bsn_monitor_v2_ring_tx_xst_copi : out t_mem_copi;
-   reg_bsn_monitor_v2_ring_tx_xst_cipo : in  t_mem_cipo;
-
-   -- XST ring validate err
-   reg_dp_block_validate_err_xst_copi : out t_mem_copi;
-   reg_dp_block_validate_err_xst_cipo : in  t_mem_cipo;
-
-   -- XST ring bsn at sync
-   reg_dp_block_validate_bsn_at_sync_xst_copi : out t_mem_copi;
-   reg_dp_block_validate_bsn_at_sync_xst_cipo : in  t_mem_cipo;
-
-   -- XST ring MAC
-   reg_tr_10GbE_mac_copi          : out t_mem_copi;
-   reg_tr_10GbE_mac_cipo          : in  t_mem_cipo;
-
-   -- XST ring ETH
-   reg_tr_10GbE_eth10g_copi       : out t_mem_copi;
-   reg_tr_10GbE_eth10g_cipo       : in  t_mem_cipo;
-
-   -- Scrap ram
-   ram_scrap_copi                 : out t_mem_copi;
-   ram_scrap_cipo                 : in  t_mem_cipo;
-
-   -- Jesd reset control
-   jesd_ctrl_copi                 : out t_mem_copi;
-   jesd_ctrl_cipo                 : in  t_mem_cipo
+    -- BF bsn aligner_v2 bsn monitors
+    reg_bsn_monitor_v2_rx_align_bf_copi : out t_mem_copi;
+    reg_bsn_monitor_v2_rx_align_bf_cipo : in  t_mem_cipo;
+    reg_bsn_monitor_v2_aligned_bf_copi  : out t_mem_copi;
+    reg_bsn_monitor_v2_aligned_bf_cipo  : in  t_mem_cipo;
+
+    -- mms_dp_scale Scale Beamlets
+    reg_bf_scale_copi              : out t_mem_copi;
+    reg_bf_scale_cipo              : in  t_mem_cipo;
+
+    -- Beamlet Data Output header fields
+    reg_hdr_dat_copi               : out t_mem_copi;
+    reg_hdr_dat_cipo               : in  t_mem_cipo;
+
+    -- Beamlet Data Output xonoff
+    reg_dp_xonoff_copi             : out t_mem_copi;
+    reg_dp_xonoff_cipo             : in  t_mem_cipo;
+
+    -- BF ring lane info
+    reg_ring_lane_info_bf_copi                 : out t_mem_copi;
+    reg_ring_lane_info_bf_cipo                 : in  t_mem_cipo;
+
+    -- BF ring bsn monitor rx
+    reg_bsn_monitor_v2_ring_rx_bf_copi         : out t_mem_copi;
+    reg_bsn_monitor_v2_ring_rx_bf_cipo         : in  t_mem_cipo;
+
+    -- BF ring bsn monitor tx
+    reg_bsn_monitor_v2_ring_tx_bf_copi         : out t_mem_copi;
+    reg_bsn_monitor_v2_ring_tx_bf_cipo         : in  t_mem_cipo;
+
+    -- BF ring validate err
+    reg_dp_block_validate_err_bf_copi          : out t_mem_copi;
+    reg_dp_block_validate_err_bf_cipo          : in  t_mem_cipo;
+
+    -- BF ring bsn at sync
+    reg_dp_block_validate_bsn_at_sync_bf_copi  : out t_mem_copi;
+    reg_dp_block_validate_bsn_at_sync_bf_cipo  : in  t_mem_cipo;
+
+    -- Beamlet Statistics (BST)
+    ram_st_bst_copi                : out t_mem_copi;
+    ram_st_bst_cipo                : in  t_mem_cipo;
+
+    -- Subband Statistics offload
+    reg_stat_enable_sst_copi       : out t_mem_copi;
+    reg_stat_enable_sst_cipo       : in  t_mem_cipo;
+
+    -- Statistics header info
+    reg_stat_hdr_dat_sst_copi      : out t_mem_copi;
+    reg_stat_hdr_dat_sst_cipo      : in  t_mem_cipo;
+
+    -- Crosslet Statistics offload
+    reg_stat_enable_xst_copi       : out t_mem_copi;
+    reg_stat_enable_xst_cipo       : in  t_mem_cipo;
+
+    -- Crosslet Statistics header info
+    reg_stat_hdr_dat_xst_copi      : out t_mem_copi;
+    reg_stat_hdr_dat_xst_cipo      : in  t_mem_cipo;
+
+    -- Beamlet Statistics offload
+    reg_stat_enable_bst_copi       : out t_mem_copi;
+    reg_stat_enable_bst_cipo       : in  t_mem_cipo;
+
+    -- Beamlet Statistics header info
+    reg_stat_hdr_dat_bst_copi      : out t_mem_copi;
+    reg_stat_hdr_dat_bst_cipo      : in  t_mem_cipo;
+
+    -- crosslets_info
+    reg_crosslets_info_copi        : out t_mem_copi;
+    reg_crosslets_info_cipo        : in  t_mem_cipo;
+
+    -- crosslets_info
+    reg_nof_crosslets_copi         : out t_mem_copi;
+    reg_nof_crosslets_cipo         : in  t_mem_cipo;
+
+    -- bsn_sync_scheduler_xsub
+    reg_bsn_sync_scheduler_xsub_copi    : out t_mem_copi;
+    reg_bsn_sync_scheduler_xsub_cipo    : in  t_mem_cipo;
+
+    -- st_xsq (XST)
+    ram_st_xsq_copi                : out t_mem_copi;
+    ram_st_xsq_cipo                : in  t_mem_cipo;
+
+    -- 10 GbE mac
+    reg_nw_10GbE_mac_copi          : out t_mem_copi;
+    reg_nw_10GbE_mac_cipo          : in  t_mem_cipo;
+
+    -- 10 GbE eth
+    reg_nw_10GbE_eth10g_copi       : out t_mem_copi;
+    reg_nw_10GbE_eth10g_cipo       : in  t_mem_cipo;
+
+    -- XST bsn aligner_v2
+    reg_bsn_align_v2_xsub_copi                : out t_mem_copi;
+    reg_bsn_align_v2_xsub_cipo                : in  t_mem_cipo;
+
+    -- XST bsn aligner_v2 bsn monitors
+    reg_bsn_monitor_v2_rx_align_xsub_copi     : out t_mem_copi;
+    reg_bsn_monitor_v2_rx_align_xsub_cipo     : in  t_mem_cipo;
+    reg_bsn_monitor_v2_aligned_xsub_copi      : out t_mem_copi;
+    reg_bsn_monitor_v2_aligned_xsub_cipo      : in  t_mem_cipo;
+
+    -- XST UDP offload bsn monitor
+    reg_bsn_monitor_v2_xst_offload_copi       : out t_mem_copi;
+    reg_bsn_monitor_v2_xst_offload_cipo       : in  t_mem_cipo;
+
+    -- BST UDP offload bsn monitor
+    reg_bsn_monitor_v2_bst_offload_copi       : out t_mem_copi;
+    reg_bsn_monitor_v2_bst_offload_cipo       : in  t_mem_cipo;
+
+    -- Beamlet output bsn monitor
+    reg_bsn_monitor_v2_beamlet_output_copi    : out t_mem_copi;
+    reg_bsn_monitor_v2_beamlet_output_cipo    : in  t_mem_cipo;
+
+    -- SST UDP offload bsn monitor
+    reg_bsn_monitor_v2_sst_offload_copi       : out t_mem_copi;
+    reg_bsn_monitor_v2_sst_offload_cipo       : in  t_mem_cipo;
+
+    -- XST ring lane info
+    reg_ring_lane_info_xst_copi    : out t_mem_copi;
+    reg_ring_lane_info_xst_cipo    : in  t_mem_cipo;
+
+    -- XST ring bsn monitor rx
+    reg_bsn_monitor_v2_ring_rx_xst_copi: out t_mem_copi;
+    reg_bsn_monitor_v2_ring_rx_xst_cipo: in  t_mem_cipo;
+
+    -- XST ring bsn monitor tx
+    reg_bsn_monitor_v2_ring_tx_xst_copi : out t_mem_copi;
+    reg_bsn_monitor_v2_ring_tx_xst_cipo : in  t_mem_cipo;
+
+    -- XST ring validate err
+    reg_dp_block_validate_err_xst_copi : out t_mem_copi;
+    reg_dp_block_validate_err_xst_cipo : in  t_mem_cipo;
+
+    -- XST ring bsn at sync
+    reg_dp_block_validate_bsn_at_sync_xst_copi : out t_mem_copi;
+    reg_dp_block_validate_bsn_at_sync_xst_cipo : in  t_mem_cipo;
+
+    -- XST ring MAC
+    reg_tr_10GbE_mac_copi          : out t_mem_copi;
+    reg_tr_10GbE_mac_cipo          : in  t_mem_cipo;
+
+    -- XST ring ETH
+    reg_tr_10GbE_eth10g_copi       : out t_mem_copi;
+    reg_tr_10GbE_eth10g_cipo       : in  t_mem_cipo;
+
+    -- Scrap ram
+    ram_scrap_copi                 : out t_mem_copi;
+    ram_scrap_cipo                 : in  t_mem_cipo;
+
+    -- Jesd reset control
+    jesd_ctrl_copi                 : out t_mem_copi;
+    jesd_ctrl_cipo                 : in  t_mem_cipo
   );
 end mmm_lofar2_unb2b_sdp_station;
 
@@ -350,213 +350,213 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
 
     u_mm_file_rom_unb_system_info     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
 
     u_mm_file_reg_wdi                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
+      port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
 
     u_mm_file_reg_unb_sens            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                port map(mm_rst, mm_clk, reg_unb_sens_copi, reg_unb_sens_cipo );
+      port map(mm_rst, mm_clk, reg_unb_sens_copi, reg_unb_sens_cipo );
 
     u_mm_file_reg_unb_pmbus           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                                port map(mm_rst, mm_clk, reg_unb_pmbus_copi, reg_unb_pmbus_cipo );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_copi, reg_unb_pmbus_cipo );
 
     u_mm_file_reg_fpga_temp_sens      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                                port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
 
     u_mm_file_reg_fpga_voltage_sens   :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                                port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
 
     u_mm_file_reg_ppsh                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
+      port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
+      port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
 
     -- Must use exact g_mm_rd_latency = 1 instead of default 2, because JESD204B IP forces rddata = 0 after it has been read
     u_mm_file_jesd204b                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B", '1', 1)
-                                                 port map(mm_rst, mm_clk, jesd204b_copi, jesd204b_cipo );
+      port map(mm_rst, mm_clk, jesd204b_copi, jesd204b_cipo );
 
     u_mm_file_pio_jesd_ctrl           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_JESD_CTRL")
-                                                 port map(mm_rst, mm_clk, jesd_ctrl_copi, jesd_ctrl_cipo );
+      port map(mm_rst, mm_clk, jesd_ctrl_copi, jesd_ctrl_cipo );
 
     u_mm_file_reg_dp_shiftram         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM")
-                                                 port map(mm_rst, mm_clk, reg_dp_shiftram_copi, reg_dp_shiftram_cipo );
+      port map(mm_rst, mm_clk, reg_dp_shiftram_copi, reg_dp_shiftram_cipo );
 
     u_mm_file_reg_bsn_source_v2       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE_V2")
-                                                 port map(mm_rst, mm_clk, reg_bsn_source_v2_copi, reg_bsn_source_v2_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_source_v2_copi, reg_bsn_source_v2_cipo );
 
     u_mm_file_reg_bsn_scheduler       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                                 port map(mm_rst, mm_clk, reg_bsn_scheduler_copi, reg_bsn_scheduler_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_copi, reg_bsn_scheduler_cipo );
 
     u_mm_file_reg_bsn_monitor_input   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT")
-                                                 port map(mm_rst, mm_clk, reg_bsn_monitor_input_copi, reg_bsn_monitor_input_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_input_copi, reg_bsn_monitor_input_cipo );
 
     u_mm_file_reg_wg                  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG")
-                                                 port map(mm_rst, mm_clk, reg_wg_copi, reg_wg_cipo );
+      port map(mm_rst, mm_clk, reg_wg_copi, reg_wg_cipo );
     u_mm_file_ram_wg                  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG")
-                                                port map(mm_rst, mm_clk, ram_wg_copi, ram_wg_cipo );
+      port map(mm_rst, mm_clk, ram_wg_copi, ram_wg_cipo );
 
     u_mm_file_ram_diag_data_buf_bsn   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_BSN")
-                                                port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_copi, ram_diag_data_buf_bsn_cipo );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_copi, ram_diag_data_buf_bsn_cipo );
     u_mm_file_reg_diag_data_buf_bsn   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_BSN")
-                                                port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_copi, reg_diag_data_buf_bsn_cipo );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_copi, reg_diag_data_buf_bsn_cipo );
 
     u_mm_file_ram_st_histogram        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_HISTOGRAM")
-                                                port map(mm_rst, mm_clk, ram_st_histogram_copi, ram_st_histogram_cipo );
+      port map(mm_rst, mm_clk, ram_st_histogram_copi, ram_st_histogram_cipo );
 
     u_mm_file_reg_aduh_monitor        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR")
-                                                port map(mm_rst, mm_clk, reg_aduh_monitor_copi, reg_aduh_monitor_cipo );
+      port map(mm_rst, mm_clk, reg_aduh_monitor_copi, reg_aduh_monitor_cipo );
 
     u_mm_file_ram_st_sst              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST")
-                                                port map(mm_rst, mm_clk, ram_st_sst_copi, ram_st_sst_cipo );
+      port map(mm_rst, mm_clk, ram_st_sst_copi, ram_st_sst_cipo );
 
     u_mm_file_ram_fil_coefs           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_FIL_COEFS")
-                                                port map(mm_rst, mm_clk, ram_fil_coefs_copi, ram_fil_coefs_cipo );
+      port map(mm_rst, mm_clk, ram_fil_coefs_copi, ram_fil_coefs_cipo );
 
     u_mm_file_reg_si                  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SI")
-                                               port map(mm_rst, mm_clk, reg_si_copi, reg_si_cipo );
+      port map(mm_rst, mm_clk, reg_si_copi, reg_si_cipo );
 
     u_mm_file_ram_equalizer_gains     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS")
-                                                port map(mm_rst, mm_clk, ram_equalizer_gains_copi, ram_equalizer_gains_cipo );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_copi, ram_equalizer_gains_cipo );
     u_mm_file_ram_equalizer_gains_cross : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS_CROSS")
-                                                  port map(mm_rst, mm_clk, ram_equalizer_gains_cross_copi, ram_equalizer_gains_cross_cipo );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_cross_copi, ram_equalizer_gains_cross_cipo );
 
     u_mm_file_reg_dp_selector         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SELECTOR")
-                                               port map(mm_rst, mm_clk, reg_dp_selector_copi, reg_dp_selector_cipo );
+      port map(mm_rst, mm_clk, reg_dp_selector_copi, reg_dp_selector_cipo );
 
     u_mm_file_reg_sdp_info            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SDP_INFO")
-                                               port map(mm_rst, mm_clk, reg_sdp_info_copi, reg_sdp_info_cipo );
+      port map(mm_rst, mm_clk, reg_sdp_info_copi, reg_sdp_info_cipo );
 
     u_mm_file_reg_ring_info           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_INFO")
-                                               port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo );
+      port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo );
 
     u_mm_file_ram_ss_ss_wide          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE")
-                                               port map(mm_rst, mm_clk, ram_ss_ss_wide_copi, ram_ss_ss_wide_cipo );
+      port map(mm_rst, mm_clk, ram_ss_ss_wide_copi, ram_ss_ss_wide_cipo );
 
     u_mm_file_ram_bf_weights          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_BF_WEIGHTS")
-                                               port map(mm_rst, mm_clk, ram_bf_weights_copi, ram_bf_weights_cipo );
+      port map(mm_rst, mm_clk, ram_bf_weights_copi, ram_bf_weights_cipo );
 
     u_mm_file_reg_bf_scale            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BF_SCALE")
-                                               port map(mm_rst, mm_clk, reg_bf_scale_copi, reg_bf_scale_cipo );
+      port map(mm_rst, mm_clk, reg_bf_scale_copi, reg_bf_scale_cipo );
 
     u_mm_file_reg_hdr_dat             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT")
-                                               port map(mm_rst, mm_clk, reg_hdr_dat_copi, reg_hdr_dat_cipo );
+      port map(mm_rst, mm_clk, reg_hdr_dat_copi, reg_hdr_dat_cipo );
 
     u_mm_file_reg_dp_xonoff           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF")
-                                               port map(mm_rst, mm_clk, reg_dp_xonoff_copi, reg_dp_xonoff_cipo );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_copi, reg_dp_xonoff_cipo );
 
     u_mm_file_ram_st_bst              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_BST")
-                                               port map(mm_rst, mm_clk, ram_st_bst_copi, ram_st_bst_cipo );
+      port map(mm_rst, mm_clk, ram_st_bst_copi, ram_st_bst_cipo );
 
     u_mm_file_reg_stat_enable_sst     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_SST")
-                                                port map(mm_rst, mm_clk, reg_stat_enable_sst_copi, reg_stat_enable_sst_cipo );
+      port map(mm_rst, mm_clk, reg_stat_enable_sst_copi, reg_stat_enable_sst_cipo );
 
     u_mm_file_reg_stat_hdr_info_sst   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_SST")
-                                                port map(mm_rst, mm_clk, reg_stat_hdr_dat_sst_copi, reg_stat_hdr_dat_sst_cipo);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_sst_copi, reg_stat_hdr_dat_sst_cipo);
 
     u_mm_file_reg_stat_enable_xst     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_XST")
-                                                port map(mm_rst, mm_clk, reg_stat_enable_xst_copi, reg_stat_enable_xst_cipo );
+      port map(mm_rst, mm_clk, reg_stat_enable_xst_copi, reg_stat_enable_xst_cipo );
 
     u_mm_file_reg_stat_hdr_info_xst   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_XST")
-                                                port map(mm_rst, mm_clk, reg_stat_hdr_dat_xst_copi, reg_stat_hdr_dat_xst_cipo);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_xst_copi, reg_stat_hdr_dat_xst_cipo);
 
     u_mm_file_reg_stat_enable_bst     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_BST")
-                                                port map(mm_rst, mm_clk, reg_stat_enable_bst_copi, reg_stat_enable_bst_cipo );
+      port map(mm_rst, mm_clk, reg_stat_enable_bst_copi, reg_stat_enable_bst_cipo );
 
     u_mm_file_reg_stat_hdr_info_bst   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_BST")
-                                                port map(mm_rst, mm_clk, reg_stat_hdr_dat_bst_copi, reg_stat_hdr_dat_bst_cipo);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_bst_copi, reg_stat_hdr_dat_bst_cipo);
 
     u_mm_file_reg_crosslets_info      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_CROSSLETS_INFO")
-                                                port map(mm_rst, mm_clk, reg_crosslets_info_copi, reg_crosslets_info_cipo);
+      port map(mm_rst, mm_clk, reg_crosslets_info_copi, reg_crosslets_info_cipo);
 
     u_mm_file_reg_nof_crosslets       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NOF_CROSSLETS")
-                                                port map(mm_rst, mm_clk, reg_nof_crosslets_copi, reg_nof_crosslets_cipo);
+      port map(mm_rst, mm_clk, reg_nof_crosslets_copi, reg_nof_crosslets_cipo);
 
     u_mm_file_reg_bsn_sync_scheduler_xsub  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SYNC_SCHEDULER_XSUB")
-                                                     port map(mm_rst, mm_clk, reg_bsn_sync_scheduler_xsub_copi, reg_bsn_sync_scheduler_xsub_cipo);
+      port map(mm_rst, mm_clk, reg_bsn_sync_scheduler_xsub_copi, reg_bsn_sync_scheduler_xsub_cipo);
 
     u_mm_file_ram_st_xsq              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_XSQ")
-                                                port map(mm_rst, mm_clk, ram_st_xsq_copi, ram_st_xsq_cipo);
+      port map(mm_rst, mm_clk, ram_st_xsq_copi, ram_st_xsq_cipo);
 
     u_mm_file_reg_nw_10GbE_mac        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_MAC")
-                                                port map(mm_rst, mm_clk, reg_nw_10GbE_mac_copi, reg_nw_10GbE_mac_cipo );
+      port map(mm_rst, mm_clk, reg_nw_10GbE_mac_copi, reg_nw_10GbE_mac_cipo );
 
     u_mm_file_reg_nw_10GbE_eth10g     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_ETH10G")
-                                                port map(mm_rst, mm_clk, reg_nw_10GbE_eth10g_copi, reg_nw_10GbE_eth10g_cipo );
+      port map(mm_rst, mm_clk, reg_nw_10GbE_eth10g_copi, reg_nw_10GbE_eth10g_cipo );
 
     u_mm_file_reg_bsn_align_v2_bf     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_ALIGN_V2_BF")
-                                                port map(mm_rst, mm_clk, reg_bsn_align_v2_bf_copi, reg_bsn_align_v2_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_align_v2_bf_copi, reg_bsn_align_v2_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_rx_align_bf : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ALIGN_BF")
-                                                       port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_bf_copi, reg_bsn_monitor_v2_rx_align_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_bf_copi, reg_bsn_monitor_v2_rx_align_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_aligned_bf  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_ALIGNED_BF")
-                                                       port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_bf_copi, reg_bsn_monitor_v2_aligned_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_bf_copi, reg_bsn_monitor_v2_aligned_bf_cipo );
 
     u_mm_file_reg_ring_lane_info_bf          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO_BF")
-                                                       port map(mm_rst, mm_clk, reg_ring_lane_info_bf_copi, reg_ring_lane_info_bf_cipo );
+      port map(mm_rst, mm_clk, reg_ring_lane_info_bf_copi, reg_ring_lane_info_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_rx_bf         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX_BF")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_bf_copi, reg_bsn_monitor_v2_ring_rx_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_bf_copi, reg_bsn_monitor_v2_ring_rx_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_tx_bf         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX_BF")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_bf_copi, reg_bsn_monitor_v2_ring_tx_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_bf_copi, reg_bsn_monitor_v2_ring_tx_bf_cipo );
 
     u_mm_file_reg_dp_block_validate_err_bf          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR_BF")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_err_bf_copi, reg_dp_block_validate_err_bf_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_err_bf_copi, reg_dp_block_validate_err_bf_cipo );
 
     u_mm_file_reg_dp_block_validate_bsn_at_sync_bf  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_BF")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_bf_copi, reg_dp_block_validate_bsn_at_sync_bf_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_bf_copi, reg_dp_block_validate_bsn_at_sync_bf_cipo );
 
     u_mm_file_reg_bsn_align_v2_xsub                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_ALIGN_V2_XSUB")
-                                                              port map(mm_rst, mm_clk, reg_bsn_align_v2_xsub_copi, reg_bsn_align_v2_xsub_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_align_v2_xsub_copi, reg_bsn_align_v2_xsub_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_rx_align_xsub      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ALIGN_XSUB")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_xsub_copi, reg_bsn_monitor_v2_rx_align_xsub_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_xsub_copi, reg_bsn_monitor_v2_rx_align_xsub_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_aligned_xsub       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_ALIGNED_XSUB")
-                                                          port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_xsub_copi, reg_bsn_monitor_v2_aligned_xsub_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_xsub_copi, reg_bsn_monitor_v2_aligned_xsub_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_sst_offload        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_SST_OFFLOAD")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_sst_offload_copi, reg_bsn_monitor_v2_sst_offload_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_sst_offload_copi, reg_bsn_monitor_v2_sst_offload_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_bst_offload        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_BST_OFFLOAD")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_bst_offload_copi, reg_bsn_monitor_v2_bst_offload_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_bst_offload_copi, reg_bsn_monitor_v2_bst_offload_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_beamlet_output     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_BEAMLET_OUTPUT")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_beamlet_output_copi, reg_bsn_monitor_v2_beamlet_output_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_beamlet_output_copi, reg_bsn_monitor_v2_beamlet_output_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_xst_offload        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_XST_OFFLOAD")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_xst_offload_copi, reg_bsn_monitor_v2_xst_offload_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_xst_offload_copi, reg_bsn_monitor_v2_xst_offload_cipo );
 
     u_mm_file_reg_ring_lane_info_xst                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO_XST")
-                                                              port map(mm_rst, mm_clk, reg_ring_lane_info_xst_copi, reg_ring_lane_info_xst_cipo );
+      port map(mm_rst, mm_clk, reg_ring_lane_info_xst_copi, reg_ring_lane_info_xst_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_rx_xst        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX_XST")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_xst_copi, reg_bsn_monitor_v2_ring_rx_xst_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_xst_copi, reg_bsn_monitor_v2_ring_rx_xst_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_tx_xst        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX_XST")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_xst_copi, reg_bsn_monitor_v2_ring_tx_xst_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_xst_copi, reg_bsn_monitor_v2_ring_tx_xst_cipo );
 
     u_mm_file_reg_dp_block_validate_err_xst         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR_XST")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_err_xst_copi, reg_dp_block_validate_err_xst_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_err_xst_copi, reg_dp_block_validate_err_xst_cipo );
 
     u_mm_file_reg_dp_block_validate_bsn_at_sync_xst : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_XST")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_xst_copi, reg_dp_block_validate_bsn_at_sync_xst_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_xst_copi, reg_dp_block_validate_bsn_at_sync_xst_cipo );
 
     u_mm_file_reg_tr_10GbE_mac        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_MAC")
-                                                port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
 
     u_mm_file_reg_tr_10GbE_eth10g     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_ETH10G")
-                                                port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
 
     u_mm_file_ram_scrap               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                                port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
+      port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
     -- the simulation time in ns
@@ -571,636 +571,636 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2b_sdp_station
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_copi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_copi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_cipo.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_copi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_copi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_copi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_copi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_copi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_copi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_copi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_copi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_copi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_copi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_copi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_copi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_copi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_copi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
---    ToDo: This has changed in the peripherals package
---      rom_system_info_address_export            => rom_unb_system_info_copi.address(9 DOWNTO 0),
-      rom_system_info_address_export            => rom_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_copi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_copi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_copi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_copi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_copi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_copi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_copi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_copi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_copi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_copi.wr,
-      reg_remu_writedata_export                 => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_copi.rd,
-      reg_remu_readdata_export                  => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_copi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
-      jesd204b_write_export                     => jesd204b_copi.wr,
-      jesd204b_writedata_export                 => jesd204b_copi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_copi.rd,
-      jesd204b_readdata_export                  => jesd204b_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_jesd_ctrl_reset_export                => OPEN,
-      pio_jesd_ctrl_clk_export                  => OPEN,
-      pio_jesd_ctrl_address_export              => jesd_ctrl_copi.address(c_sdp_jesd_ctrl_addr_w - 1 downto 0),
-      pio_jesd_ctrl_write_export                => jesd_ctrl_copi.wr,
-      pio_jesd_ctrl_writedata_export            => jesd_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-      pio_jesd_ctrl_read_export                 => jesd_ctrl_copi.rd,
-      pio_jesd_ctrl_readdata_export             => jesd_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_copi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_copi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_copi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_copi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_wg_clk_export                         => OPEN,
-      reg_wg_reset_export                       => OPEN,
-      reg_wg_address_export                     => reg_wg_copi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
-      reg_wg_read_export                        => reg_wg_copi.rd,
-      reg_wg_readdata_export                    => reg_wg_cipo.rddata(c_word_w - 1 downto 0),
-      reg_wg_write_export                       => reg_wg_copi.wr,
-      reg_wg_writedata_export                   => reg_wg_copi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_clk_export                         => OPEN,
-      ram_wg_reset_export                       => OPEN,
-      ram_wg_address_export                     => ram_wg_copi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
-      ram_wg_read_export                        => ram_wg_copi.rd,
-      ram_wg_readdata_export                    => ram_wg_cipo.rddata(c_word_w - 1 downto 0),
-      ram_wg_write_export                       => ram_wg_copi.wr,
-      ram_wg_writedata_export                   => ram_wg_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_shiftram_clk_export                => OPEN,
-      reg_dp_shiftram_reset_export              => OPEN,
-      reg_dp_shiftram_address_export            => reg_dp_shiftram_copi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
-      reg_dp_shiftram_read_export               => reg_dp_shiftram_copi.rd,
-      reg_dp_shiftram_readdata_export           => reg_dp_shiftram_cipo.rddata(c_word_w - 1 downto 0),
-      reg_dp_shiftram_write_export              => reg_dp_shiftram_copi.wr,
-      reg_dp_shiftram_writedata_export          => reg_dp_shiftram_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_v2_clk_export              => OPEN,
-      reg_bsn_source_v2_reset_export            => OPEN,
-      reg_bsn_source_v2_address_export          => reg_bsn_source_v2_copi.address(c_sdp_reg_bsn_source_v2_addr_w - 1 downto 0),
-      reg_bsn_source_v2_read_export             => reg_bsn_source_v2_copi.rd,
-      reg_bsn_source_v2_readdata_export         => reg_bsn_source_v2_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_v2_write_export            => reg_bsn_source_v2_copi.wr,
-      reg_bsn_source_v2_writedata_export        => reg_bsn_source_v2_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_copi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_copi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_copi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_copi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_copi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_copi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_copi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_copi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_copi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_copi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_copi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_copi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_copi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_copi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_copi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_copi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_copi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_bsn_clk_export       => OPEN,
-      ram_diag_data_buffer_bsn_reset_export     => OPEN,
-      ram_diag_data_buffer_bsn_address_export   => ram_diag_data_buf_bsn_copi.address(c_sdp_ram_diag_data_buf_bsn_addr_w - 1 downto 0),
-      ram_diag_data_buffer_bsn_write_export     => ram_diag_data_buf_bsn_copi.wr,
-      ram_diag_data_buffer_bsn_writedata_export => ram_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_bsn_read_export      => ram_diag_data_buf_bsn_copi.rd,
-      ram_diag_data_buffer_bsn_readdata_export  => ram_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_bsn_reset_export     => OPEN,
-      reg_diag_data_buffer_bsn_clk_export       => OPEN,
-      reg_diag_data_buffer_bsn_address_export   => reg_diag_data_buf_bsn_copi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
-      reg_diag_data_buffer_bsn_write_export     => reg_diag_data_buf_bsn_copi.wr,
-      reg_diag_data_buffer_bsn_writedata_export => reg_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_bsn_read_export      => reg_diag_data_buf_bsn_copi.rd,
-      reg_diag_data_buffer_bsn_readdata_export  => reg_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_histogram_clk_export               => OPEN,
-      ram_st_histogram_reset_export             => OPEN,
-      ram_st_histogram_address_export           => ram_st_histogram_copi.address(c_sdp_ram_st_histogram_addr_w - 1 downto 0),
-      ram_st_histogram_write_export             => ram_st_histogram_copi.wr,
-      ram_st_histogram_writedata_export         => ram_st_histogram_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_histogram_read_export              => ram_st_histogram_copi.rd,
-      ram_st_histogram_readdata_export          => ram_st_histogram_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_aduh_monitor_reset_export             => OPEN,
-      reg_aduh_monitor_clk_export               => OPEN,
-      reg_aduh_monitor_address_export           => reg_aduh_monitor_copi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
-      reg_aduh_monitor_write_export             => reg_aduh_monitor_copi.wr,
-      reg_aduh_monitor_writedata_export         => reg_aduh_monitor_copi.wrdata(c_word_w - 1 downto 0),
-      reg_aduh_monitor_read_export              => reg_aduh_monitor_copi.rd,
-      reg_aduh_monitor_readdata_export          => reg_aduh_monitor_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_fil_coefs_clk_export                  => OPEN,
-      ram_fil_coefs_reset_export                => OPEN,
-      ram_fil_coefs_address_export              => ram_fil_coefs_copi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
-      ram_fil_coefs_write_export                => ram_fil_coefs_copi.wr,
-      ram_fil_coefs_writedata_export            => ram_fil_coefs_copi.wrdata(c_word_w - 1 downto 0),
-      ram_fil_coefs_read_export                 => ram_fil_coefs_copi.rd,
-      ram_fil_coefs_readdata_export             => ram_fil_coefs_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_sst_clk_export                     => OPEN,
-      ram_st_sst_reset_export                   => OPEN,
-      ram_st_sst_address_export                 => ram_st_sst_copi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
-      ram_st_sst_write_export                   => ram_st_sst_copi.wr,
-      ram_st_sst_writedata_export               => ram_st_sst_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_sst_read_export                    => ram_st_sst_copi.rd,
-      ram_st_sst_readdata_export                => ram_st_sst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_si_clk_export                         => OPEN,
-      reg_si_reset_export                       => OPEN,
-      reg_si_address_export                     => reg_si_copi.address(c_sdp_reg_si_addr_w - 1 downto 0),
-      reg_si_write_export                       => reg_si_copi.wr,
-      reg_si_writedata_export                   => reg_si_copi.wrdata(c_word_w - 1 downto 0),
-      reg_si_read_export                        => reg_si_copi.rd,
-      reg_si_readdata_export                    => reg_si_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_clk_export            => OPEN,
-      ram_equalizer_gains_reset_export          => OPEN,
-      ram_equalizer_gains_address_export        => ram_equalizer_gains_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_write_export          => ram_equalizer_gains_copi.wr,
-      ram_equalizer_gains_writedata_export      => ram_equalizer_gains_copi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_read_export           => ram_equalizer_gains_copi.rd,
-      ram_equalizer_gains_readdata_export       => ram_equalizer_gains_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_cross_clk_export       => OPEN,
-      ram_equalizer_gains_cross_reset_export     => OPEN,
-      ram_equalizer_gains_cross_address_export   => ram_equalizer_gains_cross_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_cross_write_export     => ram_equalizer_gains_cross_copi.wr,
-      ram_equalizer_gains_cross_writedata_export => ram_equalizer_gains_cross_copi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_cross_read_export      => ram_equalizer_gains_cross_copi.rd,
-      ram_equalizer_gains_cross_readdata_export  => ram_equalizer_gains_cross_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_selector_clk_export                => OPEN,
-      reg_dp_selector_reset_export              => OPEN,
-      reg_dp_selector_address_export            => reg_dp_selector_copi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
-      reg_dp_selector_write_export              => reg_dp_selector_copi.wr,
-      reg_dp_selector_writedata_export          => reg_dp_selector_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_selector_read_export               => reg_dp_selector_copi.rd,
-      reg_dp_selector_readdata_export           => reg_dp_selector_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_sdp_info_clk_export                   => OPEN,
-      reg_sdp_info_reset_export                 => OPEN,
-      reg_sdp_info_address_export               => reg_sdp_info_copi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
-      reg_sdp_info_write_export                 => reg_sdp_info_copi.wr,
-      reg_sdp_info_writedata_export             => reg_sdp_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_sdp_info_read_export                  => reg_sdp_info_copi.rd,
-      reg_sdp_info_readdata_export              => reg_sdp_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_info_clk_export                  => OPEN,
-      reg_ring_info_reset_export                => OPEN,
-      reg_ring_info_address_export              => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
-      reg_ring_info_write_export                => reg_ring_info_copi.wr,
-      reg_ring_info_writedata_export            => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_info_read_export                 => reg_ring_info_copi.rd,
-      reg_ring_info_readdata_export             => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_ss_ss_wide_clk_export                 => OPEN,
-      ram_ss_ss_wide_reset_export               => OPEN,
-      ram_ss_ss_wide_address_export             => ram_ss_ss_wide_copi.address(c_sdp_ram_ss_ss_wide_addr_w - 1 downto 0),
-      ram_ss_ss_wide_write_export               => ram_ss_ss_wide_copi.wr,
-      ram_ss_ss_wide_writedata_export           => ram_ss_ss_wide_copi.wrdata(c_word_w - 1 downto 0),
-      ram_ss_ss_wide_read_export                => ram_ss_ss_wide_copi.rd,
-      ram_ss_ss_wide_readdata_export            => ram_ss_ss_wide_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_bf_weights_clk_export                 => OPEN,
-      ram_bf_weights_reset_export               => OPEN,
-      ram_bf_weights_address_export             => ram_bf_weights_copi.address(c_sdp_ram_bf_weights_addr_w - 1 downto 0),
-      ram_bf_weights_write_export               => ram_bf_weights_copi.wr,
-      ram_bf_weights_writedata_export           => ram_bf_weights_copi.wrdata(c_word_w - 1 downto 0),
-      ram_bf_weights_read_export                => ram_bf_weights_copi.rd,
-      ram_bf_weights_readdata_export            => ram_bf_weights_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bf_scale_clk_export                   => OPEN,
-      reg_bf_scale_reset_export                 => OPEN,
-      reg_bf_scale_address_export               => reg_bf_scale_copi.address(c_sdp_reg_bf_scale_addr_w - 1 downto 0),
-      reg_bf_scale_write_export                 => reg_bf_scale_copi.wr,
-      reg_bf_scale_writedata_export             => reg_bf_scale_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bf_scale_read_export                  => reg_bf_scale_copi.rd,
-      reg_bf_scale_readdata_export              => reg_bf_scale_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_hdr_dat_clk_export                    => OPEN,
-      reg_hdr_dat_reset_export                  => OPEN,
-      reg_hdr_dat_address_export                => reg_hdr_dat_copi.address(c_sdp_reg_bf_hdr_dat_addr_w - 1 downto 0),
-      reg_hdr_dat_write_export                  => reg_hdr_dat_copi.wr,
-      reg_hdr_dat_writedata_export              => reg_hdr_dat_copi.wrdata(c_word_w - 1 downto 0),
-      reg_hdr_dat_read_export                   => reg_hdr_dat_copi.rd,
-      reg_hdr_dat_readdata_export               => reg_hdr_dat_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_clk_export                  => OPEN,
-      reg_dp_xonoff_reset_export                => OPEN,
-      reg_dp_xonoff_address_export              => reg_dp_xonoff_copi.address(c_sdp_reg_dp_xonoff_addr_w - 1 downto 0),
-      reg_dp_xonoff_write_export                => reg_dp_xonoff_copi.wr,
-      reg_dp_xonoff_writedata_export            => reg_dp_xonoff_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_read_export                 => reg_dp_xonoff_copi.rd,
-      reg_dp_xonoff_readdata_export             => reg_dp_xonoff_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_bst_clk_export                     => OPEN,
-      ram_st_bst_reset_export                   => OPEN,
-      ram_st_bst_address_export                 => ram_st_bst_copi.address(c_sdp_ram_st_bst_addr_w - 1 downto 0),
-      ram_st_bst_write_export                   => ram_st_bst_copi.wr,
-      ram_st_bst_writedata_export               => ram_st_bst_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_bst_read_export                    => ram_st_bst_copi.rd,
-      ram_st_bst_readdata_export                => ram_st_bst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_sst_clk_export            => OPEN,
-      reg_stat_enable_sst_reset_export          => OPEN,
-      reg_stat_enable_sst_address_export        => reg_stat_enable_sst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
-      reg_stat_enable_sst_write_export          => reg_stat_enable_sst_copi.wr,
-      reg_stat_enable_sst_writedata_export      => reg_stat_enable_sst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_sst_read_export           => reg_stat_enable_sst_copi.rd,
-      reg_stat_enable_sst_readdata_export       => reg_stat_enable_sst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_sst_clk_export           => OPEN,
-      reg_stat_hdr_dat_sst_reset_export         => OPEN,
-      reg_stat_hdr_dat_sst_address_export       => reg_stat_hdr_dat_sst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_sst_write_export         => reg_stat_hdr_dat_sst_copi.wr,
-      reg_stat_hdr_dat_sst_writedata_export     => reg_stat_hdr_dat_sst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_sst_read_export          => reg_stat_hdr_dat_sst_copi.rd,
-      reg_stat_hdr_dat_sst_readdata_export      => reg_stat_hdr_dat_sst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_xst_clk_export            => OPEN,
-      reg_stat_enable_xst_reset_export          => OPEN,
-      reg_stat_enable_xst_address_export        => reg_stat_enable_xst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
-      reg_stat_enable_xst_write_export          => reg_stat_enable_xst_copi.wr,
-      reg_stat_enable_xst_writedata_export      => reg_stat_enable_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_xst_read_export           => reg_stat_enable_xst_copi.rd,
-      reg_stat_enable_xst_readdata_export       => reg_stat_enable_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_xst_clk_export           => OPEN,
-      reg_stat_hdr_dat_xst_reset_export         => OPEN,
-      reg_stat_hdr_dat_xst_address_export       => reg_stat_hdr_dat_xst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_xst_write_export         => reg_stat_hdr_dat_xst_copi.wr,
-      reg_stat_hdr_dat_xst_writedata_export     => reg_stat_hdr_dat_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_xst_read_export          => reg_stat_hdr_dat_xst_copi.rd,
-      reg_stat_hdr_dat_xst_readdata_export      => reg_stat_hdr_dat_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_bst_clk_export            => OPEN,
-      reg_stat_enable_bst_reset_export          => OPEN,
-      reg_stat_enable_bst_address_export        => reg_stat_enable_bst_copi.address(c_sdp_reg_stat_enable_bst_addr_w - 1 downto 0),
-      reg_stat_enable_bst_write_export          => reg_stat_enable_bst_copi.wr,
-      reg_stat_enable_bst_writedata_export      => reg_stat_enable_bst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_bst_read_export           => reg_stat_enable_bst_copi.rd,
-      reg_stat_enable_bst_readdata_export       => reg_stat_enable_bst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_bst_clk_export           => OPEN,
-      reg_stat_hdr_dat_bst_reset_export         => OPEN,
-      reg_stat_hdr_dat_bst_address_export       => reg_stat_hdr_dat_bst_copi.address(c_sdp_reg_stat_hdr_dat_bst_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_bst_write_export         => reg_stat_hdr_dat_bst_copi.wr,
-      reg_stat_hdr_dat_bst_writedata_export     => reg_stat_hdr_dat_bst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_bst_read_export          => reg_stat_hdr_dat_bst_copi.rd,
-      reg_stat_hdr_dat_bst_readdata_export      => reg_stat_hdr_dat_bst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_crosslets_info_clk_export             => OPEN,
-      reg_crosslets_info_reset_export           => OPEN,
-      reg_crosslets_info_address_export         => reg_crosslets_info_copi.address(c_sdp_reg_crosslets_info_addr_w - 1 downto 0),
-      reg_crosslets_info_write_export           => reg_crosslets_info_copi.wr,
-      reg_crosslets_info_writedata_export       => reg_crosslets_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_crosslets_info_read_export            => reg_crosslets_info_copi.rd,
-      reg_crosslets_info_readdata_export        => reg_crosslets_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_nof_crosslets_clk_export              => OPEN,
-      reg_nof_crosslets_reset_export            => OPEN,
-      reg_nof_crosslets_address_export          => reg_nof_crosslets_copi.address(c_sdp_reg_nof_crosslets_addr_w - 1 downto 0),
-      reg_nof_crosslets_write_export            => reg_nof_crosslets_copi.wr,
-      reg_nof_crosslets_writedata_export        => reg_nof_crosslets_copi.wrdata(c_word_w - 1 downto 0),
-      reg_nof_crosslets_read_export             => reg_nof_crosslets_copi.rd,
-      reg_nof_crosslets_readdata_export         => reg_nof_crosslets_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_sync_scheduler_xsub_clk_export         => OPEN,
-      reg_bsn_sync_scheduler_xsub_reset_export       => OPEN,
-      reg_bsn_sync_scheduler_xsub_address_export     => reg_bsn_sync_scheduler_xsub_copi.address(c_sdp_reg_bsn_sync_scheduler_xsub_addr_w - 1 downto 0),
-      reg_bsn_sync_scheduler_xsub_write_export       => reg_bsn_sync_scheduler_xsub_copi.wr,
-      reg_bsn_sync_scheduler_xsub_writedata_export   => reg_bsn_sync_scheduler_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_sync_scheduler_xsub_read_export        => reg_bsn_sync_scheduler_xsub_copi.rd,
-      reg_bsn_sync_scheduler_xsub_readdata_export    => reg_bsn_sync_scheduler_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_xsq_clk_export                     => OPEN,
-      ram_st_xsq_reset_export                   => OPEN,
-      ram_st_xsq_address_export                 => ram_st_xsq_copi.address(c_sdp_ram_st_xsq_arr_addr_w - 1 downto 0),
-      ram_st_xsq_write_export                   => ram_st_xsq_copi.wr,
-      ram_st_xsq_writedata_export               => ram_st_xsq_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_xsq_read_export                    => ram_st_xsq_copi.rd,
-      ram_st_xsq_readdata_export                => ram_st_xsq_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_nw_10GbE_mac_clk_export               => OPEN,
-      reg_nw_10GbE_mac_reset_export             => OPEN,
-      reg_nw_10GbE_mac_address_export           => reg_nw_10GbE_mac_copi.address(c_sdp_reg_nw_10GbE_mac_addr_w - 1 downto 0),
-      reg_nw_10GbE_mac_write_export             => reg_nw_10GbE_mac_copi.wr,
-      reg_nw_10GbE_mac_writedata_export         => reg_nw_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
-      reg_nw_10GbE_mac_read_export              => reg_nw_10GbE_mac_copi.rd,
-      reg_nw_10GbE_mac_readdata_export          => reg_nw_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_nw_10GbE_eth10g_clk_export            => OPEN,
-      reg_nw_10GbE_eth10g_reset_export          => OPEN,
-      reg_nw_10GbE_eth10g_address_export        => reg_nw_10GbE_eth10g_copi.address(c_sdp_reg_nw_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_nw_10GbE_eth10g_write_export          => reg_nw_10GbE_eth10g_copi.wr,
-      reg_nw_10GbE_eth10g_writedata_export      => reg_nw_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
-      reg_nw_10GbE_eth10g_read_export           => reg_nw_10GbE_eth10g_copi.rd,
-      reg_nw_10GbE_eth10g_readdata_export       => reg_nw_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_align_v2_bf_clk_export          => OPEN,
-      reg_bsn_align_v2_bf_reset_export        => OPEN,
-      reg_bsn_align_v2_bf_address_export      => reg_bsn_align_v2_bf_copi.address(c_sdp_reg_bsn_align_v2_bf_addr_w - 1 downto 0),
-      reg_bsn_align_v2_bf_write_export        => reg_bsn_align_v2_bf_copi.wr,
-      reg_bsn_align_v2_bf_writedata_export    => reg_bsn_align_v2_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_align_v2_bf_read_export         => reg_bsn_align_v2_bf_copi.rd,
-      reg_bsn_align_v2_bf_readdata_export     => reg_bsn_align_v2_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_align_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_rx_align_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_rx_align_bf_address_export   => reg_bsn_monitor_v2_rx_align_bf_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_bf_write_export     => reg_bsn_monitor_v2_rx_align_bf_copi.wr,
-      reg_bsn_monitor_v2_rx_align_bf_writedata_export => reg_bsn_monitor_v2_rx_align_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_bf_read_export      => reg_bsn_monitor_v2_rx_align_bf_copi.rd,
-      reg_bsn_monitor_v2_rx_align_bf_readdata_export  => reg_bsn_monitor_v2_rx_align_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_aligned_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_aligned_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_aligned_bf_address_export   => reg_bsn_monitor_v2_aligned_bf_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_bf_write_export     => reg_bsn_monitor_v2_aligned_bf_copi.wr,
-      reg_bsn_monitor_v2_aligned_bf_writedata_export => reg_bsn_monitor_v2_aligned_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_bf_read_export      => reg_bsn_monitor_v2_aligned_bf_copi.rd,
-      reg_bsn_monitor_v2_aligned_bf_readdata_export  => reg_bsn_monitor_v2_aligned_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_align_v2_xsub_clk_export          => OPEN,
-      reg_bsn_align_v2_xsub_reset_export        => OPEN,
-      reg_bsn_align_v2_xsub_address_export      => reg_bsn_align_v2_xsub_copi.address(c_sdp_reg_bsn_align_v2_xsub_addr_w - 1 downto 0),
-      reg_bsn_align_v2_xsub_write_export        => reg_bsn_align_v2_xsub_copi.wr,
-      reg_bsn_align_v2_xsub_writedata_export    => reg_bsn_align_v2_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_align_v2_xsub_read_export         => reg_bsn_align_v2_xsub_copi.rd,
-      reg_bsn_align_v2_xsub_readdata_export     => reg_bsn_align_v2_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_align_xsub_clk_export       => OPEN,
-      reg_bsn_monitor_v2_rx_align_xsub_reset_export     => OPEN,
-      reg_bsn_monitor_v2_rx_align_xsub_address_export   => reg_bsn_monitor_v2_rx_align_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_xsub_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_xsub_write_export     => reg_bsn_monitor_v2_rx_align_xsub_copi.wr,
-      reg_bsn_monitor_v2_rx_align_xsub_writedata_export => reg_bsn_monitor_v2_rx_align_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_xsub_read_export      => reg_bsn_monitor_v2_rx_align_xsub_copi.rd,
-      reg_bsn_monitor_v2_rx_align_xsub_readdata_export  => reg_bsn_monitor_v2_rx_align_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_aligned_xsub_clk_export       => OPEN,
-      reg_bsn_monitor_v2_aligned_xsub_reset_export     => OPEN,
-      reg_bsn_monitor_v2_aligned_xsub_address_export   => reg_bsn_monitor_v2_aligned_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_xsub_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_xsub_write_export     => reg_bsn_monitor_v2_aligned_xsub_copi.wr,
-      reg_bsn_monitor_v2_aligned_xsub_writedata_export => reg_bsn_monitor_v2_aligned_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_xsub_read_export      => reg_bsn_monitor_v2_aligned_xsub_copi.rd,
-      reg_bsn_monitor_v2_aligned_xsub_readdata_export  => reg_bsn_monitor_v2_aligned_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_sst_offload_clk_export            => OPEN,
-      reg_bsn_monitor_v2_sst_offload_reset_export          => OPEN,
-      reg_bsn_monitor_v2_sst_offload_address_export        => reg_bsn_monitor_v2_sst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_sst_offload_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_sst_offload_write_export          => reg_bsn_monitor_v2_sst_offload_copi.wr,
-      reg_bsn_monitor_v2_sst_offload_writedata_export      => reg_bsn_monitor_v2_sst_offload_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_sst_offload_read_export           => reg_bsn_monitor_v2_sst_offload_copi.rd,
-      reg_bsn_monitor_v2_sst_offload_readdata_export       => reg_bsn_monitor_v2_sst_offload_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_bst_offload_clk_export            => OPEN,
-      reg_bsn_monitor_v2_bst_offload_reset_export          => OPEN,
-      reg_bsn_monitor_v2_bst_offload_address_export        => reg_bsn_monitor_v2_bst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_bst_offload_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_bst_offload_write_export          => reg_bsn_monitor_v2_bst_offload_copi.wr,
-      reg_bsn_monitor_v2_bst_offload_writedata_export      => reg_bsn_monitor_v2_bst_offload_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_bst_offload_read_export           => reg_bsn_monitor_v2_bst_offload_copi.rd,
-      reg_bsn_monitor_v2_bst_offload_readdata_export       => reg_bsn_monitor_v2_bst_offload_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_beamlet_output_clk_export         => OPEN,
-      reg_bsn_monitor_v2_beamlet_output_reset_export       => OPEN,
-      reg_bsn_monitor_v2_beamlet_output_address_export     => reg_bsn_monitor_v2_beamlet_output_copi.address(c_sdp_reg_bsn_monitor_v2_beamlet_output_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_beamlet_output_write_export       => reg_bsn_monitor_v2_beamlet_output_copi.wr,
-      reg_bsn_monitor_v2_beamlet_output_writedata_export   => reg_bsn_monitor_v2_beamlet_output_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_beamlet_output_read_export        => reg_bsn_monitor_v2_beamlet_output_copi.rd,
-      reg_bsn_monitor_v2_beamlet_output_readdata_export    => reg_bsn_monitor_v2_beamlet_output_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_xst_offload_clk_export            => OPEN,
-      reg_bsn_monitor_v2_xst_offload_reset_export          => OPEN,
-      reg_bsn_monitor_v2_xst_offload_address_export        => reg_bsn_monitor_v2_xst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_xst_offload_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_xst_offload_write_export          => reg_bsn_monitor_v2_xst_offload_copi.wr,
-      reg_bsn_monitor_v2_xst_offload_writedata_export      => reg_bsn_monitor_v2_xst_offload_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_xst_offload_read_export           => reg_bsn_monitor_v2_xst_offload_copi.rd,
-      reg_bsn_monitor_v2_xst_offload_readdata_export       => reg_bsn_monitor_v2_xst_offload_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_lane_info_bf_clk_export               => OPEN,
-      reg_ring_lane_info_bf_reset_export             => OPEN,
-      reg_ring_lane_info_bf_address_export           => reg_ring_lane_info_bf_copi.address(c_sdp_reg_ring_lane_info_bf_addr_w - 1 downto 0),
-      reg_ring_lane_info_bf_write_export             => reg_ring_lane_info_bf_copi.wr,
-      reg_ring_lane_info_bf_writedata_export         => reg_ring_lane_info_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_lane_info_bf_read_export              => reg_ring_lane_info_bf_copi.rd,
-      reg_ring_lane_info_bf_readdata_export          => reg_ring_lane_info_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_rx_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_rx_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_rx_bf_address_export   => reg_bsn_monitor_v2_ring_rx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_bf_write_export     => reg_bsn_monitor_v2_ring_rx_bf_copi.wr,
-      reg_bsn_monitor_v2_ring_rx_bf_writedata_export => reg_bsn_monitor_v2_ring_rx_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_bf_read_export      => reg_bsn_monitor_v2_ring_rx_bf_copi.rd,
-      reg_bsn_monitor_v2_ring_rx_bf_readdata_export  => reg_bsn_monitor_v2_ring_rx_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_tx_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_tx_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_tx_bf_address_export   => reg_bsn_monitor_v2_ring_tx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_bf_write_export     => reg_bsn_monitor_v2_ring_tx_bf_copi.wr,
-      reg_bsn_monitor_v2_ring_tx_bf_writedata_export => reg_bsn_monitor_v2_ring_tx_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_bf_read_export      => reg_bsn_monitor_v2_ring_tx_bf_copi.rd,
-      reg_bsn_monitor_v2_ring_tx_bf_readdata_export  => reg_bsn_monitor_v2_ring_tx_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_err_bf_clk_export       => OPEN,
-      reg_dp_block_validate_err_bf_reset_export     => OPEN,
-      reg_dp_block_validate_err_bf_address_export   => reg_dp_block_validate_err_bf_copi.address(c_sdp_reg_dp_block_validate_err_bf_addr_w - 1 downto 0),
-      reg_dp_block_validate_err_bf_write_export     => reg_dp_block_validate_err_bf_copi.wr,
-      reg_dp_block_validate_err_bf_writedata_export => reg_dp_block_validate_err_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_err_bf_read_export      => reg_dp_block_validate_err_bf_copi.rd,
-      reg_dp_block_validate_err_bf_readdata_export  => reg_dp_block_validate_err_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_bsn_at_sync_bf_clk_export       => OPEN,
-      reg_dp_block_validate_bsn_at_sync_bf_reset_export     => OPEN,
-      reg_dp_block_validate_bsn_at_sync_bf_address_export   => reg_dp_block_validate_bsn_at_sync_bf_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_bf_addr_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_bf_write_export     => reg_dp_block_validate_bsn_at_sync_bf_copi.wr,
-      reg_dp_block_validate_bsn_at_sync_bf_writedata_export => reg_dp_block_validate_bsn_at_sync_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_bf_read_export      => reg_dp_block_validate_bsn_at_sync_bf_copi.rd,
-      reg_dp_block_validate_bsn_at_sync_bf_readdata_export  => reg_dp_block_validate_bsn_at_sync_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_lane_info_xst_clk_export         => OPEN,
-      reg_ring_lane_info_xst_reset_export       => OPEN,
-      reg_ring_lane_info_xst_address_export     => reg_ring_lane_info_xst_copi.address(c_sdp_reg_ring_lane_info_xst_addr_w - 1 downto 0),
-      reg_ring_lane_info_xst_write_export       => reg_ring_lane_info_xst_copi.wr,
-      reg_ring_lane_info_xst_writedata_export   => reg_ring_lane_info_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_lane_info_xst_read_export        => reg_ring_lane_info_xst_copi.rd,
-      reg_ring_lane_info_xst_readdata_export    => reg_ring_lane_info_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_rx_xst_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_rx_xst_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_rx_xst_address_export   => reg_bsn_monitor_v2_ring_rx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_xst_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_xst_write_export     => reg_bsn_monitor_v2_ring_rx_xst_copi.wr,
-      reg_bsn_monitor_v2_ring_rx_xst_writedata_export => reg_bsn_monitor_v2_ring_rx_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_xst_read_export      => reg_bsn_monitor_v2_ring_rx_xst_copi.rd,
-      reg_bsn_monitor_v2_ring_rx_xst_readdata_export  => reg_bsn_monitor_v2_ring_rx_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_tx_xst_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_tx_xst_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_tx_xst_address_export   => reg_bsn_monitor_v2_ring_tx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_xst_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_xst_write_export     => reg_bsn_monitor_v2_ring_tx_xst_copi.wr,
-      reg_bsn_monitor_v2_ring_tx_xst_writedata_export => reg_bsn_monitor_v2_ring_tx_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_xst_read_export      => reg_bsn_monitor_v2_ring_tx_xst_copi.rd,
-      reg_bsn_monitor_v2_ring_tx_xst_readdata_export  => reg_bsn_monitor_v2_ring_tx_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_err_xst_clk_export       => OPEN,
-      reg_dp_block_validate_err_xst_reset_export     => OPEN,
-      reg_dp_block_validate_err_xst_address_export   => reg_dp_block_validate_err_xst_copi.address(c_sdp_reg_dp_block_validate_err_xst_addr_w - 1 downto 0),
-      reg_dp_block_validate_err_xst_write_export     => reg_dp_block_validate_err_xst_copi.wr,
-      reg_dp_block_validate_err_xst_writedata_export => reg_dp_block_validate_err_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_err_xst_read_export      => reg_dp_block_validate_err_xst_copi.rd,
-      reg_dp_block_validate_err_xst_readdata_export  => reg_dp_block_validate_err_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_bsn_at_sync_xst_clk_export       => OPEN,
-      reg_dp_block_validate_bsn_at_sync_xst_reset_export     => OPEN,
-      reg_dp_block_validate_bsn_at_sync_xst_address_export   => reg_dp_block_validate_bsn_at_sync_xst_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_xst_addr_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_xst_write_export     => reg_dp_block_validate_bsn_at_sync_xst_copi.wr,
-      reg_dp_block_validate_bsn_at_sync_xst_writedata_export => reg_dp_block_validate_bsn_at_sync_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_xst_read_export      => reg_dp_block_validate_bsn_at_sync_xst_copi.rd,
-      reg_dp_block_validate_bsn_at_sync_xst_readdata_export  => reg_dp_block_validate_bsn_at_sync_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_mac_clk_export               => OPEN,
-      reg_tr_10GbE_mac_reset_export             => OPEN,
-      reg_tr_10GbE_mac_address_export           => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
-      reg_tr_10GbE_mac_write_export             => reg_tr_10GbE_mac_copi.wr,
-      reg_tr_10GbE_mac_writedata_export         => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_mac_read_export              => reg_tr_10GbE_mac_copi.rd,
-      reg_tr_10GbE_mac_readdata_export          => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_eth10g_clk_export            => OPEN,
-      reg_tr_10GbE_eth10g_reset_export          => OPEN,
-      reg_tr_10GbE_eth10g_address_export        => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_write_export          => reg_tr_10GbE_eth10g_copi.wr,
-      reg_tr_10GbE_eth10g_writedata_export      => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_read_export           => reg_tr_10GbE_eth10g_copi.rd,
-      reg_tr_10GbE_eth10g_readdata_export       => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_address_export                  => ram_scrap_copi.address(9 - 1 downto 0),
-      ram_scrap_write_export                    => ram_scrap_copi.wr,
-      ram_scrap_writedata_export                => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_copi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_copi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_copi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_cipo.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_copi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_copi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_copi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_copi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_copi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_copi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_copi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_copi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_copi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_copi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_copi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_copi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_copi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_copi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        --      rom_system_info_address_export            => rom_unb_system_info_copi.address(9 DOWNTO 0),
+        rom_system_info_address_export            => rom_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_copi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_copi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_copi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_copi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_copi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_copi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_copi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_copi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_copi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_copi.wr,
+        reg_remu_writedata_export                 => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_copi.rd,
+        reg_remu_readdata_export                  => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_copi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
+        jesd204b_write_export                     => jesd204b_copi.wr,
+        jesd204b_writedata_export                 => jesd204b_copi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_copi.rd,
+        jesd204b_readdata_export                  => jesd204b_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_jesd_ctrl_reset_export                => OPEN,
+        pio_jesd_ctrl_clk_export                  => OPEN,
+        pio_jesd_ctrl_address_export              => jesd_ctrl_copi.address(c_sdp_jesd_ctrl_addr_w - 1 downto 0),
+        pio_jesd_ctrl_write_export                => jesd_ctrl_copi.wr,
+        pio_jesd_ctrl_writedata_export            => jesd_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+        pio_jesd_ctrl_read_export                 => jesd_ctrl_copi.rd,
+        pio_jesd_ctrl_readdata_export             => jesd_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_copi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_copi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_copi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_copi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_wg_clk_export                         => OPEN,
+        reg_wg_reset_export                       => OPEN,
+        reg_wg_address_export                     => reg_wg_copi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
+        reg_wg_read_export                        => reg_wg_copi.rd,
+        reg_wg_readdata_export                    => reg_wg_cipo.rddata(c_word_w - 1 downto 0),
+        reg_wg_write_export                       => reg_wg_copi.wr,
+        reg_wg_writedata_export                   => reg_wg_copi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_clk_export                         => OPEN,
+        ram_wg_reset_export                       => OPEN,
+        ram_wg_address_export                     => ram_wg_copi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
+        ram_wg_read_export                        => ram_wg_copi.rd,
+        ram_wg_readdata_export                    => ram_wg_cipo.rddata(c_word_w - 1 downto 0),
+        ram_wg_write_export                       => ram_wg_copi.wr,
+        ram_wg_writedata_export                   => ram_wg_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_shiftram_clk_export                => OPEN,
+        reg_dp_shiftram_reset_export              => OPEN,
+        reg_dp_shiftram_address_export            => reg_dp_shiftram_copi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
+        reg_dp_shiftram_read_export               => reg_dp_shiftram_copi.rd,
+        reg_dp_shiftram_readdata_export           => reg_dp_shiftram_cipo.rddata(c_word_w - 1 downto 0),
+        reg_dp_shiftram_write_export              => reg_dp_shiftram_copi.wr,
+        reg_dp_shiftram_writedata_export          => reg_dp_shiftram_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_v2_clk_export              => OPEN,
+        reg_bsn_source_v2_reset_export            => OPEN,
+        reg_bsn_source_v2_address_export          => reg_bsn_source_v2_copi.address(c_sdp_reg_bsn_source_v2_addr_w - 1 downto 0),
+        reg_bsn_source_v2_read_export             => reg_bsn_source_v2_copi.rd,
+        reg_bsn_source_v2_readdata_export         => reg_bsn_source_v2_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_v2_write_export            => reg_bsn_source_v2_copi.wr,
+        reg_bsn_source_v2_writedata_export        => reg_bsn_source_v2_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_copi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_copi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_copi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_copi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_copi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_copi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_copi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_copi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_copi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_copi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_copi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_copi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_copi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_copi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_copi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_copi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_copi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_bsn_clk_export       => OPEN,
+        ram_diag_data_buffer_bsn_reset_export     => OPEN,
+        ram_diag_data_buffer_bsn_address_export   => ram_diag_data_buf_bsn_copi.address(c_sdp_ram_diag_data_buf_bsn_addr_w - 1 downto 0),
+        ram_diag_data_buffer_bsn_write_export     => ram_diag_data_buf_bsn_copi.wr,
+        ram_diag_data_buffer_bsn_writedata_export => ram_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_bsn_read_export      => ram_diag_data_buf_bsn_copi.rd,
+        ram_diag_data_buffer_bsn_readdata_export  => ram_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_bsn_reset_export     => OPEN,
+        reg_diag_data_buffer_bsn_clk_export       => OPEN,
+        reg_diag_data_buffer_bsn_address_export   => reg_diag_data_buf_bsn_copi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
+        reg_diag_data_buffer_bsn_write_export     => reg_diag_data_buf_bsn_copi.wr,
+        reg_diag_data_buffer_bsn_writedata_export => reg_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_bsn_read_export      => reg_diag_data_buf_bsn_copi.rd,
+        reg_diag_data_buffer_bsn_readdata_export  => reg_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_histogram_clk_export               => OPEN,
+        ram_st_histogram_reset_export             => OPEN,
+        ram_st_histogram_address_export           => ram_st_histogram_copi.address(c_sdp_ram_st_histogram_addr_w - 1 downto 0),
+        ram_st_histogram_write_export             => ram_st_histogram_copi.wr,
+        ram_st_histogram_writedata_export         => ram_st_histogram_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_histogram_read_export              => ram_st_histogram_copi.rd,
+        ram_st_histogram_readdata_export          => ram_st_histogram_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_aduh_monitor_reset_export             => OPEN,
+        reg_aduh_monitor_clk_export               => OPEN,
+        reg_aduh_monitor_address_export           => reg_aduh_monitor_copi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
+        reg_aduh_monitor_write_export             => reg_aduh_monitor_copi.wr,
+        reg_aduh_monitor_writedata_export         => reg_aduh_monitor_copi.wrdata(c_word_w - 1 downto 0),
+        reg_aduh_monitor_read_export              => reg_aduh_monitor_copi.rd,
+        reg_aduh_monitor_readdata_export          => reg_aduh_monitor_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_fil_coefs_clk_export                  => OPEN,
+        ram_fil_coefs_reset_export                => OPEN,
+        ram_fil_coefs_address_export              => ram_fil_coefs_copi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
+        ram_fil_coefs_write_export                => ram_fil_coefs_copi.wr,
+        ram_fil_coefs_writedata_export            => ram_fil_coefs_copi.wrdata(c_word_w - 1 downto 0),
+        ram_fil_coefs_read_export                 => ram_fil_coefs_copi.rd,
+        ram_fil_coefs_readdata_export             => ram_fil_coefs_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_sst_clk_export                     => OPEN,
+        ram_st_sst_reset_export                   => OPEN,
+        ram_st_sst_address_export                 => ram_st_sst_copi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
+        ram_st_sst_write_export                   => ram_st_sst_copi.wr,
+        ram_st_sst_writedata_export               => ram_st_sst_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_sst_read_export                    => ram_st_sst_copi.rd,
+        ram_st_sst_readdata_export                => ram_st_sst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_si_clk_export                         => OPEN,
+        reg_si_reset_export                       => OPEN,
+        reg_si_address_export                     => reg_si_copi.address(c_sdp_reg_si_addr_w - 1 downto 0),
+        reg_si_write_export                       => reg_si_copi.wr,
+        reg_si_writedata_export                   => reg_si_copi.wrdata(c_word_w - 1 downto 0),
+        reg_si_read_export                        => reg_si_copi.rd,
+        reg_si_readdata_export                    => reg_si_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_clk_export            => OPEN,
+        ram_equalizer_gains_reset_export          => OPEN,
+        ram_equalizer_gains_address_export        => ram_equalizer_gains_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_write_export          => ram_equalizer_gains_copi.wr,
+        ram_equalizer_gains_writedata_export      => ram_equalizer_gains_copi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_read_export           => ram_equalizer_gains_copi.rd,
+        ram_equalizer_gains_readdata_export       => ram_equalizer_gains_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_cross_clk_export       => OPEN,
+        ram_equalizer_gains_cross_reset_export     => OPEN,
+        ram_equalizer_gains_cross_address_export   => ram_equalizer_gains_cross_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_cross_write_export     => ram_equalizer_gains_cross_copi.wr,
+        ram_equalizer_gains_cross_writedata_export => ram_equalizer_gains_cross_copi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_cross_read_export      => ram_equalizer_gains_cross_copi.rd,
+        ram_equalizer_gains_cross_readdata_export  => ram_equalizer_gains_cross_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_selector_clk_export                => OPEN,
+        reg_dp_selector_reset_export              => OPEN,
+        reg_dp_selector_address_export            => reg_dp_selector_copi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
+        reg_dp_selector_write_export              => reg_dp_selector_copi.wr,
+        reg_dp_selector_writedata_export          => reg_dp_selector_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_selector_read_export               => reg_dp_selector_copi.rd,
+        reg_dp_selector_readdata_export           => reg_dp_selector_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_sdp_info_clk_export                   => OPEN,
+        reg_sdp_info_reset_export                 => OPEN,
+        reg_sdp_info_address_export               => reg_sdp_info_copi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
+        reg_sdp_info_write_export                 => reg_sdp_info_copi.wr,
+        reg_sdp_info_writedata_export             => reg_sdp_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_sdp_info_read_export                  => reg_sdp_info_copi.rd,
+        reg_sdp_info_readdata_export              => reg_sdp_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_info_clk_export                  => OPEN,
+        reg_ring_info_reset_export                => OPEN,
+        reg_ring_info_address_export              => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
+        reg_ring_info_write_export                => reg_ring_info_copi.wr,
+        reg_ring_info_writedata_export            => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_info_read_export                 => reg_ring_info_copi.rd,
+        reg_ring_info_readdata_export             => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_ss_ss_wide_clk_export                 => OPEN,
+        ram_ss_ss_wide_reset_export               => OPEN,
+        ram_ss_ss_wide_address_export             => ram_ss_ss_wide_copi.address(c_sdp_ram_ss_ss_wide_addr_w - 1 downto 0),
+        ram_ss_ss_wide_write_export               => ram_ss_ss_wide_copi.wr,
+        ram_ss_ss_wide_writedata_export           => ram_ss_ss_wide_copi.wrdata(c_word_w - 1 downto 0),
+        ram_ss_ss_wide_read_export                => ram_ss_ss_wide_copi.rd,
+        ram_ss_ss_wide_readdata_export            => ram_ss_ss_wide_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_bf_weights_clk_export                 => OPEN,
+        ram_bf_weights_reset_export               => OPEN,
+        ram_bf_weights_address_export             => ram_bf_weights_copi.address(c_sdp_ram_bf_weights_addr_w - 1 downto 0),
+        ram_bf_weights_write_export               => ram_bf_weights_copi.wr,
+        ram_bf_weights_writedata_export           => ram_bf_weights_copi.wrdata(c_word_w - 1 downto 0),
+        ram_bf_weights_read_export                => ram_bf_weights_copi.rd,
+        ram_bf_weights_readdata_export            => ram_bf_weights_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bf_scale_clk_export                   => OPEN,
+        reg_bf_scale_reset_export                 => OPEN,
+        reg_bf_scale_address_export               => reg_bf_scale_copi.address(c_sdp_reg_bf_scale_addr_w - 1 downto 0),
+        reg_bf_scale_write_export                 => reg_bf_scale_copi.wr,
+        reg_bf_scale_writedata_export             => reg_bf_scale_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bf_scale_read_export                  => reg_bf_scale_copi.rd,
+        reg_bf_scale_readdata_export              => reg_bf_scale_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_hdr_dat_clk_export                    => OPEN,
+        reg_hdr_dat_reset_export                  => OPEN,
+        reg_hdr_dat_address_export                => reg_hdr_dat_copi.address(c_sdp_reg_bf_hdr_dat_addr_w - 1 downto 0),
+        reg_hdr_dat_write_export                  => reg_hdr_dat_copi.wr,
+        reg_hdr_dat_writedata_export              => reg_hdr_dat_copi.wrdata(c_word_w - 1 downto 0),
+        reg_hdr_dat_read_export                   => reg_hdr_dat_copi.rd,
+        reg_hdr_dat_readdata_export               => reg_hdr_dat_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_clk_export                  => OPEN,
+        reg_dp_xonoff_reset_export                => OPEN,
+        reg_dp_xonoff_address_export              => reg_dp_xonoff_copi.address(c_sdp_reg_dp_xonoff_addr_w - 1 downto 0),
+        reg_dp_xonoff_write_export                => reg_dp_xonoff_copi.wr,
+        reg_dp_xonoff_writedata_export            => reg_dp_xonoff_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_read_export                 => reg_dp_xonoff_copi.rd,
+        reg_dp_xonoff_readdata_export             => reg_dp_xonoff_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_bst_clk_export                     => OPEN,
+        ram_st_bst_reset_export                   => OPEN,
+        ram_st_bst_address_export                 => ram_st_bst_copi.address(c_sdp_ram_st_bst_addr_w - 1 downto 0),
+        ram_st_bst_write_export                   => ram_st_bst_copi.wr,
+        ram_st_bst_writedata_export               => ram_st_bst_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_bst_read_export                    => ram_st_bst_copi.rd,
+        ram_st_bst_readdata_export                => ram_st_bst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_sst_clk_export            => OPEN,
+        reg_stat_enable_sst_reset_export          => OPEN,
+        reg_stat_enable_sst_address_export        => reg_stat_enable_sst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
+        reg_stat_enable_sst_write_export          => reg_stat_enable_sst_copi.wr,
+        reg_stat_enable_sst_writedata_export      => reg_stat_enable_sst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_sst_read_export           => reg_stat_enable_sst_copi.rd,
+        reg_stat_enable_sst_readdata_export       => reg_stat_enable_sst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_sst_clk_export           => OPEN,
+        reg_stat_hdr_dat_sst_reset_export         => OPEN,
+        reg_stat_hdr_dat_sst_address_export       => reg_stat_hdr_dat_sst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_sst_write_export         => reg_stat_hdr_dat_sst_copi.wr,
+        reg_stat_hdr_dat_sst_writedata_export     => reg_stat_hdr_dat_sst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_sst_read_export          => reg_stat_hdr_dat_sst_copi.rd,
+        reg_stat_hdr_dat_sst_readdata_export      => reg_stat_hdr_dat_sst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_xst_clk_export            => OPEN,
+        reg_stat_enable_xst_reset_export          => OPEN,
+        reg_stat_enable_xst_address_export        => reg_stat_enable_xst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
+        reg_stat_enable_xst_write_export          => reg_stat_enable_xst_copi.wr,
+        reg_stat_enable_xst_writedata_export      => reg_stat_enable_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_xst_read_export           => reg_stat_enable_xst_copi.rd,
+        reg_stat_enable_xst_readdata_export       => reg_stat_enable_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_xst_clk_export           => OPEN,
+        reg_stat_hdr_dat_xst_reset_export         => OPEN,
+        reg_stat_hdr_dat_xst_address_export       => reg_stat_hdr_dat_xst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_xst_write_export         => reg_stat_hdr_dat_xst_copi.wr,
+        reg_stat_hdr_dat_xst_writedata_export     => reg_stat_hdr_dat_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_xst_read_export          => reg_stat_hdr_dat_xst_copi.rd,
+        reg_stat_hdr_dat_xst_readdata_export      => reg_stat_hdr_dat_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_bst_clk_export            => OPEN,
+        reg_stat_enable_bst_reset_export          => OPEN,
+        reg_stat_enable_bst_address_export        => reg_stat_enable_bst_copi.address(c_sdp_reg_stat_enable_bst_addr_w - 1 downto 0),
+        reg_stat_enable_bst_write_export          => reg_stat_enable_bst_copi.wr,
+        reg_stat_enable_bst_writedata_export      => reg_stat_enable_bst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_bst_read_export           => reg_stat_enable_bst_copi.rd,
+        reg_stat_enable_bst_readdata_export       => reg_stat_enable_bst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_bst_clk_export           => OPEN,
+        reg_stat_hdr_dat_bst_reset_export         => OPEN,
+        reg_stat_hdr_dat_bst_address_export       => reg_stat_hdr_dat_bst_copi.address(c_sdp_reg_stat_hdr_dat_bst_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_bst_write_export         => reg_stat_hdr_dat_bst_copi.wr,
+        reg_stat_hdr_dat_bst_writedata_export     => reg_stat_hdr_dat_bst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_bst_read_export          => reg_stat_hdr_dat_bst_copi.rd,
+        reg_stat_hdr_dat_bst_readdata_export      => reg_stat_hdr_dat_bst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_crosslets_info_clk_export             => OPEN,
+        reg_crosslets_info_reset_export           => OPEN,
+        reg_crosslets_info_address_export         => reg_crosslets_info_copi.address(c_sdp_reg_crosslets_info_addr_w - 1 downto 0),
+        reg_crosslets_info_write_export           => reg_crosslets_info_copi.wr,
+        reg_crosslets_info_writedata_export       => reg_crosslets_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_crosslets_info_read_export            => reg_crosslets_info_copi.rd,
+        reg_crosslets_info_readdata_export        => reg_crosslets_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_nof_crosslets_clk_export              => OPEN,
+        reg_nof_crosslets_reset_export            => OPEN,
+        reg_nof_crosslets_address_export          => reg_nof_crosslets_copi.address(c_sdp_reg_nof_crosslets_addr_w - 1 downto 0),
+        reg_nof_crosslets_write_export            => reg_nof_crosslets_copi.wr,
+        reg_nof_crosslets_writedata_export        => reg_nof_crosslets_copi.wrdata(c_word_w - 1 downto 0),
+        reg_nof_crosslets_read_export             => reg_nof_crosslets_copi.rd,
+        reg_nof_crosslets_readdata_export         => reg_nof_crosslets_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_sync_scheduler_xsub_clk_export         => OPEN,
+        reg_bsn_sync_scheduler_xsub_reset_export       => OPEN,
+        reg_bsn_sync_scheduler_xsub_address_export     => reg_bsn_sync_scheduler_xsub_copi.address(c_sdp_reg_bsn_sync_scheduler_xsub_addr_w - 1 downto 0),
+        reg_bsn_sync_scheduler_xsub_write_export       => reg_bsn_sync_scheduler_xsub_copi.wr,
+        reg_bsn_sync_scheduler_xsub_writedata_export   => reg_bsn_sync_scheduler_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_sync_scheduler_xsub_read_export        => reg_bsn_sync_scheduler_xsub_copi.rd,
+        reg_bsn_sync_scheduler_xsub_readdata_export    => reg_bsn_sync_scheduler_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_xsq_clk_export                     => OPEN,
+        ram_st_xsq_reset_export                   => OPEN,
+        ram_st_xsq_address_export                 => ram_st_xsq_copi.address(c_sdp_ram_st_xsq_arr_addr_w - 1 downto 0),
+        ram_st_xsq_write_export                   => ram_st_xsq_copi.wr,
+        ram_st_xsq_writedata_export               => ram_st_xsq_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_xsq_read_export                    => ram_st_xsq_copi.rd,
+        ram_st_xsq_readdata_export                => ram_st_xsq_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_nw_10GbE_mac_clk_export               => OPEN,
+        reg_nw_10GbE_mac_reset_export             => OPEN,
+        reg_nw_10GbE_mac_address_export           => reg_nw_10GbE_mac_copi.address(c_sdp_reg_nw_10GbE_mac_addr_w - 1 downto 0),
+        reg_nw_10GbE_mac_write_export             => reg_nw_10GbE_mac_copi.wr,
+        reg_nw_10GbE_mac_writedata_export         => reg_nw_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
+        reg_nw_10GbE_mac_read_export              => reg_nw_10GbE_mac_copi.rd,
+        reg_nw_10GbE_mac_readdata_export          => reg_nw_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_nw_10GbE_eth10g_clk_export            => OPEN,
+        reg_nw_10GbE_eth10g_reset_export          => OPEN,
+        reg_nw_10GbE_eth10g_address_export        => reg_nw_10GbE_eth10g_copi.address(c_sdp_reg_nw_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_nw_10GbE_eth10g_write_export          => reg_nw_10GbE_eth10g_copi.wr,
+        reg_nw_10GbE_eth10g_writedata_export      => reg_nw_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
+        reg_nw_10GbE_eth10g_read_export           => reg_nw_10GbE_eth10g_copi.rd,
+        reg_nw_10GbE_eth10g_readdata_export       => reg_nw_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_align_v2_bf_clk_export          => OPEN,
+        reg_bsn_align_v2_bf_reset_export        => OPEN,
+        reg_bsn_align_v2_bf_address_export      => reg_bsn_align_v2_bf_copi.address(c_sdp_reg_bsn_align_v2_bf_addr_w - 1 downto 0),
+        reg_bsn_align_v2_bf_write_export        => reg_bsn_align_v2_bf_copi.wr,
+        reg_bsn_align_v2_bf_writedata_export    => reg_bsn_align_v2_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_align_v2_bf_read_export         => reg_bsn_align_v2_bf_copi.rd,
+        reg_bsn_align_v2_bf_readdata_export     => reg_bsn_align_v2_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_align_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_rx_align_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_rx_align_bf_address_export   => reg_bsn_monitor_v2_rx_align_bf_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_bf_write_export     => reg_bsn_monitor_v2_rx_align_bf_copi.wr,
+        reg_bsn_monitor_v2_rx_align_bf_writedata_export => reg_bsn_monitor_v2_rx_align_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_bf_read_export      => reg_bsn_monitor_v2_rx_align_bf_copi.rd,
+        reg_bsn_monitor_v2_rx_align_bf_readdata_export  => reg_bsn_monitor_v2_rx_align_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_aligned_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_aligned_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_aligned_bf_address_export   => reg_bsn_monitor_v2_aligned_bf_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_bf_write_export     => reg_bsn_monitor_v2_aligned_bf_copi.wr,
+        reg_bsn_monitor_v2_aligned_bf_writedata_export => reg_bsn_monitor_v2_aligned_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_bf_read_export      => reg_bsn_monitor_v2_aligned_bf_copi.rd,
+        reg_bsn_monitor_v2_aligned_bf_readdata_export  => reg_bsn_monitor_v2_aligned_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_align_v2_xsub_clk_export          => OPEN,
+        reg_bsn_align_v2_xsub_reset_export        => OPEN,
+        reg_bsn_align_v2_xsub_address_export      => reg_bsn_align_v2_xsub_copi.address(c_sdp_reg_bsn_align_v2_xsub_addr_w - 1 downto 0),
+        reg_bsn_align_v2_xsub_write_export        => reg_bsn_align_v2_xsub_copi.wr,
+        reg_bsn_align_v2_xsub_writedata_export    => reg_bsn_align_v2_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_align_v2_xsub_read_export         => reg_bsn_align_v2_xsub_copi.rd,
+        reg_bsn_align_v2_xsub_readdata_export     => reg_bsn_align_v2_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_align_xsub_clk_export       => OPEN,
+        reg_bsn_monitor_v2_rx_align_xsub_reset_export     => OPEN,
+        reg_bsn_monitor_v2_rx_align_xsub_address_export   => reg_bsn_monitor_v2_rx_align_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_xsub_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_xsub_write_export     => reg_bsn_monitor_v2_rx_align_xsub_copi.wr,
+        reg_bsn_monitor_v2_rx_align_xsub_writedata_export => reg_bsn_monitor_v2_rx_align_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_xsub_read_export      => reg_bsn_monitor_v2_rx_align_xsub_copi.rd,
+        reg_bsn_monitor_v2_rx_align_xsub_readdata_export  => reg_bsn_monitor_v2_rx_align_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_aligned_xsub_clk_export       => OPEN,
+        reg_bsn_monitor_v2_aligned_xsub_reset_export     => OPEN,
+        reg_bsn_monitor_v2_aligned_xsub_address_export   => reg_bsn_monitor_v2_aligned_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_xsub_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_xsub_write_export     => reg_bsn_monitor_v2_aligned_xsub_copi.wr,
+        reg_bsn_monitor_v2_aligned_xsub_writedata_export => reg_bsn_monitor_v2_aligned_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_xsub_read_export      => reg_bsn_monitor_v2_aligned_xsub_copi.rd,
+        reg_bsn_monitor_v2_aligned_xsub_readdata_export  => reg_bsn_monitor_v2_aligned_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_sst_offload_clk_export            => OPEN,
+        reg_bsn_monitor_v2_sst_offload_reset_export          => OPEN,
+        reg_bsn_monitor_v2_sst_offload_address_export        => reg_bsn_monitor_v2_sst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_sst_offload_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_sst_offload_write_export          => reg_bsn_monitor_v2_sst_offload_copi.wr,
+        reg_bsn_monitor_v2_sst_offload_writedata_export      => reg_bsn_monitor_v2_sst_offload_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_sst_offload_read_export           => reg_bsn_monitor_v2_sst_offload_copi.rd,
+        reg_bsn_monitor_v2_sst_offload_readdata_export       => reg_bsn_monitor_v2_sst_offload_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_bst_offload_clk_export            => OPEN,
+        reg_bsn_monitor_v2_bst_offload_reset_export          => OPEN,
+        reg_bsn_monitor_v2_bst_offload_address_export        => reg_bsn_monitor_v2_bst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_bst_offload_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_bst_offload_write_export          => reg_bsn_monitor_v2_bst_offload_copi.wr,
+        reg_bsn_monitor_v2_bst_offload_writedata_export      => reg_bsn_monitor_v2_bst_offload_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_bst_offload_read_export           => reg_bsn_monitor_v2_bst_offload_copi.rd,
+        reg_bsn_monitor_v2_bst_offload_readdata_export       => reg_bsn_monitor_v2_bst_offload_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_beamlet_output_clk_export         => OPEN,
+        reg_bsn_monitor_v2_beamlet_output_reset_export       => OPEN,
+        reg_bsn_monitor_v2_beamlet_output_address_export     => reg_bsn_monitor_v2_beamlet_output_copi.address(c_sdp_reg_bsn_monitor_v2_beamlet_output_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_beamlet_output_write_export       => reg_bsn_monitor_v2_beamlet_output_copi.wr,
+        reg_bsn_monitor_v2_beamlet_output_writedata_export   => reg_bsn_monitor_v2_beamlet_output_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_beamlet_output_read_export        => reg_bsn_monitor_v2_beamlet_output_copi.rd,
+        reg_bsn_monitor_v2_beamlet_output_readdata_export    => reg_bsn_monitor_v2_beamlet_output_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_xst_offload_clk_export            => OPEN,
+        reg_bsn_monitor_v2_xst_offload_reset_export          => OPEN,
+        reg_bsn_monitor_v2_xst_offload_address_export        => reg_bsn_monitor_v2_xst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_xst_offload_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_xst_offload_write_export          => reg_bsn_monitor_v2_xst_offload_copi.wr,
+        reg_bsn_monitor_v2_xst_offload_writedata_export      => reg_bsn_monitor_v2_xst_offload_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_xst_offload_read_export           => reg_bsn_monitor_v2_xst_offload_copi.rd,
+        reg_bsn_monitor_v2_xst_offload_readdata_export       => reg_bsn_monitor_v2_xst_offload_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_lane_info_bf_clk_export               => OPEN,
+        reg_ring_lane_info_bf_reset_export             => OPEN,
+        reg_ring_lane_info_bf_address_export           => reg_ring_lane_info_bf_copi.address(c_sdp_reg_ring_lane_info_bf_addr_w - 1 downto 0),
+        reg_ring_lane_info_bf_write_export             => reg_ring_lane_info_bf_copi.wr,
+        reg_ring_lane_info_bf_writedata_export         => reg_ring_lane_info_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_lane_info_bf_read_export              => reg_ring_lane_info_bf_copi.rd,
+        reg_ring_lane_info_bf_readdata_export          => reg_ring_lane_info_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_rx_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_rx_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_rx_bf_address_export   => reg_bsn_monitor_v2_ring_rx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_bf_write_export     => reg_bsn_monitor_v2_ring_rx_bf_copi.wr,
+        reg_bsn_monitor_v2_ring_rx_bf_writedata_export => reg_bsn_monitor_v2_ring_rx_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_bf_read_export      => reg_bsn_monitor_v2_ring_rx_bf_copi.rd,
+        reg_bsn_monitor_v2_ring_rx_bf_readdata_export  => reg_bsn_monitor_v2_ring_rx_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_tx_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_tx_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_tx_bf_address_export   => reg_bsn_monitor_v2_ring_tx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_bf_write_export     => reg_bsn_monitor_v2_ring_tx_bf_copi.wr,
+        reg_bsn_monitor_v2_ring_tx_bf_writedata_export => reg_bsn_monitor_v2_ring_tx_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_bf_read_export      => reg_bsn_monitor_v2_ring_tx_bf_copi.rd,
+        reg_bsn_monitor_v2_ring_tx_bf_readdata_export  => reg_bsn_monitor_v2_ring_tx_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_err_bf_clk_export       => OPEN,
+        reg_dp_block_validate_err_bf_reset_export     => OPEN,
+        reg_dp_block_validate_err_bf_address_export   => reg_dp_block_validate_err_bf_copi.address(c_sdp_reg_dp_block_validate_err_bf_addr_w - 1 downto 0),
+        reg_dp_block_validate_err_bf_write_export     => reg_dp_block_validate_err_bf_copi.wr,
+        reg_dp_block_validate_err_bf_writedata_export => reg_dp_block_validate_err_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_err_bf_read_export      => reg_dp_block_validate_err_bf_copi.rd,
+        reg_dp_block_validate_err_bf_readdata_export  => reg_dp_block_validate_err_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_bsn_at_sync_bf_clk_export       => OPEN,
+        reg_dp_block_validate_bsn_at_sync_bf_reset_export     => OPEN,
+        reg_dp_block_validate_bsn_at_sync_bf_address_export   => reg_dp_block_validate_bsn_at_sync_bf_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_bf_addr_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_bf_write_export     => reg_dp_block_validate_bsn_at_sync_bf_copi.wr,
+        reg_dp_block_validate_bsn_at_sync_bf_writedata_export => reg_dp_block_validate_bsn_at_sync_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_bf_read_export      => reg_dp_block_validate_bsn_at_sync_bf_copi.rd,
+        reg_dp_block_validate_bsn_at_sync_bf_readdata_export  => reg_dp_block_validate_bsn_at_sync_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_lane_info_xst_clk_export         => OPEN,
+        reg_ring_lane_info_xst_reset_export       => OPEN,
+        reg_ring_lane_info_xst_address_export     => reg_ring_lane_info_xst_copi.address(c_sdp_reg_ring_lane_info_xst_addr_w - 1 downto 0),
+        reg_ring_lane_info_xst_write_export       => reg_ring_lane_info_xst_copi.wr,
+        reg_ring_lane_info_xst_writedata_export   => reg_ring_lane_info_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_lane_info_xst_read_export        => reg_ring_lane_info_xst_copi.rd,
+        reg_ring_lane_info_xst_readdata_export    => reg_ring_lane_info_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_rx_xst_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_rx_xst_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_rx_xst_address_export   => reg_bsn_monitor_v2_ring_rx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_xst_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_xst_write_export     => reg_bsn_monitor_v2_ring_rx_xst_copi.wr,
+        reg_bsn_monitor_v2_ring_rx_xst_writedata_export => reg_bsn_monitor_v2_ring_rx_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_xst_read_export      => reg_bsn_monitor_v2_ring_rx_xst_copi.rd,
+        reg_bsn_monitor_v2_ring_rx_xst_readdata_export  => reg_bsn_monitor_v2_ring_rx_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_tx_xst_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_tx_xst_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_tx_xst_address_export   => reg_bsn_monitor_v2_ring_tx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_xst_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_xst_write_export     => reg_bsn_monitor_v2_ring_tx_xst_copi.wr,
+        reg_bsn_monitor_v2_ring_tx_xst_writedata_export => reg_bsn_monitor_v2_ring_tx_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_xst_read_export      => reg_bsn_monitor_v2_ring_tx_xst_copi.rd,
+        reg_bsn_monitor_v2_ring_tx_xst_readdata_export  => reg_bsn_monitor_v2_ring_tx_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_err_xst_clk_export       => OPEN,
+        reg_dp_block_validate_err_xst_reset_export     => OPEN,
+        reg_dp_block_validate_err_xst_address_export   => reg_dp_block_validate_err_xst_copi.address(c_sdp_reg_dp_block_validate_err_xst_addr_w - 1 downto 0),
+        reg_dp_block_validate_err_xst_write_export     => reg_dp_block_validate_err_xst_copi.wr,
+        reg_dp_block_validate_err_xst_writedata_export => reg_dp_block_validate_err_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_err_xst_read_export      => reg_dp_block_validate_err_xst_copi.rd,
+        reg_dp_block_validate_err_xst_readdata_export  => reg_dp_block_validate_err_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_bsn_at_sync_xst_clk_export       => OPEN,
+        reg_dp_block_validate_bsn_at_sync_xst_reset_export     => OPEN,
+        reg_dp_block_validate_bsn_at_sync_xst_address_export   => reg_dp_block_validate_bsn_at_sync_xst_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_xst_addr_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_xst_write_export     => reg_dp_block_validate_bsn_at_sync_xst_copi.wr,
+        reg_dp_block_validate_bsn_at_sync_xst_writedata_export => reg_dp_block_validate_bsn_at_sync_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_xst_read_export      => reg_dp_block_validate_bsn_at_sync_xst_copi.rd,
+        reg_dp_block_validate_bsn_at_sync_xst_readdata_export  => reg_dp_block_validate_bsn_at_sync_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_mac_clk_export               => OPEN,
+        reg_tr_10GbE_mac_reset_export             => OPEN,
+        reg_tr_10GbE_mac_address_export           => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
+        reg_tr_10GbE_mac_write_export             => reg_tr_10GbE_mac_copi.wr,
+        reg_tr_10GbE_mac_writedata_export         => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_mac_read_export              => reg_tr_10GbE_mac_copi.rd,
+        reg_tr_10GbE_mac_readdata_export          => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_eth10g_clk_export            => OPEN,
+        reg_tr_10GbE_eth10g_reset_export          => OPEN,
+        reg_tr_10GbE_eth10g_address_export        => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_write_export          => reg_tr_10GbE_eth10g_copi.wr,
+        reg_tr_10GbE_eth10g_writedata_export      => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_read_export           => reg_tr_10GbE_eth10g_copi.rd,
+        reg_tr_10GbE_eth10g_readdata_export       => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_address_export                  => ram_scrap_copi.address(9 - 1 downto 0),
+        ram_scrap_write_export                    => ram_scrap_copi.wr,
+        ram_scrap_writedata_export                => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_copi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd
index d9693c5838..61c8ec794a 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd
@@ -19,563 +19,563 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2b_sdp_station_pkg is
 
   -----------------------------------------------------------------------------
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
-    component qsys_lofar2_unb2b_sdp_station is
-        port (
-            avs_eth_0_clk_export                                   : out std_logic;  -- export
-            avs_eth_0_irq_export                                   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export                           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                              : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                             : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export                           : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                              : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                             : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                                 : out std_logic;  -- export
-            avs_eth_0_tse_address_export                           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                              : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                       : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                             : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                                : in  std_logic                     := 'X';  -- clk
-            jesd204b_address_export                                : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_clk_export                                    : out std_logic;  -- export
-            jesd204b_read_export                                   : out std_logic;  -- export
-            jesd204b_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            jesd204b_reset_export                                  : out std_logic;  -- export
-            jesd204b_write_export                                  : out std_logic;  -- export
-            jesd204b_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
-            pio_jesd_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            pio_jesd_ctrl_clk_export                               : out std_logic;  -- export
-            pio_jesd_ctrl_read_export                              : out std_logic;  -- export
-            pio_jesd_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_jesd_ctrl_reset_export                             : out std_logic;  -- export
-            pio_jesd_ctrl_write_export                             : out std_logic;  -- export
-            pio_jesd_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_address_export                                 : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_clk_export                                     : out std_logic;  -- export
-            pio_pps_read_export                                    : out std_logic;  -- export
-            pio_pps_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                                   : out std_logic;  -- export
-            pio_pps_write_export                                   : out std_logic;  -- export
-            pio_pps_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export                         : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                             : out std_logic;  -- export
-            pio_system_info_read_export                            : out std_logic;  -- export
-            pio_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                           : out std_logic;  -- export
-            pio_system_info_write_export                           : out std_logic;  -- export
-            pio_system_info_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export                     : out std_logic;  -- export
-            ram_bf_weights_address_export                          : out std_logic_vector(14 downto 0);  -- export
-            ram_bf_weights_clk_export                              : out std_logic;  -- export
-            ram_bf_weights_read_export                             : out std_logic;  -- export
-            ram_bf_weights_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_bf_weights_reset_export                            : out std_logic;  -- export
-            ram_bf_weights_write_export                            : out std_logic;  -- export
-            ram_bf_weights_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_bsn_address_export                : out std_logic_vector(20 downto 0);  -- export
-            ram_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_address_export                     : out std_logic_vector(13 downto 0);  -- export
-            ram_equalizer_gains_clk_export                         : out std_logic;  -- export
-            ram_equalizer_gains_read_export                        : out std_logic;  -- export
-            ram_equalizer_gains_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_equalizer_gains_reset_export                       : out std_logic;  -- export
-            ram_equalizer_gains_write_export                       : out std_logic;  -- export
-            ram_equalizer_gains_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_cross_address_export               : out std_logic_vector(13 downto 0);  -- export
-            ram_equalizer_gains_cross_clk_export                   : out std_logic;  -- export
-            ram_equalizer_gains_cross_read_export                  : out std_logic;  -- export
-            ram_equalizer_gains_cross_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_equalizer_gains_cross_reset_export                 : out std_logic;  -- export
-            ram_equalizer_gains_cross_write_export                 : out std_logic;  -- export
-            ram_equalizer_gains_cross_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_fil_coefs_address_export                           : out std_logic_vector(14 downto 0);  -- export
-            ram_fil_coefs_clk_export                               : out std_logic;  -- export
-            ram_fil_coefs_read_export                              : out std_logic;  -- export
-            ram_fil_coefs_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_fil_coefs_reset_export                             : out std_logic;  -- export
-            ram_fil_coefs_write_export                             : out std_logic;  -- export
-            ram_fil_coefs_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                               : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                                   : out std_logic;  -- export
-            ram_scrap_read_export                                  : out std_logic;  -- export
-            ram_scrap_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                                 : out std_logic;  -- export
-            ram_scrap_write_export                                 : out std_logic;  -- export
-            ram_scrap_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
-            ram_ss_ss_wide_address_export                          : out std_logic_vector(13 downto 0);  -- export
-            ram_ss_ss_wide_clk_export                              : out std_logic;  -- export
-            ram_ss_ss_wide_read_export                             : out std_logic;  -- export
-            ram_ss_ss_wide_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_ss_ss_wide_reset_export                            : out std_logic;  -- export
-            ram_ss_ss_wide_write_export                            : out std_logic;  -- export
-            ram_ss_ss_wide_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            ram_st_bst_address_export                              : out std_logic_vector(11 downto 0);  -- export
-            ram_st_bst_clk_export                                  : out std_logic;  -- export
-            ram_st_bst_read_export                                 : out std_logic;  -- export
-            ram_st_bst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_bst_reset_export                                : out std_logic;  -- export
-            ram_st_bst_write_export                                : out std_logic;  -- export
-            ram_st_bst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
-            ram_st_histogram_address_export                        : out std_logic_vector(12 downto 0);  -- export
-            ram_st_histogram_clk_export                            : out std_logic;  -- export
-            ram_st_histogram_read_export                           : out std_logic;  -- export
-            ram_st_histogram_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_histogram_reset_export                          : out std_logic;  -- export
-            ram_st_histogram_write_export                          : out std_logic;  -- export
-            ram_st_histogram_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            ram_st_sst_address_export                              : out std_logic_vector(14 downto 0);  -- export
-            ram_st_sst_clk_export                                  : out std_logic;  -- export
-            ram_st_sst_read_export                                 : out std_logic;  -- export
-            ram_st_sst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_sst_reset_export                                : out std_logic;  -- export
-            ram_st_sst_write_export                                : out std_logic;  -- export
-            ram_st_sst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
-            ram_st_xsq_address_export                              : out std_logic_vector(15 downto 0);  -- export
-            ram_st_xsq_clk_export                                  : out std_logic;  -- export
-            ram_st_xsq_read_export                                 : out std_logic;  -- export
-            ram_st_xsq_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_xsq_reset_export                                : out std_logic;  -- export
-            ram_st_xsq_write_export                                : out std_logic;  -- export
-            ram_st_xsq_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_address_export                                  : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_clk_export                                      : out std_logic;  -- export
-            ram_wg_read_export                                     : out std_logic;  -- export
-            ram_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_reset_export                                    : out std_logic;  -- export
-            ram_wg_write_export                                    : out std_logic;  -- export
-            ram_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            reg_aduh_monitor_address_export                        : out std_logic_vector(5 downto 0);  -- export
-            reg_aduh_monitor_clk_export                            : out std_logic;  -- export
-            reg_aduh_monitor_read_export                           : out std_logic;  -- export
-            reg_aduh_monitor_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_aduh_monitor_reset_export                          : out std_logic;  -- export
-            reg_aduh_monitor_write_export                          : out std_logic;  -- export
-            reg_aduh_monitor_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_bf_scale_address_export                            : out std_logic_vector(1 downto 0);  -- export
-            reg_bf_scale_clk_export                                : out std_logic;  -- export
-            reg_bf_scale_read_export                               : out std_logic;  -- export
-            reg_bf_scale_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bf_scale_reset_export                              : out std_logic;  -- export
-            reg_bf_scale_write_export                              : out std_logic;  -- export
-            reg_bf_scale_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_align_v2_bf_address_export                     : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_align_v2_bf_clk_export                         : out std_logic;  -- export
-            reg_bsn_align_v2_bf_read_export                        : out std_logic;  -- export
-            reg_bsn_align_v2_bf_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_align_v2_bf_reset_export                       : out std_logic;  -- export
-            reg_bsn_align_v2_bf_write_export                       : out std_logic;  -- export
-            reg_bsn_align_v2_bf_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_align_v2_xsub_address_export                   : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_align_v2_xsub_clk_export                       : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_read_export                      : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_align_v2_xsub_reset_export                     : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_write_export                     : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_input_address_export                   : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_clk_export                       : out std_logic;  -- export
-            reg_bsn_monitor_input_read_export                      : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export                     : out std_logic;  -- export
-            reg_bsn_monitor_input_write_export                     : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_bf_clk_export               : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_read_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_aligned_bf_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_write_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_xsub_address_export         : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_xsub_clk_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_read_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_aligned_xsub_reset_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_write_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_beamlet_output_address_export       : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_beamlet_output_clk_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_read_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_beamlet_output_reset_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_write_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_bst_offload_address_export          : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_bst_offload_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_bst_offload_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_clk_export               : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_read_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_write_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_clk_export               : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_read_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_write_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_bf_address_export          : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_bf_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_rx_align_bf_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_address_export        : out std_logic_vector(6 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_clk_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_read_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_reset_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_write_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_sst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_sst_offload_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_sst_offload_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_xst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_xst_offload_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_xst_offload_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_clk_export                           : out std_logic;  -- export
-            reg_bsn_scheduler_read_export                          : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export                         : out std_logic;  -- export
-            reg_bsn_scheduler_write_export                         : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_v2_address_export                       : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_source_v2_clk_export                           : out std_logic;  -- export
-            reg_bsn_source_v2_read_export                          : out std_logic;  -- export
-            reg_bsn_source_v2_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_v2_reset_export                         : out std_logic;  -- export
-            reg_bsn_source_v2_write_export                         : out std_logic;  -- export
-            reg_bsn_source_v2_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_sync_scheduler_xsub_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_sync_scheduler_xsub_clk_export                 : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_read_export                : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_sync_scheduler_xsub_reset_export               : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_write_export               : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_crosslets_info_address_export                      : out std_logic_vector(3 downto 0);  -- export
-            reg_crosslets_info_clk_export                          : out std_logic;  -- export
-            reg_crosslets_info_read_export                         : out std_logic;  -- export
-            reg_crosslets_info_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_crosslets_info_reset_export                        : out std_logic;  -- export
-            reg_crosslets_info_write_export                        : out std_logic;  -- export
-            reg_crosslets_info_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_bsn_address_export                : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_address_export    : out std_logic_vector(2 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_clk_export        : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_read_export       : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_reset_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_write_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_address_export   : out std_logic_vector(1 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_clk_export       : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_read_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_reset_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_write_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_err_bf_address_export            : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_block_validate_err_bf_clk_export                : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_read_export               : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_err_bf_reset_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_write_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_err_xst_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_dp_block_validate_err_xst_clk_export               : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_read_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_err_xst_reset_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_write_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_selector_address_export                         : out std_logic_vector(0 downto 0);  -- export
-            reg_dp_selector_clk_export                             : out std_logic;  -- export
-            reg_dp_selector_read_export                            : out std_logic;  -- export
-            reg_dp_selector_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_selector_reset_export                           : out std_logic;  -- export
-            reg_dp_selector_write_export                           : out std_logic;  -- export
-            reg_dp_selector_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_shiftram_address_export                         : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_shiftram_clk_export                             : out std_logic;  -- export
-            reg_dp_shiftram_read_export                            : out std_logic;  -- export
-            reg_dp_shiftram_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_shiftram_reset_export                           : out std_logic;  -- export
-            reg_dp_shiftram_write_export                           : out std_logic;  -- export
-            reg_dp_shiftram_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_address_export                           : out std_logic_vector(1 downto 0);  -- export
-            reg_dp_xonoff_clk_export                               : out std_logic;  -- export
-            reg_dp_xonoff_read_export                              : out std_logic;  -- export
-            reg_dp_xonoff_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_reset_export                             : out std_logic;  -- export
-            reg_dp_xonoff_write_export                             : out std_logic;  -- export
-            reg_dp_xonoff_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                               : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                              : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                             : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                             : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                               : out std_logic;  -- export
-            reg_dpmm_data_read_export                              : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                             : out std_logic;  -- export
-            reg_dpmm_data_write_export                             : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                                : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                                    : out std_logic;  -- export
-            reg_epcs_read_export                                   : out std_logic;  -- export
-            reg_epcs_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                                  : out std_logic;  -- export
-            reg_epcs_write_export                                  : out std_logic;  -- export
-            reg_epcs_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export                      : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export                          : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export                         : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export                        : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export                        : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export                   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export                       : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export                      : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export                     : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export                     : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_hdr_dat_address_export                             : out std_logic_vector(6 downto 0);  -- export
-            reg_hdr_dat_clk_export                                 : out std_logic;  -- export
-            reg_hdr_dat_read_export                                : out std_logic;  -- export
-            reg_hdr_dat_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_hdr_dat_reset_export                               : out std_logic;  -- export
-            reg_hdr_dat_write_export                               : out std_logic;  -- export
-            reg_hdr_dat_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                               : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                              : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                             : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                             : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                               : out std_logic;  -- export
-            reg_mmdp_data_read_export                              : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                             : out std_logic;  -- export
-            reg_mmdp_data_write_export                             : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_nof_crosslets_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_nof_crosslets_clk_export                           : out std_logic;  -- export
-            reg_nof_crosslets_read_export                          : out std_logic;  -- export
-            reg_nof_crosslets_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nof_crosslets_reset_export                         : out std_logic;  -- export
-            reg_nof_crosslets_write_export                         : out std_logic;  -- export
-            reg_nof_crosslets_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_nw_10gbe_eth10g_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_nw_10gbe_eth10g_clk_export                         : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_read_export                        : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_eth10g_reset_export                       : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_write_export                       : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_nw_10gbe_mac_address_export                        : out std_logic_vector(12 downto 0);  -- export
-            reg_nw_10gbe_mac_clk_export                            : out std_logic;  -- export
-            reg_nw_10gbe_mac_read_export                           : out std_logic;  -- export
-            reg_nw_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_mac_reset_export                          : out std_logic;  -- export
-            reg_nw_10gbe_mac_write_export                          : out std_logic;  -- export
-            reg_nw_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                                : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                                    : out std_logic;  -- export
-            reg_remu_read_export                                   : out std_logic;  -- export
-            reg_remu_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                                  : out std_logic;  -- export
-            reg_remu_write_export                                  : out std_logic;  -- export
-            reg_remu_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_info_address_export                           : out std_logic_vector(1 downto 0);  -- export
-            reg_ring_info_clk_export                               : out std_logic;  -- export
-            reg_ring_info_read_export                              : out std_logic;  -- export
-            reg_ring_info_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_info_reset_export                             : out std_logic;  -- export
-            reg_ring_info_write_export                             : out std_logic;  -- export
-            reg_ring_info_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_lane_info_bf_address_export                   : out std_logic_vector(1 downto 0);  -- export
-            reg_ring_lane_info_bf_clk_export                       : out std_logic;  -- export
-            reg_ring_lane_info_bf_read_export                      : out std_logic;  -- export
-            reg_ring_lane_info_bf_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_lane_info_bf_reset_export                     : out std_logic;  -- export
-            reg_ring_lane_info_bf_write_export                     : out std_logic;  -- export
-            reg_ring_lane_info_bf_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_lane_info_xst_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            reg_ring_lane_info_xst_clk_export                      : out std_logic;  -- export
-            reg_ring_lane_info_xst_read_export                     : out std_logic;  -- export
-            reg_ring_lane_info_xst_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_lane_info_xst_reset_export                    : out std_logic;  -- export
-            reg_ring_lane_info_xst_write_export                    : out std_logic;  -- export
-            reg_ring_lane_info_xst_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_sdp_info_address_export                            : out std_logic_vector(3 downto 0);  -- export
-            reg_sdp_info_clk_export                                : out std_logic;  -- export
-            reg_sdp_info_read_export                               : out std_logic;  -- export
-            reg_sdp_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_reset_export                              : out std_logic;  -- export
-            reg_sdp_info_write_export                              : out std_logic;  -- export
-            reg_sdp_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_si_address_export                                  : out std_logic_vector(0 downto 0);  -- export
-            reg_si_clk_export                                      : out std_logic;  -- export
-            reg_si_read_export                                     : out std_logic;  -- export
-            reg_si_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_si_reset_export                                    : out std_logic;  -- export
-            reg_si_write_export                                    : out std_logic;  -- export
-            reg_si_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_bst_address_export                     : out std_logic_vector(1 downto 0);  -- export
-            reg_stat_enable_bst_clk_export                         : out std_logic;  -- export
-            reg_stat_enable_bst_read_export                        : out std_logic;  -- export
-            reg_stat_enable_bst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_bst_reset_export                       : out std_logic;  -- export
-            reg_stat_enable_bst_write_export                       : out std_logic;  -- export
-            reg_stat_enable_bst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_sst_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_stat_enable_sst_clk_export                         : out std_logic;  -- export
-            reg_stat_enable_sst_read_export                        : out std_logic;  -- export
-            reg_stat_enable_sst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_sst_reset_export                       : out std_logic;  -- export
-            reg_stat_enable_sst_write_export                       : out std_logic;  -- export
-            reg_stat_enable_sst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_xst_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_stat_enable_xst_clk_export                         : out std_logic;  -- export
-            reg_stat_enable_xst_read_export                        : out std_logic;  -- export
-            reg_stat_enable_xst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_xst_reset_export                       : out std_logic;  -- export
-            reg_stat_enable_xst_write_export                       : out std_logic;  -- export
-            reg_stat_enable_xst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_bst_address_export                    : out std_logic_vector(6 downto 0);  -- export
-            reg_stat_hdr_dat_bst_clk_export                        : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_read_export                       : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_bst_reset_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_write_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_sst_address_export                    : out std_logic_vector(5 downto 0);  -- export
-            reg_stat_hdr_dat_sst_clk_export                        : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_read_export                       : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_sst_reset_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_write_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_xst_address_export                    : out std_logic_vector(5 downto 0);  -- export
-            reg_stat_hdr_dat_xst_clk_export                        : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_read_export                       : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_xst_reset_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_write_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_eth10g_address_export                     : out std_logic_vector(2 downto 0);  -- export
-            reg_tr_10gbe_eth10g_clk_export                         : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_read_export                        : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_eth10g_reset_export                       : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_write_export                       : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_mac_address_export                        : out std_logic_vector(14 downto 0);  -- export
-            reg_tr_10gbe_mac_clk_export                            : out std_logic;  -- export
-            reg_tr_10gbe_mac_read_export                           : out std_logic;  -- export
-            reg_tr_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_mac_reset_export                          : out std_logic;  -- export
-            reg_tr_10gbe_mac_write_export                          : out std_logic;  -- export
-            reg_tr_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export                           : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                               : out std_logic;  -- export
-            reg_unb_pmbus_read_export                              : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export                             : out std_logic;  -- export
-            reg_unb_pmbus_write_export                             : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export                            : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                                : out std_logic;  -- export
-            reg_unb_sens_read_export                               : out std_logic;  -- export
-            reg_unb_sens_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export                              : out std_logic;  -- export
-            reg_unb_sens_write_export                              : out std_logic;  -- export
-            reg_unb_sens_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                                 : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                                     : out std_logic;  -- export
-            reg_wdi_read_export                                    : out std_logic;  -- export
-            reg_wdi_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                                   : out std_logic;  -- export
-            reg_wdi_write_export                                   : out std_logic;  -- export
-            reg_wdi_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_address_export                                  : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_clk_export                                      : out std_logic;  -- export
-            reg_wg_read_export                                     : out std_logic;  -- export
-            reg_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_reset_export                                    : out std_logic;  -- export
-            reg_wg_write_export                                    : out std_logic;  -- export
-            reg_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                                          : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export                         : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_clk_export                             : out std_logic;  -- export
-            rom_system_info_read_export                            : out std_logic;  -- export
-            rom_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                           : out std_logic;  -- export
-            rom_system_info_write_export                           : out std_logic;  -- export
-            rom_system_info_writedata_export                       : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_lofar2_unb2b_sdp_station;
+  component qsys_lofar2_unb2b_sdp_station is
+    port (
+      avs_eth_0_clk_export                                   : out std_logic;  -- export
+      avs_eth_0_irq_export                                   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export                           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                              : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                             : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export                           : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                              : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                             : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                                 : out std_logic;  -- export
+      avs_eth_0_tse_address_export                           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                              : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                       : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                             : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                                : in  std_logic                     := 'X';  -- clk
+      jesd204b_address_export                                : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_clk_export                                    : out std_logic;  -- export
+      jesd204b_read_export                                   : out std_logic;  -- export
+      jesd204b_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      jesd204b_reset_export                                  : out std_logic;  -- export
+      jesd204b_write_export                                  : out std_logic;  -- export
+      jesd204b_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
+      pio_jesd_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      pio_jesd_ctrl_clk_export                               : out std_logic;  -- export
+      pio_jesd_ctrl_read_export                              : out std_logic;  -- export
+      pio_jesd_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_jesd_ctrl_reset_export                             : out std_logic;  -- export
+      pio_jesd_ctrl_write_export                             : out std_logic;  -- export
+      pio_jesd_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_address_export                                 : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_clk_export                                     : out std_logic;  -- export
+      pio_pps_read_export                                    : out std_logic;  -- export
+      pio_pps_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                                   : out std_logic;  -- export
+      pio_pps_write_export                                   : out std_logic;  -- export
+      pio_pps_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export                         : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                             : out std_logic;  -- export
+      pio_system_info_read_export                            : out std_logic;  -- export
+      pio_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                           : out std_logic;  -- export
+      pio_system_info_write_export                           : out std_logic;  -- export
+      pio_system_info_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export                     : out std_logic;  -- export
+      ram_bf_weights_address_export                          : out std_logic_vector(14 downto 0);  -- export
+      ram_bf_weights_clk_export                              : out std_logic;  -- export
+      ram_bf_weights_read_export                             : out std_logic;  -- export
+      ram_bf_weights_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_bf_weights_reset_export                            : out std_logic;  -- export
+      ram_bf_weights_write_export                            : out std_logic;  -- export
+      ram_bf_weights_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_bsn_address_export                : out std_logic_vector(20 downto 0);  -- export
+      ram_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_address_export                     : out std_logic_vector(13 downto 0);  -- export
+      ram_equalizer_gains_clk_export                         : out std_logic;  -- export
+      ram_equalizer_gains_read_export                        : out std_logic;  -- export
+      ram_equalizer_gains_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_equalizer_gains_reset_export                       : out std_logic;  -- export
+      ram_equalizer_gains_write_export                       : out std_logic;  -- export
+      ram_equalizer_gains_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_cross_address_export               : out std_logic_vector(13 downto 0);  -- export
+      ram_equalizer_gains_cross_clk_export                   : out std_logic;  -- export
+      ram_equalizer_gains_cross_read_export                  : out std_logic;  -- export
+      ram_equalizer_gains_cross_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_equalizer_gains_cross_reset_export                 : out std_logic;  -- export
+      ram_equalizer_gains_cross_write_export                 : out std_logic;  -- export
+      ram_equalizer_gains_cross_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_fil_coefs_address_export                           : out std_logic_vector(14 downto 0);  -- export
+      ram_fil_coefs_clk_export                               : out std_logic;  -- export
+      ram_fil_coefs_read_export                              : out std_logic;  -- export
+      ram_fil_coefs_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_fil_coefs_reset_export                             : out std_logic;  -- export
+      ram_fil_coefs_write_export                             : out std_logic;  -- export
+      ram_fil_coefs_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                               : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                                   : out std_logic;  -- export
+      ram_scrap_read_export                                  : out std_logic;  -- export
+      ram_scrap_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                                 : out std_logic;  -- export
+      ram_scrap_write_export                                 : out std_logic;  -- export
+      ram_scrap_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
+      ram_ss_ss_wide_address_export                          : out std_logic_vector(13 downto 0);  -- export
+      ram_ss_ss_wide_clk_export                              : out std_logic;  -- export
+      ram_ss_ss_wide_read_export                             : out std_logic;  -- export
+      ram_ss_ss_wide_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_ss_ss_wide_reset_export                            : out std_logic;  -- export
+      ram_ss_ss_wide_write_export                            : out std_logic;  -- export
+      ram_ss_ss_wide_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      ram_st_bst_address_export                              : out std_logic_vector(11 downto 0);  -- export
+      ram_st_bst_clk_export                                  : out std_logic;  -- export
+      ram_st_bst_read_export                                 : out std_logic;  -- export
+      ram_st_bst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_bst_reset_export                                : out std_logic;  -- export
+      ram_st_bst_write_export                                : out std_logic;  -- export
+      ram_st_bst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
+      ram_st_histogram_address_export                        : out std_logic_vector(12 downto 0);  -- export
+      ram_st_histogram_clk_export                            : out std_logic;  -- export
+      ram_st_histogram_read_export                           : out std_logic;  -- export
+      ram_st_histogram_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_histogram_reset_export                          : out std_logic;  -- export
+      ram_st_histogram_write_export                          : out std_logic;  -- export
+      ram_st_histogram_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      ram_st_sst_address_export                              : out std_logic_vector(14 downto 0);  -- export
+      ram_st_sst_clk_export                                  : out std_logic;  -- export
+      ram_st_sst_read_export                                 : out std_logic;  -- export
+      ram_st_sst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_sst_reset_export                                : out std_logic;  -- export
+      ram_st_sst_write_export                                : out std_logic;  -- export
+      ram_st_sst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
+      ram_st_xsq_address_export                              : out std_logic_vector(15 downto 0);  -- export
+      ram_st_xsq_clk_export                                  : out std_logic;  -- export
+      ram_st_xsq_read_export                                 : out std_logic;  -- export
+      ram_st_xsq_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_xsq_reset_export                                : out std_logic;  -- export
+      ram_st_xsq_write_export                                : out std_logic;  -- export
+      ram_st_xsq_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_address_export                                  : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_clk_export                                      : out std_logic;  -- export
+      ram_wg_read_export                                     : out std_logic;  -- export
+      ram_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_reset_export                                    : out std_logic;  -- export
+      ram_wg_write_export                                    : out std_logic;  -- export
+      ram_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      reg_aduh_monitor_address_export                        : out std_logic_vector(5 downto 0);  -- export
+      reg_aduh_monitor_clk_export                            : out std_logic;  -- export
+      reg_aduh_monitor_read_export                           : out std_logic;  -- export
+      reg_aduh_monitor_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_aduh_monitor_reset_export                          : out std_logic;  -- export
+      reg_aduh_monitor_write_export                          : out std_logic;  -- export
+      reg_aduh_monitor_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_bf_scale_address_export                            : out std_logic_vector(1 downto 0);  -- export
+      reg_bf_scale_clk_export                                : out std_logic;  -- export
+      reg_bf_scale_read_export                               : out std_logic;  -- export
+      reg_bf_scale_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bf_scale_reset_export                              : out std_logic;  -- export
+      reg_bf_scale_write_export                              : out std_logic;  -- export
+      reg_bf_scale_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_align_v2_bf_address_export                     : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_align_v2_bf_clk_export                         : out std_logic;  -- export
+      reg_bsn_align_v2_bf_read_export                        : out std_logic;  -- export
+      reg_bsn_align_v2_bf_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_align_v2_bf_reset_export                       : out std_logic;  -- export
+      reg_bsn_align_v2_bf_write_export                       : out std_logic;  -- export
+      reg_bsn_align_v2_bf_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_align_v2_xsub_address_export                   : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_align_v2_xsub_clk_export                       : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_read_export                      : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_align_v2_xsub_reset_export                     : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_write_export                     : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_input_address_export                   : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_clk_export                       : out std_logic;  -- export
+      reg_bsn_monitor_input_read_export                      : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export                     : out std_logic;  -- export
+      reg_bsn_monitor_input_write_export                     : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_bf_clk_export               : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_read_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_aligned_bf_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_write_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_xsub_address_export         : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_xsub_clk_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_read_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_aligned_xsub_reset_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_write_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_beamlet_output_address_export       : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_beamlet_output_clk_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_read_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_beamlet_output_reset_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_write_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_bst_offload_address_export          : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_bst_offload_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_bst_offload_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_clk_export               : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_read_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_write_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_clk_export               : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_read_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_write_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_bf_address_export          : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_bf_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_rx_align_bf_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_address_export        : out std_logic_vector(6 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_clk_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_read_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_reset_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_write_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_sst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_sst_offload_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_sst_offload_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_xst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_xst_offload_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_xst_offload_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_clk_export                           : out std_logic;  -- export
+      reg_bsn_scheduler_read_export                          : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export                         : out std_logic;  -- export
+      reg_bsn_scheduler_write_export                         : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_v2_address_export                       : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_source_v2_clk_export                           : out std_logic;  -- export
+      reg_bsn_source_v2_read_export                          : out std_logic;  -- export
+      reg_bsn_source_v2_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_v2_reset_export                         : out std_logic;  -- export
+      reg_bsn_source_v2_write_export                         : out std_logic;  -- export
+      reg_bsn_source_v2_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_sync_scheduler_xsub_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_sync_scheduler_xsub_clk_export                 : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_read_export                : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_sync_scheduler_xsub_reset_export               : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_write_export               : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_crosslets_info_address_export                      : out std_logic_vector(3 downto 0);  -- export
+      reg_crosslets_info_clk_export                          : out std_logic;  -- export
+      reg_crosslets_info_read_export                         : out std_logic;  -- export
+      reg_crosslets_info_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_crosslets_info_reset_export                        : out std_logic;  -- export
+      reg_crosslets_info_write_export                        : out std_logic;  -- export
+      reg_crosslets_info_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_bsn_address_export                : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_address_export    : out std_logic_vector(2 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_clk_export        : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_read_export       : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_reset_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_write_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_address_export   : out std_logic_vector(1 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_clk_export       : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_read_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_reset_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_write_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_err_bf_address_export            : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_block_validate_err_bf_clk_export                : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_read_export               : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_err_bf_reset_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_write_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_err_xst_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_dp_block_validate_err_xst_clk_export               : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_read_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_err_xst_reset_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_write_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_selector_address_export                         : out std_logic_vector(0 downto 0);  -- export
+      reg_dp_selector_clk_export                             : out std_logic;  -- export
+      reg_dp_selector_read_export                            : out std_logic;  -- export
+      reg_dp_selector_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_selector_reset_export                           : out std_logic;  -- export
+      reg_dp_selector_write_export                           : out std_logic;  -- export
+      reg_dp_selector_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_shiftram_address_export                         : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_shiftram_clk_export                             : out std_logic;  -- export
+      reg_dp_shiftram_read_export                            : out std_logic;  -- export
+      reg_dp_shiftram_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_shiftram_reset_export                           : out std_logic;  -- export
+      reg_dp_shiftram_write_export                           : out std_logic;  -- export
+      reg_dp_shiftram_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_address_export                           : out std_logic_vector(1 downto 0);  -- export
+      reg_dp_xonoff_clk_export                               : out std_logic;  -- export
+      reg_dp_xonoff_read_export                              : out std_logic;  -- export
+      reg_dp_xonoff_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_reset_export                             : out std_logic;  -- export
+      reg_dp_xonoff_write_export                             : out std_logic;  -- export
+      reg_dp_xonoff_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                               : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                              : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                             : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                             : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                               : out std_logic;  -- export
+      reg_dpmm_data_read_export                              : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                             : out std_logic;  -- export
+      reg_dpmm_data_write_export                             : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                                : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                                    : out std_logic;  -- export
+      reg_epcs_read_export                                   : out std_logic;  -- export
+      reg_epcs_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                                  : out std_logic;  -- export
+      reg_epcs_write_export                                  : out std_logic;  -- export
+      reg_epcs_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export                      : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export                          : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export                         : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export                        : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export                        : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export                   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export                       : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export                      : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export                     : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export                     : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_hdr_dat_address_export                             : out std_logic_vector(6 downto 0);  -- export
+      reg_hdr_dat_clk_export                                 : out std_logic;  -- export
+      reg_hdr_dat_read_export                                : out std_logic;  -- export
+      reg_hdr_dat_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_hdr_dat_reset_export                               : out std_logic;  -- export
+      reg_hdr_dat_write_export                               : out std_logic;  -- export
+      reg_hdr_dat_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                               : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                              : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                             : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                             : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                               : out std_logic;  -- export
+      reg_mmdp_data_read_export                              : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                             : out std_logic;  -- export
+      reg_mmdp_data_write_export                             : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_nof_crosslets_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_nof_crosslets_clk_export                           : out std_logic;  -- export
+      reg_nof_crosslets_read_export                          : out std_logic;  -- export
+      reg_nof_crosslets_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nof_crosslets_reset_export                         : out std_logic;  -- export
+      reg_nof_crosslets_write_export                         : out std_logic;  -- export
+      reg_nof_crosslets_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_nw_10gbe_eth10g_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_nw_10gbe_eth10g_clk_export                         : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_read_export                        : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_eth10g_reset_export                       : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_write_export                       : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_nw_10gbe_mac_address_export                        : out std_logic_vector(12 downto 0);  -- export
+      reg_nw_10gbe_mac_clk_export                            : out std_logic;  -- export
+      reg_nw_10gbe_mac_read_export                           : out std_logic;  -- export
+      reg_nw_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_mac_reset_export                          : out std_logic;  -- export
+      reg_nw_10gbe_mac_write_export                          : out std_logic;  -- export
+      reg_nw_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                                : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                                    : out std_logic;  -- export
+      reg_remu_read_export                                   : out std_logic;  -- export
+      reg_remu_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                                  : out std_logic;  -- export
+      reg_remu_write_export                                  : out std_logic;  -- export
+      reg_remu_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_info_address_export                           : out std_logic_vector(1 downto 0);  -- export
+      reg_ring_info_clk_export                               : out std_logic;  -- export
+      reg_ring_info_read_export                              : out std_logic;  -- export
+      reg_ring_info_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_info_reset_export                             : out std_logic;  -- export
+      reg_ring_info_write_export                             : out std_logic;  -- export
+      reg_ring_info_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_lane_info_bf_address_export                   : out std_logic_vector(1 downto 0);  -- export
+      reg_ring_lane_info_bf_clk_export                       : out std_logic;  -- export
+      reg_ring_lane_info_bf_read_export                      : out std_logic;  -- export
+      reg_ring_lane_info_bf_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_lane_info_bf_reset_export                     : out std_logic;  -- export
+      reg_ring_lane_info_bf_write_export                     : out std_logic;  -- export
+      reg_ring_lane_info_bf_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_lane_info_xst_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      reg_ring_lane_info_xst_clk_export                      : out std_logic;  -- export
+      reg_ring_lane_info_xst_read_export                     : out std_logic;  -- export
+      reg_ring_lane_info_xst_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_lane_info_xst_reset_export                    : out std_logic;  -- export
+      reg_ring_lane_info_xst_write_export                    : out std_logic;  -- export
+      reg_ring_lane_info_xst_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_sdp_info_address_export                            : out std_logic_vector(3 downto 0);  -- export
+      reg_sdp_info_clk_export                                : out std_logic;  -- export
+      reg_sdp_info_read_export                               : out std_logic;  -- export
+      reg_sdp_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_reset_export                              : out std_logic;  -- export
+      reg_sdp_info_write_export                              : out std_logic;  -- export
+      reg_sdp_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_si_address_export                                  : out std_logic_vector(0 downto 0);  -- export
+      reg_si_clk_export                                      : out std_logic;  -- export
+      reg_si_read_export                                     : out std_logic;  -- export
+      reg_si_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_si_reset_export                                    : out std_logic;  -- export
+      reg_si_write_export                                    : out std_logic;  -- export
+      reg_si_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_bst_address_export                     : out std_logic_vector(1 downto 0);  -- export
+      reg_stat_enable_bst_clk_export                         : out std_logic;  -- export
+      reg_stat_enable_bst_read_export                        : out std_logic;  -- export
+      reg_stat_enable_bst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_bst_reset_export                       : out std_logic;  -- export
+      reg_stat_enable_bst_write_export                       : out std_logic;  -- export
+      reg_stat_enable_bst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_sst_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_stat_enable_sst_clk_export                         : out std_logic;  -- export
+      reg_stat_enable_sst_read_export                        : out std_logic;  -- export
+      reg_stat_enable_sst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_sst_reset_export                       : out std_logic;  -- export
+      reg_stat_enable_sst_write_export                       : out std_logic;  -- export
+      reg_stat_enable_sst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_xst_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_stat_enable_xst_clk_export                         : out std_logic;  -- export
+      reg_stat_enable_xst_read_export                        : out std_logic;  -- export
+      reg_stat_enable_xst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_xst_reset_export                       : out std_logic;  -- export
+      reg_stat_enable_xst_write_export                       : out std_logic;  -- export
+      reg_stat_enable_xst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_bst_address_export                    : out std_logic_vector(6 downto 0);  -- export
+      reg_stat_hdr_dat_bst_clk_export                        : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_read_export                       : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_bst_reset_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_write_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_sst_address_export                    : out std_logic_vector(5 downto 0);  -- export
+      reg_stat_hdr_dat_sst_clk_export                        : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_read_export                       : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_sst_reset_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_write_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_xst_address_export                    : out std_logic_vector(5 downto 0);  -- export
+      reg_stat_hdr_dat_xst_clk_export                        : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_read_export                       : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_xst_reset_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_write_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_eth10g_address_export                     : out std_logic_vector(2 downto 0);  -- export
+      reg_tr_10gbe_eth10g_clk_export                         : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_read_export                        : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_eth10g_reset_export                       : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_write_export                       : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_mac_address_export                        : out std_logic_vector(14 downto 0);  -- export
+      reg_tr_10gbe_mac_clk_export                            : out std_logic;  -- export
+      reg_tr_10gbe_mac_read_export                           : out std_logic;  -- export
+      reg_tr_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_mac_reset_export                          : out std_logic;  -- export
+      reg_tr_10gbe_mac_write_export                          : out std_logic;  -- export
+      reg_tr_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export                           : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                               : out std_logic;  -- export
+      reg_unb_pmbus_read_export                              : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export                             : out std_logic;  -- export
+      reg_unb_pmbus_write_export                             : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export                            : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                                : out std_logic;  -- export
+      reg_unb_sens_read_export                               : out std_logic;  -- export
+      reg_unb_sens_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export                              : out std_logic;  -- export
+      reg_unb_sens_write_export                              : out std_logic;  -- export
+      reg_unb_sens_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                                 : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                                     : out std_logic;  -- export
+      reg_wdi_read_export                                    : out std_logic;  -- export
+      reg_wdi_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                                   : out std_logic;  -- export
+      reg_wdi_write_export                                   : out std_logic;  -- export
+      reg_wdi_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_address_export                                  : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_clk_export                                      : out std_logic;  -- export
+      reg_wg_read_export                                     : out std_logic;  -- export
+      reg_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_reset_export                                    : out std_logic;  -- export
+      reg_wg_write_export                                    : out std_logic;  -- export
+      reg_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                                          : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export                         : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_clk_export                             : out std_logic;  -- export
+      rom_system_info_read_export                            : out std_logic;  -- export
+      rom_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                           : out std_logic;  -- export
+      rom_system_info_write_export                           : out std_logic;  -- export
+      rom_system_info_writedata_export                       : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_lofar2_unb2b_sdp_station;
 end qsys_lofar2_unb2b_sdp_station_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/tb/vhdl/tb_lofar2_unb2b_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/tb/vhdl/tb_lofar2_unb2b_sdp_station.vhd
index bb7bc1ceff..8f292aa483 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/tb/vhdl/tb_lofar2_unb2b_sdp_station.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/tb/vhdl/tb_lofar2_unb2b_sdp_station.vhd
@@ -31,20 +31,20 @@
 --   c_eth_check_nof_packets = 1 instead of S_pn = 12.
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity tb_lofar2_unb2b_sdp_station is
 end tb_lofar2_unb2b_sdp_station;
@@ -73,7 +73,7 @@ architecture tb of tb_lofar2_unb2b_sdp_station is
   constant c_ampl_sp_0                    : natural := c_sdp_FS_adc / 2;  -- = 0.5 * FS, so in number of lsb
   constant c_subband_sp_0                 : real := 102.0;  -- Select subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
 
--- . 1GbE output
+  -- . 1GbE output
   constant c_eth_check_nof_packets        : natural := 1;
   constant c_eth_runtime_timeout          : time := 300 us;
 
@@ -143,52 +143,52 @@ begin
 
   -- >> DUT <<
   u_lofar_unb2b_sdp_station : entity work.lofar2_unb2b_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2b_sdp_station_bf",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK                  => ext_clk,
-    PPS                  => pps,
-    WDI                  => WDI,
-    INTA                 => INTA,
-    INTB                 => INTB,
-
-    -- Others
-    VERSION              => c_version,
-    ID                   => c_id,
-    TESTIO               => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC              => sens_scl,
-    SENS_SD              => sens_sda,
-
-    PMBUS_SC             => pmbus_scl,
-    PMBUS_SD             => pmbus_sda,
-    PMBUS_ALERT          => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK              => eth_clk,
-    ETH_SGIN             => eth_rxp,
-    ETH_SGOUT            => eth_txp,
-
-    -- LEDs
-    QSFP_LED             => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF      => jesd204b_sysref,
-    JESD204B_SYNC_N      => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2b_sdp_station_bf",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK                  => ext_clk,
+      PPS                  => pps,
+      WDI                  => WDI,
+      INTA                 => INTA,
+      INTB                 => INTB,
+
+      -- Others
+      VERSION              => c_version,
+      ID                   => c_id,
+      TESTIO               => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC              => sens_scl,
+      SENS_SD              => sens_sda,
+
+      PMBUS_SC             => pmbus_scl,
+      PMBUS_SD             => pmbus_sda,
+      PMBUS_ALERT          => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK              => eth_clk,
+      ETH_SGIN             => eth_rxp,
+      ETH_SGOUT            => eth_txp,
+
+      -- LEDs
+      QSFP_LED             => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF      => jesd204b_sysref,
+      JESD204B_SYNC_N      => jesd204b_sync_n
+    );
 
   ---------------------------------------------------------------------------------------------------------------------
   -- Stimuli
@@ -248,13 +248,13 @@ begin
 
   -- >> Verify proper DUT output using Ethernet packet statistics <<
   u_eth_statistics : entity eth_lib.eth_statistics
-  generic map (
-    g_runtime_nof_packets => c_eth_check_nof_packets,
-    g_runtime_timeout     => c_eth_runtime_timeout
-  )
-  port map (
-    eth_serial_in => eth_txp(0),
-    tb_end        => eth_done
-  );
+    generic map (
+      g_runtime_nof_packets => c_eth_check_nof_packets,
+      g_runtime_timeout     => c_eth_runtime_timeout
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      tb_end        => eth_done
+    );
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/lofar2_unb2c_ddrctrl.vhd b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/lofar2_unb2c_ddrctrl.vhd
index a40ce9956b..01c9fe5c83 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/lofar2_unb2c_ddrctrl.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/lofar2_unb2c_ddrctrl.vhd
@@ -21,16 +21,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, technology_lib, diag_lib, dp_lib, lofar2_ddrctrl_lib, tech_ddr_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 
 
@@ -77,10 +77,10 @@ entity lofar2_unb2c_ddrctrl is
     MB_I_IO      : inout t_tech_ddr4_phy_io;
     MB_I_OU      : out   t_tech_ddr4_phy_ou
 
-    -- SO-DIMM Memory Bank II
-    --MB_II_IN     : IN    t_tech_ddr4_phy_in := c_tech_ddr4_phy_in_x;
-    --MB_II_IO     : INOUT t_tech_ddr4_phy_io;
-    --MB_II_OU     : OUT   t_tech_ddr4_phy_ou;
+  -- SO-DIMM Memory Bank II
+  --MB_II_IN     : IN    t_tech_ddr4_phy_in := c_tech_ddr4_phy_in_x;
+  --MB_II_IO     : INOUT t_tech_ddr4_phy_io;
+  --MB_II_OU     : OUT   t_tech_ddr4_phy_ou;
 
 
   );
@@ -270,86 +270,86 @@ begin
 
 
   u_bsn_source_v2 : entity dp_lib.mms_dp_bsn_source_v2
-  generic map (
-    g_cross_clock_domain     => true,
-    g_block_size             => c_bs_block_size,
-    g_nof_clk_per_sync       => c_bsn_nof_clk_per_sync,
-    g_bsn_w                  => c_bs_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => st_rst,
-    dp_clk            => st_clk,
-    dp_pps            => st_pps,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => reg_bsn_source_v2_mosi,
-    reg_miso          => reg_bsn_source_v2_miso,
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi,
-
-    bs_restart        => open
-  );
+    generic map (
+      g_cross_clock_domain     => true,
+      g_block_size             => c_bs_block_size,
+      g_nof_clk_per_sync       => c_bsn_nof_clk_per_sync,
+      g_bsn_w                  => c_bs_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => st_rst,
+      dp_clk            => st_clk,
+      dp_pps            => st_pps,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => reg_bsn_source_v2_mosi,
+      reg_miso          => reg_bsn_source_v2_miso,
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi,
+
+      bs_restart        => open
+    );
 
   u_bsn_trigger_wg : entity dp_lib.mms_dp_bsn_scheduler
-  generic map (
-    g_cross_clock_domain => true,
-    g_bsn_w              => c_bs_bsn_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_bsn_scheduler_mosi,
-    reg_miso    => reg_bsn_scheduler_miso,
-
-    -- Streaming clock domain
-    dp_rst      => st_rst,
-    dp_clk      => st_clk,
-
-    snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
-    trigger_out => trigger_wg
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_bsn_w              => c_bs_bsn_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_bsn_scheduler_mosi,
+      reg_miso    => reg_bsn_scheduler_miso,
+
+      -- Streaming clock domain
+      dp_rst      => st_rst,
+      dp_clk      => st_clk,
+
+      snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
+      trigger_out => trigger_wg
+    );
 
 
   u_wg_arr : entity diag_lib.mms_diag_wg_wideband_arr
-  generic map (
-    g_nof_streams        => c_nof_streams,
-    g_cross_clock_domain => true,
-    g_buf_dir            => c_wg_buf_directory,
-
-    -- Wideband parameters
-    g_wideband_factor    => 1,
-
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w          => c_wg_buf_dat_w,
-    g_buf_addr_w         => c_wg_buf_addr_w,
-    g_calc_support       => true,
-    g_calc_gain_w        => 1,
-    g_calc_dat_w         => c_sdp_W_adc
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-
-    reg_mosi            => reg_wg_wideband_arr_mosi,
-    reg_miso            => reg_wg_wideband_arr_miso,
-
-    buf_mosi            => ram_wg_wideband_arr_mosi,
-    buf_miso            => ram_wg_wideband_arr_miso,
-
-    -- Streaming clock domain
-    st_rst              => st_rst,
-    st_clk              => st_clk,
-    st_restart          => trigger_wg,
-
-    out_sosi_arr        => wg_sosi_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_streams,
+      g_cross_clock_domain => true,
+      g_buf_dir            => c_wg_buf_directory,
+
+      -- Wideband parameters
+      g_wideband_factor    => 1,
+
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w          => c_wg_buf_dat_w,
+      g_buf_addr_w         => c_wg_buf_addr_w,
+      g_calc_support       => true,
+      g_calc_gain_w        => 1,
+      g_calc_dat_w         => c_sdp_W_adc
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+
+      reg_mosi            => reg_wg_wideband_arr_mosi,
+      reg_miso            => reg_wg_wideband_arr_miso,
+
+      buf_mosi            => ram_wg_wideband_arr_mosi,
+      buf_miso            => ram_wg_wideband_arr_miso,
+
+      -- Streaming clock domain
+      st_rst              => st_rst,
+      st_clk              => st_clk,
+      st_restart          => trigger_wg,
+
+      out_sosi_arr        => wg_sosi_arr
+    );
 
 
   gen_concat : for I in 0 to c_sdp_S_pn - 1 generate
@@ -362,403 +362,403 @@ begin
 
 
   u_stop_in_reg : entity common_lib.mms_common_reg
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
 
-    reg_mosi            => reg_stop_in_mosi,
-    reg_miso            => reg_stop_in_miso,
+      reg_mosi            => reg_stop_in_mosi,
+      reg_miso            => reg_stop_in_miso,
 
-    -- Streaming clock domain
-    st_rst              => st_rst,
-    st_clk              => st_clk,
+      -- Streaming clock domain
+      st_rst              => st_rst,
+      st_clk              => st_clk,
 
-    in_reg              => stop_in_arr,
-    out_reg             => stop_in_arr
-  );
+      in_reg              => stop_in_arr,
+      out_reg             => stop_in_arr
+    );
 
 
   u_ddrctrl_ctrl_state_reg : entity common_lib.mms_common_reg
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
 
-    reg_mosi            => reg_ddrctrl_ctrl_state_mosi,
-    reg_miso            => reg_ddrctrl_ctrl_state_miso,
+      reg_mosi            => reg_ddrctrl_ctrl_state_mosi,
+      reg_miso            => reg_ddrctrl_ctrl_state_miso,
 
-    -- Streaming clock domain
-    st_rst              => st_rst,
-    st_clk              => st_clk,
+      -- Streaming clock domain
+      st_rst              => st_rst,
+      st_clk              => st_clk,
 
-    in_reg              => ddrctrl_ctrl_state,
-    out_reg             => open
-  );
+      in_reg              => ddrctrl_ctrl_state,
+      out_reg             => open
+    );
 
 
   u_ddrctrl : entity lofar2_ddrctrl_lib.ddrctrl
-  generic map (
-    g_tech_ddr        => c_tech_ddr,
-    g_sim_model       => g_sim,
-    g_technology      => g_technology,
-    g_nof_streams     => c_nof_streams,
-    g_data_w          => c_data_w,
-    g_stop_percentage => c_stop_percentage,
-    g_block_size      => c_bs_block_size
-  )
-  port map (
-    clk               => st_clk,
-    rst               => st_rst,
-    ctlr_ref_clk      => MB_I_REF_CLK,
-    ctlr_ref_rst      => mb_I_ref_rst,
-    mm_clk            => mm_clk,
-    mm_rst            => mm_rst,
-    in_sosi_arr       => st_sosi_arr,
-    stop_in           => stop_in_arr(0),
-    out_sosi_arr      => out_sosi_arr_ddrctrl,
-    out_siso          => out_siso,
-    ddrctrl_ctrl_state => ddrctrl_ctrl_state,
-
-    reg_io_ddr_mosi   => reg_io_ddr_mosi,
-    reg_io_ddr_miso   => reg_io_ddr_miso,
-
-    --PHY
-    phy3_in           => phy3_in,
-    phy3_io           => phy3_io,
-    phy3_ou           => phy3_ou,
-    phy4_in           => MB_I_IN,
-    phy4_io           => MB_I_IO,
-    phy4_ou           => MB_I_OU
-  );
+    generic map (
+      g_tech_ddr        => c_tech_ddr,
+      g_sim_model       => g_sim,
+      g_technology      => g_technology,
+      g_nof_streams     => c_nof_streams,
+      g_data_w          => c_data_w,
+      g_stop_percentage => c_stop_percentage,
+      g_block_size      => c_bs_block_size
+    )
+    port map (
+      clk               => st_clk,
+      rst               => st_rst,
+      ctlr_ref_clk      => MB_I_REF_CLK,
+      ctlr_ref_rst      => mb_I_ref_rst,
+      mm_clk            => mm_clk,
+      mm_rst            => mm_rst,
+      in_sosi_arr       => st_sosi_arr,
+      stop_in           => stop_in_arr(0),
+      out_sosi_arr      => out_sosi_arr_ddrctrl,
+      out_siso          => out_siso,
+      ddrctrl_ctrl_state => ddrctrl_ctrl_state,
+
+      reg_io_ddr_mosi   => reg_io_ddr_mosi,
+      reg_io_ddr_miso   => reg_io_ddr_miso,
+
+      --PHY
+      phy3_in           => phy3_in,
+      phy3_io           => phy3_io,
+      phy3_ou           => phy3_ou,
+      phy4_in           => MB_I_IN,
+      phy4_io           => MB_I_IO,
+      phy4_ou           => MB_I_OU
+    );
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer_dev
-  generic map (
-    g_technology      => g_technology,
-
-    -- General
-    g_nof_streams     => c_nof_streams,
-
-    -- DB settings
-    g_data_type       => c_data_type,
-    g_data_w          => c_word_w,
-    g_buf_nof_data    => c_buf_nof_words,
-    g_buf_use_sync    => false,
-    g_use_steps       => false,
-    g_nof_steps       => c_diag_seq_rx_reg_nof_steps
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-
-    reg_data_buf_mosi => reg_data_buf_mosi,
-    reg_data_buf_miso => reg_data_buf_miso,
-
-    ram_data_buf_mosi => ram_data_buf_mosi,
-    ram_data_buf_miso => ram_data_buf_miso,
-
-    -- Streaming clock domain
-    dp_rst            => st_rst,
-    dp_clk            => st_clk,
-
-    in_sync           => st_pps,
-    in_sosi_arr       => in_sosi_arr_data_buf,
-    out_wr_done_arr   => out_wr_data_done_arr
-  );
+    generic map (
+      g_technology      => g_technology,
+
+      -- General
+      g_nof_streams     => c_nof_streams,
+
+      -- DB settings
+      g_data_type       => c_data_type,
+      g_data_w          => c_word_w,
+      g_buf_nof_data    => c_buf_nof_words,
+      g_buf_use_sync    => false,
+      g_use_steps       => false,
+      g_nof_steps       => c_diag_seq_rx_reg_nof_steps
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+
+      reg_data_buf_mosi => reg_data_buf_mosi,
+      reg_data_buf_miso => reg_data_buf_miso,
+
+      ram_data_buf_mosi => ram_data_buf_mosi,
+      ram_data_buf_miso => ram_data_buf_miso,
+
+      -- Streaming clock domain
+      dp_rst            => st_rst,
+      dp_clk            => st_clk,
+
+      in_sync           => st_pps,
+      in_sosi_arr       => in_sosi_arr_data_buf,
+      out_wr_done_arr   => out_wr_data_done_arr
+    );
 
 
   u_diag_bsn_buffer : entity diag_lib.mms_diag_data_buffer_dev
-  generic map (
-    g_technology      => g_technology,
-
-    -- General
-    g_nof_streams     => c_nof_streams,
-
-    -- DB settings
-    g_data_type       => c_bsn_type,
-    g_data_w          => c_dp_stream_bsn_w,
-    g_buf_nof_data    => c_buf_nof_words,
-    g_buf_use_sync    => false,
-    g_use_steps       => false,
-    g_nof_steps       => c_diag_seq_rx_reg_nof_steps
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-
-    reg_data_buf_mosi => reg_bsn_buf_mosi,
-    reg_data_buf_miso => reg_bsn_buf_miso,
-
-    ram_data_buf_mosi => ram_bsn_buf_mosi,
-    ram_data_buf_miso => ram_bsn_buf_miso,
-
-    -- Streaming clock domain
-    dp_rst            => st_rst,
-    dp_clk            => st_clk,
-
-    in_sync           => st_pps,
-    in_sosi_arr       => in_sosi_arr_data_buf,
-    out_wr_done_arr   => out_wr_bsn_done_arr
-  );
+    generic map (
+      g_technology      => g_technology,
+
+      -- General
+      g_nof_streams     => c_nof_streams,
+
+      -- DB settings
+      g_data_type       => c_bsn_type,
+      g_data_w          => c_dp_stream_bsn_w,
+      g_buf_nof_data    => c_buf_nof_words,
+      g_buf_use_sync    => false,
+      g_use_steps       => false,
+      g_nof_steps       => c_diag_seq_rx_reg_nof_steps
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+
+      reg_data_buf_mosi => reg_bsn_buf_mosi,
+      reg_data_buf_miso => reg_bsn_buf_miso,
+
+      ram_data_buf_mosi => ram_bsn_buf_mosi,
+      ram_data_buf_miso => ram_bsn_buf_miso,
+
+      -- Streaming clock domain
+      dp_rst            => st_rst,
+      dp_clk            => st_clk,
+
+      in_sync           => st_pps,
+      in_sosi_arr       => in_sosi_arr_data_buf,
+      out_wr_done_arr   => out_wr_bsn_done_arr
+    );
 
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2c_board_lib.ctrl_unb2c_board
-  generic map (
-    g_sim                => g_sim,
-    g_technology         => g_technology,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2c_board_eth_clk_freq_125M,
-    g_aux                => c_unb2c_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => st_pps,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    mb_I_ref_rst             => mb_I_ref_rst,
-    MB_I_REF_CLK             => MB_I_REF_CLK,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- scrap ram
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-
-    -- . 1GbE Control Interface
---    ETH_clk                  => ETH_CLK(0),
---    ETH_SGIN                 => ETH_SGIN(0),
---    ETH_SGOUT                => ETH_SGOUT(0)
-
-    ETH_clk                  => ETH_CLK(1),
-    ETH_SGIN                 => ETH_SGIN(1),
-    ETH_SGOUT                => ETH_SGOUT(1)
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_technology         => g_technology,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2c_board_eth_clk_freq_125M,
+      g_aux                => c_unb2c_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => st_pps,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      mb_I_ref_rst             => mb_I_ref_rst,
+      MB_I_REF_CLK             => MB_I_REF_CLK,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- scrap ram
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+
+      -- . 1GbE Control Interface
+      --    ETH_clk                  => ETH_CLK(0),
+      --    ETH_SGIN                 => ETH_SGIN(0),
+      --    ETH_SGOUT                => ETH_SGOUT(0)
+
+      ETH_clk                  => ETH_CLK(1),
+      ETH_SGIN                 => ETH_SGIN(1),
+      ETH_SGOUT                => ETH_SGOUT(1)
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2c_ddrctrl
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- Scrap RAM
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- bsn_source_v2
-    reg_bsn_source_v2_mosi   => reg_bsn_source_v2_mosi,
-    reg_bsn_source_v2_miso   => reg_bsn_source_v2_miso,
-
-    -- bsn_scheduler
-    reg_bsn_scheduler_mosi => reg_bsn_scheduler_mosi,
-    reg_bsn_scheduler_miso => reg_bsn_scheduler_miso,
-
-    -- wg_wideband_arr
-    reg_wg_wideband_arr_mosi             => reg_wg_wideband_arr_mosi,
-    reg_wg_wideband_arr_miso             => reg_wg_wideband_arr_miso,
-    ram_wg_wideband_arr_mosi             => ram_wg_wideband_arr_mosi,
-    ram_wg_wideband_arr_miso             => ram_wg_wideband_arr_miso,
-
-    -- stop_in
-    reg_stop_in_mosi        => reg_stop_in_mosi,
-    reg_stop_in_miso        => reg_stop_in_miso,
-
-    -- ddrctrl_ctrl_state
-    reg_ddrctrl_ctrl_state_mosi => reg_ddrctrl_ctrl_state_mosi,
-    reg_ddrctrl_ctrl_state_miso => reg_ddrctrl_ctrl_state_miso,
-
-    -- io_ddr
-    reg_io_ddr_mosi         => reg_io_ddr_mosi,
-    reg_io_ddr_miso         => reg_io_ddr_miso,
-
-    -- data_buffer
-    reg_data_buf_mosi       => reg_data_buf_mosi,
-    reg_data_buf_miso       => reg_data_buf_miso,
-    ram_data_buf_mosi       => ram_data_buf_mosi,
-    ram_data_buf_miso       => ram_data_buf_miso,
-    reg_rx_seq_data_mosi    => reg_rx_seq_data_mosi,
-    reg_rx_seq_data_miso    => reg_rx_seq_data_miso,
-
-    -- bsn_buffer
-    reg_bsn_buf_mosi        => reg_bsn_buf_mosi,
-    reg_bsn_buf_miso        => reg_bsn_buf_miso,
-    ram_bsn_buf_mosi        => ram_bsn_buf_mosi,
-    ram_bsn_buf_miso        => ram_bsn_buf_miso,
-    reg_rx_seq_bsn_mosi     => reg_rx_seq_bsn_mosi,
-    reg_rx_seq_bsn_miso     => reg_rx_seq_bsn_miso
-
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- Scrap RAM
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- bsn_source_v2
+      reg_bsn_source_v2_mosi   => reg_bsn_source_v2_mosi,
+      reg_bsn_source_v2_miso   => reg_bsn_source_v2_miso,
+
+      -- bsn_scheduler
+      reg_bsn_scheduler_mosi => reg_bsn_scheduler_mosi,
+      reg_bsn_scheduler_miso => reg_bsn_scheduler_miso,
+
+      -- wg_wideband_arr
+      reg_wg_wideband_arr_mosi             => reg_wg_wideband_arr_mosi,
+      reg_wg_wideband_arr_miso             => reg_wg_wideband_arr_miso,
+      ram_wg_wideband_arr_mosi             => ram_wg_wideband_arr_mosi,
+      ram_wg_wideband_arr_miso             => ram_wg_wideband_arr_miso,
+
+      -- stop_in
+      reg_stop_in_mosi        => reg_stop_in_mosi,
+      reg_stop_in_miso        => reg_stop_in_miso,
+
+      -- ddrctrl_ctrl_state
+      reg_ddrctrl_ctrl_state_mosi => reg_ddrctrl_ctrl_state_mosi,
+      reg_ddrctrl_ctrl_state_miso => reg_ddrctrl_ctrl_state_miso,
+
+      -- io_ddr
+      reg_io_ddr_mosi         => reg_io_ddr_mosi,
+      reg_io_ddr_miso         => reg_io_ddr_miso,
+
+      -- data_buffer
+      reg_data_buf_mosi       => reg_data_buf_mosi,
+      reg_data_buf_miso       => reg_data_buf_miso,
+      ram_data_buf_mosi       => ram_data_buf_mosi,
+      ram_data_buf_miso       => ram_data_buf_miso,
+      reg_rx_seq_data_mosi    => reg_rx_seq_data_mosi,
+      reg_rx_seq_data_miso    => reg_rx_seq_data_miso,
+
+      -- bsn_buffer
+      reg_bsn_buf_mosi        => reg_bsn_buf_mosi,
+      reg_bsn_buf_miso        => reg_bsn_buf_miso,
+      ram_bsn_buf_mosi        => ram_bsn_buf_mosi,
+      ram_bsn_buf_miso        => ram_bsn_buf_miso,
+      reg_rx_seq_bsn_mosi     => reg_rx_seq_bsn_mosi,
+      reg_rx_seq_bsn_miso     => reg_rx_seq_bsn_miso
+
+    );
 
   u_front_led : entity unb2c_board_lib.unb2c_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2c_board_lib.unb2c_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 end str;
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/mmm_lofar2_unb2c_ddrctrl.vhd b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/mmm_lofar2_unb2c_ddrctrl.vhd
index 7d53952914..967e08ad26 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/mmm_lofar2_unb2c_ddrctrl.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/mmm_lofar2_unb2c_ddrctrl.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2c_ddrctrl_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2c_ddrctrl_pkg.all;
 
 
 entity mmm_lofar2_unb2c_ddrctrl is
@@ -141,7 +141,7 @@ entity mmm_lofar2_unb2c_ddrctrl is
     reg_rx_seq_bsn_miso       : in  t_mem_miso
 
 
-);
+  );
 end mmm_lofar2_unb2c_ddrctrl;
 
 architecture str of mmm_lofar2_unb2c_ddrctrl is
@@ -159,68 +159,68 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_ram_scrap           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_reg_bsn_source_v2   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE_V2")
-                                               port map(mm_rst, mm_clk, reg_bsn_source_v2_mosi, reg_bsn_source_v2_miso );
+      port map(mm_rst, mm_clk, reg_bsn_source_v2_mosi, reg_bsn_source_v2_miso );
 
     u_mm_file_reg_bsn_scheduler   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                               port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
 
     u_mm_file_reg_wg_wideband_arr : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG_WIDEBAND_ARR")
-                                               port map(mm_rst, mm_clk, reg_wg_wideband_arr_mosi, reg_wg_wideband_arr_miso);
+      port map(mm_rst, mm_clk, reg_wg_wideband_arr_mosi, reg_wg_wideband_arr_miso);
 
     u_mm_file_ram_wg_wideband_arr : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG_WIDEBAND_ARR")
-                                               port map(mm_rst, mm_clk, ram_wg_wideband_arr_mosi, ram_wg_wideband_arr_miso);
+      port map(mm_rst, mm_clk, ram_wg_wideband_arr_mosi, ram_wg_wideband_arr_miso);
 
     u_mm_file_reg_stop_in         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STOP_IN")
-                                               port map(mm_rst, mm_clk, reg_stop_in_mosi, reg_stop_in_miso);
+      port map(mm_rst, mm_clk, reg_stop_in_mosi, reg_stop_in_miso);
 
     u_mm_file_reg_ddrctrl_state   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DDRCTRL_CTRL_STATE")
-                                               port map(mm_rst, mm_clk, reg_ddrctrl_ctrl_state_mosi, reg_ddrctrl_ctrl_state_miso);
+      port map(mm_rst, mm_clk, reg_ddrctrl_ctrl_state_mosi, reg_ddrctrl_ctrl_state_miso);
 
     u_mm_file_reg_io_ddr          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR")
-                                               port map(mm_rst, mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
 
     u_mm_file_reg_data_buf        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DATA_BUF")
-                                               port map(mm_rst, mm_clk, reg_data_buf_mosi, reg_data_buf_miso);
+      port map(mm_rst, mm_clk, reg_data_buf_mosi, reg_data_buf_miso);
 
     u_mm_file_ram_data_buf        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DATA_BUF")
-                                               port map(mm_rst, mm_clk, ram_data_buf_mosi, ram_data_buf_miso);
+      port map(mm_rst, mm_clk, ram_data_buf_mosi, ram_data_buf_miso);
 
     u_mm_file_reg_rx_seq_data     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RX_SEQ_DATA")
-                                               port map(mm_rst, mm_clk, reg_rx_seq_data_mosi, reg_rx_seq_data_miso);
+      port map(mm_rst, mm_clk, reg_rx_seq_data_mosi, reg_rx_seq_data_miso);
 
     u_mm_file_reg_bsn_buf         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_BUF")
-                                               port map(mm_rst, mm_clk, reg_bsn_buf_mosi, reg_bsn_buf_miso);
+      port map(mm_rst, mm_clk, reg_bsn_buf_mosi, reg_bsn_buf_miso);
 
     u_mm_file_ram_bsn_buf         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_BSN_BUF")
-                                               port map(mm_rst, mm_clk, ram_bsn_buf_mosi, ram_bsn_buf_miso);
+      port map(mm_rst, mm_clk, ram_bsn_buf_mosi, ram_bsn_buf_miso);
 
     u_mm_file_reg_rx_seq_bsn      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RX_SEQ_BSN")
-                                               port map(mm_rst, mm_clk, reg_rx_seq_bsn_mosi, reg_rx_seq_bsn_miso);
+      port map(mm_rst, mm_clk, reg_rx_seq_bsn_mosi, reg_rx_seq_bsn_miso);
 
 
 
@@ -240,209 +240,209 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2c_ddrctrl
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_v2_reset_export            => OPEN,
-      reg_bsn_source_v2_clk_export              => OPEN,
-      reg_bsn_source_v2_address_export          => reg_bsn_source_v2_mosi.address(2 downto 0),
-      reg_bsn_source_v2_read_export             => reg_bsn_source_v2_mosi.rd,
-      reg_bsn_source_v2_readdata_export         => reg_bsn_source_v2_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_v2_write_export            => reg_bsn_source_v2_mosi.wr,
-      reg_bsn_source_v2_writedata_export        => reg_bsn_source_v2_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(0 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_wg_wideband_arr_reset_export          => OPEN,
-      reg_wg_wideband_arr_clk_export            => OPEN,
-      reg_wg_wideband_arr_address_export        => reg_wg_wideband_arr_mosi.address(5 downto 0),
-      reg_wg_wideband_arr_read_export           => reg_wg_wideband_arr_mosi.rd,
-      reg_wg_wideband_arr_readdata_export       => reg_wg_wideband_arr_miso.rddata(c_word_w - 1 downto 0),
-      reg_wg_wideband_arr_write_export          => reg_wg_wideband_arr_mosi.wr,
-      reg_wg_wideband_arr_writedata_export      => reg_wg_wideband_arr_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_wideband_arr_reset_export          => OPEN,
-      ram_wg_wideband_arr_clk_export            => OPEN,
-      ram_wg_wideband_arr_address_export        => ram_wg_wideband_arr_mosi.address(13 downto 0),
-      ram_wg_wideband_arr_read_export           => ram_wg_wideband_arr_mosi.rd,
-      ram_wg_wideband_arr_readdata_export       => ram_wg_wideband_arr_miso.rddata(c_word_w - 1 downto 0),
-      ram_wg_wideband_arr_write_export          => ram_wg_wideband_arr_mosi.wr,
-      ram_wg_wideband_arr_writedata_export      => ram_wg_wideband_arr_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_stop_in_reset_export                  => OPEN,
-      reg_stop_in_clk_export                    => OPEN,
-      reg_stop_in_address_export                => reg_stop_in_mosi.address(0 downto 0),
-      reg_stop_in_read_export                   => reg_stop_in_mosi.rd,
-      reg_stop_in_readdata_export               => reg_stop_in_miso.rddata(c_word_w - 1 downto 0),
-      reg_stop_in_write_export                  => reg_stop_in_mosi.wr,
-      reg_stop_in_writedata_export              => reg_stop_in_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_data_buf_reset_export                 => OPEN,
-      ram_data_buf_clk_export                   => OPEN,
-      ram_data_buf_address_export               => ram_data_buf_mosi.address(13 downto 0),
-      ram_data_buf_read_export                  => ram_data_buf_mosi.rd,
-      ram_data_buf_readdata_export              => ram_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      ram_data_buf_write_export                 => ram_data_buf_mosi.wr,
-      ram_data_buf_writedata_export             => ram_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_bsn_buf_reset_export                  => OPEN,
-      ram_bsn_buf_clk_export                    => OPEN,
-      ram_bsn_buf_address_export                => ram_bsn_buf_mosi.address(14 downto 0),
-      ram_bsn_buf_read_export                   => ram_bsn_buf_mosi.rd,
-      ram_bsn_buf_readdata_export               => ram_bsn_buf_miso.rddata(c_word_w - 1 downto 0),
-      ram_bsn_buf_write_export                  => ram_bsn_buf_mosi.wr,
-      ram_bsn_buf_writedata_export              => ram_bsn_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_reset_export                   => OPEN,
-      reg_io_ddr_clk_export                     => OPEN,
-      reg_io_ddr_address_export                 => reg_io_ddr_mosi.address(1 downto 0),
-      reg_io_ddr_read_export                    => reg_io_ddr_mosi.rd,
-      reg_io_ddr_readdata_export                => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_write_export                   => reg_io_ddr_mosi.wr,
-      reg_io_ddr_writedata_export               => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_ddrctrl_ctrl_state_reset_export       => OPEN,
-      reg_ddrctrl_ctrl_state_clk_export         => OPEN,
-      reg_ddrctrl_ctrl_state_address_export     => reg_ddrctrl_ctrl_state_mosi.address(0 downto 0),
-      reg_ddrctrl_ctrl_state_read_export        => reg_ddrctrl_ctrl_state_mosi.rd,
-      reg_ddrctrl_ctrl_state_readdata_export    => reg_ddrctrl_ctrl_state_miso.rddata(c_word_w - 1 downto 0),
-      reg_ddrctrl_ctrl_state_write_export       => reg_ddrctrl_ctrl_state_mosi.wr,
-      reg_ddrctrl_ctrl_state_writedata_export   => reg_ddrctrl_ctrl_state_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_v2_reset_export            => OPEN,
+        reg_bsn_source_v2_clk_export              => OPEN,
+        reg_bsn_source_v2_address_export          => reg_bsn_source_v2_mosi.address(2 downto 0),
+        reg_bsn_source_v2_read_export             => reg_bsn_source_v2_mosi.rd,
+        reg_bsn_source_v2_readdata_export         => reg_bsn_source_v2_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_v2_write_export            => reg_bsn_source_v2_mosi.wr,
+        reg_bsn_source_v2_writedata_export        => reg_bsn_source_v2_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(0 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_wg_wideband_arr_reset_export          => OPEN,
+        reg_wg_wideband_arr_clk_export            => OPEN,
+        reg_wg_wideband_arr_address_export        => reg_wg_wideband_arr_mosi.address(5 downto 0),
+        reg_wg_wideband_arr_read_export           => reg_wg_wideband_arr_mosi.rd,
+        reg_wg_wideband_arr_readdata_export       => reg_wg_wideband_arr_miso.rddata(c_word_w - 1 downto 0),
+        reg_wg_wideband_arr_write_export          => reg_wg_wideband_arr_mosi.wr,
+        reg_wg_wideband_arr_writedata_export      => reg_wg_wideband_arr_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_wideband_arr_reset_export          => OPEN,
+        ram_wg_wideband_arr_clk_export            => OPEN,
+        ram_wg_wideband_arr_address_export        => ram_wg_wideband_arr_mosi.address(13 downto 0),
+        ram_wg_wideband_arr_read_export           => ram_wg_wideband_arr_mosi.rd,
+        ram_wg_wideband_arr_readdata_export       => ram_wg_wideband_arr_miso.rddata(c_word_w - 1 downto 0),
+        ram_wg_wideband_arr_write_export          => ram_wg_wideband_arr_mosi.wr,
+        ram_wg_wideband_arr_writedata_export      => ram_wg_wideband_arr_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_stop_in_reset_export                  => OPEN,
+        reg_stop_in_clk_export                    => OPEN,
+        reg_stop_in_address_export                => reg_stop_in_mosi.address(0 downto 0),
+        reg_stop_in_read_export                   => reg_stop_in_mosi.rd,
+        reg_stop_in_readdata_export               => reg_stop_in_miso.rddata(c_word_w - 1 downto 0),
+        reg_stop_in_write_export                  => reg_stop_in_mosi.wr,
+        reg_stop_in_writedata_export              => reg_stop_in_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_data_buf_reset_export                 => OPEN,
+        ram_data_buf_clk_export                   => OPEN,
+        ram_data_buf_address_export               => ram_data_buf_mosi.address(13 downto 0),
+        ram_data_buf_read_export                  => ram_data_buf_mosi.rd,
+        ram_data_buf_readdata_export              => ram_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        ram_data_buf_write_export                 => ram_data_buf_mosi.wr,
+        ram_data_buf_writedata_export             => ram_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_bsn_buf_reset_export                  => OPEN,
+        ram_bsn_buf_clk_export                    => OPEN,
+        ram_bsn_buf_address_export                => ram_bsn_buf_mosi.address(14 downto 0),
+        ram_bsn_buf_read_export                   => ram_bsn_buf_mosi.rd,
+        ram_bsn_buf_readdata_export               => ram_bsn_buf_miso.rddata(c_word_w - 1 downto 0),
+        ram_bsn_buf_write_export                  => ram_bsn_buf_mosi.wr,
+        ram_bsn_buf_writedata_export              => ram_bsn_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_reset_export                   => OPEN,
+        reg_io_ddr_clk_export                     => OPEN,
+        reg_io_ddr_address_export                 => reg_io_ddr_mosi.address(1 downto 0),
+        reg_io_ddr_read_export                    => reg_io_ddr_mosi.rd,
+        reg_io_ddr_readdata_export                => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_write_export                   => reg_io_ddr_mosi.wr,
+        reg_io_ddr_writedata_export               => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_ddrctrl_ctrl_state_reset_export       => OPEN,
+        reg_ddrctrl_ctrl_state_clk_export         => OPEN,
+        reg_ddrctrl_ctrl_state_address_export     => reg_ddrctrl_ctrl_state_mosi.address(0 downto 0),
+        reg_ddrctrl_ctrl_state_read_export        => reg_ddrctrl_ctrl_state_mosi.rd,
+        reg_ddrctrl_ctrl_state_readdata_export    => reg_ddrctrl_ctrl_state_miso.rddata(c_word_w - 1 downto 0),
+        reg_ddrctrl_ctrl_state_write_export       => reg_ddrctrl_ctrl_state_mosi.wr,
+        reg_ddrctrl_ctrl_state_writedata_export   => reg_ddrctrl_ctrl_state_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/qsys_lofar2_unb2c_ddrctrl_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/qsys_lofar2_unb2c_ddrctrl_pkg.vhd
index 0b4b8cd68b..45f2709cc4 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/qsys_lofar2_unb2c_ddrctrl_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/src/vhdl/qsys_lofar2_unb2c_ddrctrl_pkg.vhd
@@ -20,193 +20,193 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2c_ddrctrl_pkg is
 
-    ----------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus QSYS builder
-    ----------------------------------------------------------------------
+  ----------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus QSYS builder
+  ----------------------------------------------------------------------
 
-    component qsys_lofar2_unb2c_ddrctrl is
-        port (
-            avs_eth_0_reset_export                 : out std_logic;  -- export
-            avs_eth_0_clk_export                   : out std_logic;  -- export
-            avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_write_export             : out std_logic;  -- export
-            avs_eth_0_tse_read_export              : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
-            avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_write_export             : out std_logic;  -- export
-            avs_eth_0_reg_read_export              : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_write_export             : out std_logic;  -- export
-            avs_eth_0_ram_read_export              : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
-            clk_clk                                : in  std_logic                     := 'X';  -- clk
-            reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
-            pio_pps_reset_export                   : out std_logic;  -- export
-            pio_pps_clk_export                     : out std_logic;  -- export
-            pio_pps_address_export                 : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_write_export                   : out std_logic;  -- export
-            pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_read_export                    : out std_logic;  -- export
-            pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export           : out std_logic;  -- export
-            pio_system_info_clk_export             : out std_logic;  -- export
-            pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_write_export           : out std_logic;  -- export
-            pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_read_export            : out std_logic;  -- export
-            pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_wdi_external_connection_export     : out std_logic;  -- export
-            ram_bsn_buf_reset_export               : out std_logic;  -- export
-            ram_bsn_buf_clk_export                 : out std_logic;  -- export
-            ram_bsn_buf_address_export             : out std_logic_vector(14 downto 0);  -- export
-            ram_bsn_buf_write_export               : out std_logic;  -- export
-            ram_bsn_buf_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            ram_bsn_buf_read_export                : out std_logic;  -- export
-            ram_bsn_buf_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_data_buf_reset_export              : out std_logic;  -- export
-            ram_data_buf_clk_export                : out std_logic;  -- export
-            ram_data_buf_address_export            : out std_logic_vector(13 downto 0);  -- export
-            ram_data_buf_write_export              : out std_logic;  -- export
-            ram_data_buf_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            ram_data_buf_read_export               : out std_logic;  -- export
-            ram_data_buf_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                 : out std_logic;  -- export
-            ram_scrap_clk_export                   : out std_logic;  -- export
-            ram_scrap_address_export               : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_write_export                 : out std_logic;  -- export
-            ram_scrap_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_read_export                  : out std_logic;  -- export
-            ram_scrap_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_wideband_arr_reset_export       : out std_logic;  -- export
-            ram_wg_wideband_arr_clk_export         : out std_logic;  -- export
-            ram_wg_wideband_arr_address_export     : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_wideband_arr_write_export       : out std_logic;  -- export
-            ram_wg_wideband_arr_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_wideband_arr_read_export        : out std_logic;  -- export
-            ram_wg_wideband_arr_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export         : out std_logic;  -- export
-            reg_bsn_scheduler_clk_export           : out std_logic;  -- export
-            reg_bsn_scheduler_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_write_export         : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_read_export          : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_v2_reset_export         : out std_logic;  -- export
-            reg_bsn_source_v2_clk_export           : out std_logic;  -- export
-            reg_bsn_source_v2_address_export       : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_source_v2_write_export         : out std_logic;  -- export
-            reg_bsn_source_v2_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_v2_read_export          : out std_logic;  -- export
-            reg_bsn_source_v2_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_write_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_read_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export             : out std_logic;  -- export
-            reg_dpmm_data_clk_export               : out std_logic;  -- export
-            reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_write_export             : out std_logic;  -- export
-            reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_read_export              : out std_logic;  -- export
-            reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                  : out std_logic;  -- export
-            reg_epcs_clk_export                    : out std_logic;  -- export
-            reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_write_export                  : out std_logic;  -- export
-            reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_read_export                   : out std_logic;  -- export
-            reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_write_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_read_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_write_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_read_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export             : out std_logic;  -- export
-            reg_mmdp_data_clk_export               : out std_logic;  -- export
-            reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_write_export             : out std_logic;  -- export
-            reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_read_export              : out std_logic;  -- export
-            reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                  : out std_logic;  -- export
-            reg_remu_clk_export                    : out std_logic;  -- export
-            reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_write_export                  : out std_logic;  -- export
-            reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_read_export                   : out std_logic;  -- export
-            reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stop_in_reset_export               : out std_logic;  -- export
-            reg_stop_in_clk_export                 : out std_logic;  -- export
-            reg_stop_in_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_stop_in_write_export               : out std_logic;  -- export
-            reg_stop_in_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_stop_in_read_export                : out std_logic;  -- export
-            reg_stop_in_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_io_ddr_reset_export                : out std_logic;  -- export
-            reg_io_ddr_clk_export                  : out std_logic;  -- export
-            reg_io_ddr_address_export              : out std_logic_vector(1 downto 0);  -- export
-            reg_io_ddr_write_export                : out std_logic;  -- export
-            reg_io_ddr_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_read_export                 : out std_logic;  -- export
-            reg_io_ddr_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                   : out std_logic;  -- export
-            reg_wdi_clk_export                     : out std_logic;  -- export
-            reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_write_export                   : out std_logic;  -- export
-            reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_read_export                    : out std_logic;  -- export
-            reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_wideband_arr_reset_export       : out std_logic;  -- export
-            reg_wg_wideband_arr_clk_export         : out std_logic;  -- export
-            reg_wg_wideband_arr_address_export     : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_wideband_arr_write_export       : out std_logic;  -- export
-            reg_wg_wideband_arr_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_wideband_arr_read_export        : out std_logic;  -- export
-            reg_wg_wideband_arr_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ddrctrl_ctrl_state_reset_export    : out std_logic;  -- export
-            reg_ddrctrl_ctrl_state_clk_export      : out std_logic;  -- export
-            reg_ddrctrl_ctrl_state_address_export  : out std_logic_vector(0 downto 0);  -- export
-            reg_ddrctrl_ctrl_state_write_export    : out std_logic;  -- export
-            reg_ddrctrl_ctrl_state_writedata_export: out std_logic_vector(31 downto 0);  -- export
-            reg_ddrctrl_ctrl_state_read_export     : out std_logic;  -- export
-            reg_ddrctrl_ctrl_state_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');
-            rom_system_info_reset_export           : out std_logic;  -- export
-            rom_system_info_clk_export             : out std_logic;  -- export
-            rom_system_info_address_export         : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_write_export           : out std_logic;  -- export
-            rom_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            rom_system_info_read_export            : out std_logic;  -- export
-            rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_lofar2_unb2c_ddrctrl;
+  component qsys_lofar2_unb2c_ddrctrl is
+    port (
+      avs_eth_0_reset_export                 : out std_logic;  -- export
+      avs_eth_0_clk_export                   : out std_logic;  -- export
+      avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_write_export             : out std_logic;  -- export
+      avs_eth_0_tse_read_export              : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
+      avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_write_export             : out std_logic;  -- export
+      avs_eth_0_reg_read_export              : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_write_export             : out std_logic;  -- export
+      avs_eth_0_ram_read_export              : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
+      clk_clk                                : in  std_logic                     := 'X';  -- clk
+      reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
+      pio_pps_reset_export                   : out std_logic;  -- export
+      pio_pps_clk_export                     : out std_logic;  -- export
+      pio_pps_address_export                 : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_write_export                   : out std_logic;  -- export
+      pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_read_export                    : out std_logic;  -- export
+      pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export           : out std_logic;  -- export
+      pio_system_info_clk_export             : out std_logic;  -- export
+      pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_write_export           : out std_logic;  -- export
+      pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_read_export            : out std_logic;  -- export
+      pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_wdi_external_connection_export     : out std_logic;  -- export
+      ram_bsn_buf_reset_export               : out std_logic;  -- export
+      ram_bsn_buf_clk_export                 : out std_logic;  -- export
+      ram_bsn_buf_address_export             : out std_logic_vector(14 downto 0);  -- export
+      ram_bsn_buf_write_export               : out std_logic;  -- export
+      ram_bsn_buf_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      ram_bsn_buf_read_export                : out std_logic;  -- export
+      ram_bsn_buf_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_data_buf_reset_export              : out std_logic;  -- export
+      ram_data_buf_clk_export                : out std_logic;  -- export
+      ram_data_buf_address_export            : out std_logic_vector(13 downto 0);  -- export
+      ram_data_buf_write_export              : out std_logic;  -- export
+      ram_data_buf_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      ram_data_buf_read_export               : out std_logic;  -- export
+      ram_data_buf_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                 : out std_logic;  -- export
+      ram_scrap_clk_export                   : out std_logic;  -- export
+      ram_scrap_address_export               : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_write_export                 : out std_logic;  -- export
+      ram_scrap_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_read_export                  : out std_logic;  -- export
+      ram_scrap_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_wideband_arr_reset_export       : out std_logic;  -- export
+      ram_wg_wideband_arr_clk_export         : out std_logic;  -- export
+      ram_wg_wideband_arr_address_export     : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_wideband_arr_write_export       : out std_logic;  -- export
+      ram_wg_wideband_arr_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_wideband_arr_read_export        : out std_logic;  -- export
+      ram_wg_wideband_arr_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export         : out std_logic;  -- export
+      reg_bsn_scheduler_clk_export           : out std_logic;  -- export
+      reg_bsn_scheduler_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_write_export         : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_read_export          : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_v2_reset_export         : out std_logic;  -- export
+      reg_bsn_source_v2_clk_export           : out std_logic;  -- export
+      reg_bsn_source_v2_address_export       : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_source_v2_write_export         : out std_logic;  -- export
+      reg_bsn_source_v2_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_v2_read_export          : out std_logic;  -- export
+      reg_bsn_source_v2_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_write_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_read_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export             : out std_logic;  -- export
+      reg_dpmm_data_clk_export               : out std_logic;  -- export
+      reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_write_export             : out std_logic;  -- export
+      reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_read_export              : out std_logic;  -- export
+      reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                  : out std_logic;  -- export
+      reg_epcs_clk_export                    : out std_logic;  -- export
+      reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_write_export                  : out std_logic;  -- export
+      reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_read_export                   : out std_logic;  -- export
+      reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_write_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_read_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_write_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_read_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export             : out std_logic;  -- export
+      reg_mmdp_data_clk_export               : out std_logic;  -- export
+      reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_write_export             : out std_logic;  -- export
+      reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_read_export              : out std_logic;  -- export
+      reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                  : out std_logic;  -- export
+      reg_remu_clk_export                    : out std_logic;  -- export
+      reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_write_export                  : out std_logic;  -- export
+      reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_read_export                   : out std_logic;  -- export
+      reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stop_in_reset_export               : out std_logic;  -- export
+      reg_stop_in_clk_export                 : out std_logic;  -- export
+      reg_stop_in_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_stop_in_write_export               : out std_logic;  -- export
+      reg_stop_in_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_stop_in_read_export                : out std_logic;  -- export
+      reg_stop_in_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_io_ddr_reset_export                : out std_logic;  -- export
+      reg_io_ddr_clk_export                  : out std_logic;  -- export
+      reg_io_ddr_address_export              : out std_logic_vector(1 downto 0);  -- export
+      reg_io_ddr_write_export                : out std_logic;  -- export
+      reg_io_ddr_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_read_export                 : out std_logic;  -- export
+      reg_io_ddr_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                   : out std_logic;  -- export
+      reg_wdi_clk_export                     : out std_logic;  -- export
+      reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_write_export                   : out std_logic;  -- export
+      reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_read_export                    : out std_logic;  -- export
+      reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_wideband_arr_reset_export       : out std_logic;  -- export
+      reg_wg_wideband_arr_clk_export         : out std_logic;  -- export
+      reg_wg_wideband_arr_address_export     : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_wideband_arr_write_export       : out std_logic;  -- export
+      reg_wg_wideband_arr_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_wideband_arr_read_export        : out std_logic;  -- export
+      reg_wg_wideband_arr_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ddrctrl_ctrl_state_reset_export    : out std_logic;  -- export
+      reg_ddrctrl_ctrl_state_clk_export      : out std_logic;  -- export
+      reg_ddrctrl_ctrl_state_address_export  : out std_logic_vector(0 downto 0);  -- export
+      reg_ddrctrl_ctrl_state_write_export    : out std_logic;  -- export
+      reg_ddrctrl_ctrl_state_writedata_export: out std_logic_vector(31 downto 0);  -- export
+      reg_ddrctrl_ctrl_state_read_export     : out std_logic;  -- export
+      reg_ddrctrl_ctrl_state_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');
+      rom_system_info_reset_export           : out std_logic;  -- export
+      rom_system_info_clk_export             : out std_logic;  -- export
+      rom_system_info_address_export         : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_write_export           : out std_logic;  -- export
+      rom_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      rom_system_info_read_export            : out std_logic;  -- export
+      rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_lofar2_unb2c_ddrctrl;
 
 end qsys_lofar2_unb2c_ddrctrl_pkg;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/tb/vhdl/tb_lofar2_unb2c_ddrctrl.vhd b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/tb/vhdl/tb_lofar2_unb2c_ddrctrl.vhd
index 10f176c35f..84d9dfa580 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ddrctrl/tb/vhdl/tb_lofar2_unb2c_ddrctrl.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ddrctrl/tb/vhdl/tb_lofar2_unb2c_ddrctrl.vhd
@@ -42,28 +42,28 @@
 --
 
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, technology_lib, mm_lib, dp_lib, tech_ddr_lib, lofar2_sdp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use diag_lib.diag_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
 
 
 entity tb_lofar2_unb2c_ddrctrl is
-    generic (
-      g_design_name : string  := "lofar2_unb2c_ddrctrl";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "lofar2_unb2c_ddrctrl";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_lofar2_unb2c_ddrctrl;
 
 architecture tb of tb_lofar2_unb2c_ddrctrl is
@@ -186,7 +186,7 @@ begin
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
-   u_lofar2_unb2c_ddrctrl : entity work.lofar2_unb2c_ddrctrl
+  u_lofar2_unb2c_ddrctrl : entity work.lofar2_unb2c_ddrctrl
     generic map (
       g_sim         => c_sim,
       g_sim_unb_nr  => c_unb_nr,
@@ -218,7 +218,7 @@ begin
     );
 
 
-    -- WG
+  -- WG
 
 
   ------------------------------------------------------------------------------
@@ -230,7 +230,7 @@ begin
 
   p_mm_stimuli : process
 
-  variable v_bsn    : natural  := 0;
+    variable v_bsn    : natural  := 0;
 
   begin
 
@@ -274,7 +274,7 @@ begin
     mmf_mm_bus_wr(c_mm_file_reg_bsn_scheduler_wg, 0, c_bsn_start_wg, tb_clk);  -- first write low then high part
     mmf_mm_bus_wr(c_mm_file_reg_bsn_scheduler_wg, 1,              0, tb_clk);  -- assume v_bsn < 2**31-1
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     wait for c_mm_clk_period * 24000;
     mmf_mm_bus_wr(c_mm_file_reg_stop_in, 0, 1, tb_clk);
@@ -296,7 +296,7 @@ begin
       wait for c_st_clk_period * c_block_size;
     end loop;
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     wait for c_mm_clk_period * 24000;
     mmf_mm_bus_wr(c_mm_file_reg_stop_in, 0, 1, tb_clk);
@@ -317,7 +317,7 @@ begin
       wait for c_st_clk_period * c_block_size;
     end loop;
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     wait for c_mm_clk_period * 24000;
     mmf_mm_bus_wr(c_mm_file_reg_stop_in, 0, 1, tb_clk);
@@ -339,7 +339,7 @@ begin
       wait for c_st_clk_period * c_block_size;
     end loop;
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     wait for c_mm_clk_period * 240000;
     mmf_mm_bus_wr(c_mm_file_reg_stop_in, 0, 1, tb_clk);
@@ -360,7 +360,7 @@ begin
       wait for c_st_clk_period * (c_block_size-500);
     end loop;
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     wait for c_mm_clk_period * 24000;
     mmf_mm_bus_wr(c_mm_file_reg_stop_in, 0, 1, tb_clk);
@@ -381,7 +381,7 @@ begin
       wait for c_st_clk_period * (c_block_size);
     end loop;
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     wait for c_mm_clk_period * 24000;
     mmf_mm_bus_wr(c_mm_file_reg_stop_in, 0, 1, tb_clk);
@@ -402,7 +402,7 @@ begin
       wait for c_st_clk_period * (c_block_size);
     end loop;
 
------------------------------------------------------------------------------------------------------------------------------
+    -----------------------------------------------------------------------------------------------------------------------------
 
     tb_end <= '1';
     assert false  report "Test: OK" severity FAILURE;
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full/lofar2_unb2c_filterbank_full.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full/lofar2_unb2c_filterbank_full.vhd
index 66148b3a52..824a2a1e04 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full/lofar2_unb2c_filterbank_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full/lofar2_unb2c_filterbank_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_filterbank_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_filterbank_full is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2c_filterbank_full is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2c)
+    -- back transceivers (note only 6 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b + c_unb2c_board_start_tr_jesd204b - 1  downto c_unb2c_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -112,51 +112,51 @@ begin
 
 
   u_revision : entity lofar2_unb2c_filterbank_lib.lofar2_unb2c_filterbank
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full_256MHz/lofar2_unb2c_filterbank_full_256MHz.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full_256MHz/lofar2_unb2c_filterbank_full_256MHz.vhd
index 0fb7c26807..7971ea657d 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full_256MHz/lofar2_unb2c_filterbank_full_256MHz.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/revisions/lofar2_unb2c_filterbank_full_256MHz/lofar2_unb2c_filterbank_full_256MHz.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_filterbank_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_filterbank_full_256MHz is
   generic (
@@ -75,7 +75,7 @@ entity lofar2_unb2c_filterbank_full_256MHz is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 6 are used in unb2c)
+    -- back transceivers (note only 6 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b + c_unb2c_board_start_tr_jesd204b - 1  downto c_unb2c_board_nof_tr_jesd204b);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -112,51 +112,51 @@ begin
 
 
   u_revision : entity lofar2_unb2c_filterbank_lib.lofar2_unb2c_filterbank
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank.vhd
index 395f52da85..f8e3db5e54 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank.vhd
@@ -27,17 +27,17 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, wpfb_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2c_filterbank_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2c_filterbank_pkg.all;
 
 entity lofar2_unb2c_filterbank is
   generic (
@@ -85,9 +85,9 @@ entity lofar2_unb2c_filterbank is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector((c_unb2c_board_tr_jesd204b.bus_w * c_unb2c_board_tr_jesd204b.nof_bus) - 1 downto 0);
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic;  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -263,221 +263,221 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2c_board_lib.ctrl_unb2c_board
-  generic map (
-    g_sim                => g_sim,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2c_board_eth_clk_freq_125M,
-    g_aux                => c_unb2c_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range,
-    g_dp_clk_use_pll     => false
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2c_board_eth_clk_freq_125M,
+      g_aux                => c_unb2c_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range,
+      g_dp_clk_use_pll     => false
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2c_filterbank
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- mm buses for signal flow blocks
-    -- Jesd ip status/control
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-    ram_st_sst_mosi             => ram_st_sst_mosi,
-    ram_st_sst_miso             => ram_st_sst_miso,
-    ram_fil_coefs_mosi          => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso          => ram_fil_coefs_miso,
-    reg_si_mosi                 => reg_si_mosi,
-    reg_si_miso                 => reg_si_miso,
-    ram_equalizer_gains_mosi    => ram_equalizer_gains_mosi,
-    ram_equalizer_gains_miso    => ram_equalizer_gains_miso,
-    reg_dp_selector_mosi        => reg_dp_selector_mosi,
-    reg_dp_selector_miso        => reg_dp_selector_miso,
-    ram_scrap_mosi              => ram_scrap_mosi,
-    ram_scrap_miso              => ram_scrap_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- mm buses for signal flow blocks
+      -- Jesd ip status/control
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_mosi      => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_miso      => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+      ram_st_sst_mosi             => ram_st_sst_mosi,
+      ram_st_sst_miso             => ram_st_sst_miso,
+      ram_fil_coefs_mosi          => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso          => ram_fil_coefs_miso,
+      reg_si_mosi                 => reg_si_mosi,
+      reg_si_miso                 => reg_si_miso,
+      ram_equalizer_gains_mosi    => ram_equalizer_gains_mosi,
+      ram_equalizer_gains_miso    => ram_equalizer_gains_miso,
+      reg_dp_selector_mosi        => reg_dp_selector_mosi,
+      reg_dp_selector_miso        => reg_dp_selector_miso,
+      ram_scrap_mosi              => ram_scrap_mosi,
+      ram_scrap_miso              => ram_scrap_miso
+    );
 
 
   -----------------------------------------------------------------------------
@@ -486,84 +486,84 @@ begin
   -----------------------------------------------------------------------------
 
   u_ait: entity lofar2_sdp_lib.node_adc_input_and_timing
-  generic map(
-    g_nof_streams               => c_sdp_S_pn,
-    g_buf_nof_data              => c_sdp_ait_buf_nof_data_bsn,
-    g_sim                       => g_sim
-  )
-  port map(
-    -- clocks and resets
-    mm_clk                      => mm_clk,
-    mm_rst                      => mm_rst,
-    dp_clk                      => dp_clk,
-    dp_rst                      => dp_rst,
-
-    -- mm control buses
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
-    reg_wg_mosi                 => reg_wg_mosi,
-    reg_wg_miso                 => reg_wg_miso,
-    ram_wg_mosi                 => ram_wg_mosi,
-    ram_wg_miso                 => ram_wg_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-    ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
-    ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
-
-     -- Jesd external IOs
-    jesd204b_serial_data       => JESD204B_SERIAL_DATA,
-    jesd204b_refclk            => JESD204B_REFCLK,
-    jesd204b_sysref            => JESD204B_SYSREF,
-    jesd204b_sync_n            => JESD204B_SYNC_N,
-
-    -- Streaming data output
-    out_sosi_arr               => ait_sosi_arr
-  );
+    generic map(
+      g_nof_streams               => c_sdp_S_pn,
+      g_buf_nof_data              => c_sdp_ait_buf_nof_data_bsn,
+      g_sim                       => g_sim
+    )
+    port map(
+      -- clocks and resets
+      mm_clk                      => mm_clk,
+      mm_rst                      => mm_rst,
+      dp_clk                      => dp_clk,
+      dp_rst                      => dp_rst,
+
+      -- mm control buses
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_miso,
+      reg_wg_mosi                 => reg_wg_mosi,
+      reg_wg_miso                 => reg_wg_miso,
+      ram_wg_mosi                 => ram_wg_mosi,
+      ram_wg_miso                 => ram_wg_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+      ram_aduh_monitor_mosi       => ram_aduh_monitor_mosi,
+      ram_aduh_monitor_miso       => ram_aduh_monitor_miso,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_mosi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_miso,
+
+      -- Jesd external IOs
+      jesd204b_serial_data       => JESD204B_SERIAL_DATA,
+      jesd204b_refclk            => JESD204B_REFCLK,
+      jesd204b_sysref            => JESD204B_SYSREF,
+      jesd204b_sync_n            => JESD204B_SYNC_N,
+
+      -- Streaming data output
+      out_sosi_arr               => ait_sosi_arr
+    );
 
 
   u_fsub : entity lofar2_sdp_lib.node_sdp_filterbank
-  generic map(
-    g_sim                    => g_sim,
-    g_wpfb                   => g_wpfb,
-    g_scope_selected_subband => g_scope_selected_subband
-  )
-  port map(
-    dp_clk             => dp_clk,
-    dp_rst             => dp_rst,
-
-    in_sosi_arr        => ait_sosi_arr,
-    pfb_sosi_arr       => pfb_sosi_arr,
-    fsub_sosi_arr      => fsub_sosi_arr,
-
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    reg_si_mosi        => reg_si_mosi,
-    reg_si_miso        => reg_si_miso,
-    ram_st_sst_mosi    => ram_st_sst_mosi,
-    ram_st_sst_miso    => ram_st_sst_miso,
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso => ram_fil_coefs_miso,
-    ram_gains_mosi     => ram_equalizer_gains_mosi,
-    ram_gains_miso     => ram_equalizer_gains_miso,
-    reg_selector_mosi  => reg_dp_selector_mosi,
-    reg_selector_miso  => reg_dp_selector_miso
-  );
+    generic map(
+      g_sim                    => g_sim,
+      g_wpfb                   => g_wpfb,
+      g_scope_selected_subband => g_scope_selected_subband
+    )
+    port map(
+      dp_clk             => dp_clk,
+      dp_rst             => dp_rst,
+
+      in_sosi_arr        => ait_sosi_arr,
+      pfb_sosi_arr       => pfb_sosi_arr,
+      fsub_sosi_arr      => fsub_sosi_arr,
+
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      reg_si_mosi        => reg_si_mosi,
+      reg_si_miso        => reg_si_miso,
+      ram_st_sst_mosi    => ram_st_sst_mosi,
+      ram_st_sst_miso    => ram_st_sst_miso,
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso => ram_fil_coefs_miso,
+      ram_gains_mosi     => ram_equalizer_gains_mosi,
+      ram_gains_miso     => ram_equalizer_gains_miso,
+      reg_selector_mosi  => reg_dp_selector_mosi,
+      reg_selector_miso  => reg_dp_selector_miso
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank_pkg.vhd
index 8bc26b1b3c..a7e33719cc 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/lofar2_unb2c_filterbank_pkg.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
 
 package lofar2_unb2c_filterbank_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -43,7 +43,7 @@ package lofar2_unb2c_filterbank_pkg is
   constant c_full_256MHz      : t_lofar2_unb2c_filterbank_config := (     12,     2,       12, c_unb2c_board_ext_clk_freq_256M );
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2c_filterbank_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2c_filterbank_config;
 
 
 end lofar2_unb2c_filterbank_pkg;
@@ -51,7 +51,7 @@ end lofar2_unb2c_filterbank_pkg;
 
 package body lofar2_unb2c_filterbank_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2c_filterbank_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2c_filterbank_config is
   begin
     if    g_design_name = "lofar2_unb2c_filterbank_full"        then return c_full;
     elsif g_design_name = "lofar2_unb2c_filterbank_full_256MHz" then return c_full_256MHz;
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/mmm_lofar2_unb2c_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/mmm_lofar2_unb2c_filterbank.vhd
index d9e1229f7b..b0b1038679 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/mmm_lofar2_unb2c_filterbank.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/mmm_lofar2_unb2c_filterbank.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2c_filterbank_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2c_filterbank_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmm_lofar2_unb2c_filterbank is
   generic (
@@ -154,13 +154,13 @@ entity mmm_lofar2_unb2c_filterbank is
     reg_si_mosi                   : out t_mem_mosi;
     reg_si_miso                   : in  t_mem_miso;
 
-     -- Equalizer gains
-     ram_equalizer_gains_mosi     : out t_mem_mosi;
-     ram_equalizer_gains_miso     : in  t_mem_miso;
+    -- Equalizer gains
+    ram_equalizer_gains_mosi     : out t_mem_mosi;
+    ram_equalizer_gains_miso     : in  t_mem_miso;
 
-     -- DP Selector
-     reg_dp_selector_mosi         : out t_mem_mosi;
-     reg_dp_selector_miso         : in  t_mem_miso;
+    -- DP Selector
+    reg_dp_selector_mosi         : out t_mem_mosi;
+    reg_dp_selector_miso         : in  t_mem_miso;
 
     -- Scrap ram
     ram_scrap_mosi                : out t_mem_mosi;
@@ -184,85 +184,85 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_jesd204b               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B")
-                                                port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
+      port map(mm_rst, mm_clk, jesd204b_mosi, jesd204b_miso );
 
     u_mm_file_reg_dp_shiftram        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM")
-                                                port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
+      port map(mm_rst, mm_clk, reg_dp_shiftram_mosi, reg_dp_shiftram_miso );
 
     u_mm_file_reg_bsn_source         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE")
-                                                port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
+      port map(mm_rst, mm_clk, reg_bsn_source_mosi, reg_bsn_source_miso );
 
     u_mm_file_reg_bsn_scheduler      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                                port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_mosi, reg_bsn_scheduler_miso );
 
     u_mm_file_reg_bsn_monitor_input  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT")
-                                                port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_input_mosi, reg_bsn_monitor_input_miso );
 
     u_mm_file_reg_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG")
-                                                port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
+      port map(mm_rst, mm_clk, reg_wg_mosi, reg_wg_miso );
     u_mm_file_ram_wg                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG")
-                                               port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
+      port map(mm_rst, mm_clk, ram_wg_mosi, ram_wg_miso );
 
     u_mm_file_ram_diag_data_buf_jesd : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_JESD")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_jesd_mosi, ram_diag_data_buf_jesd_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_jesd_mosi, ram_diag_data_buf_jesd_miso );
     u_mm_file_reg_diag_data_buf_jesd : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_JESD")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_jesd_mosi, reg_diag_data_buf_jesd_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_jesd_mosi, reg_diag_data_buf_jesd_miso );
 
     u_mm_file_ram_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_mosi, ram_diag_data_buf_bsn_miso );
     u_mm_file_reg_diag_data_buf_bsn  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF_BSN")
-                                               port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_mosi, reg_diag_data_buf_bsn_miso );
 
     u_mm_file_ram_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, ram_aduh_monitor_mosi, ram_aduh_monitor_miso );
     u_mm_file_reg_aduh_monitor       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR")
-                                               port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
+      port map(mm_rst, mm_clk, reg_aduh_monitor_mosi, reg_aduh_monitor_miso );
 
     u_mm_file_ram_st_sst             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST")
-                                               port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso );
+      port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso );
 
     u_mm_file_ram_fil_coefs          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_FIL_COEFS")
-                                               port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso );
+      port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso );
 
     u_mm_file_reg_si                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SI")
-                                              port map(mm_rst, mm_clk, reg_si_mosi, reg_si_miso );
+      port map(mm_rst, mm_clk, reg_si_mosi, reg_si_miso );
 
     u_mm_file_ram_equalizer_gains    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS")
-                                               port map(mm_rst, mm_clk, ram_equalizer_gains_mosi, ram_equalizer_gains_miso );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_mosi, ram_equalizer_gains_miso );
 
     u_mm_file_reg_dp_selector        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SELECTOR")
-                                              port map(mm_rst, mm_clk, reg_dp_selector_mosi, reg_dp_selector_miso );
+      port map(mm_rst, mm_clk, reg_dp_selector_mosi, reg_dp_selector_miso );
 
     u_mm_file_ram_scrap              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
     -- the simulation time in ns
@@ -277,295 +277,295 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2c_filterbank
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
---    ToDo: This has changed in the peripherals package
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
---      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
---    ToDo: This has changed in the peripherals package
-      pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
---      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_mosi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
-      jesd204b_write_export                     => jesd204b_mosi.wr,
-      jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_mosi.rd,
-      jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_wg_clk_export                         => OPEN,
-      reg_wg_reset_export                       => OPEN,
-      reg_wg_address_export                     => reg_wg_mosi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
-      reg_wg_read_export                        => reg_wg_mosi.rd,
-      reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
-      reg_wg_write_export                       => reg_wg_mosi.wr,
-      reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_clk_export                         => OPEN,
-      ram_wg_reset_export                       => OPEN,
-      ram_wg_address_export                     => ram_wg_mosi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
-      ram_wg_read_export                        => ram_wg_mosi.rd,
-      ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
-      ram_wg_write_export                       => ram_wg_mosi.wr,
-      ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_shiftram_clk_export                => OPEN,
-      reg_dp_shiftram_reset_export              => OPEN,
-      reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
-      reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
-      reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
-      reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_clk_export                 => OPEN,
-      reg_bsn_source_reset_export               => OPEN,
-      reg_bsn_source_address_export             => reg_bsn_source_mosi.address(c_sdp_reg_bsn_source_addr_w - 1 downto 0),
-      reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
-      reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
-      reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      ram_diag_data_buf_bsn_clk_export          => OPEN,
-      ram_diag_data_buf_bsn_reset_export        => OPEN,
-      ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(c_sdp_ram_diag_data_buf_bsn_addr_w - 1 downto 0),
-      ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
-      ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
-      ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_bsn_reset_export        => OPEN,
-      reg_diag_data_buf_bsn_clk_export          => OPEN,
-      reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
-      reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
-      reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
-      reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buf_jesd_clk_export         => OPEN,
-      ram_diag_data_buf_jesd_reset_export       => OPEN,
-      ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(c_sdp_ram_diag_data_buf_jesd_addr_w - 1 downto 0),
-      ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
-      ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
-      ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_jesd_reset_export       => OPEN,
-      reg_diag_data_buf_jesd_clk_export         => OPEN,
-      reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(c_sdp_reg_diag_data_buf_jesd_addr_w - 1 downto 0),
-      reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
-      reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
-      reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_aduh_monitor_reset_export             => OPEN,
-      reg_aduh_monitor_clk_export               => OPEN,
-      reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
-      reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
-      reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
-      reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_fil_coefs_clk_export                  => OPEN,
-      ram_fil_coefs_reset_export                => OPEN,
-      ram_fil_coefs_address_export              => ram_fil_coefs_mosi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
-      ram_fil_coefs_write_export                => ram_fil_coefs_mosi.wr,
-      ram_fil_coefs_writedata_export            => ram_fil_coefs_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_fil_coefs_read_export                 => ram_fil_coefs_mosi.rd,
-      ram_fil_coefs_readdata_export             => ram_fil_coefs_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_st_sst_clk_export                     => OPEN,
-      ram_st_sst_reset_export                   => OPEN,
-      ram_st_sst_address_export                 => ram_st_sst_mosi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
-      ram_st_sst_write_export                   => ram_st_sst_mosi.wr,
-      ram_st_sst_writedata_export               => ram_st_sst_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_st_sst_read_export                    => ram_st_sst_mosi.rd,
-      ram_st_sst_readdata_export                => ram_st_sst_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_si_clk_export                         => OPEN,
-      reg_si_reset_export                       => OPEN,
-      reg_si_address_export                     => reg_si_mosi.address(c_sdp_reg_si_addr_w - 1 downto 0),
-      reg_si_write_export                       => reg_si_mosi.wr,
-      reg_si_writedata_export                   => reg_si_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_si_read_export                        => reg_si_mosi.rd,
-      reg_si_readdata_export                    => reg_si_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_clk_export            => OPEN,
-      ram_equalizer_gains_reset_export          => OPEN,
-      ram_equalizer_gains_address_export        => ram_equalizer_gains_mosi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_write_export          => ram_equalizer_gains_mosi.wr,
-      ram_equalizer_gains_writedata_export      => ram_equalizer_gains_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_read_export           => ram_equalizer_gains_mosi.rd,
-      ram_equalizer_gains_readdata_export       => ram_equalizer_gains_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_selector_clk_export                => OPEN,
-      reg_dp_selector_reset_export              => OPEN,
-      reg_dp_selector_address_export            => reg_dp_selector_mosi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
-      reg_dp_selector_write_export              => reg_dp_selector_mosi.wr,
-      reg_dp_selector_writedata_export          => reg_dp_selector_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_selector_read_export               => reg_dp_selector_mosi.rd,
-      reg_dp_selector_readdata_export           => reg_dp_selector_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(9 - 1 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
+        --      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
+        --      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_mosi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
+        jesd204b_write_export                     => jesd204b_mosi.wr,
+        jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_mosi.rd,
+        jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_wg_clk_export                         => OPEN,
+        reg_wg_reset_export                       => OPEN,
+        reg_wg_address_export                     => reg_wg_mosi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
+        reg_wg_read_export                        => reg_wg_mosi.rd,
+        reg_wg_readdata_export                    => reg_wg_miso.rddata(c_word_w - 1 downto 0),
+        reg_wg_write_export                       => reg_wg_mosi.wr,
+        reg_wg_writedata_export                   => reg_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_clk_export                         => OPEN,
+        ram_wg_reset_export                       => OPEN,
+        ram_wg_address_export                     => ram_wg_mosi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
+        ram_wg_read_export                        => ram_wg_mosi.rd,
+        ram_wg_readdata_export                    => ram_wg_miso.rddata(c_word_w - 1 downto 0),
+        ram_wg_write_export                       => ram_wg_mosi.wr,
+        ram_wg_writedata_export                   => ram_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_shiftram_clk_export                => OPEN,
+        reg_dp_shiftram_reset_export              => OPEN,
+        reg_dp_shiftram_address_export            => reg_dp_shiftram_mosi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
+        reg_dp_shiftram_read_export               => reg_dp_shiftram_mosi.rd,
+        reg_dp_shiftram_readdata_export           => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_shiftram_write_export              => reg_dp_shiftram_mosi.wr,
+        reg_dp_shiftram_writedata_export          => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_clk_export                 => OPEN,
+        reg_bsn_source_reset_export               => OPEN,
+        reg_bsn_source_address_export             => reg_bsn_source_mosi.address(c_sdp_reg_bsn_source_addr_w - 1 downto 0),
+        reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
+        reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
+        reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_mosi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_mosi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_mosi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        ram_diag_data_buf_bsn_clk_export          => OPEN,
+        ram_diag_data_buf_bsn_reset_export        => OPEN,
+        ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(c_sdp_ram_diag_data_buf_bsn_addr_w - 1 downto 0),
+        ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
+        ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
+        ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_bsn_reset_export        => OPEN,
+        reg_diag_data_buf_bsn_clk_export          => OPEN,
+        reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
+        reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
+        reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
+        reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buf_jesd_clk_export         => OPEN,
+        ram_diag_data_buf_jesd_reset_export       => OPEN,
+        ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(c_sdp_ram_diag_data_buf_jesd_addr_w - 1 downto 0),
+        ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
+        ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
+        ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_jesd_reset_export       => OPEN,
+        reg_diag_data_buf_jesd_clk_export         => OPEN,
+        reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(c_sdp_reg_diag_data_buf_jesd_addr_w - 1 downto 0),
+        reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
+        reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
+        reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_aduh_monitor_reset_export             => OPEN,
+        reg_aduh_monitor_clk_export               => OPEN,
+        reg_aduh_monitor_address_export           => reg_aduh_monitor_mosi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
+        reg_aduh_monitor_write_export             => reg_aduh_monitor_mosi.wr,
+        reg_aduh_monitor_writedata_export         => reg_aduh_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_aduh_monitor_read_export              => reg_aduh_monitor_mosi.rd,
+        reg_aduh_monitor_readdata_export          => reg_aduh_monitor_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_fil_coefs_clk_export                  => OPEN,
+        ram_fil_coefs_reset_export                => OPEN,
+        ram_fil_coefs_address_export              => ram_fil_coefs_mosi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
+        ram_fil_coefs_write_export                => ram_fil_coefs_mosi.wr,
+        ram_fil_coefs_writedata_export            => ram_fil_coefs_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_fil_coefs_read_export                 => ram_fil_coefs_mosi.rd,
+        ram_fil_coefs_readdata_export             => ram_fil_coefs_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_st_sst_clk_export                     => OPEN,
+        ram_st_sst_reset_export                   => OPEN,
+        ram_st_sst_address_export                 => ram_st_sst_mosi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
+        ram_st_sst_write_export                   => ram_st_sst_mosi.wr,
+        ram_st_sst_writedata_export               => ram_st_sst_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_st_sst_read_export                    => ram_st_sst_mosi.rd,
+        ram_st_sst_readdata_export                => ram_st_sst_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_si_clk_export                         => OPEN,
+        reg_si_reset_export                       => OPEN,
+        reg_si_address_export                     => reg_si_mosi.address(c_sdp_reg_si_addr_w - 1 downto 0),
+        reg_si_write_export                       => reg_si_mosi.wr,
+        reg_si_writedata_export                   => reg_si_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_si_read_export                        => reg_si_mosi.rd,
+        reg_si_readdata_export                    => reg_si_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_clk_export            => OPEN,
+        ram_equalizer_gains_reset_export          => OPEN,
+        ram_equalizer_gains_address_export        => ram_equalizer_gains_mosi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_write_export          => ram_equalizer_gains_mosi.wr,
+        ram_equalizer_gains_writedata_export      => ram_equalizer_gains_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_read_export           => ram_equalizer_gains_mosi.rd,
+        ram_equalizer_gains_readdata_export       => ram_equalizer_gains_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_selector_clk_export                => OPEN,
+        reg_dp_selector_reset_export              => OPEN,
+        reg_dp_selector_address_export            => reg_dp_selector_mosi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
+        reg_dp_selector_write_export              => reg_dp_selector_mosi.wr,
+        reg_dp_selector_writedata_export          => reg_dp_selector_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_selector_read_export               => reg_dp_selector_mosi.rd,
+        reg_dp_selector_readdata_export           => reg_dp_selector_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(9 - 1 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/qsys_lofar2_unb2c_filterbank_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/qsys_lofar2_unb2c_filterbank_pkg.vhd
index 72f0f9eb43..b8273f6423 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/qsys_lofar2_unb2c_filterbank_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/src/vhdl/qsys_lofar2_unb2c_filterbank_pkg.vhd
@@ -19,269 +19,269 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2c_filterbank_pkg is
 
   -----------------------------------------------------------------------------
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
-   component qsys_lofar2_unb2c_filterbank is
-        port (
-            avs_eth_0_clk_export                    : out std_logic;  -- export
-            avs_eth_0_irq_export                    : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export            : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export               : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export              : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export            : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export               : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export              : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                  : out std_logic;  -- export
-            avs_eth_0_tse_address_export            : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export               : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export        : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export              : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                 : in  std_logic                     := 'X';  -- clk
-            jesd204b_address_export                 : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_clk_export                     : out std_logic;  -- export
-            jesd204b_read_export                    : out std_logic;  -- export
-            jesd204b_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            jesd204b_reset_export                   : out std_logic;  -- export
-            jesd204b_write_export                   : out std_logic;  -- export
-            jesd204b_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                      : out std_logic;  -- export
-            pio_pps_read_export                     : out std_logic;  -- export
-            pio_pps_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                    : out std_logic;  -- export
-            pio_pps_write_export                    : out std_logic;  -- export
-            pio_pps_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export          : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export              : out std_logic;  -- export
-            pio_system_info_read_export             : out std_logic;  -- export
-            pio_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export            : out std_logic;  -- export
-            pio_system_info_write_export            : out std_logic;  -- export
-            pio_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export      : out std_logic;  -- export
-            ram_aduh_monitor_address_export         : out std_logic_vector(12 downto 0);  -- export
-            ram_aduh_monitor_clk_export             : out std_logic;  -- export
-            ram_aduh_monitor_read_export            : out std_logic;  -- export
-            ram_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_aduh_monitor_reset_export           : out std_logic;  -- export
-            ram_aduh_monitor_write_export           : out std_logic;  -- export
-            ram_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_bsn_address_export    : out std_logic_vector(13 downto 0);  -- export
-            ram_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
-            ram_diag_data_buf_bsn_read_export       : out std_logic;  -- export
-            ram_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
-            ram_diag_data_buf_bsn_write_export      : out std_logic;  -- export
-            ram_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_jesd_address_export   : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
-            ram_diag_data_buf_jesd_read_export      : out std_logic;  -- export
-            ram_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
-            ram_diag_data_buf_jesd_write_export     : out std_logic;  -- export
-            ram_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_address_export      : out std_logic_vector(12 downto 0);  -- export
-            ram_equalizer_gains_clk_export          : out std_logic;  -- export
-            ram_equalizer_gains_read_export         : out std_logic;  -- export
-            ram_equalizer_gains_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_equalizer_gains_reset_export        : out std_logic;  -- export
-            ram_equalizer_gains_write_export        : out std_logic;  -- export
-            ram_equalizer_gains_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            ram_fil_coefs_address_export            : out std_logic_vector(13 downto 0);  -- export
-            ram_fil_coefs_clk_export                : out std_logic;  -- export
-            ram_fil_coefs_read_export               : out std_logic;  -- export
-            ram_fil_coefs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_fil_coefs_reset_export              : out std_logic;  -- export
-            ram_fil_coefs_write_export              : out std_logic;  -- export
-            ram_fil_coefs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                    : out std_logic;  -- export
-            ram_scrap_read_export                   : out std_logic;  -- export
-            ram_scrap_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                  : out std_logic;  -- export
-            ram_scrap_write_export                  : out std_logic;  -- export
-            ram_scrap_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            ram_st_sst_address_export               : out std_logic_vector(13 downto 0);  -- export
-            ram_st_sst_clk_export                   : out std_logic;  -- export
-            ram_st_sst_read_export                  : out std_logic;  -- export
-            ram_st_sst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_sst_reset_export                 : out std_logic;  -- export
-            ram_st_sst_write_export                 : out std_logic;  -- export
-            ram_st_sst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_address_export                   : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_clk_export                       : out std_logic;  -- export
-            ram_wg_read_export                      : out std_logic;  -- export
-            ram_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_reset_export                     : out std_logic;  -- export
-            ram_wg_write_export                     : out std_logic;  -- export
-            ram_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_aduh_monitor_address_export         : out std_logic_vector(5 downto 0);  -- export
-            reg_aduh_monitor_clk_export             : out std_logic;  -- export
-            reg_aduh_monitor_read_export            : out std_logic;  -- export
-            reg_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_aduh_monitor_reset_export           : out std_logic;  -- export
-            reg_aduh_monitor_write_export           : out std_logic;  -- export
-            reg_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_input_address_export    : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_clk_export        : out std_logic;  -- export
-            reg_bsn_monitor_input_read_export       : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export      : out std_logic;  -- export
-            reg_bsn_monitor_input_write_export      : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_address_export        : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_clk_export            : out std_logic;  -- export
-            reg_bsn_scheduler_read_export           : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export          : out std_logic;  -- export
-            reg_bsn_scheduler_write_export          : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_address_export           : out std_logic_vector(1 downto 0);  -- export
-            reg_bsn_source_clk_export               : out std_logic;  -- export
-            reg_bsn_source_read_export              : out std_logic;  -- export
-            reg_bsn_source_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_reset_export             : out std_logic;  -- export
-            reg_bsn_source_write_export             : out std_logic;  -- export
-            reg_bsn_source_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_bsn_address_export    : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
-            reg_diag_data_buf_bsn_read_export       : out std_logic;  -- export
-            reg_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
-            reg_diag_data_buf_bsn_write_export      : out std_logic;  -- export
-            reg_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_jesd_address_export   : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
-            reg_diag_data_buf_jesd_read_export      : out std_logic;  -- export
-            reg_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
-            reg_diag_data_buf_jesd_write_export     : out std_logic;  -- export
-            reg_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_selector_address_export          : out std_logic_vector(0 downto 0);  -- export
-            reg_dp_selector_clk_export              : out std_logic;  -- export
-            reg_dp_selector_read_export             : out std_logic;  -- export
-            reg_dp_selector_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_selector_reset_export            : out std_logic;  -- export
-            reg_dp_selector_write_export            : out std_logic;  -- export
-            reg_dp_selector_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_shiftram_address_export          : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_shiftram_clk_export              : out std_logic;  -- export
-            reg_dp_shiftram_read_export             : out std_logic;  -- export
-            reg_dp_shiftram_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_shiftram_reset_export            : out std_logic;  -- export
-            reg_dp_shiftram_write_export            : out std_logic;  -- export
-            reg_dp_shiftram_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                : out std_logic;  -- export
-            reg_dpmm_data_read_export               : out std_logic;  -- export
-            reg_dpmm_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export              : out std_logic;  -- export
-            reg_dpmm_data_write_export              : out std_logic;  -- export
-            reg_dpmm_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                     : out std_logic;  -- export
-            reg_epcs_read_export                    : out std_logic;  -- export
-            reg_epcs_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                   : out std_logic;  -- export
-            reg_epcs_write_export                   : out std_logic;  -- export
-            reg_epcs_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export       : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export           : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export    : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export        : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                : out std_logic;  -- export
-            reg_mmdp_data_read_export               : out std_logic;  -- export
-            reg_mmdp_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export              : out std_logic;  -- export
-            reg_mmdp_data_write_export              : out std_logic;  -- export
-            reg_mmdp_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                     : out std_logic;  -- export
-            reg_remu_read_export                    : out std_logic;  -- export
-            reg_remu_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                   : out std_logic;  -- export
-            reg_remu_write_export                   : out std_logic;  -- export
-            reg_remu_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_si_address_export                   : out std_logic_vector(0 downto 0);  -- export
-            reg_si_clk_export                       : out std_logic;  -- export
-            reg_si_read_export                      : out std_logic;  -- export
-            reg_si_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_si_reset_export                     : out std_logic;  -- export
-            reg_si_write_export                     : out std_logic;  -- export
-            reg_si_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export            : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                : out std_logic;  -- export
-            reg_unb_pmbus_read_export               : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export              : out std_logic;  -- export
-            reg_unb_pmbus_write_export              : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export             : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                 : out std_logic;  -- export
-            reg_unb_sens_read_export                : out std_logic;  -- export
-            reg_unb_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export               : out std_logic;  -- export
-            reg_unb_sens_write_export               : out std_logic;  -- export
-            reg_unb_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                      : out std_logic;  -- export
-            reg_wdi_read_export                     : out std_logic;  -- export
-            reg_wdi_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                    : out std_logic;  -- export
-            reg_wdi_write_export                    : out std_logic;  -- export
-            reg_wdi_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_address_export                   : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_clk_export                       : out std_logic;  -- export
-            reg_wg_read_export                      : out std_logic;  -- export
-            reg_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_reset_export                     : out std_logic;  -- export
-            reg_wg_write_export                     : out std_logic;  -- export
-            reg_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                           : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export          : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export              : out std_logic;  -- export
-            rom_system_info_read_export             : out std_logic;  -- export
-            rom_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export            : out std_logic;  -- export
-            rom_system_info_write_export            : out std_logic;  -- export
-            rom_system_info_writedata_export        : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_lofar2_unb2c_filterbank;
+  component qsys_lofar2_unb2c_filterbank is
+    port (
+      avs_eth_0_clk_export                    : out std_logic;  -- export
+      avs_eth_0_irq_export                    : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export            : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export               : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export              : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export            : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export               : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export              : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                  : out std_logic;  -- export
+      avs_eth_0_tse_address_export            : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export               : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export        : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export              : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                 : in  std_logic                     := 'X';  -- clk
+      jesd204b_address_export                 : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_clk_export                     : out std_logic;  -- export
+      jesd204b_read_export                    : out std_logic;  -- export
+      jesd204b_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      jesd204b_reset_export                   : out std_logic;  -- export
+      jesd204b_write_export                   : out std_logic;  -- export
+      jesd204b_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                      : out std_logic;  -- export
+      pio_pps_read_export                     : out std_logic;  -- export
+      pio_pps_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                    : out std_logic;  -- export
+      pio_pps_write_export                    : out std_logic;  -- export
+      pio_pps_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export          : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export              : out std_logic;  -- export
+      pio_system_info_read_export             : out std_logic;  -- export
+      pio_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export            : out std_logic;  -- export
+      pio_system_info_write_export            : out std_logic;  -- export
+      pio_system_info_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export      : out std_logic;  -- export
+      ram_aduh_monitor_address_export         : out std_logic_vector(12 downto 0);  -- export
+      ram_aduh_monitor_clk_export             : out std_logic;  -- export
+      ram_aduh_monitor_read_export            : out std_logic;  -- export
+      ram_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_aduh_monitor_reset_export           : out std_logic;  -- export
+      ram_aduh_monitor_write_export           : out std_logic;  -- export
+      ram_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_bsn_address_export    : out std_logic_vector(13 downto 0);  -- export
+      ram_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
+      ram_diag_data_buf_bsn_read_export       : out std_logic;  -- export
+      ram_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
+      ram_diag_data_buf_bsn_write_export      : out std_logic;  -- export
+      ram_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_jesd_address_export   : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
+      ram_diag_data_buf_jesd_read_export      : out std_logic;  -- export
+      ram_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
+      ram_diag_data_buf_jesd_write_export     : out std_logic;  -- export
+      ram_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_address_export      : out std_logic_vector(12 downto 0);  -- export
+      ram_equalizer_gains_clk_export          : out std_logic;  -- export
+      ram_equalizer_gains_read_export         : out std_logic;  -- export
+      ram_equalizer_gains_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_equalizer_gains_reset_export        : out std_logic;  -- export
+      ram_equalizer_gains_write_export        : out std_logic;  -- export
+      ram_equalizer_gains_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      ram_fil_coefs_address_export            : out std_logic_vector(13 downto 0);  -- export
+      ram_fil_coefs_clk_export                : out std_logic;  -- export
+      ram_fil_coefs_read_export               : out std_logic;  -- export
+      ram_fil_coefs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_fil_coefs_reset_export              : out std_logic;  -- export
+      ram_fil_coefs_write_export              : out std_logic;  -- export
+      ram_fil_coefs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                    : out std_logic;  -- export
+      ram_scrap_read_export                   : out std_logic;  -- export
+      ram_scrap_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                  : out std_logic;  -- export
+      ram_scrap_write_export                  : out std_logic;  -- export
+      ram_scrap_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      ram_st_sst_address_export               : out std_logic_vector(13 downto 0);  -- export
+      ram_st_sst_clk_export                   : out std_logic;  -- export
+      ram_st_sst_read_export                  : out std_logic;  -- export
+      ram_st_sst_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_sst_reset_export                 : out std_logic;  -- export
+      ram_st_sst_write_export                 : out std_logic;  -- export
+      ram_st_sst_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_address_export                   : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_clk_export                       : out std_logic;  -- export
+      ram_wg_read_export                      : out std_logic;  -- export
+      ram_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_reset_export                     : out std_logic;  -- export
+      ram_wg_write_export                     : out std_logic;  -- export
+      ram_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_aduh_monitor_address_export         : out std_logic_vector(5 downto 0);  -- export
+      reg_aduh_monitor_clk_export             : out std_logic;  -- export
+      reg_aduh_monitor_read_export            : out std_logic;  -- export
+      reg_aduh_monitor_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_aduh_monitor_reset_export           : out std_logic;  -- export
+      reg_aduh_monitor_write_export           : out std_logic;  -- export
+      reg_aduh_monitor_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_input_address_export    : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_clk_export        : out std_logic;  -- export
+      reg_bsn_monitor_input_read_export       : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export      : out std_logic;  -- export
+      reg_bsn_monitor_input_write_export      : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_address_export        : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_clk_export            : out std_logic;  -- export
+      reg_bsn_scheduler_read_export           : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export          : out std_logic;  -- export
+      reg_bsn_scheduler_write_export          : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_address_export           : out std_logic_vector(1 downto 0);  -- export
+      reg_bsn_source_clk_export               : out std_logic;  -- export
+      reg_bsn_source_read_export              : out std_logic;  -- export
+      reg_bsn_source_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_reset_export             : out std_logic;  -- export
+      reg_bsn_source_write_export             : out std_logic;  -- export
+      reg_bsn_source_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_bsn_address_export    : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buf_bsn_clk_export        : out std_logic;  -- export
+      reg_diag_data_buf_bsn_read_export       : out std_logic;  -- export
+      reg_diag_data_buf_bsn_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_bsn_reset_export      : out std_logic;  -- export
+      reg_diag_data_buf_bsn_write_export      : out std_logic;  -- export
+      reg_diag_data_buf_bsn_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_jesd_address_export   : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_data_buf_jesd_clk_export       : out std_logic;  -- export
+      reg_diag_data_buf_jesd_read_export      : out std_logic;  -- export
+      reg_diag_data_buf_jesd_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_jesd_reset_export     : out std_logic;  -- export
+      reg_diag_data_buf_jesd_write_export     : out std_logic;  -- export
+      reg_diag_data_buf_jesd_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_selector_address_export          : out std_logic_vector(0 downto 0);  -- export
+      reg_dp_selector_clk_export              : out std_logic;  -- export
+      reg_dp_selector_read_export             : out std_logic;  -- export
+      reg_dp_selector_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_selector_reset_export            : out std_logic;  -- export
+      reg_dp_selector_write_export            : out std_logic;  -- export
+      reg_dp_selector_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_shiftram_address_export          : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_shiftram_clk_export              : out std_logic;  -- export
+      reg_dp_shiftram_read_export             : out std_logic;  -- export
+      reg_dp_shiftram_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_shiftram_reset_export            : out std_logic;  -- export
+      reg_dp_shiftram_write_export            : out std_logic;  -- export
+      reg_dp_shiftram_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                : out std_logic;  -- export
+      reg_dpmm_data_read_export               : out std_logic;  -- export
+      reg_dpmm_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export              : out std_logic;  -- export
+      reg_dpmm_data_write_export              : out std_logic;  -- export
+      reg_dpmm_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                     : out std_logic;  -- export
+      reg_epcs_read_export                    : out std_logic;  -- export
+      reg_epcs_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                   : out std_logic;  -- export
+      reg_epcs_write_export                   : out std_logic;  -- export
+      reg_epcs_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export       : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export           : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export    : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export        : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                : out std_logic;  -- export
+      reg_mmdp_data_read_export               : out std_logic;  -- export
+      reg_mmdp_data_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export              : out std_logic;  -- export
+      reg_mmdp_data_write_export              : out std_logic;  -- export
+      reg_mmdp_data_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                     : out std_logic;  -- export
+      reg_remu_read_export                    : out std_logic;  -- export
+      reg_remu_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                   : out std_logic;  -- export
+      reg_remu_write_export                   : out std_logic;  -- export
+      reg_remu_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_si_address_export                   : out std_logic_vector(0 downto 0);  -- export
+      reg_si_clk_export                       : out std_logic;  -- export
+      reg_si_read_export                      : out std_logic;  -- export
+      reg_si_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_si_reset_export                     : out std_logic;  -- export
+      reg_si_write_export                     : out std_logic;  -- export
+      reg_si_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export            : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                : out std_logic;  -- export
+      reg_unb_pmbus_read_export               : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export              : out std_logic;  -- export
+      reg_unb_pmbus_write_export              : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export             : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                 : out std_logic;  -- export
+      reg_unb_sens_read_export                : out std_logic;  -- export
+      reg_unb_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export               : out std_logic;  -- export
+      reg_unb_sens_write_export               : out std_logic;  -- export
+      reg_unb_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                      : out std_logic;  -- export
+      reg_wdi_read_export                     : out std_logic;  -- export
+      reg_wdi_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                    : out std_logic;  -- export
+      reg_wdi_write_export                    : out std_logic;  -- export
+      reg_wdi_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_address_export                   : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_clk_export                       : out std_logic;  -- export
+      reg_wg_read_export                      : out std_logic;  -- export
+      reg_wg_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_reset_export                     : out std_logic;  -- export
+      reg_wg_write_export                     : out std_logic;  -- export
+      reg_wg_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                           : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export          : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export              : out std_logic;  -- export
+      rom_system_info_read_export             : out std_logic;  -- export
+      rom_system_info_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export            : out std_logic;  -- export
+      rom_system_info_write_export            : out std_logic;  -- export
+      rom_system_info_writedata_export        : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_lofar2_unb2c_filterbank;
 end qsys_lofar2_unb2c_filterbank_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_filterbank/tb/vhdl/tb_lofar2_unb2c_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2c_filterbank/tb/vhdl/tb_lofar2_unb2c_filterbank.vhd
index 20f124a66e..50b8b162c8 100644
--- a/applications/lofar2/designs/lofar2_unb2c_filterbank/tb/vhdl/tb_lofar2_unb2c_filterbank.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_filterbank/tb/vhdl/tb_lofar2_unb2c_filterbank.vhd
@@ -51,19 +51,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2c_filterbank is
 end tb_lofar2_unb2c_filterbank;
@@ -203,52 +203,52 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_filterbank : entity work.lofar2_unb2c_filterbank
-  generic map (
-    g_design_name            => "lofar2_unb2c_filterbank_full",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_filterbank_full",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -379,8 +379,8 @@ begin
 
         -- Convert STD_LOGIC_VECTOR to REAL
         v_sp_subband_power := real(TO_UINT(rd_data(29 downto 0) &
-            sp_subband_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
-            real(TO_UINT(sp_subband_powers_arr2(v_S)(v_B)(29 downto 0)));
+                                           sp_subband_powers_arr2(v_S)(v_B)(31 downto 30))) * 2.0**30 +
+                              real(TO_UINT(sp_subband_powers_arr2(v_S)(v_B)(29 downto 0)));
         -- sum
         sp_subband_power_sum(v_S) <= sp_subband_power_sum(v_S) + v_sp_subband_power;
       end if;
@@ -390,7 +390,7 @@ begin
     -- because the input is a sinus, so most power will be in 1 subband. The sp_subband_power_leakage_sum shows
     -- how much power from the input sinus at a specific subband has leaked into the 511 other subbands.
     sp_subband_power_0 <= real(TO_UINT(sp_subband_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(61 downto 30))) * 2.0**30 +
-        real(TO_UINT(sp_subband_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(29 downto 0)));
+                          real(TO_UINT(sp_subband_powers_arr2(0)(integer(ROUND(c_subband_sp_0)))(29 downto 0)));
 
     sp_subband_power_sum_0 <= sp_subband_power_sum(0);
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/lofar2_unb2c_ring_full.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/lofar2_unb2c_ring_full.vhd
index 9b01c6973e..e735955ac7 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/lofar2_unb2c_ring_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/lofar2_unb2c_ring_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_ring_full is
   generic (
@@ -87,49 +87,49 @@ architecture str of lofar2_unb2c_ring_full is
 begin
 
   u_revision : entity lofar2_unb2c_ring_lib.lofar2_unb2c_ring
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/tb_lofar2_unb2c_ring_full.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/tb_lofar2_unb2c_ring_full.vhd
index 9cbf1b0569..2163590e6e 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/tb_lofar2_unb2c_ring_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_full/tb_lofar2_unb2c_ring_full.vhd
@@ -28,12 +28,12 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2c_ring_full is
 end tb_lofar2_unb2c_ring_full;
@@ -99,45 +99,45 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_ring_full : entity work.lofar2_unb2c_ring_full
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => i_QSFP_0_RX,
-    QSFP_0_TX    => i_QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => i_RING_0_RX,
-    RING_0_TX    => i_RING_0_TX,
-    RING_1_RX    => i_RING_1_RX,
-    RING_1_TX    => i_RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => open
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => i_QSFP_0_RX,
+      QSFP_0_TX    => i_QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => i_RING_0_RX,
+      RING_0_TX    => i_RING_0_TX,
+      RING_1_RX    => i_RING_1_RX,
+      RING_1_TX    => i_RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => open
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/lofar2_unb2c_ring_one.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/lofar2_unb2c_ring_one.vhd
index add9341693..ffdc344337 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/lofar2_unb2c_ring_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/lofar2_unb2c_ring_one.vhd
@@ -30,13 +30,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_ring_one is
   generic (
@@ -90,49 +90,49 @@ architecture str of lofar2_unb2c_ring_one is
 begin
 
   u_revision : entity lofar2_unb2c_ring_lib.lofar2_unb2c_ring
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/tb_lofar2_unb2c_ring_one.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/tb_lofar2_unb2c_ring_one.vhd
index 375d1289ac..ef91d61209 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/tb_lofar2_unb2c_ring_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/revisions/lofar2_unb2c_ring_one/tb_lofar2_unb2c_ring_one.vhd
@@ -28,12 +28,12 @@
 --   > run -a    # check that design can simulate some us without error
 
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2c_ring_one is
 end tb_lofar2_unb2c_ring_one;
@@ -99,45 +99,45 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_ring_one : entity work.lofar2_unb2c_ring_one
-  generic map (
-    g_sim         => c_sim,
-    g_sim_unb_nr  => c_unb_nr,
-    g_sim_node_nr => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_0_RX    => i_QSFP_0_RX,
-    QSFP_0_TX    => i_QSFP_0_TX,
-
-    -- ring transceivers
-    RING_0_RX    => i_RING_0_RX,
-    RING_0_TX    => i_RING_0_TX,
-    RING_1_RX    => i_RING_1_RX,
-    RING_1_TX    => i_RING_1_TX,
-
-    -- LEDs
-    QSFP_LED     => open
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_unb_nr  => c_unb_nr,
+      g_sim_node_nr => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_0_RX    => i_QSFP_0_RX,
+      QSFP_0_TX    => i_QSFP_0_TX,
+
+      -- ring transceivers
+      RING_0_RX    => i_RING_0_RX,
+      RING_0_TX    => i_RING_0_TX,
+      RING_1_RX    => i_RING_1_RX,
+      RING_1_TX    => i_RING_1_TX,
+
+      -- LEDs
+      QSFP_LED     => open
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd
index 781bd97de9..957e161380 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd
@@ -27,21 +27,21 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, wpfb_lib, lofar2_sdp_lib, tech_pll_lib, tr_10gbe_lib, eth_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2c_ring_pkg.all;
-use eth_lib.eth_pkg.all;
-use ring_lib.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2c_ring_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use ring_lib.ring_pkg.all;
 
 
 entity lofar2_unb2c_ring is
@@ -105,7 +105,7 @@ architecture str of lofar2_unb2c_ring is
   constant c_mm_clk_freq            : natural := c_unb2c_board_mm_clk_freq_100M;
   constant c_lofar2_sample_clk_freq : natural := c_sdp_N_clk_per_sync;  -- fixed 200 MHz for LOFAR2.0 stage 1
 
-   -- QSFP
+  -- QSFP
   constant c_nof_qsfp_bus           : natural := 1;
   constant c_nof_streams_qsfp       : natural := c_unb2c_board_tr_qsfp.bus_w * c_nof_qsfp_bus;  -- 4
 
@@ -145,11 +145,13 @@ architecture str of lofar2_unb2c_ring is
   constant c_addr_w_reg_dp_block_validate_bsn_at_sync : natural := ceil_log2(3);
 
 
-  constant c_reg_ring_input_select     : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_lanes),
-                                         dat_w    => 1,
-                                         nof_dat  => c_nof_lanes,
-                                         init_sl  => '0');  -- default use lane input = 0, 1 = local input.
+  constant c_reg_ring_input_select     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_lanes),
+    dat_w    => 1,
+    nof_dat  => c_nof_lanes,
+    init_sl  => '0'
+  );  -- default use lane input = 0, 1 = local input.
 
   signal gn_index                   : natural;
   signal this_rn                    : std_logic_vector(c_byte_w - 1 downto 0);
@@ -336,274 +338,274 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2c_board_lib.ctrl_unb2c_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2c_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range,
-    g_dp_clk_freq             => c_unb2c_board_ext_clk_freq_200M,
-    g_dp_clk_use_pll          => false
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_copi,
-    reg_remu_miso            => reg_remu_cipo,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_copi,
-    reg_dpmm_data_miso       => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_copi,
-    reg_mmdp_data_miso       => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_copi,
-    reg_epcs_miso            => reg_epcs_cipo,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_copi,
-    reg_wdi_miso             => reg_wdi_cipo,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_copi,
-    reg_unb_system_info_miso => reg_unb_system_info_cipo,
-    rom_unb_system_info_mosi => rom_unb_system_info_copi,
-    rom_unb_system_info_miso => rom_unb_system_info_cipo,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_copi,
-    reg_ppsh_miso            => reg_ppsh_cipo,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_copi,
-    eth1g_tse_miso           => eth1g_tse_cipo,
-    eth1g_reg_mosi           => eth1g_reg_copi,
-    eth1g_reg_miso           => eth1g_reg_cipo,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_copi,
-    eth1g_ram_miso           => eth1g_ram_cipo,
-
-    ram_scrap_mosi           => ram_scrap_copi,
-    ram_scrap_miso           => ram_scrap_cipo,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK(0),
-    ETH_SGIN                 => ETH_SGIN(0),
-    ETH_SGOUT                => ETH_SGOUT(0)
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2c_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range,
+      g_dp_clk_freq             => c_unb2c_board_ext_clk_freq_200M,
+      g_dp_clk_use_pll          => false
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_copi,
+      reg_remu_miso            => reg_remu_cipo,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_copi,
+      reg_dpmm_data_miso       => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_copi,
+      reg_mmdp_data_miso       => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_copi,
+      reg_epcs_miso            => reg_epcs_cipo,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_copi,
+      reg_wdi_miso             => reg_wdi_cipo,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_copi,
+      reg_unb_system_info_miso => reg_unb_system_info_cipo,
+      rom_unb_system_info_mosi => rom_unb_system_info_copi,
+      rom_unb_system_info_miso => rom_unb_system_info_cipo,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_copi,
+      reg_ppsh_miso            => reg_ppsh_cipo,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_copi,
+      eth1g_tse_miso           => eth1g_tse_cipo,
+      eth1g_reg_mosi           => eth1g_reg_copi,
+      eth1g_reg_miso           => eth1g_reg_cipo,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_copi,
+      eth1g_ram_miso           => eth1g_ram_cipo,
+
+      ram_scrap_mosi           => ram_scrap_copi,
+      ram_scrap_miso           => ram_scrap_cipo,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK(0),
+      ETH_SGIN                 => ETH_SGIN(0),
+      ETH_SGOUT                => ETH_SGOUT(0)
+    );
 
   -----------------------------------------------------------------------------
   -- MM controller
   -----------------------------------------------------------------------------
   u_mmc : entity work.mmc_lofar2_unb2c_ring
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_copi                           => reg_wdi_copi,
-    reg_wdi_cipo                           => reg_wdi_cipo,
-    reg_unb_system_info_copi               => reg_unb_system_info_copi,
-    reg_unb_system_info_cipo               => reg_unb_system_info_cipo,
-    rom_unb_system_info_copi               => rom_unb_system_info_copi,
-    rom_unb_system_info_cipo               => rom_unb_system_info_cipo,
-    reg_fpga_temp_sens_copi                => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_cipo                => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_copi             => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_cipo             => reg_fpga_voltage_sens_cipo,
-    reg_ppsh_copi                          => reg_ppsh_copi,
-    reg_ppsh_cipo                          => reg_ppsh_cipo,
-    eth1g_mm_rst                           => eth1g_mm_rst,
-    eth1g_tse_copi                         => eth1g_tse_copi,
-    eth1g_tse_cipo                         => eth1g_tse_cipo,
-    eth1g_reg_copi                         => eth1g_reg_copi,
-    eth1g_reg_cipo                         => eth1g_reg_cipo,
-    eth1g_reg_interrupt                    => eth1g_reg_interrupt,
-    eth1g_ram_copi                         => eth1g_ram_copi,
-    eth1g_ram_cipo                         => eth1g_ram_cipo,
-    reg_dpmm_data_copi                     => reg_dpmm_data_copi,
-    reg_dpmm_data_cipo                     => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_copi                     => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_cipo                     => reg_dpmm_ctrl_cipo,
-    reg_mmdp_data_copi                     => reg_mmdp_data_copi,
-    reg_mmdp_data_cipo                     => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_copi                     => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_cipo                     => reg_mmdp_ctrl_cipo,
-    reg_epcs_copi                          => reg_epcs_copi,
-    reg_epcs_cipo                          => reg_epcs_cipo,
-    reg_remu_copi                          => reg_remu_copi,
-    reg_remu_cipo                          => reg_remu_cipo,
-    reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi,
-    reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo,
-    reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi,
-    reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo,
-    reg_diag_bg_copi                       => reg_diag_bg_copi,
-    reg_diag_bg_cipo                       => reg_diag_bg_cipo,
-    ram_diag_bg_copi                       => ram_diag_bg_copi,
-    ram_diag_bg_cipo                       => ram_diag_bg_cipo,
-    reg_ring_lane_info_copi                => reg_ring_lane_info_copi,
-    reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo,
-    reg_dp_xonoff_lane_copi                => reg_dp_xonoff_lane_copi,
-    reg_dp_xonoff_lane_cipo                => reg_dp_xonoff_lane_cipo,
-    reg_dp_xonoff_local_copi               => reg_dp_xonoff_local_copi,
-    reg_dp_xonoff_local_cipo               => reg_dp_xonoff_local_cipo,
-    reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi,
-    reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo,
-    reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi,
-    reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo,
-    reg_ring_info_copi                     => reg_ring_info_copi,
-    reg_ring_info_cipo                     => reg_ring_info_cipo,
-    reg_tr_10GbE_mac_copi                  => reg_tr_10GbE_mac_copi,
-    reg_tr_10GbE_mac_cipo                  => reg_tr_10GbE_mac_cipo,
-    reg_tr_10GbE_eth10g_copi               => reg_tr_10GbE_eth10g_copi,
-    reg_tr_10GbE_eth10g_cipo               => reg_tr_10GbE_eth10g_cipo,
-    ram_scrap_copi                         => ram_scrap_copi,
-    ram_scrap_cipo                         => ram_scrap_cipo
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_copi                           => reg_wdi_copi,
+      reg_wdi_cipo                           => reg_wdi_cipo,
+      reg_unb_system_info_copi               => reg_unb_system_info_copi,
+      reg_unb_system_info_cipo               => reg_unb_system_info_cipo,
+      rom_unb_system_info_copi               => rom_unb_system_info_copi,
+      rom_unb_system_info_cipo               => rom_unb_system_info_cipo,
+      reg_fpga_temp_sens_copi                => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_cipo                => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_copi             => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_cipo             => reg_fpga_voltage_sens_cipo,
+      reg_ppsh_copi                          => reg_ppsh_copi,
+      reg_ppsh_cipo                          => reg_ppsh_cipo,
+      eth1g_mm_rst                           => eth1g_mm_rst,
+      eth1g_tse_copi                         => eth1g_tse_copi,
+      eth1g_tse_cipo                         => eth1g_tse_cipo,
+      eth1g_reg_copi                         => eth1g_reg_copi,
+      eth1g_reg_cipo                         => eth1g_reg_cipo,
+      eth1g_reg_interrupt                    => eth1g_reg_interrupt,
+      eth1g_ram_copi                         => eth1g_ram_copi,
+      eth1g_ram_cipo                         => eth1g_ram_cipo,
+      reg_dpmm_data_copi                     => reg_dpmm_data_copi,
+      reg_dpmm_data_cipo                     => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_copi                     => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_cipo                     => reg_dpmm_ctrl_cipo,
+      reg_mmdp_data_copi                     => reg_mmdp_data_copi,
+      reg_mmdp_data_cipo                     => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_copi                     => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_cipo                     => reg_mmdp_ctrl_cipo,
+      reg_epcs_copi                          => reg_epcs_copi,
+      reg_epcs_cipo                          => reg_epcs_cipo,
+      reg_remu_copi                          => reg_remu_copi,
+      reg_remu_cipo                          => reg_remu_cipo,
+      reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi,
+      reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo,
+      reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi,
+      reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo,
+      reg_diag_bg_copi                       => reg_diag_bg_copi,
+      reg_diag_bg_cipo                       => reg_diag_bg_cipo,
+      ram_diag_bg_copi                       => ram_diag_bg_copi,
+      ram_diag_bg_cipo                       => ram_diag_bg_cipo,
+      reg_ring_lane_info_copi                => reg_ring_lane_info_copi,
+      reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo,
+      reg_dp_xonoff_lane_copi                => reg_dp_xonoff_lane_copi,
+      reg_dp_xonoff_lane_cipo                => reg_dp_xonoff_lane_cipo,
+      reg_dp_xonoff_local_copi               => reg_dp_xonoff_local_copi,
+      reg_dp_xonoff_local_cipo               => reg_dp_xonoff_local_cipo,
+      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi,
+      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo,
+      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi,
+      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo,
+      reg_ring_info_copi                     => reg_ring_info_copi,
+      reg_ring_info_cipo                     => reg_ring_info_cipo,
+      reg_tr_10GbE_mac_copi                  => reg_tr_10GbE_mac_copi,
+      reg_tr_10GbE_mac_cipo                  => reg_tr_10GbE_mac_cipo,
+      reg_tr_10GbE_eth10g_copi               => reg_tr_10GbE_eth10g_copi,
+      reg_tr_10GbE_eth10g_cipo               => reg_tr_10GbE_eth10g_cipo,
+      ram_scrap_copi                         => ram_scrap_copi,
+      ram_scrap_cipo                         => ram_scrap_cipo
+    );
 
   -----------------------------------------------------------------------------
   -- MM Mux
   -----------------------------------------------------------------------------
   u_mem_mux_ring_lane_info : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_ring_lane_info
-  )
-  port map (
-    mosi     => reg_ring_lane_info_copi,
-    miso     => reg_ring_lane_info_cipo,
-    mosi_arr => reg_ring_lane_info_copi_arr,
-    miso_arr => reg_ring_lane_info_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_ring_lane_info
+    )
+    port map (
+      mosi     => reg_ring_lane_info_copi,
+      miso     => reg_ring_lane_info_cipo,
+      mosi_arr => reg_ring_lane_info_copi_arr,
+      miso_arr => reg_ring_lane_info_cipo_arr
+    );
 
   u_mem_mux_bsn_monitor_v2_ring_rx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_rx
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_ring_rx_copi,
-    miso     => reg_bsn_monitor_v2_ring_rx_cipo,
-    mosi_arr => reg_bsn_monitor_v2_ring_rx_copi_arr,
-    miso_arr => reg_bsn_monitor_v2_ring_rx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_rx
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_ring_rx_copi,
+      miso     => reg_bsn_monitor_v2_ring_rx_cipo,
+      mosi_arr => reg_bsn_monitor_v2_ring_rx_copi_arr,
+      miso_arr => reg_bsn_monitor_v2_ring_rx_cipo_arr
+    );
 
   u_mem_mux_bsn_monitor_v2_ring_tx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_tx
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_ring_tx_copi,
-    miso     => reg_bsn_monitor_v2_ring_tx_cipo,
-    mosi_arr => reg_bsn_monitor_v2_ring_tx_copi_arr,
-    miso_arr => reg_bsn_monitor_v2_ring_tx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_tx
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_ring_tx_copi,
+      miso     => reg_bsn_monitor_v2_ring_tx_cipo,
+      mosi_arr => reg_bsn_monitor_v2_ring_tx_copi_arr,
+      miso_arr => reg_bsn_monitor_v2_ring_tx_cipo_arr
+    );
 
   u_mem_mux_dp_block_validate_err : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_dp_block_validate_err
-  )
-  port map (
-    mosi     => reg_dp_block_validate_err_copi,
-    miso     => reg_dp_block_validate_err_cipo,
-    mosi_arr => reg_dp_block_validate_err_copi_arr,
-    miso_arr => reg_dp_block_validate_err_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_dp_block_validate_err
+    )
+    port map (
+      mosi     => reg_dp_block_validate_err_copi,
+      miso     => reg_dp_block_validate_err_cipo,
+      mosi_arr => reg_dp_block_validate_err_copi_arr,
+      miso_arr => reg_dp_block_validate_err_cipo_arr
+    );
 
   u_mem_mux_dp_block_validate_bsn_at_sync : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_lanes,
-    g_mult_addr_w => c_addr_w_reg_dp_block_validate_bsn_at_sync
-  )
-  port map (
-    mosi     => reg_dp_block_validate_bsn_at_sync_copi,
-    miso     => reg_dp_block_validate_bsn_at_sync_cipo,
-    mosi_arr => reg_dp_block_validate_bsn_at_sync_copi_arr,
-    miso_arr => reg_dp_block_validate_bsn_at_sync_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_lanes,
+      g_mult_addr_w => c_addr_w_reg_dp_block_validate_bsn_at_sync
+    )
+    port map (
+      mosi     => reg_dp_block_validate_bsn_at_sync_copi,
+      miso     => reg_dp_block_validate_bsn_at_sync_cipo,
+      mosi_arr => reg_dp_block_validate_bsn_at_sync_copi_arr,
+      miso_arr => reg_dp_block_validate_bsn_at_sync_cipo_arr
+    );
 
   -----------------------------------------------------------------------------
   -- MMP diag_block_gen
   -----------------------------------------------------------------------------
   u_mmp_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-    dp_rst  => dp_rst,
-    dp_clk  => dp_clk,
-    en_sync => dp_pps,
-
-   reg_bg_ctrl_mosi => reg_diag_bg_copi,
-   reg_bg_ctrl_miso => reg_diag_bg_cipo,
-   ram_bg_data_mosi => ram_diag_bg_copi,
-   ram_bg_data_miso => ram_diag_bg_cipo,
-
-   out_sosi_arr(0)  => local_sosi
-  );
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+      dp_rst  => dp_rst,
+      dp_clk  => dp_clk,
+      en_sync => dp_pps,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_copi,
+      reg_bg_ctrl_miso => reg_diag_bg_cipo,
+      ram_bg_data_mosi => ram_diag_bg_copi,
+      ram_bg_data_miso => ram_diag_bg_cipo,
+
+      out_sosi_arr(0)  => local_sosi
+    );
   bs_sosi <= local_sosi;
 
 
@@ -611,26 +613,26 @@ begin
   -- MMP dp_xonoff from_lane_sosi
   -----------------------------------------------------------------------------
   u_mmp_dp_xonoff_lane : entity dp_lib.mms_dp_xonoff
-  generic map (
-    g_nof_streams   => c_nof_lanes,
-    g_default_value => '1'  -- default enabled, because standard behaviour is to only pass on packets from lane.
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    generic map (
+      g_nof_streams   => c_nof_lanes,
+      g_default_value => '1'  -- default enabled, because standard behaviour is to only pass on packets from lane.
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    reg_mosi => reg_dp_xonoff_lane_copi,
-    reg_miso => reg_dp_xonoff_lane_cipo,
+      reg_mosi => reg_dp_xonoff_lane_copi,
+      reg_miso => reg_dp_xonoff_lane_cipo,
 
-    dp_rst  => dp_rst,
-    dp_clk  => dp_clk,
+      dp_rst  => dp_rst,
+      dp_clk  => dp_clk,
 
-    snk_out_arr => OPEN,
-    snk_in_arr  => from_lane_sosi_arr,
+      snk_out_arr => OPEN,
+      snk_in_arr  => from_lane_sosi_arr,
 
-    src_in_arr  => dp_xonoff_lane_src_in_arr,
-    src_out_arr => dp_xonoff_lane_src_out_arr
-  );
+      src_in_arr  => dp_xonoff_lane_src_in_arr,
+      src_out_arr => dp_xonoff_lane_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- MMP dp_xonoff local_sosi
@@ -640,26 +642,26 @@ begin
   end generate;
 
   u_mmp_dp_xonoff_local : entity dp_lib.mms_dp_xonoff
-  generic map (
-    g_nof_streams   => c_nof_lanes,
-    g_default_value => '0'  -- default disabled, because standard behaviour is to only pass on packets from lane.
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    generic map (
+      g_nof_streams   => c_nof_lanes,
+      g_default_value => '0'  -- default disabled, because standard behaviour is to only pass on packets from lane.
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    reg_mosi => reg_dp_xonoff_local_copi,
-    reg_miso => reg_dp_xonoff_local_cipo,
+      reg_mosi => reg_dp_xonoff_local_copi,
+      reg_miso => reg_dp_xonoff_local_cipo,
 
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
 
-    snk_out_arr => OPEN,
-    snk_in_arr  => dp_xonoff_local_snk_in_arr,
+      snk_out_arr => OPEN,
+      snk_in_arr  => dp_xonoff_local_snk_in_arr,
 
-    src_in_arr  => dp_xonoff_local_src_in_arr,
-    src_out_arr => dp_xonoff_local_src_out_arr
-  );
+      src_in_arr  => dp_xonoff_local_src_in_arr,
+      src_out_arr => dp_xonoff_local_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- DP Mux
@@ -672,49 +674,49 @@ begin
     dp_mux_snk_in_2arr(I)(1)      <= dp_xonoff_local_src_out_arr(I);
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      g_append_channel_lo => false,
-      g_sel_ctrl_invert   => true,
-      g_use_fifo          => true,
-      g_bsn_w             => c_longword_w,
-      g_data_w            => c_lane_data_w,
-      g_in_channel_w      => c_byte_w,
-      g_error_w           => c_nof_err_counts,
-      g_use_bsn           => true,
-      g_use_in_channel    => true,
-      g_use_error         => true,
-      g_use_sync          => true,
-      -- Using fifo size of 2 * packet length for both inputs of the mux as a packet might arrive on both the local and remote input.
-      g_fifo_size         => array_init(2 * c_lane_packet_length, 2)
-    )
-    port map (
-      rst => dp_rst,
-      clk => dp_clk,
-
-      snk_out_arr => dp_mux_snk_out_2arr(I),
-      snk_in_arr  => dp_mux_snk_in_2arr(I),
-
-      src_in  => c_dp_siso_rdy,
-      src_out => to_lane_sosi_arr(I)
-    );
+      generic map (
+        g_append_channel_lo => false,
+        g_sel_ctrl_invert   => true,
+        g_use_fifo          => true,
+        g_bsn_w             => c_longword_w,
+        g_data_w            => c_lane_data_w,
+        g_in_channel_w      => c_byte_w,
+        g_error_w           => c_nof_err_counts,
+        g_use_bsn           => true,
+        g_use_in_channel    => true,
+        g_use_error         => true,
+        g_use_sync          => true,
+        -- Using fifo size of 2 * packet length for both inputs of the mux as a packet might arrive on both the local and remote input.
+        g_fifo_size         => array_init(2 * c_lane_packet_length, 2)
+      )
+      port map (
+        rst => dp_rst,
+        clk => dp_clk,
+
+        snk_out_arr => dp_mux_snk_out_2arr(I),
+        snk_in_arr  => dp_mux_snk_in_2arr(I),
+
+        src_in  => c_dp_siso_rdy,
+        src_out => to_lane_sosi_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- Ring info
   -----------------------------------------------------------------------------
   u_ring_info : entity ring_lib.ring_info
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
 
-    reg_copi => reg_ring_info_copi,
-    reg_cipo => reg_ring_info_cipo,
+      reg_copi => reg_ring_info_copi,
+      reg_cipo => reg_ring_info_cipo,
 
-    ring_info => ring_info
-  );
+      ring_info => ring_info
+    );
 
   -- Use full c_byte_w range of ID for gn_index and ring_info.O_rn
   gn_index <= TO_UINT(ID);
@@ -725,50 +727,50 @@ begin
   -----------------------------------------------------------------------------
   gen_even_lanes: for I in 0 to c_nof_even_lanes - 1 generate
     u_ring_lane : entity ring_lib.ring_lane
-    generic map (
-      g_lane_direction            => 1,  -- transport in positive direction.
-      g_lane_data_w               => c_lane_data_w,
-      g_lane_packet_length        => c_lane_packet_length,
-      g_use_dp_layer              => c_use_dp_layer,
-      g_nof_rx_monitors           => c_nof_rx_monitors,
-      g_nof_tx_monitors           => c_nof_tx_monitors,
-      g_err_bi                    => c_err_bi,
-      g_nof_err_counts            => c_nof_err_counts,
-      g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
-      g_validate_channel          => c_validate_channel,
-      g_validate_channel_mode     => c_validate_channel_mode,
-      g_sync_timeout              => c_sync_timeout
-    )
-    port map (
-      mm_rst => mm_rst,
-      mm_clk => mm_clk,
-      dp_clk => dp_clk,
-      dp_rst => dp_rst,
-
-      from_lane_sosi     => from_lane_sosi_arr(2 * I),  -- even indices
-      to_lane_sosi       => to_lane_sosi_arr(2 * I),
-      lane_rx_cable_sosi => lane_rx_cable_even_sosi_arr(I),
-      lane_rx_board_sosi => lane_rx_board_even_sosi_arr(I),
-      lane_tx_cable_sosi => lane_tx_cable_even_sosi_arr(I),
-      lane_tx_board_sosi => lane_tx_board_even_sosi_arr(I),
-      bs_sosi            => bs_sosi,
-
-      reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I),
-      reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I),
-      reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I),
-      reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I),
-      reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I),
-      reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I),
-      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I),
-      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I),
-      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I),
-      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I),
-
-      this_rn   => this_rn,
-      N_rn      => ring_info.N_rn,
-      rx_select => ring_info.use_cable_to_previous_rn,
-      tx_select => ring_info.use_cable_to_next_rn
-    );
+      generic map (
+        g_lane_direction            => 1,  -- transport in positive direction.
+        g_lane_data_w               => c_lane_data_w,
+        g_lane_packet_length        => c_lane_packet_length,
+        g_use_dp_layer              => c_use_dp_layer,
+        g_nof_rx_monitors           => c_nof_rx_monitors,
+        g_nof_tx_monitors           => c_nof_tx_monitors,
+        g_err_bi                    => c_err_bi,
+        g_nof_err_counts            => c_nof_err_counts,
+        g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
+        g_validate_channel          => c_validate_channel,
+        g_validate_channel_mode     => c_validate_channel_mode,
+        g_sync_timeout              => c_sync_timeout
+      )
+      port map (
+        mm_rst => mm_rst,
+        mm_clk => mm_clk,
+        dp_clk => dp_clk,
+        dp_rst => dp_rst,
+
+        from_lane_sosi     => from_lane_sosi_arr(2 * I),  -- even indices
+        to_lane_sosi       => to_lane_sosi_arr(2 * I),
+        lane_rx_cable_sosi => lane_rx_cable_even_sosi_arr(I),
+        lane_rx_board_sosi => lane_rx_board_even_sosi_arr(I),
+        lane_tx_cable_sosi => lane_tx_cable_even_sosi_arr(I),
+        lane_tx_board_sosi => lane_tx_board_even_sosi_arr(I),
+        bs_sosi            => bs_sosi,
+
+        reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I),
+        reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I),
+        reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I),
+        reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I),
+        reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I),
+        reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I),
+        reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I),
+        reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I),
+        reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I),
+        reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I),
+
+        this_rn   => this_rn,
+        N_rn      => ring_info.N_rn,
+        rx_select => ring_info.use_cable_to_previous_rn,
+        tx_select => ring_info.use_cable_to_next_rn
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -776,50 +778,50 @@ begin
   -----------------------------------------------------------------------------
   gen_odd_lanes : for I in 0 to c_nof_odd_lanes - 1 generate
     u_ring_lane : entity ring_lib.ring_lane
-    generic map (
-      g_lane_direction            => 0,  -- transport in negative direction.
-      g_lane_data_w               => c_lane_data_w,
-      g_lane_packet_length        => c_lane_packet_length,
-      g_use_dp_layer              => c_use_dp_layer,
-      g_nof_rx_monitors           => c_nof_rx_monitors,
-      g_nof_tx_monitors           => c_nof_tx_monitors,
-      g_err_bi                    => c_err_bi,
-      g_nof_err_counts            => c_nof_err_counts,
-      g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
-      g_validate_channel          => c_validate_channel,
-      g_validate_channel_mode     => c_validate_channel_mode,
-      g_sync_timeout              => c_sync_timeout
-    )
-    port map (
-      mm_rst => mm_rst,
-      mm_clk => mm_clk,
-      dp_clk => dp_clk,
-      dp_rst => dp_rst,
-
-      from_lane_sosi     => from_lane_sosi_arr(2 * I + 1),  -- odd indices
-      to_lane_sosi       => to_lane_sosi_arr(2 * I + 1),
-      lane_rx_cable_sosi => lane_rx_cable_odd_sosi_arr(I),
-      lane_rx_board_sosi => lane_rx_board_odd_sosi_arr(I),
-      lane_tx_cable_sosi => lane_tx_cable_odd_sosi_arr(I),
-      lane_tx_board_sosi => lane_tx_board_odd_sosi_arr(I),
-      bs_sosi            => bs_sosi,
-
-      reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I + 1),
-      reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I + 1),
-      reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I + 1),
-      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I + 1),
-      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I + 1),
-      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I + 1),
-      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I + 1),
-
-      this_rn   => this_rn,
-      N_rn      => ring_info.N_rn,
-      rx_select => ring_info.use_cable_to_next_rn,  -- reverse tx/rx select for odd indices.
-      tx_select => ring_info.use_cable_to_previous_rn
-    );
+      generic map (
+        g_lane_direction            => 0,  -- transport in negative direction.
+        g_lane_data_w               => c_lane_data_w,
+        g_lane_packet_length        => c_lane_packet_length,
+        g_use_dp_layer              => c_use_dp_layer,
+        g_nof_rx_monitors           => c_nof_rx_monitors,
+        g_nof_tx_monitors           => c_nof_tx_monitors,
+        g_err_bi                    => c_err_bi,
+        g_nof_err_counts            => c_nof_err_counts,
+        g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
+        g_validate_channel          => c_validate_channel,
+        g_validate_channel_mode     => c_validate_channel_mode,
+        g_sync_timeout              => c_sync_timeout
+      )
+      port map (
+        mm_rst => mm_rst,
+        mm_clk => mm_clk,
+        dp_clk => dp_clk,
+        dp_rst => dp_rst,
+
+        from_lane_sosi     => from_lane_sosi_arr(2 * I + 1),  -- odd indices
+        to_lane_sosi       => to_lane_sosi_arr(2 * I + 1),
+        lane_rx_cable_sosi => lane_rx_cable_odd_sosi_arr(I),
+        lane_rx_board_sosi => lane_rx_board_odd_sosi_arr(I),
+        lane_tx_cable_sosi => lane_tx_cable_odd_sosi_arr(I),
+        lane_tx_board_sosi => lane_tx_board_odd_sosi_arr(I),
+        bs_sosi            => bs_sosi,
+
+        reg_ring_lane_info_copi                => reg_ring_lane_info_copi_arr(2 * I + 1),
+        reg_ring_lane_info_cipo                => reg_ring_lane_info_cipo_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_copi_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_cipo_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_copi_arr(2 * I + 1),
+        reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_cipo_arr(2 * I + 1),
+        reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi_arr(2 * I + 1),
+        reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo_arr(2 * I + 1),
+        reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi_arr(2 * I + 1),
+        reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo_arr(2 * I + 1),
+
+        this_rn   => this_rn,
+        N_rn      => ring_info.N_rn,
+        rx_select => ring_info.use_cable_to_next_rn,  -- reverse tx/rx select for odd indices.
+        tx_select => ring_info.use_cable_to_previous_rn
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -848,45 +850,45 @@ begin
   -- tr_10GbE
   -----------------------------------------------------------------------------
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map (
-    g_sim           => g_sim,
-    g_sim_level     => 1,
-    g_nof_macs      => c_nof_mac,
-    g_direction     => "TX_RX",
-    g_tx_fifo_fill  => c_fifo_tx_fill,
-    g_tx_fifo_size  => c_fifo_tx_size
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644        => SA_CLK,
-    tr_ref_clk_312        => tr_ref_clk_312,
-    tr_ref_clk_156        => tr_ref_clk_156,
-    tr_ref_rst_156        => tr_ref_rst_156,
-
-    -- MM interface
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    reg_mac_mosi          => reg_tr_10GbE_mac_copi,
-    reg_mac_miso          => reg_tr_10GbE_mac_cipo,
-
-    reg_eth10g_mosi       => reg_tr_10GbE_eth10g_copi,
-    reg_eth10g_miso       => reg_tr_10GbE_eth10g_cipo,
-
-    -- DP interface
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    src_out_arr           => tr_10gbe_src_out_arr,
-    src_in_arr            => tr_10gbe_src_in_arr,
-
-    snk_out_arr           => tr_10gbe_snk_out_arr,
-    snk_in_arr            => tr_10gbe_snk_in_arr,
-
-    -- Serial IO
-    serial_tx_arr         => tr_10gbe_serial_tx_arr,
-    serial_rx_arr         => tr_10gbe_serial_rx_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_sim_level     => 1,
+      g_nof_macs      => c_nof_mac,
+      g_direction     => "TX_RX",
+      g_tx_fifo_fill  => c_fifo_tx_fill,
+      g_tx_fifo_size  => c_fifo_tx_size
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644        => SA_CLK,
+      tr_ref_clk_312        => tr_ref_clk_312,
+      tr_ref_clk_156        => tr_ref_clk_156,
+      tr_ref_rst_156        => tr_ref_rst_156,
+
+      -- MM interface
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      reg_mac_mosi          => reg_tr_10GbE_mac_copi,
+      reg_mac_miso          => reg_tr_10GbE_mac_cipo,
+
+      reg_eth10g_mosi       => reg_tr_10GbE_eth10g_copi,
+      reg_eth10g_miso       => reg_tr_10GbE_eth10g_cipo,
+
+      -- DP interface
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      src_out_arr           => tr_10gbe_src_out_arr,
+      src_in_arr            => tr_10gbe_src_in_arr,
+
+      snk_out_arr           => tr_10gbe_snk_out_arr,
+      snk_in_arr            => tr_10gbe_snk_in_arr,
+
+      -- Serial IO
+      serial_tx_arr         => tr_10gbe_serial_tx_arr,
+      serial_rx_arr         => tr_10gbe_serial_rx_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -915,14 +917,14 @@ begin
   -- PLL
   ---------
   u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
   ------------
   -- Front IO
@@ -932,21 +934,21 @@ begin
   QSFP_0_TX <= i_QSFP_TX(0);
 
   u_front_io : entity unb2c_board_lib.unb2c_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
-  )
-  port map (
-    serial_tx_arr => unb2_board_front_io_serial_tx_arr,
-    serial_rx_arr => unb2_board_front_io_serial_rx_arr,
+    generic map (
+      g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
+    )
+    port map (
+      serial_tx_arr => unb2_board_front_io_serial_tx_arr,
+      serial_rx_arr => unb2_board_front_io_serial_rx_arr,
 
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
 
-    QSFP_RX       => i_QSFP_RX,
-    QSFP_TX       => i_QSFP_TX,
+      QSFP_RX       => i_QSFP_RX,
+      QSFP_TX       => i_QSFP_TX,
 
-    QSFP_LED      => QSFP_LED
-  );
+      QSFP_LED      => QSFP_LED
+    );
 
   ------------
   -- RING IO
@@ -961,19 +963,19 @@ begin
   ------------
   unb2_board_qsfp_leds_tx_siso_arr(0) <=  tr_10gbe_snk_out_arr(0);
   u_front_led : entity unb2c_board_lib.unb2c_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr,
-
-    tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr,
+
+      tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring_pkg.vhd
index bb9c567d90..9f92000fe4 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring_pkg.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
 
 package lofar2_unb2c_ring_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -40,7 +40,7 @@ package lofar2_unb2c_ring_pkg is
   constant c_full : t_lofar2_unb2c_ring_config := (8, 8);
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2c_ring_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2c_ring_config;
 
 
 end lofar2_unb2c_ring_pkg;
@@ -48,7 +48,7 @@ end lofar2_unb2c_ring_pkg;
 
 package body lofar2_unb2c_ring_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2c_ring_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2c_ring_config is
   begin
     if    g_design_name = "lofar2_unb2c_ring_one"        then return c_one;
     else  return c_full;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/mmc_lofar2_unb2c_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/mmc_lofar2_unb2c_ring.vhd
index 2787532589..f09ef05b70 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/mmc_lofar2_unb2c_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/mmc_lofar2_unb2c_ring.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2c_ring_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2c_ring_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmc_lofar2_unb2c_ring is
   generic (
@@ -159,64 +159,64 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                           port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
 
     u_mm_file_rom_unb_system_info                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                           port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
 
     u_mm_file_reg_wdi                            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                           port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
+      port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
 
     u_mm_file_reg_fpga_temp_sens                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                                           port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
 
     u_mm_file_reg_fpga_voltage_sens              :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                                           port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
 
     u_mm_file_reg_ppsh                           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                           port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
+      port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                           port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
+      port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
 
     u_mm_file_reg_dp_block_validate_err          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR")
-                                                           port map(mm_rst, mm_clk, reg_dp_block_validate_err_copi, reg_dp_block_validate_err_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_err_copi, reg_dp_block_validate_err_cipo );
 
     u_mm_file_reg_dp_block_validate_bsn_at_sync  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC")
-                                                           port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_copi, reg_dp_block_validate_bsn_at_sync_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_copi, reg_dp_block_validate_bsn_at_sync_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_rx         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX")
-                                                           port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_copi, reg_bsn_monitor_v2_ring_rx_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_copi, reg_bsn_monitor_v2_ring_rx_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_tx         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX")
-                                                           port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_copi, reg_bsn_monitor_v2_ring_tx_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_copi, reg_bsn_monitor_v2_ring_tx_cipo );
 
     u_mm_file_reg_bg                             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-                                                           port map(mm_rst, mm_clk, reg_diag_bg_copi, reg_diag_bg_cipo );
+      port map(mm_rst, mm_clk, reg_diag_bg_copi, reg_diag_bg_cipo );
     u_mm_file_ram_bg                             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-                                                           port map(mm_rst, mm_clk, ram_diag_bg_copi, ram_diag_bg_cipo );
+      port map(mm_rst, mm_clk, ram_diag_bg_copi, ram_diag_bg_cipo );
 
     u_mm_file_reg_ring_lane_info                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO")
-                                                           port map(mm_rst, mm_clk, reg_ring_lane_info_copi, reg_ring_lane_info_cipo );
+      port map(mm_rst, mm_clk, reg_ring_lane_info_copi, reg_ring_lane_info_cipo );
 
     u_mm_file_reg_dp_xonoff_lane                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF_LANE")
-                                                           port map(mm_rst, mm_clk, reg_dp_xonoff_lane_copi, reg_dp_xonoff_lane_cipo );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_lane_copi, reg_dp_xonoff_lane_cipo );
 
     u_mm_file_reg_dp_xonoff_local                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF_LOCAL")
-                                                           port map(mm_rst, mm_clk, reg_dp_xonoff_local_copi, reg_dp_xonoff_local_cipo );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_local_copi, reg_dp_xonoff_local_cipo );
 
     u_mm_file_reg_ring_info                      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_INFO")
-                                                           port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo);
+      port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo);
 
     u_mm_file_reg_tr_10GbE_mac                   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_MAC")
-                                                           port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
 
     u_mm_file_reg_tr_10GbE_eth10g                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_ETH10G")
-                                                           port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
 
     u_mm_file_ram_scrap                          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                                           port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
+      port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
     -- the simulation time in ns
@@ -231,234 +231,234 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2c_ring
-    port map (
-
-      clk_clk                                            => mm_clk,
-      reset_reset_n                                      => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
-      pio_wdi_external_connection_export                 => pout_wdi,
-
-      avs_eth_0_reset_export                             => eth1g_mm_rst,
-      avs_eth_0_clk_export                               => OPEN,
-      avs_eth_0_tse_address_export                       => eth1g_tse_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                         => eth1g_tse_copi.wr,
-      avs_eth_0_tse_read_export                          => eth1g_tse_copi.rd,
-      avs_eth_0_tse_writedata_export                     => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export                      => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export                   => eth1g_tse_cipo.waitrequest,
-      avs_eth_0_reg_address_export                       => eth1g_reg_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                         => eth1g_reg_copi.wr,
-      avs_eth_0_reg_read_export                          => eth1g_reg_copi.rd,
-      avs_eth_0_reg_writedata_export                     => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export                      => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export                       => eth1g_ram_copi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                         => eth1g_ram_copi.wr,
-      avs_eth_0_ram_read_export                          => eth1g_ram_copi.rd,
-      avs_eth_0_ram_writedata_export                     => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export                      => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                               => eth1g_reg_interrupt,
-
-      reg_fpga_temp_sens_reset_export                    => OPEN,
-      reg_fpga_temp_sens_clk_export                      => OPEN,
-      reg_fpga_temp_sens_address_export                  => reg_fpga_temp_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export                    => reg_fpga_temp_sens_copi.wr,
-      reg_fpga_temp_sens_writedata_export                => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export                     => reg_fpga_temp_sens_copi.rd,
-      reg_fpga_temp_sens_readdata_export                 => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export                 => OPEN,
-      reg_fpga_voltage_sens_clk_export                   => OPEN,
-      reg_fpga_voltage_sens_address_export               => reg_fpga_voltage_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export                 => reg_fpga_voltage_sens_copi.wr,
-      reg_fpga_voltage_sens_writedata_export             => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export                  => reg_fpga_voltage_sens_copi.rd,
-      reg_fpga_voltage_sens_readdata_export              => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export                       => OPEN,
-      rom_system_info_clk_export                         => OPEN,
-      rom_system_info_address_export                     => rom_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export                       => rom_unb_system_info_copi.wr,
-      rom_system_info_writedata_export                   => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export                        => rom_unb_system_info_copi.rd,
-      rom_system_info_readdata_export                    => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export                       => OPEN,
-      pio_system_info_clk_export                         => OPEN,
-      pio_system_info_address_export                     => reg_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export                       => reg_unb_system_info_copi.wr,
-      pio_system_info_writedata_export                   => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export                        => reg_unb_system_info_copi.rd,
-      pio_system_info_readdata_export                    => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                               => OPEN,
-      pio_pps_clk_export                                 => OPEN,
-      pio_pps_address_export                             => reg_ppsh_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                               => reg_ppsh_copi.wr,
-      pio_pps_writedata_export                           => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                                => reg_ppsh_copi.rd,
-      pio_pps_readdata_export                            => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                               => OPEN,
-      reg_wdi_clk_export                                 => OPEN,
-      reg_wdi_address_export                             => reg_wdi_copi.address(0 downto 0),
-      reg_wdi_write_export                               => reg_wdi_copi.wr,
-      reg_wdi_writedata_export                           => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                                => reg_wdi_copi.rd,
-      reg_wdi_readdata_export                            => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                              => OPEN,
-      reg_remu_clk_export                                => OPEN,
-      reg_remu_address_export                            => reg_remu_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                              => reg_remu_copi.wr,
-      reg_remu_writedata_export                          => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                               => reg_remu_copi.rd,
-      reg_remu_readdata_export                           => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_rx_address_export          => reg_bsn_monitor_v2_ring_rx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_clk_export              => OPEN,
-      reg_bsn_monitor_v2_ring_rx_read_export             => reg_bsn_monitor_v2_ring_rx_copi.rd,
-      reg_bsn_monitor_v2_ring_rx_readdata_export         => reg_bsn_monitor_v2_ring_rx_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_reset_export            => OPEN,
-      reg_bsn_monitor_v2_ring_rx_write_export            => reg_bsn_monitor_v2_ring_rx_copi.wr,
-      reg_bsn_monitor_v2_ring_rx_writedata_export        => reg_bsn_monitor_v2_ring_rx_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_tx_address_export          => reg_bsn_monitor_v2_ring_tx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_clk_export              => OPEN,
-      reg_bsn_monitor_v2_ring_tx_read_export             => reg_bsn_monitor_v2_ring_tx_copi.rd,
-      reg_bsn_monitor_v2_ring_tx_readdata_export         => reg_bsn_monitor_v2_ring_tx_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_reset_export            => OPEN,
-      reg_bsn_monitor_v2_ring_tx_write_export            => reg_bsn_monitor_v2_ring_tx_copi.wr,
-      reg_bsn_monitor_v2_ring_tx_writedata_export        => reg_bsn_monitor_v2_ring_tx_copi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_diag_bg_clk_export                             => OPEN,
-      reg_diag_bg_reset_export                           => OPEN,
-      reg_diag_bg_address_export                         => reg_diag_bg_copi.address(c_sdp_reg_diag_bg_addr_w - 1 downto 0),
-      reg_diag_bg_read_export                            => reg_diag_bg_copi.rd,
-      reg_diag_bg_readdata_export                        => reg_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_write_export                           => reg_diag_bg_copi.wr,
-      reg_diag_bg_writedata_export                       => reg_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_clk_export                             => OPEN,
-      ram_diag_bg_reset_export                           => OPEN,
-      ram_diag_bg_address_export                         => ram_diag_bg_copi.address(c_sdp_ram_diag_bg_addr_w - 1 downto 0),
-      ram_diag_bg_read_export                            => ram_diag_bg_copi.rd,
-      ram_diag_bg_readdata_export                        => ram_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_write_export                           => ram_diag_bg_copi.wr,
-      ram_diag_bg_writedata_export                       => ram_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                              => OPEN,
-      reg_epcs_clk_export                                => OPEN,
-      reg_epcs_address_export                            => reg_epcs_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                              => reg_epcs_copi.wr,
-      reg_epcs_writedata_export                          => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                               => reg_epcs_copi.rd,
-      reg_epcs_readdata_export                           => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                         => OPEN,
-      reg_dpmm_ctrl_clk_export                           => OPEN,
-      reg_dpmm_ctrl_address_export                       => reg_dpmm_ctrl_copi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                         => reg_dpmm_ctrl_copi.wr,
-      reg_dpmm_ctrl_writedata_export                     => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                          => reg_dpmm_ctrl_copi.rd,
-      reg_dpmm_ctrl_readdata_export                      => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                         => OPEN,
-      reg_mmdp_data_clk_export                           => OPEN,
-      reg_mmdp_data_address_export                       => reg_mmdp_data_copi.address(0 downto 0),
-      reg_mmdp_data_write_export                         => reg_mmdp_data_copi.wr,
-      reg_mmdp_data_writedata_export                     => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                          => reg_mmdp_data_copi.rd,
-      reg_mmdp_data_readdata_export                      => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                         => OPEN,
-      reg_dpmm_data_clk_export                           => OPEN,
-      reg_dpmm_data_address_export                       => reg_dpmm_data_copi.address(0 downto 0),
-      reg_dpmm_data_read_export                          => reg_dpmm_data_copi.rd,
-      reg_dpmm_data_readdata_export                      => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                         => reg_dpmm_data_copi.wr,
-      reg_dpmm_data_writedata_export                     => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                         => OPEN,
-      reg_mmdp_ctrl_clk_export                           => OPEN,
-      reg_mmdp_ctrl_address_export                       => reg_mmdp_ctrl_copi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                          => reg_mmdp_ctrl_copi.rd,
-      reg_mmdp_ctrl_readdata_export                      => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                         => reg_mmdp_ctrl_copi.wr,
-      reg_mmdp_ctrl_writedata_export                     => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_ring_lane_info_clk_export                      => OPEN,
-      reg_ring_lane_info_reset_export                    => OPEN,
-      reg_ring_lane_info_address_export                  => reg_ring_lane_info_copi.address(c_sdp_reg_ring_lane_info_addr_w - 1 downto 0),
-      reg_ring_lane_info_write_export                    => reg_ring_lane_info_copi.wr,
-      reg_ring_lane_info_writedata_export                => reg_ring_lane_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_lane_info_read_export                     => reg_ring_lane_info_copi.rd,
-      reg_ring_lane_info_readdata_export                 => reg_ring_lane_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_lane_clk_export                      => OPEN,
-      reg_dp_xonoff_lane_reset_export                    => OPEN,
-      reg_dp_xonoff_lane_address_export                  => reg_dp_xonoff_lane_copi.address(c_sdp_reg_dp_xonoff_lane_addr_w - 1 downto 0),
-      reg_dp_xonoff_lane_write_export                    => reg_dp_xonoff_lane_copi.wr,
-      reg_dp_xonoff_lane_writedata_export                => reg_dp_xonoff_lane_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_lane_read_export                     => reg_dp_xonoff_lane_copi.rd,
-      reg_dp_xonoff_lane_readdata_export                 => reg_dp_xonoff_lane_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_local_clk_export                     => OPEN,
-      reg_dp_xonoff_local_reset_export                   => OPEN,
-      reg_dp_xonoff_local_address_export                 => reg_dp_xonoff_local_copi.address(c_sdp_reg_dp_xonoff_local_addr_w - 1 downto 0),
-      reg_dp_xonoff_local_write_export                   => reg_dp_xonoff_local_copi.wr,
-      reg_dp_xonoff_local_writedata_export               => reg_dp_xonoff_local_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_local_read_export                    => reg_dp_xonoff_local_copi.rd,
-      reg_dp_xonoff_local_readdata_export                => reg_dp_xonoff_local_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_err_clk_export               => OPEN,
-      reg_dp_block_validate_err_reset_export             => OPEN,
-      reg_dp_block_validate_err_address_export           => reg_dp_block_validate_err_copi.address(c_sdp_reg_dp_block_validate_err_addr_w - 1 downto 0),
-      reg_dp_block_validate_err_write_export             => reg_dp_block_validate_err_copi.wr,
-      reg_dp_block_validate_err_writedata_export         => reg_dp_block_validate_err_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_err_read_export              => reg_dp_block_validate_err_copi.rd,
-      reg_dp_block_validate_err_readdata_export          => reg_dp_block_validate_err_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_bsn_at_sync_clk_export       => OPEN,
-      reg_dp_block_validate_bsn_at_sync_reset_export     => OPEN,
-      reg_dp_block_validate_bsn_at_sync_address_export   => reg_dp_block_validate_bsn_at_sync_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_addr_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_write_export     => reg_dp_block_validate_bsn_at_sync_copi.wr,
-      reg_dp_block_validate_bsn_at_sync_writedata_export => reg_dp_block_validate_bsn_at_sync_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_read_export      => reg_dp_block_validate_bsn_at_sync_copi.rd,
-      reg_dp_block_validate_bsn_at_sync_readdata_export  => reg_dp_block_validate_bsn_at_sync_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_info_clk_export                           => OPEN,
-      reg_ring_info_reset_export                         => OPEN,
-      reg_ring_info_address_export                       => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
-      reg_ring_info_write_export                         => reg_ring_info_copi.wr,
-      reg_ring_info_writedata_export                     => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_info_read_export                          => reg_ring_info_copi.rd,
-      reg_ring_info_readdata_export                      => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_mac_clk_export                        => OPEN,
-      reg_tr_10GbE_mac_reset_export                      => OPEN,
-      reg_tr_10GbE_mac_address_export                    => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
-      reg_tr_10GbE_mac_write_export                      => reg_tr_10GbE_mac_copi.wr,
-      reg_tr_10GbE_mac_writedata_export                  => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_mac_read_export                       => reg_tr_10GbE_mac_copi.rd,
-      reg_tr_10GbE_mac_readdata_export                   => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_eth10g_clk_export                     => OPEN,
-      reg_tr_10GbE_eth10g_reset_export                   => OPEN,
-      reg_tr_10GbE_eth10g_address_export                 => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_write_export                   => reg_tr_10GbE_eth10g_copi.wr,
-      reg_tr_10GbE_eth10g_writedata_export               => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_read_export                    => reg_tr_10GbE_eth10g_copi.rd,
-      reg_tr_10GbE_eth10g_readdata_export                => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                               => OPEN,
-      ram_scrap_reset_export                             => OPEN,
-      ram_scrap_address_export                           => ram_scrap_copi.address(9 - 1 downto 0),
-      ram_scrap_write_export                             => ram_scrap_copi.wr,
-      ram_scrap_writedata_export                         => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                              => ram_scrap_copi.rd,
-      ram_scrap_readdata_export                          => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                            => mm_clk,
+        reset_reset_n                                      => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
+        pio_wdi_external_connection_export                 => pout_wdi,
+
+        avs_eth_0_reset_export                             => eth1g_mm_rst,
+        avs_eth_0_clk_export                               => OPEN,
+        avs_eth_0_tse_address_export                       => eth1g_tse_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                         => eth1g_tse_copi.wr,
+        avs_eth_0_tse_read_export                          => eth1g_tse_copi.rd,
+        avs_eth_0_tse_writedata_export                     => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export                      => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export                   => eth1g_tse_cipo.waitrequest,
+        avs_eth_0_reg_address_export                       => eth1g_reg_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                         => eth1g_reg_copi.wr,
+        avs_eth_0_reg_read_export                          => eth1g_reg_copi.rd,
+        avs_eth_0_reg_writedata_export                     => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export                      => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export                       => eth1g_ram_copi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                         => eth1g_ram_copi.wr,
+        avs_eth_0_ram_read_export                          => eth1g_ram_copi.rd,
+        avs_eth_0_ram_writedata_export                     => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export                      => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                               => eth1g_reg_interrupt,
+
+        reg_fpga_temp_sens_reset_export                    => OPEN,
+        reg_fpga_temp_sens_clk_export                      => OPEN,
+        reg_fpga_temp_sens_address_export                  => reg_fpga_temp_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export                    => reg_fpga_temp_sens_copi.wr,
+        reg_fpga_temp_sens_writedata_export                => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export                     => reg_fpga_temp_sens_copi.rd,
+        reg_fpga_temp_sens_readdata_export                 => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export                 => OPEN,
+        reg_fpga_voltage_sens_clk_export                   => OPEN,
+        reg_fpga_voltage_sens_address_export               => reg_fpga_voltage_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export                 => reg_fpga_voltage_sens_copi.wr,
+        reg_fpga_voltage_sens_writedata_export             => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export                  => reg_fpga_voltage_sens_copi.rd,
+        reg_fpga_voltage_sens_readdata_export              => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export                       => OPEN,
+        rom_system_info_clk_export                         => OPEN,
+        rom_system_info_address_export                     => rom_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export                       => rom_unb_system_info_copi.wr,
+        rom_system_info_writedata_export                   => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export                        => rom_unb_system_info_copi.rd,
+        rom_system_info_readdata_export                    => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export                       => OPEN,
+        pio_system_info_clk_export                         => OPEN,
+        pio_system_info_address_export                     => reg_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export                       => reg_unb_system_info_copi.wr,
+        pio_system_info_writedata_export                   => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export                        => reg_unb_system_info_copi.rd,
+        pio_system_info_readdata_export                    => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                               => OPEN,
+        pio_pps_clk_export                                 => OPEN,
+        pio_pps_address_export                             => reg_ppsh_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                               => reg_ppsh_copi.wr,
+        pio_pps_writedata_export                           => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                                => reg_ppsh_copi.rd,
+        pio_pps_readdata_export                            => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                               => OPEN,
+        reg_wdi_clk_export                                 => OPEN,
+        reg_wdi_address_export                             => reg_wdi_copi.address(0 downto 0),
+        reg_wdi_write_export                               => reg_wdi_copi.wr,
+        reg_wdi_writedata_export                           => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                                => reg_wdi_copi.rd,
+        reg_wdi_readdata_export                            => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                              => OPEN,
+        reg_remu_clk_export                                => OPEN,
+        reg_remu_address_export                            => reg_remu_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                              => reg_remu_copi.wr,
+        reg_remu_writedata_export                          => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                               => reg_remu_copi.rd,
+        reg_remu_readdata_export                           => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_rx_address_export          => reg_bsn_monitor_v2_ring_rx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_clk_export              => OPEN,
+        reg_bsn_monitor_v2_ring_rx_read_export             => reg_bsn_monitor_v2_ring_rx_copi.rd,
+        reg_bsn_monitor_v2_ring_rx_readdata_export         => reg_bsn_monitor_v2_ring_rx_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_reset_export            => OPEN,
+        reg_bsn_monitor_v2_ring_rx_write_export            => reg_bsn_monitor_v2_ring_rx_copi.wr,
+        reg_bsn_monitor_v2_ring_rx_writedata_export        => reg_bsn_monitor_v2_ring_rx_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_tx_address_export          => reg_bsn_monitor_v2_ring_tx_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_clk_export              => OPEN,
+        reg_bsn_monitor_v2_ring_tx_read_export             => reg_bsn_monitor_v2_ring_tx_copi.rd,
+        reg_bsn_monitor_v2_ring_tx_readdata_export         => reg_bsn_monitor_v2_ring_tx_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_reset_export            => OPEN,
+        reg_bsn_monitor_v2_ring_tx_write_export            => reg_bsn_monitor_v2_ring_tx_copi.wr,
+        reg_bsn_monitor_v2_ring_tx_writedata_export        => reg_bsn_monitor_v2_ring_tx_copi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_diag_bg_clk_export                             => OPEN,
+        reg_diag_bg_reset_export                           => OPEN,
+        reg_diag_bg_address_export                         => reg_diag_bg_copi.address(c_sdp_reg_diag_bg_addr_w - 1 downto 0),
+        reg_diag_bg_read_export                            => reg_diag_bg_copi.rd,
+        reg_diag_bg_readdata_export                        => reg_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_write_export                           => reg_diag_bg_copi.wr,
+        reg_diag_bg_writedata_export                       => reg_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_clk_export                             => OPEN,
+        ram_diag_bg_reset_export                           => OPEN,
+        ram_diag_bg_address_export                         => ram_diag_bg_copi.address(c_sdp_ram_diag_bg_addr_w - 1 downto 0),
+        ram_diag_bg_read_export                            => ram_diag_bg_copi.rd,
+        ram_diag_bg_readdata_export                        => ram_diag_bg_cipo.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_write_export                           => ram_diag_bg_copi.wr,
+        ram_diag_bg_writedata_export                       => ram_diag_bg_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                              => OPEN,
+        reg_epcs_clk_export                                => OPEN,
+        reg_epcs_address_export                            => reg_epcs_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                              => reg_epcs_copi.wr,
+        reg_epcs_writedata_export                          => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                               => reg_epcs_copi.rd,
+        reg_epcs_readdata_export                           => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                         => OPEN,
+        reg_dpmm_ctrl_clk_export                           => OPEN,
+        reg_dpmm_ctrl_address_export                       => reg_dpmm_ctrl_copi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                         => reg_dpmm_ctrl_copi.wr,
+        reg_dpmm_ctrl_writedata_export                     => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                          => reg_dpmm_ctrl_copi.rd,
+        reg_dpmm_ctrl_readdata_export                      => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                         => OPEN,
+        reg_mmdp_data_clk_export                           => OPEN,
+        reg_mmdp_data_address_export                       => reg_mmdp_data_copi.address(0 downto 0),
+        reg_mmdp_data_write_export                         => reg_mmdp_data_copi.wr,
+        reg_mmdp_data_writedata_export                     => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                          => reg_mmdp_data_copi.rd,
+        reg_mmdp_data_readdata_export                      => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                         => OPEN,
+        reg_dpmm_data_clk_export                           => OPEN,
+        reg_dpmm_data_address_export                       => reg_dpmm_data_copi.address(0 downto 0),
+        reg_dpmm_data_read_export                          => reg_dpmm_data_copi.rd,
+        reg_dpmm_data_readdata_export                      => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                         => reg_dpmm_data_copi.wr,
+        reg_dpmm_data_writedata_export                     => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                         => OPEN,
+        reg_mmdp_ctrl_clk_export                           => OPEN,
+        reg_mmdp_ctrl_address_export                       => reg_mmdp_ctrl_copi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                          => reg_mmdp_ctrl_copi.rd,
+        reg_mmdp_ctrl_readdata_export                      => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                         => reg_mmdp_ctrl_copi.wr,
+        reg_mmdp_ctrl_writedata_export                     => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_ring_lane_info_clk_export                      => OPEN,
+        reg_ring_lane_info_reset_export                    => OPEN,
+        reg_ring_lane_info_address_export                  => reg_ring_lane_info_copi.address(c_sdp_reg_ring_lane_info_addr_w - 1 downto 0),
+        reg_ring_lane_info_write_export                    => reg_ring_lane_info_copi.wr,
+        reg_ring_lane_info_writedata_export                => reg_ring_lane_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_lane_info_read_export                     => reg_ring_lane_info_copi.rd,
+        reg_ring_lane_info_readdata_export                 => reg_ring_lane_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_lane_clk_export                      => OPEN,
+        reg_dp_xonoff_lane_reset_export                    => OPEN,
+        reg_dp_xonoff_lane_address_export                  => reg_dp_xonoff_lane_copi.address(c_sdp_reg_dp_xonoff_lane_addr_w - 1 downto 0),
+        reg_dp_xonoff_lane_write_export                    => reg_dp_xonoff_lane_copi.wr,
+        reg_dp_xonoff_lane_writedata_export                => reg_dp_xonoff_lane_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_lane_read_export                     => reg_dp_xonoff_lane_copi.rd,
+        reg_dp_xonoff_lane_readdata_export                 => reg_dp_xonoff_lane_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_local_clk_export                     => OPEN,
+        reg_dp_xonoff_local_reset_export                   => OPEN,
+        reg_dp_xonoff_local_address_export                 => reg_dp_xonoff_local_copi.address(c_sdp_reg_dp_xonoff_local_addr_w - 1 downto 0),
+        reg_dp_xonoff_local_write_export                   => reg_dp_xonoff_local_copi.wr,
+        reg_dp_xonoff_local_writedata_export               => reg_dp_xonoff_local_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_local_read_export                    => reg_dp_xonoff_local_copi.rd,
+        reg_dp_xonoff_local_readdata_export                => reg_dp_xonoff_local_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_err_clk_export               => OPEN,
+        reg_dp_block_validate_err_reset_export             => OPEN,
+        reg_dp_block_validate_err_address_export           => reg_dp_block_validate_err_copi.address(c_sdp_reg_dp_block_validate_err_addr_w - 1 downto 0),
+        reg_dp_block_validate_err_write_export             => reg_dp_block_validate_err_copi.wr,
+        reg_dp_block_validate_err_writedata_export         => reg_dp_block_validate_err_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_err_read_export              => reg_dp_block_validate_err_copi.rd,
+        reg_dp_block_validate_err_readdata_export          => reg_dp_block_validate_err_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_bsn_at_sync_clk_export       => OPEN,
+        reg_dp_block_validate_bsn_at_sync_reset_export     => OPEN,
+        reg_dp_block_validate_bsn_at_sync_address_export   => reg_dp_block_validate_bsn_at_sync_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_addr_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_write_export     => reg_dp_block_validate_bsn_at_sync_copi.wr,
+        reg_dp_block_validate_bsn_at_sync_writedata_export => reg_dp_block_validate_bsn_at_sync_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_read_export      => reg_dp_block_validate_bsn_at_sync_copi.rd,
+        reg_dp_block_validate_bsn_at_sync_readdata_export  => reg_dp_block_validate_bsn_at_sync_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_info_clk_export                           => OPEN,
+        reg_ring_info_reset_export                         => OPEN,
+        reg_ring_info_address_export                       => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
+        reg_ring_info_write_export                         => reg_ring_info_copi.wr,
+        reg_ring_info_writedata_export                     => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_info_read_export                          => reg_ring_info_copi.rd,
+        reg_ring_info_readdata_export                      => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_mac_clk_export                        => OPEN,
+        reg_tr_10GbE_mac_reset_export                      => OPEN,
+        reg_tr_10GbE_mac_address_export                    => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
+        reg_tr_10GbE_mac_write_export                      => reg_tr_10GbE_mac_copi.wr,
+        reg_tr_10GbE_mac_writedata_export                  => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_mac_read_export                       => reg_tr_10GbE_mac_copi.rd,
+        reg_tr_10GbE_mac_readdata_export                   => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_eth10g_clk_export                     => OPEN,
+        reg_tr_10GbE_eth10g_reset_export                   => OPEN,
+        reg_tr_10GbE_eth10g_address_export                 => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_write_export                   => reg_tr_10GbE_eth10g_copi.wr,
+        reg_tr_10GbE_eth10g_writedata_export               => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_read_export                    => reg_tr_10GbE_eth10g_copi.rd,
+        reg_tr_10GbE_eth10g_readdata_export                => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                               => OPEN,
+        ram_scrap_reset_export                             => OPEN,
+        ram_scrap_address_export                           => ram_scrap_copi.address(9 - 1 downto 0),
+        ram_scrap_write_export                             => ram_scrap_copi.wr,
+        ram_scrap_writedata_export                         => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                              => ram_scrap_copi.rd,
+        ram_scrap_readdata_export                          => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd
index 6cdf83dd53..5f9c2b6183 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd
@@ -19,7 +19,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2c_ring_pkg is
 
@@ -27,206 +27,206 @@ package qsys_lofar2_unb2c_ring_pkg is
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
 
-    component qsys_lofar2_unb2c_ring is
-        port (
-            avs_eth_0_clk_export                               : out std_logic;  -- export
-            avs_eth_0_irq_export                               : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export                       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                          : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                         : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export                       : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                          : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                         : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                             : out std_logic;  -- export
-            avs_eth_0_tse_address_export                       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                          : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                         : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                            : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export                             : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_clk_export                                 : out std_logic;  -- export
-            pio_pps_read_export                                : out std_logic;  -- export
-            pio_pps_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                               : out std_logic;  -- export
-            pio_pps_write_export                               : out std_logic;  -- export
-            pio_pps_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export                     : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                         : out std_logic;  -- export
-            pio_system_info_read_export                        : out std_logic;  -- export
-            pio_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                       : out std_logic;  -- export
-            pio_system_info_write_export                       : out std_logic;  -- export
-            pio_system_info_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export                 : out std_logic;  -- export
-            ram_diag_bg_address_export                         : out std_logic_vector(6 downto 0);  -- export
-            ram_diag_bg_clk_export                             : out std_logic;  -- export
-            ram_diag_bg_read_export                            : out std_logic;  -- export
-            ram_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_reset_export                           : out std_logic;  -- export
-            ram_diag_bg_write_export                           : out std_logic;  -- export
-            ram_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                           : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                               : out std_logic;  -- export
-            ram_scrap_read_export                              : out std_logic;  -- export
-            ram_scrap_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                             : out std_logic;  -- export
-            ram_scrap_write_export                             : out std_logic;  -- export
-            ram_scrap_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_address_export          : out std_logic_vector(9 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_rx_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_address_export          : out std_logic_vector(9 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_tx_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_address_export                         : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_clk_export                             : out std_logic;  -- export
-            reg_diag_bg_read_export                            : out std_logic;  -- export
-            reg_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_reset_export                           : out std_logic;  -- export
-            reg_diag_bg_write_export                           : out std_logic;  -- export
-            reg_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_address_export   : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_clk_export       : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_read_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_bsn_at_sync_reset_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_write_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_err_address_export           : out std_logic_vector(6 downto 0);  -- export
-            reg_dp_block_validate_err_clk_export               : out std_logic;  -- export
-            reg_dp_block_validate_err_read_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_err_reset_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_write_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_lane_address_export                  : out std_logic_vector(3 downto 0);  -- export
-            reg_dp_xonoff_lane_clk_export                      : out std_logic;  -- export
-            reg_dp_xonoff_lane_read_export                     : out std_logic;  -- export
-            reg_dp_xonoff_lane_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_lane_reset_export                    : out std_logic;  -- export
-            reg_dp_xonoff_lane_write_export                    : out std_logic;  -- export
-            reg_dp_xonoff_lane_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_local_address_export                 : out std_logic_vector(3 downto 0);  -- export
-            reg_dp_xonoff_local_clk_export                     : out std_logic;  -- export
-            reg_dp_xonoff_local_read_export                    : out std_logic;  -- export
-            reg_dp_xonoff_local_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_local_reset_export                   : out std_logic;  -- export
-            reg_dp_xonoff_local_write_export                   : out std_logic;  -- export
-            reg_dp_xonoff_local_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                           : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                          : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                         : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                         : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                           : out std_logic;  -- export
-            reg_dpmm_data_read_export                          : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                         : out std_logic;  -- export
-            reg_dpmm_data_write_export                         : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                            : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                                : out std_logic;  -- export
-            reg_epcs_read_export                               : out std_logic;  -- export
-            reg_epcs_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                              : out std_logic;  -- export
-            reg_epcs_write_export                              : out std_logic;  -- export
-            reg_epcs_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export                  : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export                      : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export                     : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export                    : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export                    : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export               : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export                   : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export                  : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export                 : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export                 : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                           : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                          : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                         : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                         : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                           : out std_logic;  -- export
-            reg_mmdp_data_read_export                          : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                         : out std_logic;  -- export
-            reg_mmdp_data_write_export                         : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                            : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                                : out std_logic;  -- export
-            reg_remu_read_export                               : out std_logic;  -- export
-            reg_remu_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                              : out std_logic;  -- export
-            reg_remu_write_export                              : out std_logic;  -- export
-            reg_remu_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_info_address_export                       : out std_logic_vector(1 downto 0);  -- export
-            reg_ring_info_clk_export                           : out std_logic;  -- export
-            reg_ring_info_read_export                          : out std_logic;  -- export
-            reg_ring_info_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_info_reset_export                         : out std_logic;  -- export
-            reg_ring_info_write_export                         : out std_logic;  -- export
-            reg_ring_info_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_lane_info_address_export                  : out std_logic_vector(3 downto 0);  -- export
-            reg_ring_lane_info_clk_export                      : out std_logic;  -- export
-            reg_ring_lane_info_read_export                     : out std_logic;  -- export
-            reg_ring_lane_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_lane_info_reset_export                    : out std_logic;  -- export
-            reg_ring_lane_info_write_export                    : out std_logic;  -- export
-            reg_ring_lane_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_eth10g_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_tr_10gbe_eth10g_clk_export                     : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_read_export                    : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_eth10g_reset_export                   : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_write_export                   : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_mac_address_export                    : out std_logic_vector(12 downto 0);  -- export
-            reg_tr_10gbe_mac_clk_export                        : out std_logic;  -- export
-            reg_tr_10gbe_mac_read_export                       : out std_logic;  -- export
-            reg_tr_10gbe_mac_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_mac_reset_export                      : out std_logic;  -- export
-            reg_tr_10gbe_mac_write_export                      : out std_logic;  -- export
-            reg_tr_10gbe_mac_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                             : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                                 : out std_logic;  -- export
-            reg_wdi_read_export                                : out std_logic;  -- export
-            reg_wdi_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                               : out std_logic;  -- export
-            reg_wdi_write_export                               : out std_logic;  -- export
-            reg_wdi_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                                      : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export                     : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_clk_export                         : out std_logic;  -- export
-            rom_system_info_read_export                        : out std_logic;  -- export
-            rom_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                       : out std_logic;  -- export
-            rom_system_info_write_export                       : out std_logic;  -- export
-            rom_system_info_writedata_export                   : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_lofar2_unb2c_ring;
+  component qsys_lofar2_unb2c_ring is
+    port (
+      avs_eth_0_clk_export                               : out std_logic;  -- export
+      avs_eth_0_irq_export                               : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export                       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                          : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                         : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export                       : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                          : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                         : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                             : out std_logic;  -- export
+      avs_eth_0_tse_address_export                       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                          : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                         : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                            : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export                             : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_clk_export                                 : out std_logic;  -- export
+      pio_pps_read_export                                : out std_logic;  -- export
+      pio_pps_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                               : out std_logic;  -- export
+      pio_pps_write_export                               : out std_logic;  -- export
+      pio_pps_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export                     : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                         : out std_logic;  -- export
+      pio_system_info_read_export                        : out std_logic;  -- export
+      pio_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                       : out std_logic;  -- export
+      pio_system_info_write_export                       : out std_logic;  -- export
+      pio_system_info_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export                 : out std_logic;  -- export
+      ram_diag_bg_address_export                         : out std_logic_vector(6 downto 0);  -- export
+      ram_diag_bg_clk_export                             : out std_logic;  -- export
+      ram_diag_bg_read_export                            : out std_logic;  -- export
+      ram_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_reset_export                           : out std_logic;  -- export
+      ram_diag_bg_write_export                           : out std_logic;  -- export
+      ram_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                           : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                               : out std_logic;  -- export
+      ram_scrap_read_export                              : out std_logic;  -- export
+      ram_scrap_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                             : out std_logic;  -- export
+      ram_scrap_write_export                             : out std_logic;  -- export
+      ram_scrap_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_address_export          : out std_logic_vector(9 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_rx_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_address_export          : out std_logic_vector(9 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_tx_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_address_export                         : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_clk_export                             : out std_logic;  -- export
+      reg_diag_bg_read_export                            : out std_logic;  -- export
+      reg_diag_bg_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_reset_export                           : out std_logic;  -- export
+      reg_diag_bg_write_export                           : out std_logic;  -- export
+      reg_diag_bg_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_address_export   : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_clk_export       : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_read_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_bsn_at_sync_reset_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_write_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_err_address_export           : out std_logic_vector(6 downto 0);  -- export
+      reg_dp_block_validate_err_clk_export               : out std_logic;  -- export
+      reg_dp_block_validate_err_read_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_err_reset_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_write_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_lane_address_export                  : out std_logic_vector(3 downto 0);  -- export
+      reg_dp_xonoff_lane_clk_export                      : out std_logic;  -- export
+      reg_dp_xonoff_lane_read_export                     : out std_logic;  -- export
+      reg_dp_xonoff_lane_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_lane_reset_export                    : out std_logic;  -- export
+      reg_dp_xonoff_lane_write_export                    : out std_logic;  -- export
+      reg_dp_xonoff_lane_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_local_address_export                 : out std_logic_vector(3 downto 0);  -- export
+      reg_dp_xonoff_local_clk_export                     : out std_logic;  -- export
+      reg_dp_xonoff_local_read_export                    : out std_logic;  -- export
+      reg_dp_xonoff_local_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_local_reset_export                   : out std_logic;  -- export
+      reg_dp_xonoff_local_write_export                   : out std_logic;  -- export
+      reg_dp_xonoff_local_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                           : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                          : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                         : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                         : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                           : out std_logic;  -- export
+      reg_dpmm_data_read_export                          : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                         : out std_logic;  -- export
+      reg_dpmm_data_write_export                         : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                            : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                                : out std_logic;  -- export
+      reg_epcs_read_export                               : out std_logic;  -- export
+      reg_epcs_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                              : out std_logic;  -- export
+      reg_epcs_write_export                              : out std_logic;  -- export
+      reg_epcs_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export                  : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export                      : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export                     : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export                    : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export                    : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export               : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export                   : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export                  : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export                 : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export                 : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                           : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                          : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                         : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                         : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                           : out std_logic;  -- export
+      reg_mmdp_data_read_export                          : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                         : out std_logic;  -- export
+      reg_mmdp_data_write_export                         : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                            : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                                : out std_logic;  -- export
+      reg_remu_read_export                               : out std_logic;  -- export
+      reg_remu_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                              : out std_logic;  -- export
+      reg_remu_write_export                              : out std_logic;  -- export
+      reg_remu_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_info_address_export                       : out std_logic_vector(1 downto 0);  -- export
+      reg_ring_info_clk_export                           : out std_logic;  -- export
+      reg_ring_info_read_export                          : out std_logic;  -- export
+      reg_ring_info_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_info_reset_export                         : out std_logic;  -- export
+      reg_ring_info_write_export                         : out std_logic;  -- export
+      reg_ring_info_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_lane_info_address_export                  : out std_logic_vector(3 downto 0);  -- export
+      reg_ring_lane_info_clk_export                      : out std_logic;  -- export
+      reg_ring_lane_info_read_export                     : out std_logic;  -- export
+      reg_ring_lane_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_lane_info_reset_export                    : out std_logic;  -- export
+      reg_ring_lane_info_write_export                    : out std_logic;  -- export
+      reg_ring_lane_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_eth10g_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_tr_10gbe_eth10g_clk_export                     : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_read_export                    : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_eth10g_reset_export                   : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_write_export                   : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_mac_address_export                    : out std_logic_vector(12 downto 0);  -- export
+      reg_tr_10gbe_mac_clk_export                        : out std_logic;  -- export
+      reg_tr_10gbe_mac_read_export                       : out std_logic;  -- export
+      reg_tr_10gbe_mac_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_mac_reset_export                      : out std_logic;  -- export
+      reg_tr_10gbe_mac_write_export                      : out std_logic;  -- export
+      reg_tr_10gbe_mac_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                             : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                                 : out std_logic;  -- export
+      reg_wdi_read_export                                : out std_logic;  -- export
+      reg_wdi_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                               : out std_logic;  -- export
+      reg_wdi_write_export                               : out std_logic;  -- export
+      reg_wdi_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                                      : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export                     : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_clk_export                         : out std_logic;  -- export
+      rom_system_info_read_export                        : out std_logic;  -- export
+      rom_system_info_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                       : out std_logic;  -- export
+      rom_system_info_write_export                       : out std_logic;  -- export
+      rom_system_info_writedata_export                   : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_lofar2_unb2c_ring;
 end qsys_lofar2_unb2c_ring_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_lofar2_unb2c_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_lofar2_unb2c_ring.vhd
index 2af846791d..d25cffd201 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_lofar2_unb2c_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_lofar2_unb2c_ring.vhd
@@ -33,22 +33,22 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use ring_lib.ring_pkg.all;
-use work.lofar2_unb2c_ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use ring_lib.ring_pkg.all;
+  use work.lofar2_unb2c_ring_pkg.all;
 
 entity tb_lofar2_unb2c_ring is
   generic (
@@ -168,49 +168,49 @@ begin
   ------------------------------------------------------------------------------
   -- DUTs
   ------------------------------------------------------------------------------
-    gen_dut_rn : for RN in 0 to g_nof_rn - 1 generate
+  gen_dut_rn : for RN in 0 to g_nof_rn - 1 generate
     u_lofar_unb2c_ring : entity work.lofar2_unb2c_ring
-    generic map (
-      g_design_name            => g_design_name,
-      g_design_note            => "",
-      g_sim                    => c_sim,
-      g_sim_unb_nr             => g_unb_nr + (RN / c_quad),
-      g_sim_node_nr            => RN mod c_quad,
-      g_sim_sync_timeout       => c_sync_timeout
-    )
-    port map (
-      -- GENERAL
-      CLK          => ext_clk,
-      PPS          => pps,
-      WDI          => WDI,
-      INTA         => INTA,
-      INTB         => INTB,
-
-      -- Others
-      VERSION      => c_version,
-      ID           => ( TO_UVEC(RN / c_quad, c_unb2c_board_nof_uniboard_w) & TO_UVEC(RN mod c_quad, c_unb2c_board_nof_chip_w) ),
-      TESTIO       => open,
-
-      -- 1GbE Control Interface
-      ETH_CLK      => eth_clk,
-      ETH_SGIN     => eth_rxp,
-      ETH_SGOUT    => eth_txp,
-
-      -- Transceiver clocks
-      SA_CLK       => SA_CLK,
-      -- front transceivers
-      QSFP_0_RX    => i_QSFP_0_RX(RN),
-      QSFP_0_TX    => i_QSFP_0_TX(RN),
-
-      -- ring transceivers
-      RING_0_RX    => i_RING_0_RX(RN),
-      RING_0_TX    => i_RING_0_TX(RN),
-      RING_1_RX    => i_RING_1_RX(RN),
-      RING_1_TX    => i_RING_1_TX(RN),
-      -- LEDs
-      QSFP_LED     => open
-
-    );
+      generic map (
+        g_design_name            => g_design_name,
+        g_design_note            => "",
+        g_sim                    => c_sim,
+        g_sim_unb_nr             => g_unb_nr + (RN / c_quad),
+        g_sim_node_nr            => RN mod c_quad,
+        g_sim_sync_timeout       => c_sync_timeout
+      )
+      port map (
+        -- GENERAL
+        CLK          => ext_clk,
+        PPS          => pps,
+        WDI          => WDI,
+        INTA         => INTA,
+        INTB         => INTB,
+
+        -- Others
+        VERSION      => c_version,
+        ID           => ( TO_UVEC(RN / c_quad, c_unb2c_board_nof_uniboard_w) & TO_UVEC(RN mod c_quad, c_unb2c_board_nof_chip_w) ),
+        TESTIO       => open,
+
+        -- 1GbE Control Interface
+        ETH_CLK      => eth_clk,
+        ETH_SGIN     => eth_rxp,
+        ETH_SGOUT    => eth_txp,
+
+        -- Transceiver clocks
+        SA_CLK       => SA_CLK,
+        -- front transceivers
+        QSFP_0_RX    => i_QSFP_0_RX(RN),
+        QSFP_0_TX    => i_QSFP_0_TX(RN),
+
+        -- ring transceivers
+        RING_0_RX    => i_RING_0_RX(RN),
+        RING_0_TX    => i_RING_0_TX(RN),
+        RING_1_RX    => i_RING_1_RX(RN),
+        RING_1_TX    => i_RING_1_TX(RN),
+        -- LEDs
+        QSFP_LED     => open
+
+      );
   end generate;
 
   -- Ring connections
@@ -319,8 +319,8 @@ begin
     -- Verify Access scheme 2,3 by reading rx / tx monitors on all RN
     ----------------------------------------------------------------------------
     else
-    -- Wait for bsn monitor to have received a sync period.
-    mmf_mm_wait_until_value(mmf_unb_file_prefix(g_unb_nr + ((g_nof_rn - 1) / c_quad), (g_nof_rn - 1) mod c_quad) & "REG_BSN_MONITOR_V2_RING_RX", 4,  -- read nof valid
+      -- Wait for bsn monitor to have received a sync period.
+      mmf_mm_wait_until_value(mmf_unb_file_prefix(g_unb_nr + ((g_nof_rn - 1) / c_quad), (g_nof_rn - 1) mod c_quad) & "REG_BSN_MONITOR_V2_RING_RX", 4,  -- read nof valid
                             "SIGNED", rd_data, ">", 0,  -- this is the wait until condition
                             1 us, tb_clk);  -- read every 1 us
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_tb_lofar2_unb2c_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_tb_lofar2_unb2c_ring.vhd
index 5646c6aacf..f14f9e30ea 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_tb_lofar2_unb2c_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/tb/vhdl/tb_tb_lofar2_unb2c_ring.vhd
@@ -29,9 +29,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity tb_tb_lofar2_unb2c_ring is
 end tb_tb_lofar2_unb2c_ring;
@@ -43,14 +43,14 @@ architecture tb of tb_tb_lofar2_unb2c_ring is
   signal   tb_end     : std_logic;  -- declare tb_end as STD_LOGIC to avoid 'No objects found' error on 'when -label tb_end' in *.do file
 begin
 
---    g_multi_tb            : BOOLEAN              := FALSE;
---    g_unb_nr              : NATURAL              := 4;
---    g_design_name         : STRING               := "lofar2_unb2c_ring_one";
---    g_nof_rn              : NATURAL              := 16;
---    g_nof_block_per_sync  : NATURAL              := 32;
---    g_access_scheme       : INTEGER RANGE 1 TO 3 := 2
+  --    g_multi_tb            : BOOLEAN              := FALSE;
+  --    g_unb_nr              : NATURAL              := 4;
+  --    g_design_name         : STRING               := "lofar2_unb2c_ring_one";
+  --    g_nof_rn              : NATURAL              := 16;
+  --    g_nof_block_per_sync  : NATURAL              := 32;
+  --    g_access_scheme       : INTEGER RANGE 1 TO 3 := 2
 
--- using different g_unb_nr to avoid MM file clashing.
+  -- using different g_unb_nr to avoid MM file clashing.
   u_one_1    : entity work.tb_lofar2_unb2c_ring generic map(true, 0, "lofar2_unb2c_ring_one",  c_nof_rn,  3, 1) port map(tb_end_vec(0));  -- access scheme 1.
   u_one_2_3  : entity work.tb_lofar2_unb2c_ring generic map(true, 1, "lofar2_unb2c_ring_one",  c_nof_rn,  3, 2) port map(tb_end_vec(1));  -- access scheme 2/3. Tb for access scheme 2 is same tb for 3
   u_full_1   : entity work.tb_lofar2_unb2c_ring generic map(true, 2, "lofar2_unb2c_ring_full", c_nof_rn,  3, 1) port map(tb_end_vec(2));  -- access scheme 1.
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd
index e1dcded39d..cf1f463637 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity disturb2_unb2c_sdp_station_full is
   generic (
@@ -83,7 +83,7 @@ entity disturb2_unb2c_sdp_station_full is
     RING_1_RX    : in    std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -107,59 +107,59 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd
index bd4765698e..a249119842 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity disturb2_unb2c_sdp_station_full_wg is
   generic (
@@ -90,51 +90,51 @@ architecture str of disturb2_unb2c_sdp_station_full_wg is
 begin
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/lofar2_unb2c_sdp_station_adc.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/lofar2_unb2c_sdp_station_adc.vhd
index 47b9396044..e84c717e73 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/lofar2_unb2c_sdp_station_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/lofar2_unb2c_sdp_station_adc.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_adc is
   generic (
@@ -67,7 +67,7 @@ entity lofar2_unb2c_sdp_station_adc is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -93,43 +93,43 @@ begin
 
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc.vhd
index e0a8c79d03..751ef38889 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc.vhd
@@ -44,19 +44,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_adc is
 end tb_lofar2_unb2c_sdp_station_adc;
@@ -161,44 +161,44 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_adc : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_adc",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_adc",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc_jesd.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc_jesd.vhd
index 48aaf31309..63a04b2445 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc_jesd.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_adc/tb_lofar2_unb2c_sdp_station_adc_jesd.vhd
@@ -53,20 +53,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib, tech_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use tech_jesd204b_lib.tech_jesd204b_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use tech_jesd204b_lib.tech_jesd204b_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_adc_jesd is
 end tb_lofar2_unb2c_sdp_station_adc_jesd;
@@ -218,17 +218,18 @@ architecture tb of tb_lofar2_unb2c_sdp_station_adc_jesd is
   signal dbg_link_reinit             : std_logic := '0';
 
   -- Read JESD204B IP status per signal input c_si
-  procedure proc_read_jesd204b(c_si                        : in natural;
-                               signal rd_clk               : in std_logic;
-                               signal rd_data              : inout std_logic_vector(c_word_w - 1 downto 0);
-                               signal dbg_read             : out std_logic;
-                               signal rx_err_enable        : out std_logic_vector(c_word_w - 1 downto 0);
-                               signal rx_err_link_reinit   : out std_logic_vector(c_word_w - 1 downto 0);
-                               signal rx_syncn_sysref_ctrl : out std_logic_vector(c_word_w - 1 downto 0);
-                               signal rx_err0              : out std_logic_vector(tech_jesd204b_field_rx_err0_w - 1 downto 0);
-                               signal rx_err1              : out std_logic_vector(tech_jesd204b_field_rx_err1_w - 1 downto 0);
-                               signal csr_rbd_count        : out std_logic_vector(tech_jesd204b_field_csr_rbd_count_w - 1 downto 0);
-                               signal csr_dev_syncn        : out std_logic_vector(tech_jesd204b_field_csr_dev_syncn_w - 1 downto 0)) is
+  procedure proc_read_jesd204b (
+    c_si                        : in natural;
+    signal rd_clk               : in std_logic;
+    signal rd_data              : inout std_logic_vector(c_word_w - 1 downto 0);
+    signal dbg_read             : out std_logic;
+    signal rx_err_enable        : out std_logic_vector(c_word_w - 1 downto 0);
+    signal rx_err_link_reinit   : out std_logic_vector(c_word_w - 1 downto 0);
+    signal rx_syncn_sysref_ctrl : out std_logic_vector(c_word_w - 1 downto 0);
+    signal rx_err0              : out std_logic_vector(tech_jesd204b_field_rx_err0_w - 1 downto 0);
+    signal rx_err1              : out std_logic_vector(tech_jesd204b_field_rx_err1_w - 1 downto 0);
+    signal csr_rbd_count        : out std_logic_vector(tech_jesd204b_field_csr_rbd_count_w - 1 downto 0);
+    signal csr_dev_syncn        : out std_logic_vector(tech_jesd204b_field_csr_dev_syncn_w - 1 downto 0)) is
     constant c_offset : natural :=  c_si * tech_jesd204b_port_span;
   begin
     dbg_read <= '1';
@@ -249,16 +250,17 @@ architecture tb of tb_lofar2_unb2c_sdp_station_adc_jesd is
     dbg_read <= '0';
   end;
 
-  procedure proc_read_jesd204b_arr(signal rd_clk               : in std_logic;
-                                   signal rd_data              : inout std_logic_vector(c_word_w - 1 downto 0);
-                                   signal dbg_read             : out std_logic;
-                                   signal rx_err_enable        : out std_logic_vector(c_word_w - 1 downto 0);
-                                   signal rx_err_link_reinit   : out std_logic_vector(c_word_w - 1 downto 0);
-                                   signal rx_syncn_sysref_ctrl : out std_logic_vector(c_word_w - 1 downto 0);
-                                   signal rx_err0              : out std_logic_vector(tech_jesd204b_field_rx_err0_w - 1 downto 0);
-                                   signal rx_err1              : out std_logic_vector(tech_jesd204b_field_rx_err1_w - 1 downto 0);
-                                   signal csr_rbd_count        : out std_logic_vector(tech_jesd204b_field_csr_rbd_count_w - 1 downto 0);
-                                   signal csr_dev_syncn        : out std_logic_vector(tech_jesd204b_field_csr_dev_syncn_w - 1 downto 0)) is
+  procedure proc_read_jesd204b_arr (
+    signal rd_clk               : in std_logic;
+    signal rd_data              : inout std_logic_vector(c_word_w - 1 downto 0);
+    signal dbg_read             : out std_logic;
+    signal rx_err_enable        : out std_logic_vector(c_word_w - 1 downto 0);
+    signal rx_err_link_reinit   : out std_logic_vector(c_word_w - 1 downto 0);
+    signal rx_syncn_sysref_ctrl : out std_logic_vector(c_word_w - 1 downto 0);
+    signal rx_err0              : out std_logic_vector(tech_jesd204b_field_rx_err0_w - 1 downto 0);
+    signal rx_err1              : out std_logic_vector(tech_jesd204b_field_rx_err1_w - 1 downto 0);
+    signal csr_rbd_count        : out std_logic_vector(tech_jesd204b_field_csr_rbd_count_w - 1 downto 0);
+    signal csr_dev_syncn        : out std_logic_vector(tech_jesd204b_field_csr_dev_syncn_w - 1 downto 0)) is
   begin
     for I in 0 to c_sdp_S_pn - 1 loop
       proc_read_jesd204b(I, rd_clk, rd_data, dbg_read,
@@ -295,44 +297,44 @@ begin
   -- DUT with JESD204B Rx
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_adc : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_adc",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => JESD204B_SYSREF,
-    JESD204B_SYNC_N => JESD204B_SYNC_N
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_adc",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => JESD204B_SYSREF,
+      JESD204B_SYNC_N => JESD204B_SYNC_N
+    );
 
   -----------------------------------------------------------------------------
   -- Use a JESD204b Tx instance to model the ADCs
@@ -374,55 +376,55 @@ begin
   gen_jesd204b_tx : for i in 0 to c_nof_jesd204b_tx - 1 generate
     -- Tb DAC
     u_tech_jesd204b_tx : entity tech_jesd204b_lib.tech_jesd204b_tx
-    port map (
-      csr_cf                     => OPEN,
-      csr_cs                     => OPEN,
-      csr_f                      => OPEN,
-      csr_hd                     => OPEN,
-      csr_k                      => OPEN,
-      csr_l                      => OPEN,
-      csr_lane_powerdown         => open,  -- out
-      csr_m                      => OPEN,
-      csr_n                      => OPEN,
-      csr_np                     => OPEN,
-      csr_tx_testmode            => OPEN,
-      csr_tx_testpattern_a       => OPEN,
-      csr_tx_testpattern_b       => OPEN,
-      csr_tx_testpattern_c       => OPEN,
-      csr_tx_testpattern_d       => OPEN,
-      csr_s                      => OPEN,
-      dev_sync_n                 => dev_sync_n(i),  -- out
-      jesd204_tx_avs_chipselect  => tx_avs_chipselect(i),
-      jesd204_tx_avs_address     => tx_avs_address(i),
-      jesd204_tx_avs_read        => tx_avs_read(i),
-      jesd204_tx_avs_readdata    => tx_avs_readdata(i),
-      jesd204_tx_avs_waitrequest => open,
-      jesd204_tx_avs_write       => '0',
-      jesd204_tx_avs_writedata   => (others => '0'),
-      jesd204_tx_avs_clk         => tx_avs_clk,
-      jesd204_tx_avs_rst_n       => tx_avs_rst_n,
-      jesd204_tx_dlb_data        => open,  -- debug/loopback testing
-      jesd204_tx_dlb_kchar_data  => open,  -- debug/loopback testing
-      jesd204_tx_frame_ready     => jesd204b_tx_frame_ready(i),
-      jesd204_tx_frame_error     => '0',
-      jesd204_tx_int             => OPEN,  -- Connected to status IO in example design
-      jesd204_tx_link_data       => jesd204b_tx_link_data_arr(i),  -- in
-      jesd204_tx_link_valid      => jesd204b_tx_link_valid(i),  -- in
-      jesd204_tx_link_ready      => jesd204b_tx_link_ready(i),  -- out
-      mdev_sync_n                => dev_sync_n(i),  -- in
-      pll_locked                 => pll_locked,  -- in
-      sync_n                     => jesd204b_sync_adc_n(i),  -- in
-      tx_analogreset             => tx_analogreset,
-      tx_bonding_clocks          => tx_bonding_clocks,  -- : in  std_logic_vector(5 downto 0)  := (others => 'X'); -- clk
-      tx_cal_busy                => open,
-      tx_digitalreset            => tx_digitalreset,
-      tx_serial_data             => JESD204B_SERIAL_DATA(i downto i),
-      txlink_clk                 => txlink_clk(i),
-      txlink_rst_n_reset_n       => txlink_rst_n,
-      txphy_clk                  => txphy_clk(i downto i),
-      somf                       => OPEN,
-      sysref                     => JESD204B_SYSREF
-    );
+      port map (
+        csr_cf                     => OPEN,
+        csr_cs                     => OPEN,
+        csr_f                      => OPEN,
+        csr_hd                     => OPEN,
+        csr_k                      => OPEN,
+        csr_l                      => OPEN,
+        csr_lane_powerdown         => open,  -- out
+        csr_m                      => OPEN,
+        csr_n                      => OPEN,
+        csr_np                     => OPEN,
+        csr_tx_testmode            => OPEN,
+        csr_tx_testpattern_a       => OPEN,
+        csr_tx_testpattern_b       => OPEN,
+        csr_tx_testpattern_c       => OPEN,
+        csr_tx_testpattern_d       => OPEN,
+        csr_s                      => OPEN,
+        dev_sync_n                 => dev_sync_n(i),  -- out
+        jesd204_tx_avs_chipselect  => tx_avs_chipselect(i),
+        jesd204_tx_avs_address     => tx_avs_address(i),
+        jesd204_tx_avs_read        => tx_avs_read(i),
+        jesd204_tx_avs_readdata    => tx_avs_readdata(i),
+        jesd204_tx_avs_waitrequest => open,
+        jesd204_tx_avs_write       => '0',
+        jesd204_tx_avs_writedata   => (others => '0'),
+        jesd204_tx_avs_clk         => tx_avs_clk,
+        jesd204_tx_avs_rst_n       => tx_avs_rst_n,
+        jesd204_tx_dlb_data        => open,  -- debug/loopback testing
+        jesd204_tx_dlb_kchar_data  => open,  -- debug/loopback testing
+        jesd204_tx_frame_ready     => jesd204b_tx_frame_ready(i),
+        jesd204_tx_frame_error     => '0',
+        jesd204_tx_int             => OPEN,  -- Connected to status IO in example design
+        jesd204_tx_link_data       => jesd204b_tx_link_data_arr(i),  -- in
+        jesd204_tx_link_valid      => jesd204b_tx_link_valid(i),  -- in
+        jesd204_tx_link_ready      => jesd204b_tx_link_ready(i),  -- out
+        mdev_sync_n                => dev_sync_n(i),  -- in
+        pll_locked                 => pll_locked,  -- in
+        sync_n                     => jesd204b_sync_adc_n(i),  -- in
+        tx_analogreset             => tx_analogreset,
+        tx_bonding_clocks          => tx_bonding_clocks,  -- : in  std_logic_vector(5 downto 0)  := (others => 'X'); -- clk
+        tx_cal_busy                => open,
+        tx_digitalreset            => tx_digitalreset,
+        tx_serial_data             => JESD204B_SERIAL_DATA(i downto i),
+        txlink_clk                 => txlink_clk(i),
+        txlink_rst_n_reset_n       => txlink_rst_n,
+        txphy_clk                  => txphy_clk(i downto i),
+        somf                       => OPEN,
+        sysref                     => JESD204B_SYSREF
+      );
 
     -- One JESD204B_SYNC_N per RCU2
     jesd204b_sync_adc_n(i) <= JESD204B_SYNC_N(i / c_sdp_S_rcu);
@@ -433,34 +435,34 @@ begin
       variable v_even_sample : boolean := true;
     begin
       if mm_rst = '1' then
-         jesd204b_tx_link_data_arr(i) <= (others => '0');
-         jesd204b_tx_link_valid(i) <= '0';
-         txlink_clk(i) <= '0';
-         v_data := 0;
-         v_even_sample := true;
-       elsif rising_edge(JESD204B_REFCLK) then
-         txlink_clk(i) <= not txlink_clk(i);
-
-         -- Incrementing data in c_sdp_W_adc_jesd = 16 bits
-         -- . use range c_sdp_W_adc_jesd-1 to avoid simulation warnings:
-         --   Warning: NUMERIC_STD.TO_SIGNED: vector truncated
-         --   Time: 164635 ns  Iteration: 0  Region: /tb_lofar2_unb2c_sdp_station_adc_jesd/gen_jesd204b_tx(2)
-         v_data := (v_data + 1) mod 2**(c_sdp_W_adc_jesd - 1);
-
-         -- Frame the data to 32 bits at half the rate
-         if jesd204b_tx_link_ready(i) = '0' then
-           v_even_sample := true;
-         else
-           v_even_sample := not v_even_sample;
-         end if;
-         if v_even_sample = true then
-           jesd204b_tx_link_data_arr(i)(c_sdp_W_adc_jesd - 1 downto 0) <= TO_SVEC(v_data, c_sdp_W_adc_jesd);
-           jesd204b_tx_link_valid(i) <= '0';
-         else
-           jesd204b_tx_link_data_arr(i)(2 * c_sdp_W_adc_jesd - 1 downto c_sdp_W_adc_jesd) <= TO_SVEC(v_data, c_sdp_W_adc_jesd);
-           jesd204b_tx_link_valid(i) <= '1';
-         end if;
-       end if;
+        jesd204b_tx_link_data_arr(i) <= (others => '0');
+        jesd204b_tx_link_valid(i) <= '0';
+        txlink_clk(i) <= '0';
+        v_data := 0;
+        v_even_sample := true;
+      elsif rising_edge(JESD204B_REFCLK) then
+        txlink_clk(i) <= not txlink_clk(i);
+
+        -- Incrementing data in c_sdp_W_adc_jesd = 16 bits
+        -- . use range c_sdp_W_adc_jesd-1 to avoid simulation warnings:
+        --   Warning: NUMERIC_STD.TO_SIGNED: vector truncated
+        --   Time: 164635 ns  Iteration: 0  Region: /tb_lofar2_unb2c_sdp_station_adc_jesd/gen_jesd204b_tx(2)
+        v_data := (v_data + 1) mod 2**(c_sdp_W_adc_jesd - 1);
+
+        -- Frame the data to 32 bits at half the rate
+        if jesd204b_tx_link_ready(i) = '0' then
+          v_even_sample := true;
+        else
+          v_even_sample := not v_even_sample;
+        end if;
+        if v_even_sample = true then
+          jesd204b_tx_link_data_arr(i)(c_sdp_W_adc_jesd - 1 downto 0) <= TO_SVEC(v_data, c_sdp_W_adc_jesd);
+          jesd204b_tx_link_valid(i) <= '0';
+        else
+          jesd204b_tx_link_data_arr(i)(2 * c_sdp_W_adc_jesd - 1 downto c_sdp_W_adc_jesd) <= TO_SVEC(v_data, c_sdp_W_adc_jesd);
+          jesd204b_tx_link_valid(i) <= '1';
+        end if;
+      end if;
     end process;
 
   end generate;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/lofar2_unb2c_sdp_station_bf.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/lofar2_unb2c_sdp_station_bf.vhd
index 6c63cfa6b5..be92aed478 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/lofar2_unb2c_sdp_station_bf.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/lofar2_unb2c_sdp_station_bf.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_bf is
   generic (
@@ -74,7 +74,7 @@ entity lofar2_unb2c_sdp_station_bf is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -99,50 +99,50 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf.vhd
index a925ba49ae..43984a371c 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf.vhd
@@ -133,24 +133,24 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use lofar2_sdp_lib.tb_sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use lofar2_sdp_lib.tb_sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_bf is
   generic (
@@ -225,16 +225,20 @@ architecture tb of tb_lofar2_unb2c_sdp_station_bf is
   constant c_exp_beamlet_index   : natural := 0;  -- depends on beamset bset * c_sdp_S_sub_bf
 
   constant c_exp_sdp_info        : t_sdp_info := (
-                                     TO_UVEC(3, 6),  -- antenna_field_index
-                                     TO_UVEC(601, 10),  -- station_id
-                                     '0',  -- antenna_band_index
-                                     x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
-                                     b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
-                                     '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
-                                     '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
-                                     '0',  -- beam_repositioning_flag
-                                     x"1400"  -- block_period = 5120
-                                   );
+    TO_UVEC(
+             3,
+             6),  -- antenna_field_index
+    TO_UVEC(
+             601,
+             10),  -- station_id
+    '0',  -- antenna_band_index
+    x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
+    b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
+    '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
+    '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
+    '0',  -- beam_repositioning_flag
+    x"1400"  -- block_period = 5120
+  );
 
   -- WG
   constant c_bsn_start_wg         : natural := c_init_bsn + 2;  -- start WG at this BSN to instead of some BSN, to avoid mismatches in exact expected data values
@@ -285,18 +289,18 @@ architecture tb of tb_lofar2_unb2c_sdp_station_bf is
   -- . Beamlet internal
   constant c_nof_remnant                  : natural := c_sdp_S_pn - 1;
   constant c_exp_beamlet_x_tuple          : t_real_arr(0 to 3) := func_sdp_beamformer(
-                                              c_exp_subband_ampl, c_exp_subband_phase, g_bf_x_gain, g_bf_x_phase,
-                                              c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_x_gain, g_bf_remnant_x_phase,
-                                              c_nof_remnant);
+                                                                                      c_exp_subband_ampl, c_exp_subband_phase, g_bf_x_gain, g_bf_x_phase,
+                                                                                      c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_x_gain, g_bf_remnant_x_phase,
+                                                                                      c_nof_remnant);
   constant c_exp_beamlet_x_ampl           : real := c_exp_beamlet_x_tuple(0);
   constant c_exp_beamlet_x_phase          : real := c_exp_beamlet_x_tuple(1);
   constant c_exp_beamlet_x_re             : real := c_exp_beamlet_x_tuple(2);
   constant c_exp_beamlet_x_im             : real := c_exp_beamlet_x_tuple(3);
 
   constant c_exp_beamlet_y_tuple          : t_real_arr(0 to 3) := func_sdp_beamformer(
-                                              c_exp_subband_ampl, c_exp_subband_phase, g_bf_y_gain, g_bf_y_phase,
-                                              c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_y_gain, g_bf_remnant_y_phase,
-                                              c_nof_remnant);
+                                                                                      c_exp_subband_ampl, c_exp_subband_phase, g_bf_y_gain, g_bf_y_phase,
+                                                                                      c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_y_gain, g_bf_remnant_y_phase,
+                                                                                      c_nof_remnant);
   constant c_exp_beamlet_y_ampl           : real := c_exp_beamlet_y_tuple(0);
   constant c_exp_beamlet_y_phase          : real := c_exp_beamlet_y_tuple(1);
   constant c_exp_beamlet_y_re             : real := c_exp_beamlet_y_tuple(2);
@@ -508,121 +512,121 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_bf : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_bf",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => g_subband
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    -- front transceivers
-    QSFP_1_RX    => si_lpbk_0,
-    QSFP_1_TX    => si_lpbk_0,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_bf",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => g_subband
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      -- front transceivers
+      QSFP_1_RX    => si_lpbk_0,
+      QSFP_1_TX    => si_lpbk_0,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- CEP model
   ------------------------------------------------------------------------------
   u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => dest_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => dest_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map (
-    g_sim           => true,
-    g_sim_level     => 1,
-    g_nof_macs      => 1,
-    g_use_mdio      => false
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644      => SA_CLK,
-    tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-    tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-    tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-    -- MM interface
-    mm_rst              => dest_rst,
-    mm_clk              => tb_clk,
-
-    -- DP interface
-    dp_rst              => dest_rst,
-    dp_clk              => ext_clk,
-
-    serial_rx_arr(0)    => si_lpbk_0(0),
-
-    src_out_arr(0)      => tr_10GbE_src_out,
-    src_in_arr(0)       => tr_10GbE_src_in
-  );
+    generic map (
+      g_sim           => true,
+      g_sim_level     => 1,
+      g_nof_macs      => 1,
+      g_use_mdio      => false
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644      => SA_CLK,
+      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+      -- MM interface
+      mm_rst              => dest_rst,
+      mm_clk              => tb_clk,
+
+      -- DP interface
+      dp_rst              => dest_rst,
+      dp_clk              => ext_clk,
+
+      serial_rx_arr(0)    => si_lpbk_0(0),
+
+      src_out_arr(0)      => tr_10GbE_src_out,
+      src_in_arr(0)       => tr_10GbE_src_in
+    );
 
 
   u_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_longword_w,
-    g_symbol_w            => c_octet_w,
-    g_hdr_field_arr       => c_sdp_cep_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => dest_rst,
-    mm_clk                => tb_clk,
-
-    dp_rst                => dest_rst,
-    dp_clk                => ext_clk,
-
-    reg_hdr_dat_mosi      => rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => rx_hdr_dat_miso,
-
-    snk_in_arr(0)         => tr_10GbE_src_out,
-    snk_out_arr(0)        => tr_10GbE_src_in,
-
-    src_out_arr(0)        => rx_beamlet_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_longword_w,
+      g_symbol_w            => c_octet_w,
+      g_hdr_field_arr       => c_sdp_cep_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => dest_rst,
+      mm_clk                => tb_clk,
+
+      dp_rst                => dest_rst,
+      dp_clk                => ext_clk,
+
+      reg_hdr_dat_mosi      => rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => rx_hdr_dat_miso,
+
+      snk_in_arr(0)         => tr_10GbE_src_out,
+      snk_out_arr(0)        => tr_10GbE_src_in,
+
+      src_out_arr(0)        => rx_beamlet_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf_bst_offload.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf_bst_offload.vhd
index 2e3e9aa825..600f7f99f9 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf_bst_offload.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_lofar2_unb2c_sdp_station_bf_bst_offload.vhd
@@ -39,20 +39,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use lofar2_sdp_lib.tb_sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use lofar2_sdp_lib.tb_sdp_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_bf_bst_offload is
 end tb_lofar2_unb2c_sdp_station_bf_bst_offload;
@@ -84,16 +84,20 @@ architecture tb of tb_lofar2_unb2c_sdp_station_bf_bst_offload is
   constant c_exp_beamlet_index   : natural := 0;  -- depends on beamset bset * c_sdp_S_sub_bf
 
   constant c_exp_sdp_info        : t_sdp_info := (
-                                     TO_UVEC(3, 6),  -- antenna_field_index
-                                     TO_UVEC(601, 10),  -- station_id
-                                     '0',  -- antenna_band_index
-                                     x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
-                                     b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
-                                     '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
-                                     '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
-                                     '0',  -- beam_repositioning_flag
-                                     x"1400"  -- block_period = 5120
-                                   );
+    TO_UVEC(
+             3,
+             6),  -- antenna_field_index
+    TO_UVEC(
+             601,
+             10),  -- station_id
+    '0',  -- antenna_band_index
+    x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
+    b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
+    '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
+    '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
+    '0',  -- beam_repositioning_flag
+    x"1400"  -- block_period = 5120
+  );
 
   -- MM
   constant c_mm_file_reg_sdp_info         : string := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_SDP_INFO";
@@ -176,44 +180,44 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_bf : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_bf",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_bf",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -279,38 +283,38 @@ begin
       g_runtime_timeout     => c_eth_runtime_timeout,
       g_check_nof_valid     => true,
       g_check_nof_valid_ref => c_eth_check_nof_valid
-   )
-  port map (
-    eth_serial_in => eth_txp(0),
-    eth_src_out   => eth_rx_sosi,
-    tb_end        => eth_done
-  );
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      eth_src_out   => eth_rx_sosi,
+      tb_end        => eth_done
+    );
 
   eth_rx_data <= eth_rx_sosi.data(c_32 - 1 downto 0);
 
   -- . Verify XST packet header
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_word_w,
-    g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
-    g_remove_crc          => true,
-    g_crc_nof_words       => 1
-  )
-  port map (
-    mm_rst                => pps_rst,
-    mm_clk                => tb_clk,
-
-    dp_rst                => pps_rst,
-    dp_clk                => eth_clk(0),
-
-    snk_in_arr(0)         => eth_rx_sosi,
-
-    src_out_arr(0)        => rx_offload_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_word_w,
+      g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
+      g_remove_crc          => true,
+      g_crc_nof_words       => 1
+    )
+    port map (
+      mm_rst                => pps_rst,
+      mm_clk                => tb_clk,
+
+      dp_rst                => pps_rst,
+      dp_clk                => eth_clk(0),
+
+      snk_in_arr(0)         => eth_rx_sosi,
+
+      src_out_arr(0)        => rx_offload_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   rx_sdp_stat_header <= func_sdp_map_stat_header(rx_hdr_fields_raw);
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_tb_lofar2_unb2c_sdp_station_bf.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_tb_lofar2_unb2c_sdp_station_bf.vhd
index 1516651fc4..35f40f1a1c 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_tb_lofar2_unb2c_sdp_station_bf.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf/tb_tb_lofar2_unb2c_sdp_station_bf.vhd
@@ -27,8 +27,8 @@
 -- > run -all
 
 library IEEE, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 
 entity tb_tb_lofar2_unb2c_sdp_station_bf is
@@ -42,25 +42,25 @@ architecture tb of tb_tb_lofar2_unb2c_sdp_station_bf is
 begin
 
   u_bf : entity work.tb_lofar2_unb2c_sdp_station_bf
-  generic map (
-    g_sp                 => 3,  -- WG signal path (SP) index in range(S_pn = 12)
-    g_sp_ampl            => 0.5,  -- WG normalized amplitude
-    g_sp_phase           => -110.0,  -- WG phase in degrees = subband phase
-    g_sp_remnant_ampl    => 0.1,  -- WG normalized amplitude for remnant sp
-    g_sp_remnant_phase   => 15.0,  -- WG phase in degrees for remnant sp
-    g_subband            => 102,  -- select g_subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
-    g_beamlet            => c_sdp_S_sub_bf - 1,  -- map g_subband to g_beamlet index in beamset in range(c_sdp_S_sub_bf = 488)
-    g_beamlet_scale      => 1.0 / 2.0**9,  -- g_beamlet output scale factor
-    g_bf_x_gain          => 0.7,  -- g_beamlet X BF weight normalized gain for g_sp
-    g_bf_y_gain          => 0.6,  -- g_beamlet Y BF weight normalized gain for g_sp
-    g_bf_x_phase         => 30.0,  -- g_beamlet X BF weight phase rotation in degrees for g_sp
-    g_bf_y_phase         => 40.0,  -- g_beamlet Y BF weight phase rotation in degrees for g_sp
-    g_bf_remnant_x_gain  => 0.05,  -- g_beamlet X BF weight normalized gain for remnant sp
-    g_bf_remnant_y_gain  => 0.04,  -- g_beamlet Y BF weight normalized gain for remnant sp
-    g_bf_remnant_x_phase => 170.0,  -- g_beamlet X BF weight phase rotation in degrees for g_sp
-    g_bf_remnant_y_phase => -135.0,  -- g_beamlet Y BF weight phase rotation in degrees for g_sp
-    g_read_all_SST       => false,  -- when FALSE only read SST for g_subband, to save sim time
-    g_read_all_BST       => false  -- when FALSE only read BST for g_beamlet, to save sim time
-  );
+    generic map (
+      g_sp                 => 3,  -- WG signal path (SP) index in range(S_pn = 12)
+      g_sp_ampl            => 0.5,  -- WG normalized amplitude
+      g_sp_phase           => -110.0,  -- WG phase in degrees = subband phase
+      g_sp_remnant_ampl    => 0.1,  -- WG normalized amplitude for remnant sp
+      g_sp_remnant_phase   => 15.0,  -- WG phase in degrees for remnant sp
+      g_subband            => 102,  -- select g_subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
+      g_beamlet            => c_sdp_S_sub_bf - 1,  -- map g_subband to g_beamlet index in beamset in range(c_sdp_S_sub_bf = 488)
+      g_beamlet_scale      => 1.0 / 2.0**9,  -- g_beamlet output scale factor
+      g_bf_x_gain          => 0.7,  -- g_beamlet X BF weight normalized gain for g_sp
+      g_bf_y_gain          => 0.6,  -- g_beamlet Y BF weight normalized gain for g_sp
+      g_bf_x_phase         => 30.0,  -- g_beamlet X BF weight phase rotation in degrees for g_sp
+      g_bf_y_phase         => 40.0,  -- g_beamlet Y BF weight phase rotation in degrees for g_sp
+      g_bf_remnant_x_gain  => 0.05,  -- g_beamlet X BF weight normalized gain for remnant sp
+      g_bf_remnant_y_gain  => 0.04,  -- g_beamlet Y BF weight normalized gain for remnant sp
+      g_bf_remnant_x_phase => 170.0,  -- g_beamlet X BF weight phase rotation in degrees for g_sp
+      g_bf_remnant_y_phase => -135.0,  -- g_beamlet Y BF weight phase rotation in degrees for g_sp
+      g_read_all_SST       => false,  -- when FALSE only read SST for g_subband, to save sim time
+      g_read_all_BST       => false  -- when FALSE only read BST for g_beamlet, to save sim time
+    );
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/lofar2_unb2c_sdp_station_bf_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/lofar2_unb2c_sdp_station_bf_ring.vhd
index d449e94b38..53d931e95f 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/lofar2_unb2c_sdp_station_bf_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/lofar2_unb2c_sdp_station_bf_ring.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_bf is
   generic (
@@ -86,7 +86,7 @@ entity lofar2_unb2c_sdp_station_bf is
     RING_1_TX    : out   std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0);
 
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -111,59 +111,59 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/tb_lofar2_unb2c_sdp_station_bf_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/tb_lofar2_unb2c_sdp_station_bf_ring.vhd
index 879bc4cfe1..86078030a9 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/tb_lofar2_unb2c_sdp_station_bf_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_bf_ring/tb_lofar2_unb2c_sdp_station_bf_ring.vhd
@@ -125,24 +125,24 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use lofar2_sdp_lib.tb_sdp_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use lofar2_sdp_lib.tb_sdp_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_bf_ring is
   generic (
@@ -230,16 +230,20 @@ architecture tb of tb_lofar2_unb2c_sdp_station_bf_ring is
   constant c_exp_beamlet_index   : natural := 0;  -- depends on beamset bset * c_sdp_S_sub_bf
 
   constant c_exp_sdp_info        : t_sdp_info := (
-                                     TO_UVEC(3, 6),  -- antenna_field_index
-                                     TO_UVEC(601, 10),  -- station_id
-                                     '0',  -- antenna_band_index
-                                     x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
-                                     b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
-                                     '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
-                                     '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
-                                     '0',  -- beam_repositioning_flag
-                                     x"1400"  -- block_period = 5120
-                                   );
+    TO_UVEC(
+             3,
+             6),  -- antenna_field_index
+    TO_UVEC(
+             601,
+             10),  -- station_id
+    '0',  -- antenna_band_index
+    x"7FFFFFFF",  -- observation_id, use > 0 to avoid Warning: (vsim-151) NUMERIC_STD.TO_INTEGER: Value -2 is not in bounds of subtype NATURAL.
+    b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
+    '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
+    '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
+    '0',  -- beam_repositioning_flag
+    x"1400"  -- block_period = 5120
+  );
 
   -- WG
   constant c_bsn_start_wg         : natural := c_init_bsn + 2;  -- start WG at this BSN to instead of some BSN, to avoid mismatches in exact expected data values
@@ -290,18 +294,18 @@ architecture tb of tb_lofar2_unb2c_sdp_station_bf_ring is
   -- . Beamlet internal
   constant c_nof_remnant                  : natural := g_nof_rn * c_sdp_S_pn - 1;
   constant c_exp_beamlet_x_tuple          : t_real_arr(0 to 3) := func_sdp_beamformer(
-                                              c_exp_subband_ampl, c_exp_subband_phase, g_bf_x_gain, g_bf_x_phase,
-                                              c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_x_gain, g_bf_remnant_x_phase,
-                                              c_nof_remnant);
+                                                                                      c_exp_subband_ampl, c_exp_subband_phase, g_bf_x_gain, g_bf_x_phase,
+                                                                                      c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_x_gain, g_bf_remnant_x_phase,
+                                                                                      c_nof_remnant);
   constant c_exp_beamlet_x_ampl           : real := c_exp_beamlet_x_tuple(0);
   constant c_exp_beamlet_x_phase          : real := c_exp_beamlet_x_tuple(1);
   constant c_exp_beamlet_x_re             : real := c_exp_beamlet_x_tuple(2);
   constant c_exp_beamlet_x_im             : real := c_exp_beamlet_x_tuple(3);
 
   constant c_exp_beamlet_y_tuple          : t_real_arr(0 to 3) := func_sdp_beamformer(
-                                              c_exp_subband_ampl, c_exp_subband_phase, g_bf_y_gain, g_bf_y_phase,
-                                              c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_y_gain, g_bf_remnant_y_phase,
-                                              c_nof_remnant);
+                                                                                      c_exp_subband_ampl, c_exp_subband_phase, g_bf_y_gain, g_bf_y_phase,
+                                                                                      c_exp_remnant_subband_ampl, c_exp_remnant_subband_phase, g_bf_remnant_y_gain, g_bf_remnant_y_phase,
+                                                                                      c_nof_remnant);
   constant c_exp_beamlet_y_ampl           : real := c_exp_beamlet_y_tuple(0);
   constant c_exp_beamlet_y_phase          : real := c_exp_beamlet_y_tuple(1);
   constant c_exp_beamlet_y_re             : real := c_exp_beamlet_y_tuple(2);
@@ -513,61 +517,61 @@ begin
   ------------------------------------------------------------------------------
   gen_dut : for RN in 0 to c_last_rn generate
     u_lofar_unb2c_sdp_station_bf : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-    generic map (
-      g_design_name            => "lofar2_unb2c_sdp_station_bf_ring",
-      g_design_note            => "",
-      g_sim                    => c_sim,
-      g_sim_unb_nr             => (g_first_gn + RN) / c_quad,
-      g_sim_node_nr            => (g_first_gn + RN) mod c_quad,
-      g_wpfb                   => c_wpfb_sim,
-      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-      g_scope_selected_subband => g_subband
-    )
-    port map (
-      -- GENERAL
-      CLK          => ext_clk,
-      PPS          => ext_pps,
-      WDI          => WDI,
-      INTA         => INTA,
-      INTB         => INTB,
-
-      -- Others
-      VERSION      => c_version,
-      ID           => ( TO_UVEC((g_first_gn + RN) / c_quad, c_unb2c_board_nof_uniboard_w) & TO_UVEC((g_first_gn + RN) mod c_quad, c_unb2c_board_nof_chip_w) ),
-      TESTIO       => open,
-
-      -- 1GbE Control Interface
-      ETH_CLK      => eth_clk,
-      ETH_SGIN     => eth_rxp,
-      ETH_SGOUT    => eth_txp,
-
-      -- Transceiver clocks
-      SA_CLK       => SA_CLK,
-      -- front transceivers for ring
-      QSFP_0_RX    => i_QSFP_0_RX(RN),
-      QSFP_0_TX    => i_QSFP_0_TX(RN),
-
-      -- ring transceivers
-      RING_0_RX    => i_RING_0_RX(RN),
-      RING_0_TX    => i_RING_0_TX(RN),
-      RING_1_RX    => i_RING_1_RX(RN),
-      RING_1_TX    => i_RING_1_TX(RN),
-
-      -- front transceivers for CEP
-      QSFP_1_RX    => i_QSFP_1_lpbk(RN),
-      QSFP_1_TX    => i_QSFP_1_lpbk(RN),
-
-      -- LEDs
-      QSFP_LED     => open,
-
-      -- back transceivers
-      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-      JESD204B_REFCLK      => JESD204B_REFCLK,
-
-      -- jesd204b syncronization signals
-      JESD204B_SYSREF => jesd204b_sysref,
-      JESD204B_SYNC_N => jesd204b_sync_n
-    );
+      generic map (
+        g_design_name            => "lofar2_unb2c_sdp_station_bf_ring",
+        g_design_note            => "",
+        g_sim                    => c_sim,
+        g_sim_unb_nr             => (g_first_gn + RN) / c_quad,
+        g_sim_node_nr            => (g_first_gn + RN) mod c_quad,
+        g_wpfb                   => c_wpfb_sim,
+        g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+        g_scope_selected_subband => g_subband
+      )
+      port map (
+        -- GENERAL
+        CLK          => ext_clk,
+        PPS          => ext_pps,
+        WDI          => WDI,
+        INTA         => INTA,
+        INTB         => INTB,
+
+        -- Others
+        VERSION      => c_version,
+        ID           => ( TO_UVEC((g_first_gn + RN) / c_quad, c_unb2c_board_nof_uniboard_w) & TO_UVEC((g_first_gn + RN) mod c_quad, c_unb2c_board_nof_chip_w) ),
+        TESTIO       => open,
+
+        -- 1GbE Control Interface
+        ETH_CLK      => eth_clk,
+        ETH_SGIN     => eth_rxp,
+        ETH_SGOUT    => eth_txp,
+
+        -- Transceiver clocks
+        SA_CLK       => SA_CLK,
+        -- front transceivers for ring
+        QSFP_0_RX    => i_QSFP_0_RX(RN),
+        QSFP_0_TX    => i_QSFP_0_TX(RN),
+
+        -- ring transceivers
+        RING_0_RX    => i_RING_0_RX(RN),
+        RING_0_TX    => i_RING_0_TX(RN),
+        RING_1_RX    => i_RING_1_RX(RN),
+        RING_1_TX    => i_RING_1_TX(RN),
+
+        -- front transceivers for CEP
+        QSFP_1_RX    => i_QSFP_1_lpbk(RN),
+        QSFP_1_TX    => i_QSFP_1_lpbk(RN),
+
+        -- LEDs
+        QSFP_LED     => open,
+
+        -- back transceivers
+        JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+        JESD204B_REFCLK      => JESD204B_REFCLK,
+
+        -- jesd204b syncronization signals
+        JESD204B_SYSREF => jesd204b_sysref,
+        JESD204B_SYNC_N => jesd204b_sync_n
+      );
   end generate;
 
   -- Ring connections
@@ -585,71 +589,71 @@ begin
   -- CEP model
   ------------------------------------------------------------------------------
   u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => dest_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => dest_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map (
-    g_sim           => true,
-    g_sim_level     => 1,
-    g_nof_macs      => 1,
-    g_use_mdio      => false
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644      => SA_CLK,
-    tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-    tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-    tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-    -- MM interface
-    mm_rst              => dest_rst,
-    mm_clk              => tb_clk,
-
-    -- DP interface
-    dp_rst              => dest_rst,
-    dp_clk              => ext_clk,
-
-    serial_rx_arr(0)    => i_QSFP_1_lpbk(c_last_rn)(0),  -- Last RN must be used as end node.
-
-    src_out_arr(0)      => tr_10GbE_src_out,
-    src_in_arr(0)       => tr_10GbE_src_in
-  );
+    generic map (
+      g_sim           => true,
+      g_sim_level     => 1,
+      g_nof_macs      => 1,
+      g_use_mdio      => false
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644      => SA_CLK,
+      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+      -- MM interface
+      mm_rst              => dest_rst,
+      mm_clk              => tb_clk,
+
+      -- DP interface
+      dp_rst              => dest_rst,
+      dp_clk              => ext_clk,
+
+      serial_rx_arr(0)    => i_QSFP_1_lpbk(c_last_rn)(0),  -- Last RN must be used as end node.
+
+      src_out_arr(0)      => tr_10GbE_src_out,
+      src_in_arr(0)       => tr_10GbE_src_in
+    );
 
 
   u_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_longword_w,
-    g_symbol_w            => c_octet_w,
-    g_hdr_field_arr       => c_sdp_cep_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => dest_rst,
-    mm_clk                => tb_clk,
-
-    dp_rst                => dest_rst,
-    dp_clk                => ext_clk,
-
-    reg_hdr_dat_mosi      => rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => rx_hdr_dat_miso,
-
-    snk_in_arr(0)         => tr_10GbE_src_out,
-    snk_out_arr(0)        => tr_10GbE_src_in,
-
-    src_out_arr(0)        => rx_beamlet_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_longword_w,
+      g_symbol_w            => c_octet_w,
+      g_hdr_field_arr       => c_sdp_cep_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => dest_rst,
+      mm_clk                => tb_clk,
+
+      dp_rst                => dest_rst,
+      dp_clk                => ext_clk,
+
+      reg_hdr_dat_mosi      => rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => rx_hdr_dat_miso,
+
+      snk_in_arr(0)         => tr_10GbE_src_out,
+      snk_out_arr(0)        => tr_10GbE_src_in,
+
+      src_out_arr(0)        => rx_beamlet_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/lofar2_unb2c_sdp_station_fsub.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/lofar2_unb2c_sdp_station_fsub.vhd
index 0beac1eb37..7a46ff4e87 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/lofar2_unb2c_sdp_station_fsub.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/lofar2_unb2c_sdp_station_fsub.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_fsub is
   generic (
@@ -67,7 +67,7 @@ entity lofar2_unb2c_sdp_station_fsub is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -91,43 +91,43 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub.vhd
index cea5b8ce34..61148b0c8d 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub.vhd
@@ -62,20 +62,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use lofar2_sdp_lib.tb_sdp_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use lofar2_sdp_lib.tb_sdp_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_fsub is
   generic (
@@ -154,8 +154,8 @@ architecture tb of tb_lofar2_unb2c_sdp_station_fsub is
   constant c_exp_co_subband_ampl_weighted     : real := c_exp_co_subband_ampl_raw * g_co_subband_weight_gain;
   constant c_exp_cross_subband_ampl_weighted  : real := c_exp_cross_subband_ampl_raw * 1.0;  -- unit gain, this is co gain for cross sp
   constant c_exp_jones_subband_tuple          : t_real_arr(0 to 3) := func_sdp_subband_equalizer(
-                                                c_exp_co_subband_ampl_raw, c_co_subband_phase, g_co_subband_weight_gain, g_co_subband_weight_phase,
-                                                c_exp_cross_subband_ampl_raw, c_cross_subband_phase, g_sp_cross_subband_weight_gain, g_sp_cross_subband_weight_phase);
+                                                                                                 c_exp_co_subband_ampl_raw, c_co_subband_phase, g_co_subband_weight_gain, g_co_subband_weight_phase,
+                                                                                                 c_exp_cross_subband_ampl_raw, c_cross_subband_phase, g_sp_cross_subband_weight_gain, g_sp_cross_subband_weight_phase);
   constant c_exp_sp_subband_ampl_weighted     : real := sel_a_b(g_use_cross_weight, c_exp_jones_subband_tuple(0), c_exp_co_subband_ampl_weighted);
 
   constant c_exp_co_subband_power_raw         : real := c_exp_co_subband_ampl_raw**2.0;  -- complex signal ampl, so power is A**2 (not A**2 / 2 as for real)
@@ -284,45 +284,45 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_fsub : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_fsub",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => g_subband
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => ext_pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_fsub",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => g_subband
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => ext_pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   -- Raw or weighted subbands
   exp_sp_subband_ampl      <= sel_a_b(sst_offload_weighted_subbands = '0', c_exp_co_subband_ampl_raw, c_exp_sp_subband_ampl_weighted);
@@ -432,7 +432,7 @@ begin
     sp_co_subband_weight_im <= v_im;
     sp_co_subband_weight_gain <= COMPLEX_RADIUS(real(v_re), real(v_im)) / real(c_sdp_unit_sub_weight);
     sp_co_subband_weight_phase <= COMPLEX_PHASE(real(v_re), real(v_im));
-      proc_common_wait_some_cycles(tb_clk, 1);
+    proc_common_wait_some_cycles(tb_clk, 1);
     assert sp_co_subband_weight_re = c_co_subband_weight_re report "Readback sp_co_subband_weight_re /= c_co_subband_weight_re" severity ERROR;
     assert sp_co_subband_weight_im = c_co_subband_weight_im report "Readback sp_co_subband_weight_im /= c_co_subband_weight_im" severity ERROR;
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub_sst_offload.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub_sst_offload.vhd
index 9803806bb7..6e71aaa779 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub_sst_offload.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_lofar2_unb2c_sdp_station_fsub_sst_offload.vhd
@@ -39,19 +39,19 @@
 --   Takes about 1h 15 m
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_fsub_sst_offload is
 end tb_lofar2_unb2c_sdp_station_fsub_sst_offload;
@@ -157,44 +157,44 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_fsub : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_fsub",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_fsub",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -247,38 +247,38 @@ begin
       g_runtime_timeout     => c_eth_runtime_timeout,
       g_check_nof_valid     => true,
       g_check_nof_valid_ref => c_eth_check_nof_valid
-   )
-  port map (
-    eth_serial_in => eth_txp(0),
-    eth_src_out   => eth_rx_sosi,
-    tb_end        => eth_done
-  );
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      eth_src_out   => eth_rx_sosi,
+      tb_end        => eth_done
+    );
 
   eth_rx_data <= eth_rx_sosi.data(c_32 - 1 downto 0);
 
   -- . Verify XST packet header
   u_rx_statistics : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_word_w,
-    g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
-    g_remove_crc          => true,
-    g_crc_nof_words       => 1
-  )
-  port map (
-    mm_rst                => pps_rst,
-    mm_clk                => tb_clk,
-
-    dp_rst                => pps_rst,
-    dp_clk                => eth_clk(0),
-
-    snk_in_arr(0)         => eth_rx_sosi,
-
-    src_out_arr(0)        => rx_offload_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_word_w,
+      g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
+      g_remove_crc          => true,
+      g_crc_nof_words       => 1
+    )
+    port map (
+      mm_rst                => pps_rst,
+      mm_clk                => tb_clk,
+
+      dp_rst                => pps_rst,
+      dp_clk                => eth_clk(0),
+
+      snk_in_arr(0)         => eth_rx_sosi,
+
+      src_out_arr(0)        => rx_offload_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   rx_sdp_stat_header <= func_sdp_map_stat_header(rx_hdr_fields_raw);
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_tb_lofar2_unb2c_sdp_station_fsub.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_tb_lofar2_unb2c_sdp_station_fsub.vhd
index 5882edc640..cf63f00546 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_tb_lofar2_unb2c_sdp_station_fsub.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_fsub/tb_tb_lofar2_unb2c_sdp_station_fsub.vhd
@@ -27,8 +27,8 @@
 -- > run -all
 
 library IEEE, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 
 entity tb_tb_lofar2_unb2c_sdp_station_fsub is
@@ -41,35 +41,35 @@ architecture tb of tb_tb_lofar2_unb2c_sdp_station_fsub is
 
 begin
 
--- Commented to save sim time in regression test
---  u_fsub_only_co : ENTITY work.tb_lofar2_unb2c_sdp_station_fsub
---  GENERIC MAP (
---    g_sp                            => 3,     -- signal path index in range(S_pn = 12) of co-polarization
---    g_co_wg_ampl                    => 0.5,   -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
---    g_cross_wg_ampl                 => 0.4,   -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
---    g_cross_wg_phase                => 90.0,  -- WG phase in degrees for cross-sp, relative to co-sp
---    g_subband                       => 102,   -- select subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
---    g_co_subband_weight_gain        => 1.0,   -- subband weight normalized gain, for co-polarization in g_sp
---    g_co_subband_weight_phase       => 30.0,  -- subband weight phase rotation in degrees, for co-polarization in g_sp
---    g_use_cross_weight              => FALSE,
---    g_sp_cross_subband_weight_gain  => 0.5,   -- subband weight normalized gain, for cross polarization of g_sp
---    g_sp_cross_subband_weight_phase => -10.0, -- subband weight phase rotation in degrees, for cross polarization of g_sp
---    g_read_all_SST                  => TRUE   -- when FALSE only read SST for g_subband, to save sim time
---  );
+  -- Commented to save sim time in regression test
+  --  u_fsub_only_co : ENTITY work.tb_lofar2_unb2c_sdp_station_fsub
+  --  GENERIC MAP (
+  --    g_sp                            => 3,     -- signal path index in range(S_pn = 12) of co-polarization
+  --    g_co_wg_ampl                    => 0.5,   -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
+  --    g_cross_wg_ampl                 => 0.4,   -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
+  --    g_cross_wg_phase                => 90.0,  -- WG phase in degrees for cross-sp, relative to co-sp
+  --    g_subband                       => 102,   -- select subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
+  --    g_co_subband_weight_gain        => 1.0,   -- subband weight normalized gain, for co-polarization in g_sp
+  --    g_co_subband_weight_phase       => 30.0,  -- subband weight phase rotation in degrees, for co-polarization in g_sp
+  --    g_use_cross_weight              => FALSE,
+  --    g_sp_cross_subband_weight_gain  => 0.5,   -- subband weight normalized gain, for cross polarization of g_sp
+  --    g_sp_cross_subband_weight_phase => -10.0, -- subband weight phase rotation in degrees, for cross polarization of g_sp
+  --    g_read_all_SST                  => TRUE   -- when FALSE only read SST for g_subband, to save sim time
+  --  );
 
   u_fsub_use_cross : entity work.tb_lofar2_unb2c_sdp_station_fsub
-  generic map (
-    g_sp                            => 3,  -- signal path index in range(S_pn = 12) of co-polarization
-    g_co_wg_ampl                    => 0.5,  -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
-    g_cross_wg_ampl                 => 0.4,  -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
-    g_cross_wg_phase                => 90.0,  -- WG phase in degrees for cross-sp, relative to co-sp
-    g_subband                       => 102,  -- select subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
-    g_co_subband_weight_gain        => 1.0,  -- subband weight normalized gain, for co-polarization in g_sp
-    g_co_subband_weight_phase       => 30.0,  -- subband weight phase rotation in degrees, for co-polarization in g_sp
-    g_use_cross_weight              => true,
-    g_sp_cross_subband_weight_gain  => 0.5,  -- subband weight normalized gain, for cross polarization of g_sp
-    g_sp_cross_subband_weight_phase => -10.0,  -- subband weight phase rotation in degrees, for cross polarization of g_sp
-    g_read_all_SST                  => true  -- when FALSE only read SST for g_subband, to save sim time
-  );
+    generic map (
+      g_sp                            => 3,  -- signal path index in range(S_pn = 12) of co-polarization
+      g_co_wg_ampl                    => 0.5,  -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
+      g_cross_wg_ampl                 => 0.4,  -- WG normalized amplitude, use same WG settings for both polarizations (g_sp and c_cross_sp)
+      g_cross_wg_phase                => 90.0,  -- WG phase in degrees for cross-sp, relative to co-sp
+      g_subband                       => 102,  -- select subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz
+      g_co_subband_weight_gain        => 1.0,  -- subband weight normalized gain, for co-polarization in g_sp
+      g_co_subband_weight_phase       => 30.0,  -- subband weight phase rotation in degrees, for co-polarization in g_sp
+      g_use_cross_weight              => true,
+      g_sp_cross_subband_weight_gain  => 0.5,  -- subband weight normalized gain, for cross polarization of g_sp
+      g_sp_cross_subband_weight_phase => -10.0,  -- subband weight phase rotation in degrees, for cross polarization of g_sp
+      g_read_all_SST                  => true  -- when FALSE only read SST for g_subband, to save sim time
+    );
 
 end tb;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full/lofar2_unb2c_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full/lofar2_unb2c_sdp_station_full.vhd
index 4e029c5caf..2fcf73f043 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full/lofar2_unb2c_sdp_station_full.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full/lofar2_unb2c_sdp_station_full.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_full is
   generic (
@@ -83,7 +83,7 @@ entity lofar2_unb2c_sdp_station_full is
     RING_1_RX    : in    std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -107,59 +107,59 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full_wg/lofar2_unb2c_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full_wg/lofar2_unb2c_sdp_station_full_wg.vhd
index 4997f42d39..21bcab4159 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full_wg/lofar2_unb2c_sdp_station_full_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_full_wg/lofar2_unb2c_sdp_station_full_wg.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_full_wg is
   generic (
@@ -90,51 +90,51 @@ architecture str of lofar2_unb2c_sdp_station_full_wg is
 begin
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/lofar2_unb2c_sdp_station_xsub_one.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/lofar2_unb2c_sdp_station_xsub_one.vhd
index 1941049c49..21da4d445a 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/lofar2_unb2c_sdp_station_xsub_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/lofar2_unb2c_sdp_station_xsub_one.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_xsub_one is
   generic (
@@ -67,7 +67,7 @@ entity lofar2_unb2c_sdp_station_xsub_one is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -91,43 +91,43 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one.vhd
index 6cf991c91b..ec40834d66 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one.vhd
@@ -47,19 +47,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_xsub_one is
 end tb_lofar2_unb2c_sdp_station_xsub_one;
@@ -169,45 +169,45 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_xsub_one : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_xsub_one",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
-    g_scope_selected_subband => natural(c_subband_sp)
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_xsub_one",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync,
+      g_scope_selected_subband => natural(c_subband_sp)
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one_xst_offload.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one_xst_offload.vhd
index 56f2437d8d..32dc5d6f30 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one_xst_offload.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_one/tb_lofar2_unb2c_sdp_station_xsub_one_xst_offload.vhd
@@ -52,19 +52,19 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, lofar2_unb2c_sdp_station_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station_xsub_one_xst_offload is
   generic (
@@ -208,44 +208,44 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2c_sdp_station_xsub_one : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_xsub_one",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- LEDs
-    QSFP_LED     => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF => jesd204b_sysref,
-    JESD204B_SYNC_N => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_xsub_one",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_bsn_nof_clk_per_sync   => c_nof_clk_per_sync
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- LEDs
+      QSFP_LED     => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF => jesd204b_sysref,
+      JESD204B_SYNC_N => jesd204b_sync_n
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
@@ -363,38 +363,38 @@ begin
       g_runtime_timeout     => c_eth_runtime_timeout,
       g_check_nof_valid     => true,
       g_check_nof_valid_ref => c_eth_check_nof_valid
-   )
-  port map (
-    eth_serial_in => eth_txp(0),
-    eth_src_out   => eth_rx_sosi,
-    tb_end        => eth_done
-  );
+    )
+    port map (
+      eth_serial_in => eth_txp(0),
+      eth_src_out   => eth_rx_sosi,
+      tb_end        => eth_done
+    );
 
   eth_rx_data <= eth_rx_sosi.data(c_32 - 1 downto 0);
 
   -- . View / verify XST packet header
   u_rx_statistics : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_word_w,
-    g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
-    g_remove_crc          => true,
-    g_crc_nof_words       => 1
-  )
-  port map (
-    mm_rst                => pps_rst,
-    mm_clk                => tb_clk,
-
-    dp_rst                => pps_rst,
-    dp_clk                => eth_clk(0),
-
-    snk_in_arr(0)         => eth_rx_sosi,
-
-    src_out_arr(0)        => rx_offload_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_word_w,
+      g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
+      g_remove_crc          => true,
+      g_crc_nof_words       => 1
+    )
+    port map (
+      mm_rst                => pps_rst,
+      mm_clk                => tb_clk,
+
+      dp_rst                => pps_rst,
+      dp_clk                => eth_clk(0),
+
+      snk_in_arr(0)         => eth_rx_sosi,
+
+      src_out_arr(0)        => rx_offload_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   rx_sdp_stat_header <= func_sdp_map_stat_header(rx_hdr_fields_raw);
 
@@ -416,10 +416,10 @@ begin
         case rx_word_cnt is
           when 0 => rx_sdp_stat_data <= rx_offload_sosi.data(c_32 - 1 downto 0);
           when 1 => rx_sdp_stat_re   <= rx_sdp_stat_data & rx_offload_sosi.data(c_32 - 1 downto 0);
-                    rx_sdp_stat_re_val <= '1';
+            rx_sdp_stat_re_val <= '1';
           when 2 => rx_sdp_stat_data <= rx_offload_sosi.data(c_32 - 1 downto 0);
           when 3 => rx_sdp_stat_im   <= rx_sdp_stat_data & rx_offload_sosi.data(c_32 - 1 downto 0);
-                    rx_sdp_stat_im_val <= '1';
+            rx_sdp_stat_im_val <= '1';
           when others => null;
         end case;
       end if;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_ring/lofar2_unb2c_sdp_station_xsub_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_ring/lofar2_unb2c_sdp_station_xsub_ring.vhd
index 57fc97484c..53f9c35778 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_ring/lofar2_unb2c_sdp_station_xsub_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/lofar2_unb2c_sdp_station_xsub_ring/lofar2_unb2c_sdp_station_xsub_ring.vhd
@@ -27,13 +27,13 @@
 
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity lofar2_unb2c_sdp_station_xsub_ring is
   generic (
@@ -83,7 +83,7 @@ entity lofar2_unb2c_sdp_station_xsub_ring is
     RING_1_RX    : in    std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2c_board_tr_qsfp.bus_w - 1 downto 0);
 
-     -- back transceivers (note only 12 are used in unb2c)
+    -- back transceivers (note only 12 are used in unb2c)
     BCK_RX       : in    std_logic_vector(c_unb2c_board_nof_tr_jesd204b - 1 downto 0);  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -107,59 +107,59 @@ begin
   JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b - 1 downto 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b - 1 downto 0);
 
   u_revision : entity lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- front transceivers QSFP0 for Ring.
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-
-    -- front transceivers QSFP1 for 10GbE output to CEP.
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    -- LEDs
-    QSFP_LED     => QSFP_LED,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK        => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF        => JESD204B_SYSREF,
-    JESD204B_SYNC_N        => jesd204b_sync_n_arr
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- front transceivers QSFP0 for Ring.
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+
+      -- front transceivers QSFP1 for 10GbE output to CEP.
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      -- LEDs
+      QSFP_LED     => QSFP_LED,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA   => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK        => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF        => JESD204B_SYSREF,
+      JESD204B_SYNC_N        => jesd204b_sync_n_arr
+    );
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd
index 19bc94fc79..7804992c28 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd
@@ -27,20 +27,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, wpfb_lib, lofar2_sdp_lib, tech_pll_lib, nw_10gbe_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.lofar2_unb2c_sdp_station_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.lofar2_unb2c_sdp_station_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 
 entity lofar2_unb2c_sdp_station is
@@ -97,9 +97,9 @@ entity lofar2_unb2c_sdp_station is
     -- LEDs
     QSFP_LED     : out   std_logic_vector(c_unb2c_board_tr_qsfp_nof_leds - 1 downto 0);
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector(c_sdp_S_pn - 1 downto 0) := (others => '0');  -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic := '0';  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -475,297 +475,297 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2c_board_lib.ctrl_unb2c_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2c_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range,
-    g_dp_clk_freq             => c_unb2c_board_ext_clk_freq_200M,
-    g_dp_clk_use_pll          => false,
-    g_udp_offload             => true,
-    g_udp_offload_nof_streams => c_eth_nof_udp_ports
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_copi,
-    reg_remu_miso            => reg_remu_cipo,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_copi,
-    reg_dpmm_data_miso       => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_copi,
-    reg_mmdp_data_miso       => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_copi,
-    reg_epcs_miso            => reg_epcs_cipo,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_copi,
-    reg_wdi_miso             => reg_wdi_cipo,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_copi,
-    reg_unb_system_info_miso => reg_unb_system_info_cipo,
-    rom_unb_system_info_mosi => rom_unb_system_info_copi,
-    rom_unb_system_info_miso => rom_unb_system_info_cipo,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_copi,
-    reg_ppsh_miso            => reg_ppsh_cipo,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_copi,
-    eth1g_tse_miso           => eth1g_tse_cipo,
-    eth1g_reg_mosi           => eth1g_reg_copi,
-    eth1g_reg_miso           => eth1g_reg_cipo,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_copi,
-    eth1g_ram_miso           => eth1g_ram_cipo,
-
-    -- eth1g UDP streaming
-    udp_tx_sosi_arr          => udp_tx_sosi_arr,
-    udp_tx_siso_arr          => udp_tx_siso_arr,
-
-    ram_scrap_mosi           => ram_scrap_copi,
-    ram_scrap_miso           => ram_scrap_cipo,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-
-    -- . 1GbE Control Interface
-    ETH_CLK                  => ETH_CLK(0),
-    ETH_SGIN                 => ETH_SGIN(0),
-    ETH_SGOUT                => ETH_SGOUT(0)
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2c_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range,
+      g_dp_clk_freq             => c_unb2c_board_ext_clk_freq_200M,
+      g_dp_clk_use_pll          => false,
+      g_udp_offload             => true,
+      g_udp_offload_nof_streams => c_eth_nof_udp_ports
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- Can be external 200MHz, or PLL generated
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_copi,
+      reg_remu_miso            => reg_remu_cipo,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_copi,
+      reg_dpmm_data_miso       => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_cipo,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_copi,
+      reg_mmdp_data_miso       => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_cipo,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_copi,
+      reg_epcs_miso            => reg_epcs_cipo,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_copi,
+      reg_wdi_miso             => reg_wdi_cipo,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_copi,
+      reg_unb_system_info_miso => reg_unb_system_info_cipo,
+      rom_unb_system_info_mosi => rom_unb_system_info_copi,
+      rom_unb_system_info_miso => rom_unb_system_info_cipo,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_cipo,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_copi,
+      reg_ppsh_miso            => reg_ppsh_cipo,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_copi,
+      eth1g_tse_miso           => eth1g_tse_cipo,
+      eth1g_reg_mosi           => eth1g_reg_copi,
+      eth1g_reg_miso           => eth1g_reg_cipo,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_copi,
+      eth1g_ram_miso           => eth1g_ram_cipo,
+
+      -- eth1g UDP streaming
+      udp_tx_sosi_arr          => udp_tx_sosi_arr,
+      udp_tx_siso_arr          => udp_tx_siso_arr,
+
+      ram_scrap_mosi           => ram_scrap_copi,
+      ram_scrap_miso           => ram_scrap_cipo,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+
+      -- . 1GbE Control Interface
+      ETH_CLK                  => ETH_CLK(0),
+      ETH_SGIN                 => ETH_SGIN(0),
+      ETH_SGOUT                => ETH_SGOUT(0)
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_lofar2_unb2c_sdp_station
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- mm interfaces for control
-    reg_wdi_copi                => reg_wdi_copi,
-    reg_wdi_cipo                => reg_wdi_cipo,
-    reg_unb_system_info_copi    => reg_unb_system_info_copi,
-    reg_unb_system_info_cipo    => reg_unb_system_info_cipo,
-    rom_unb_system_info_copi    => rom_unb_system_info_copi,
-    rom_unb_system_info_cipo    => rom_unb_system_info_cipo,
-    reg_fpga_temp_sens_copi     => reg_fpga_temp_sens_copi,
-    reg_fpga_temp_sens_cipo     => reg_fpga_temp_sens_cipo,
-    reg_fpga_voltage_sens_copi  => reg_fpga_voltage_sens_copi,
-    reg_fpga_voltage_sens_cipo  => reg_fpga_voltage_sens_cipo,
-    reg_ppsh_copi               => reg_ppsh_copi,
-    reg_ppsh_cipo               => reg_ppsh_cipo,
-    eth1g_mm_rst                => eth1g_mm_rst,
-    eth1g_tse_copi              => eth1g_tse_copi,
-    eth1g_tse_cipo              => eth1g_tse_cipo,
-    eth1g_reg_copi              => eth1g_reg_copi,
-    eth1g_reg_cipo              => eth1g_reg_cipo,
-    eth1g_reg_interrupt         => eth1g_reg_interrupt,
-    eth1g_ram_copi              => eth1g_ram_copi,
-    eth1g_ram_cipo              => eth1g_ram_cipo,
-    reg_dpmm_data_copi          => reg_dpmm_data_copi,
-    reg_dpmm_data_cipo          => reg_dpmm_data_cipo,
-    reg_dpmm_ctrl_copi          => reg_dpmm_ctrl_copi,
-    reg_dpmm_ctrl_cipo          => reg_dpmm_ctrl_cipo,
-    reg_mmdp_data_copi          => reg_mmdp_data_copi,
-    reg_mmdp_data_cipo          => reg_mmdp_data_cipo,
-    reg_mmdp_ctrl_copi          => reg_mmdp_ctrl_copi,
-    reg_mmdp_ctrl_cipo          => reg_mmdp_ctrl_cipo,
-    reg_epcs_copi               => reg_epcs_copi,
-    reg_epcs_cipo               => reg_epcs_cipo,
-    reg_remu_copi               => reg_remu_copi,
-    reg_remu_cipo               => reg_remu_cipo,
-
-    -- mm buses for signal flow blocks
-    -- Jesd ip status/control
-    jesd204b_copi                                => jesd204b_copi,
-    jesd204b_cipo                                => jesd204b_cipo,
-    jesd_ctrl_copi                               => jesd_ctrl_copi,
-    jesd_ctrl_cipo                               => jesd_ctrl_cipo,
-    reg_dp_shiftram_copi                         => reg_dp_shiftram_copi,
-    reg_dp_shiftram_cipo                         => reg_dp_shiftram_cipo,
-    reg_bsn_source_v2_copi                       => reg_bsn_source_v2_copi,
-    reg_bsn_source_v2_cipo                       => reg_bsn_source_v2_cipo,
-    reg_bsn_scheduler_copi                       => reg_bsn_scheduler_wg_copi,
-    reg_bsn_scheduler_cipo                       => reg_bsn_scheduler_wg_cipo,
-    reg_wg_copi                                  => reg_wg_copi,
-    reg_wg_cipo                                  => reg_wg_cipo,
-    ram_wg_copi                                  => ram_wg_copi,
-    ram_wg_cipo                                  => ram_wg_cipo,
-    reg_bsn_monitor_input_copi                   => reg_bsn_monitor_input_copi,
-    reg_bsn_monitor_input_cipo                   => reg_bsn_monitor_input_cipo,
-    ram_diag_data_buf_bsn_copi                   => ram_diag_data_buf_bsn_copi,
-    ram_diag_data_buf_bsn_cipo                   => ram_diag_data_buf_bsn_cipo,
-    reg_diag_data_buf_bsn_copi                   => reg_diag_data_buf_bsn_copi,
-    reg_diag_data_buf_bsn_cipo                   => reg_diag_data_buf_bsn_cipo,
-    ram_st_histogram_copi                        => ram_st_histogram_copi,
-    ram_st_histogram_cipo                        => ram_st_histogram_cipo,
-    reg_aduh_monitor_copi                        => reg_aduh_monitor_copi,
-    reg_aduh_monitor_cipo                        => reg_aduh_monitor_cipo,
-    ram_st_sst_copi                              => ram_st_sst_copi,
-    ram_st_sst_cipo                              => ram_st_sst_cipo,
-    ram_fil_coefs_copi                           => ram_fil_coefs_copi,
-    ram_fil_coefs_cipo                           => ram_fil_coefs_cipo,
-    reg_si_copi                                  => reg_si_copi,
-    reg_si_cipo                                  => reg_si_cipo,
-    ram_equalizer_gains_copi                     => ram_equalizer_gains_copi,
-    ram_equalizer_gains_cipo                     => ram_equalizer_gains_cipo,
-    ram_equalizer_gains_cross_copi               => ram_equalizer_gains_cross_copi,
-    ram_equalizer_gains_cross_cipo               => ram_equalizer_gains_cross_cipo,
-    reg_dp_selector_copi                         => reg_dp_selector_copi,
-    reg_dp_selector_cipo                         => reg_dp_selector_cipo,
-    reg_sdp_info_copi                            => reg_sdp_info_copi,
-    reg_sdp_info_cipo                            => reg_sdp_info_cipo,
-    reg_ring_info_copi                           => reg_ring_info_copi,
-    reg_ring_info_cipo                           => reg_ring_info_cipo,
-    ram_ss_ss_wide_copi                          => ram_ss_ss_wide_copi,
-    ram_ss_ss_wide_cipo                          => ram_ss_ss_wide_cipo,
-    ram_bf_weights_copi                          => ram_bf_weights_copi,
-    ram_bf_weights_cipo                          => ram_bf_weights_cipo,
-    reg_bf_scale_copi                            => reg_bf_scale_copi,
-    reg_bf_scale_cipo                            => reg_bf_scale_cipo,
-    reg_hdr_dat_copi                             => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo                             => reg_hdr_dat_cipo,
-    reg_dp_xonoff_copi                           => reg_dp_xonoff_copi,
-    reg_dp_xonoff_cipo                           => reg_dp_xonoff_cipo,
-    ram_st_bst_copi                              => ram_st_bst_copi,
-    ram_st_bst_cipo                              => ram_st_bst_cipo,
-    reg_bsn_align_v2_bf_copi                     => reg_bsn_align_v2_bf_copi,
-    reg_bsn_align_v2_bf_cipo                     => reg_bsn_align_v2_bf_cipo,
-    reg_bsn_monitor_v2_rx_align_bf_copi          => reg_bsn_monitor_v2_rx_align_bf_copi,
-    reg_bsn_monitor_v2_rx_align_bf_cipo          => reg_bsn_monitor_v2_rx_align_bf_cipo,
-    reg_bsn_monitor_v2_aligned_bf_copi           => reg_bsn_monitor_v2_aligned_bf_copi,
-    reg_bsn_monitor_v2_aligned_bf_cipo           => reg_bsn_monitor_v2_aligned_bf_cipo,
-    reg_ring_lane_info_bf_copi                   => reg_ring_lane_info_bf_copi,
-    reg_ring_lane_info_bf_cipo                   => reg_ring_lane_info_bf_cipo,
-    reg_bsn_monitor_v2_ring_rx_bf_copi           => reg_bsn_monitor_v2_ring_rx_bf_copi,
-    reg_bsn_monitor_v2_ring_rx_bf_cipo           => reg_bsn_monitor_v2_ring_rx_bf_cipo,
-    reg_bsn_monitor_v2_ring_tx_bf_copi           => reg_bsn_monitor_v2_ring_tx_bf_copi,
-    reg_bsn_monitor_v2_ring_tx_bf_cipo           => reg_bsn_monitor_v2_ring_tx_bf_cipo,
-    reg_dp_block_validate_err_bf_copi            => reg_dp_block_validate_err_bf_copi,
-    reg_dp_block_validate_err_bf_cipo            => reg_dp_block_validate_err_bf_cipo,
-    reg_dp_block_validate_bsn_at_sync_bf_copi    => reg_dp_block_validate_bsn_at_sync_bf_copi,
-    reg_dp_block_validate_bsn_at_sync_bf_cipo    => reg_dp_block_validate_bsn_at_sync_bf_cipo,
-    reg_nw_10GbE_mac_copi                        => reg_nw_10GbE_mac_copi,
-    reg_nw_10GbE_mac_cipo                        => reg_nw_10GbE_mac_cipo,
-    reg_nw_10GbE_eth10g_copi                     => reg_nw_10GbE_eth10g_copi,
-    reg_nw_10GbE_eth10g_cipo                     => reg_nw_10GbE_eth10g_cipo,
-    ram_scrap_copi                               => ram_scrap_copi,
-    ram_scrap_cipo                               => ram_scrap_cipo,
-    reg_stat_enable_sst_copi                     => reg_stat_enable_sst_copi,
-    reg_stat_enable_sst_cipo                     => reg_stat_enable_sst_cipo,
-    reg_stat_hdr_dat_sst_copi                    => reg_stat_hdr_dat_sst_copi,
-    reg_stat_hdr_dat_sst_cipo                    => reg_stat_hdr_dat_sst_cipo,
-    reg_stat_enable_xst_copi                     => reg_stat_enable_xst_copi,
-    reg_stat_enable_xst_cipo                     => reg_stat_enable_xst_cipo,
-    reg_stat_hdr_dat_xst_copi                    => reg_stat_hdr_dat_xst_copi,
-    reg_stat_hdr_dat_xst_cipo                    => reg_stat_hdr_dat_xst_cipo,
-    reg_stat_enable_bst_copi                     => reg_stat_enable_bst_copi,
-    reg_stat_enable_bst_cipo                     => reg_stat_enable_bst_cipo,
-    reg_stat_hdr_dat_bst_copi                    => reg_stat_hdr_dat_bst_copi,
-    reg_stat_hdr_dat_bst_cipo                    => reg_stat_hdr_dat_bst_cipo,
-    reg_crosslets_info_copi                      => reg_crosslets_info_copi,
-    reg_crosslets_info_cipo                      => reg_crosslets_info_cipo,
-    reg_nof_crosslets_copi                       => reg_nof_crosslets_copi,
-    reg_nof_crosslets_cipo                       => reg_nof_crosslets_cipo,
-    reg_bsn_sync_scheduler_xsub_copi             => reg_bsn_sync_scheduler_xsub_copi,
-    reg_bsn_sync_scheduler_xsub_cipo             => reg_bsn_sync_scheduler_xsub_cipo,
-    reg_bsn_align_v2_xsub_copi                   => reg_bsn_align_v2_xsub_copi,
-    reg_bsn_align_v2_xsub_cipo                   => reg_bsn_align_v2_xsub_cipo,
-    reg_bsn_monitor_v2_rx_align_xsub_copi        => reg_bsn_monitor_v2_rx_align_xsub_copi,
-    reg_bsn_monitor_v2_rx_align_xsub_cipo        => reg_bsn_monitor_v2_rx_align_xsub_cipo,
-    reg_bsn_monitor_v2_aligned_xsub_copi         => reg_bsn_monitor_v2_aligned_xsub_copi,
-    reg_bsn_monitor_v2_aligned_xsub_cipo         => reg_bsn_monitor_v2_aligned_xsub_cipo,
-    reg_bsn_monitor_v2_xst_offload_copi          => reg_bsn_monitor_v2_xst_offload_copi,
-    reg_bsn_monitor_v2_xst_offload_cipo          => reg_bsn_monitor_v2_xst_offload_cipo,
-    reg_bsn_monitor_v2_bst_offload_copi          => reg_bsn_monitor_v2_bst_offload_copi,
-    reg_bsn_monitor_v2_bst_offload_cipo          => reg_bsn_monitor_v2_bst_offload_cipo,
-    reg_bsn_monitor_v2_beamlet_output_copi       => reg_bsn_monitor_v2_beamlet_output_copi,
-    reg_bsn_monitor_v2_beamlet_output_cipo       => reg_bsn_monitor_v2_beamlet_output_cipo,
-    reg_bsn_monitor_v2_sst_offload_copi          => reg_bsn_monitor_v2_sst_offload_copi,
-    reg_bsn_monitor_v2_sst_offload_cipo          => reg_bsn_monitor_v2_sst_offload_cipo,
-    reg_ring_lane_info_xst_copi                  => reg_ring_lane_info_xst_copi,
-    reg_ring_lane_info_xst_cipo                  => reg_ring_lane_info_xst_cipo,
-    reg_bsn_monitor_v2_ring_rx_xst_copi          => reg_bsn_monitor_v2_ring_rx_xst_copi,
-    reg_bsn_monitor_v2_ring_rx_xst_cipo          => reg_bsn_monitor_v2_ring_rx_xst_cipo,
-    reg_bsn_monitor_v2_ring_tx_xst_copi          => reg_bsn_monitor_v2_ring_tx_xst_copi,
-    reg_bsn_monitor_v2_ring_tx_xst_cipo          => reg_bsn_monitor_v2_ring_tx_xst_cipo,
-    reg_dp_block_validate_err_xst_copi           => reg_dp_block_validate_err_xst_copi,
-    reg_dp_block_validate_err_xst_cipo           => reg_dp_block_validate_err_xst_cipo,
-    reg_dp_block_validate_bsn_at_sync_xst_copi   => reg_dp_block_validate_bsn_at_sync_xst_copi,
-    reg_dp_block_validate_bsn_at_sync_xst_cipo   => reg_dp_block_validate_bsn_at_sync_xst_cipo,
-    reg_tr_10GbE_mac_copi                        => reg_tr_10GbE_mac_copi,
-    reg_tr_10GbE_mac_cipo                        => reg_tr_10GbE_mac_cipo,
-    reg_tr_10GbE_eth10g_copi                     => reg_tr_10GbE_eth10g_copi,
-    reg_tr_10GbE_eth10g_cipo                     => reg_tr_10GbE_eth10g_cipo,
-    ram_st_xsq_copi                              => ram_st_xsq_copi,
-    ram_st_xsq_cipo                              => ram_st_xsq_cipo
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- mm interfaces for control
+      reg_wdi_copi                => reg_wdi_copi,
+      reg_wdi_cipo                => reg_wdi_cipo,
+      reg_unb_system_info_copi    => reg_unb_system_info_copi,
+      reg_unb_system_info_cipo    => reg_unb_system_info_cipo,
+      rom_unb_system_info_copi    => rom_unb_system_info_copi,
+      rom_unb_system_info_cipo    => rom_unb_system_info_cipo,
+      reg_fpga_temp_sens_copi     => reg_fpga_temp_sens_copi,
+      reg_fpga_temp_sens_cipo     => reg_fpga_temp_sens_cipo,
+      reg_fpga_voltage_sens_copi  => reg_fpga_voltage_sens_copi,
+      reg_fpga_voltage_sens_cipo  => reg_fpga_voltage_sens_cipo,
+      reg_ppsh_copi               => reg_ppsh_copi,
+      reg_ppsh_cipo               => reg_ppsh_cipo,
+      eth1g_mm_rst                => eth1g_mm_rst,
+      eth1g_tse_copi              => eth1g_tse_copi,
+      eth1g_tse_cipo              => eth1g_tse_cipo,
+      eth1g_reg_copi              => eth1g_reg_copi,
+      eth1g_reg_cipo              => eth1g_reg_cipo,
+      eth1g_reg_interrupt         => eth1g_reg_interrupt,
+      eth1g_ram_copi              => eth1g_ram_copi,
+      eth1g_ram_cipo              => eth1g_ram_cipo,
+      reg_dpmm_data_copi          => reg_dpmm_data_copi,
+      reg_dpmm_data_cipo          => reg_dpmm_data_cipo,
+      reg_dpmm_ctrl_copi          => reg_dpmm_ctrl_copi,
+      reg_dpmm_ctrl_cipo          => reg_dpmm_ctrl_cipo,
+      reg_mmdp_data_copi          => reg_mmdp_data_copi,
+      reg_mmdp_data_cipo          => reg_mmdp_data_cipo,
+      reg_mmdp_ctrl_copi          => reg_mmdp_ctrl_copi,
+      reg_mmdp_ctrl_cipo          => reg_mmdp_ctrl_cipo,
+      reg_epcs_copi               => reg_epcs_copi,
+      reg_epcs_cipo               => reg_epcs_cipo,
+      reg_remu_copi               => reg_remu_copi,
+      reg_remu_cipo               => reg_remu_cipo,
+
+      -- mm buses for signal flow blocks
+      -- Jesd ip status/control
+      jesd204b_copi                                => jesd204b_copi,
+      jesd204b_cipo                                => jesd204b_cipo,
+      jesd_ctrl_copi                               => jesd_ctrl_copi,
+      jesd_ctrl_cipo                               => jesd_ctrl_cipo,
+      reg_dp_shiftram_copi                         => reg_dp_shiftram_copi,
+      reg_dp_shiftram_cipo                         => reg_dp_shiftram_cipo,
+      reg_bsn_source_v2_copi                       => reg_bsn_source_v2_copi,
+      reg_bsn_source_v2_cipo                       => reg_bsn_source_v2_cipo,
+      reg_bsn_scheduler_copi                       => reg_bsn_scheduler_wg_copi,
+      reg_bsn_scheduler_cipo                       => reg_bsn_scheduler_wg_cipo,
+      reg_wg_copi                                  => reg_wg_copi,
+      reg_wg_cipo                                  => reg_wg_cipo,
+      ram_wg_copi                                  => ram_wg_copi,
+      ram_wg_cipo                                  => ram_wg_cipo,
+      reg_bsn_monitor_input_copi                   => reg_bsn_monitor_input_copi,
+      reg_bsn_monitor_input_cipo                   => reg_bsn_monitor_input_cipo,
+      ram_diag_data_buf_bsn_copi                   => ram_diag_data_buf_bsn_copi,
+      ram_diag_data_buf_bsn_cipo                   => ram_diag_data_buf_bsn_cipo,
+      reg_diag_data_buf_bsn_copi                   => reg_diag_data_buf_bsn_copi,
+      reg_diag_data_buf_bsn_cipo                   => reg_diag_data_buf_bsn_cipo,
+      ram_st_histogram_copi                        => ram_st_histogram_copi,
+      ram_st_histogram_cipo                        => ram_st_histogram_cipo,
+      reg_aduh_monitor_copi                        => reg_aduh_monitor_copi,
+      reg_aduh_monitor_cipo                        => reg_aduh_monitor_cipo,
+      ram_st_sst_copi                              => ram_st_sst_copi,
+      ram_st_sst_cipo                              => ram_st_sst_cipo,
+      ram_fil_coefs_copi                           => ram_fil_coefs_copi,
+      ram_fil_coefs_cipo                           => ram_fil_coefs_cipo,
+      reg_si_copi                                  => reg_si_copi,
+      reg_si_cipo                                  => reg_si_cipo,
+      ram_equalizer_gains_copi                     => ram_equalizer_gains_copi,
+      ram_equalizer_gains_cipo                     => ram_equalizer_gains_cipo,
+      ram_equalizer_gains_cross_copi               => ram_equalizer_gains_cross_copi,
+      ram_equalizer_gains_cross_cipo               => ram_equalizer_gains_cross_cipo,
+      reg_dp_selector_copi                         => reg_dp_selector_copi,
+      reg_dp_selector_cipo                         => reg_dp_selector_cipo,
+      reg_sdp_info_copi                            => reg_sdp_info_copi,
+      reg_sdp_info_cipo                            => reg_sdp_info_cipo,
+      reg_ring_info_copi                           => reg_ring_info_copi,
+      reg_ring_info_cipo                           => reg_ring_info_cipo,
+      ram_ss_ss_wide_copi                          => ram_ss_ss_wide_copi,
+      ram_ss_ss_wide_cipo                          => ram_ss_ss_wide_cipo,
+      ram_bf_weights_copi                          => ram_bf_weights_copi,
+      ram_bf_weights_cipo                          => ram_bf_weights_cipo,
+      reg_bf_scale_copi                            => reg_bf_scale_copi,
+      reg_bf_scale_cipo                            => reg_bf_scale_cipo,
+      reg_hdr_dat_copi                             => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo                             => reg_hdr_dat_cipo,
+      reg_dp_xonoff_copi                           => reg_dp_xonoff_copi,
+      reg_dp_xonoff_cipo                           => reg_dp_xonoff_cipo,
+      ram_st_bst_copi                              => ram_st_bst_copi,
+      ram_st_bst_cipo                              => ram_st_bst_cipo,
+      reg_bsn_align_v2_bf_copi                     => reg_bsn_align_v2_bf_copi,
+      reg_bsn_align_v2_bf_cipo                     => reg_bsn_align_v2_bf_cipo,
+      reg_bsn_monitor_v2_rx_align_bf_copi          => reg_bsn_monitor_v2_rx_align_bf_copi,
+      reg_bsn_monitor_v2_rx_align_bf_cipo          => reg_bsn_monitor_v2_rx_align_bf_cipo,
+      reg_bsn_monitor_v2_aligned_bf_copi           => reg_bsn_monitor_v2_aligned_bf_copi,
+      reg_bsn_monitor_v2_aligned_bf_cipo           => reg_bsn_monitor_v2_aligned_bf_cipo,
+      reg_ring_lane_info_bf_copi                   => reg_ring_lane_info_bf_copi,
+      reg_ring_lane_info_bf_cipo                   => reg_ring_lane_info_bf_cipo,
+      reg_bsn_monitor_v2_ring_rx_bf_copi           => reg_bsn_monitor_v2_ring_rx_bf_copi,
+      reg_bsn_monitor_v2_ring_rx_bf_cipo           => reg_bsn_monitor_v2_ring_rx_bf_cipo,
+      reg_bsn_monitor_v2_ring_tx_bf_copi           => reg_bsn_monitor_v2_ring_tx_bf_copi,
+      reg_bsn_monitor_v2_ring_tx_bf_cipo           => reg_bsn_monitor_v2_ring_tx_bf_cipo,
+      reg_dp_block_validate_err_bf_copi            => reg_dp_block_validate_err_bf_copi,
+      reg_dp_block_validate_err_bf_cipo            => reg_dp_block_validate_err_bf_cipo,
+      reg_dp_block_validate_bsn_at_sync_bf_copi    => reg_dp_block_validate_bsn_at_sync_bf_copi,
+      reg_dp_block_validate_bsn_at_sync_bf_cipo    => reg_dp_block_validate_bsn_at_sync_bf_cipo,
+      reg_nw_10GbE_mac_copi                        => reg_nw_10GbE_mac_copi,
+      reg_nw_10GbE_mac_cipo                        => reg_nw_10GbE_mac_cipo,
+      reg_nw_10GbE_eth10g_copi                     => reg_nw_10GbE_eth10g_copi,
+      reg_nw_10GbE_eth10g_cipo                     => reg_nw_10GbE_eth10g_cipo,
+      ram_scrap_copi                               => ram_scrap_copi,
+      ram_scrap_cipo                               => ram_scrap_cipo,
+      reg_stat_enable_sst_copi                     => reg_stat_enable_sst_copi,
+      reg_stat_enable_sst_cipo                     => reg_stat_enable_sst_cipo,
+      reg_stat_hdr_dat_sst_copi                    => reg_stat_hdr_dat_sst_copi,
+      reg_stat_hdr_dat_sst_cipo                    => reg_stat_hdr_dat_sst_cipo,
+      reg_stat_enable_xst_copi                     => reg_stat_enable_xst_copi,
+      reg_stat_enable_xst_cipo                     => reg_stat_enable_xst_cipo,
+      reg_stat_hdr_dat_xst_copi                    => reg_stat_hdr_dat_xst_copi,
+      reg_stat_hdr_dat_xst_cipo                    => reg_stat_hdr_dat_xst_cipo,
+      reg_stat_enable_bst_copi                     => reg_stat_enable_bst_copi,
+      reg_stat_enable_bst_cipo                     => reg_stat_enable_bst_cipo,
+      reg_stat_hdr_dat_bst_copi                    => reg_stat_hdr_dat_bst_copi,
+      reg_stat_hdr_dat_bst_cipo                    => reg_stat_hdr_dat_bst_cipo,
+      reg_crosslets_info_copi                      => reg_crosslets_info_copi,
+      reg_crosslets_info_cipo                      => reg_crosslets_info_cipo,
+      reg_nof_crosslets_copi                       => reg_nof_crosslets_copi,
+      reg_nof_crosslets_cipo                       => reg_nof_crosslets_cipo,
+      reg_bsn_sync_scheduler_xsub_copi             => reg_bsn_sync_scheduler_xsub_copi,
+      reg_bsn_sync_scheduler_xsub_cipo             => reg_bsn_sync_scheduler_xsub_cipo,
+      reg_bsn_align_v2_xsub_copi                   => reg_bsn_align_v2_xsub_copi,
+      reg_bsn_align_v2_xsub_cipo                   => reg_bsn_align_v2_xsub_cipo,
+      reg_bsn_monitor_v2_rx_align_xsub_copi        => reg_bsn_monitor_v2_rx_align_xsub_copi,
+      reg_bsn_monitor_v2_rx_align_xsub_cipo        => reg_bsn_monitor_v2_rx_align_xsub_cipo,
+      reg_bsn_monitor_v2_aligned_xsub_copi         => reg_bsn_monitor_v2_aligned_xsub_copi,
+      reg_bsn_monitor_v2_aligned_xsub_cipo         => reg_bsn_monitor_v2_aligned_xsub_cipo,
+      reg_bsn_monitor_v2_xst_offload_copi          => reg_bsn_monitor_v2_xst_offload_copi,
+      reg_bsn_monitor_v2_xst_offload_cipo          => reg_bsn_monitor_v2_xst_offload_cipo,
+      reg_bsn_monitor_v2_bst_offload_copi          => reg_bsn_monitor_v2_bst_offload_copi,
+      reg_bsn_monitor_v2_bst_offload_cipo          => reg_bsn_monitor_v2_bst_offload_cipo,
+      reg_bsn_monitor_v2_beamlet_output_copi       => reg_bsn_monitor_v2_beamlet_output_copi,
+      reg_bsn_monitor_v2_beamlet_output_cipo       => reg_bsn_monitor_v2_beamlet_output_cipo,
+      reg_bsn_monitor_v2_sst_offload_copi          => reg_bsn_monitor_v2_sst_offload_copi,
+      reg_bsn_monitor_v2_sst_offload_cipo          => reg_bsn_monitor_v2_sst_offload_cipo,
+      reg_ring_lane_info_xst_copi                  => reg_ring_lane_info_xst_copi,
+      reg_ring_lane_info_xst_cipo                  => reg_ring_lane_info_xst_cipo,
+      reg_bsn_monitor_v2_ring_rx_xst_copi          => reg_bsn_monitor_v2_ring_rx_xst_copi,
+      reg_bsn_monitor_v2_ring_rx_xst_cipo          => reg_bsn_monitor_v2_ring_rx_xst_cipo,
+      reg_bsn_monitor_v2_ring_tx_xst_copi          => reg_bsn_monitor_v2_ring_tx_xst_copi,
+      reg_bsn_monitor_v2_ring_tx_xst_cipo          => reg_bsn_monitor_v2_ring_tx_xst_cipo,
+      reg_dp_block_validate_err_xst_copi           => reg_dp_block_validate_err_xst_copi,
+      reg_dp_block_validate_err_xst_cipo           => reg_dp_block_validate_err_xst_cipo,
+      reg_dp_block_validate_bsn_at_sync_xst_copi   => reg_dp_block_validate_bsn_at_sync_xst_copi,
+      reg_dp_block_validate_bsn_at_sync_xst_cipo   => reg_dp_block_validate_bsn_at_sync_xst_cipo,
+      reg_tr_10GbE_mac_copi                        => reg_tr_10GbE_mac_copi,
+      reg_tr_10GbE_mac_cipo                        => reg_tr_10GbE_mac_cipo,
+      reg_tr_10GbE_eth10g_copi                     => reg_tr_10GbE_eth10g_copi,
+      reg_tr_10GbE_eth10g_cipo                     => reg_tr_10GbE_eth10g_cipo,
+      ram_st_xsq_copi                              => ram_st_xsq_copi,
+      ram_st_xsq_cipo                              => ram_st_xsq_cipo
+    );
 
   -- Use full 8 bit gn_id = ID
   gn_id <= ID;
@@ -774,199 +774,199 @@ begin
   -- sdp nodes
   -----------------------------------------------------------------------------
   u_sdp_station : entity lofar2_sdp_lib.sdp_station
-  generic map (
-    g_sim                    => g_sim,
-    g_wpfb                   => g_wpfb,
-    g_bsn_nof_clk_per_sync   => g_bsn_nof_clk_per_sync,
-    g_scope_selected_subband => g_scope_selected_subband,
-    g_no_jesd                => c_revision_select.no_jesd,
-    g_use_fsub               => c_revision_select.use_fsub,
-    g_use_oversample         => c_revision_select.use_oversample,
-    g_use_xsub               => c_revision_select.use_xsub,
-    g_use_bf                 => c_revision_select.use_bf,
-    g_use_ring               => c_revision_select.use_ring,
-    g_P_sq                   => c_revision_select.P_sq
-  )
-  port map (
-
-    mm_clk => mm_clk,
-    mm_rst => mm_rst,
-
-    dp_pps => dp_pps,
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    gn_id        => gn_id,
-    this_bck_id  => this_bck_id,
-    this_chip_id => this_chip_id,
-
-    SA_CLK => SA_CLK,
-
-    -- jesd204b
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-    JESD204B_SYSREF      => JESD204B_SYSREF,
-    JESD204B_SYNC_N      => JESD204B_SYNC_N,
-
-    -- UDP Offload
-    udp_tx_sosi_arr      =>  udp_tx_sosi_arr,
-    udp_tx_siso_arr      =>  udp_tx_siso_arr,
-
-    -- 10 GbE
-    reg_nw_10GbE_mac_copi       => reg_nw_10GbE_mac_copi,
-    reg_nw_10GbE_mac_cipo       => reg_nw_10GbE_mac_cipo,
-    reg_nw_10GbE_eth10g_copi    => reg_nw_10GbE_eth10g_copi,
-    reg_nw_10GbE_eth10g_cipo    => reg_nw_10GbE_eth10g_cipo,
-
-    -- AIT
-    jesd204b_copi               => jesd204b_copi,
-    jesd204b_cipo               => jesd204b_cipo,
-    jesd_ctrl_copi              => jesd_ctrl_copi,
-    jesd_ctrl_cipo              => jesd_ctrl_cipo,
-    reg_dp_shiftram_copi        => reg_dp_shiftram_copi,
-    reg_dp_shiftram_cipo        => reg_dp_shiftram_cipo,
-    reg_bsn_source_v2_copi      => reg_bsn_source_v2_copi,
-    reg_bsn_source_v2_cipo      => reg_bsn_source_v2_cipo,
-    reg_bsn_scheduler_wg_copi   => reg_bsn_scheduler_wg_copi,
-    reg_bsn_scheduler_wg_cipo   => reg_bsn_scheduler_wg_cipo,
-    reg_wg_copi                 => reg_wg_copi,
-    reg_wg_cipo                 => reg_wg_cipo,
-    ram_wg_copi                 => ram_wg_copi,
-    ram_wg_cipo                 => ram_wg_cipo,
-    reg_bsn_monitor_input_copi  => reg_bsn_monitor_input_copi,
-    reg_bsn_monitor_input_cipo  => reg_bsn_monitor_input_cipo,
-    ram_diag_data_buf_bsn_copi  => ram_diag_data_buf_bsn_copi,
-    ram_diag_data_buf_bsn_cipo  => ram_diag_data_buf_bsn_cipo,
-    reg_diag_data_buf_bsn_copi  => reg_diag_data_buf_bsn_copi,
-    reg_diag_data_buf_bsn_cipo  => reg_diag_data_buf_bsn_cipo,
-    ram_st_histogram_copi       => ram_st_histogram_copi,
-    ram_st_histogram_cipo       => ram_st_histogram_cipo,
-    reg_aduh_monitor_copi       => reg_aduh_monitor_copi,
-    reg_aduh_monitor_cipo       => reg_aduh_monitor_cipo,
-
-    -- FSUB
-    ram_st_sst_copi             => ram_st_sst_copi,
-    ram_st_sst_cipo             => ram_st_sst_cipo,
-    reg_si_copi                 => reg_si_copi,
-    reg_si_cipo                 => reg_si_cipo,
-    ram_fil_coefs_copi          => ram_fil_coefs_copi,
-    ram_fil_coefs_cipo          => ram_fil_coefs_cipo,
-    ram_equalizer_gains_copi    => ram_equalizer_gains_copi,
-    ram_equalizer_gains_cipo    => ram_equalizer_gains_cipo,
-    ram_equalizer_gains_cross_copi => ram_equalizer_gains_cross_copi,
-    ram_equalizer_gains_cross_cipo => ram_equalizer_gains_cross_cipo,
-    reg_dp_selector_copi        => reg_dp_selector_copi,
-    reg_dp_selector_cipo        => reg_dp_selector_cipo,
-
-    -- SDP Info
-    reg_sdp_info_copi           => reg_sdp_info_copi,
-    reg_sdp_info_cipo           => reg_sdp_info_cipo,
-
-    -- RING Info
-    reg_ring_info_copi          => reg_ring_info_copi,
-    reg_ring_info_cipo          => reg_ring_info_cipo,
-
-    -- XSUB
-    reg_crosslets_info_copi     => reg_crosslets_info_copi,
-    reg_crosslets_info_cipo     => reg_crosslets_info_cipo,
-    reg_nof_crosslets_copi      => reg_nof_crosslets_copi,
-    reg_nof_crosslets_cipo      => reg_nof_crosslets_cipo,
-    reg_bsn_sync_scheduler_xsub_copi => reg_bsn_sync_scheduler_xsub_copi,
-    reg_bsn_sync_scheduler_xsub_cipo => reg_bsn_sync_scheduler_xsub_cipo,
-    ram_st_xsq_copi             => ram_st_xsq_copi,
-    ram_st_xsq_cipo             => ram_st_xsq_cipo,
-
-    -- BF
-    ram_ss_ss_wide_copi         => ram_ss_ss_wide_copi,
-    ram_ss_ss_wide_cipo         => ram_ss_ss_wide_cipo,
-    ram_bf_weights_copi         => ram_bf_weights_copi,
-    ram_bf_weights_cipo         => ram_bf_weights_cipo,
-    reg_bf_scale_copi           => reg_bf_scale_copi,
-    reg_bf_scale_cipo           => reg_bf_scale_cipo,
-    reg_hdr_dat_copi            => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo            => reg_hdr_dat_cipo,
-    reg_dp_xonoff_copi          => reg_dp_xonoff_copi,
-    reg_dp_xonoff_cipo          => reg_dp_xonoff_cipo,
-    ram_st_bst_copi             => ram_st_bst_copi,
-    ram_st_bst_cipo             => ram_st_bst_cipo,
-    reg_bsn_align_v2_bf_copi    => reg_bsn_align_v2_bf_copi,
-    reg_bsn_align_v2_bf_cipo    => reg_bsn_align_v2_bf_cipo,
-    reg_bsn_monitor_v2_rx_align_bf_copi => reg_bsn_monitor_v2_rx_align_bf_copi,
-    reg_bsn_monitor_v2_rx_align_bf_cipo => reg_bsn_monitor_v2_rx_align_bf_cipo,
-    reg_bsn_monitor_v2_aligned_bf_copi  => reg_bsn_monitor_v2_aligned_bf_copi,
-    reg_bsn_monitor_v2_aligned_bf_cipo  => reg_bsn_monitor_v2_aligned_bf_cipo,
-    reg_ring_lane_info_bf_copi          => reg_ring_lane_info_bf_copi,
-    reg_ring_lane_info_bf_cipo          => reg_ring_lane_info_bf_cipo,
-    reg_bsn_monitor_v2_ring_rx_bf_copi  => reg_bsn_monitor_v2_ring_rx_bf_copi,
-    reg_bsn_monitor_v2_ring_rx_bf_cipo  => reg_bsn_monitor_v2_ring_rx_bf_cipo,
-    reg_bsn_monitor_v2_ring_tx_bf_copi  => reg_bsn_monitor_v2_ring_tx_bf_copi,
-    reg_bsn_monitor_v2_ring_tx_bf_cipo  => reg_bsn_monitor_v2_ring_tx_bf_cipo,
-    reg_dp_block_validate_err_bf_copi   => reg_dp_block_validate_err_bf_copi,
-    reg_dp_block_validate_err_bf_cipo   => reg_dp_block_validate_err_bf_cipo,
-    reg_dp_block_validate_bsn_at_sync_bf_copi => reg_dp_block_validate_bsn_at_sync_bf_copi,
-    reg_dp_block_validate_bsn_at_sync_bf_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo,
-
-    -- SST
-    reg_stat_enable_sst_copi            => reg_stat_enable_sst_copi,
-    reg_stat_enable_sst_cipo            => reg_stat_enable_sst_cipo,
-    reg_stat_hdr_dat_sst_copi           => reg_stat_hdr_dat_sst_copi,
-    reg_stat_hdr_dat_sst_cipo           => reg_stat_hdr_dat_sst_cipo,
-    reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
-    reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
-
-    -- XST
-    reg_stat_enable_xst_copi    => reg_stat_enable_xst_copi,
-    reg_stat_enable_xst_cipo    => reg_stat_enable_xst_cipo,
-    reg_stat_hdr_dat_xst_copi   => reg_stat_hdr_dat_xst_copi,
-    reg_stat_hdr_dat_xst_cipo   => reg_stat_hdr_dat_xst_cipo,
-
-    reg_bsn_align_v2_xsub_copi                 => reg_bsn_align_v2_xsub_copi,
-    reg_bsn_align_v2_xsub_cipo                 => reg_bsn_align_v2_xsub_cipo,
-    reg_bsn_monitor_v2_rx_align_xsub_copi      => reg_bsn_monitor_v2_rx_align_xsub_copi,
-    reg_bsn_monitor_v2_rx_align_xsub_cipo      => reg_bsn_monitor_v2_rx_align_xsub_cipo,
-    reg_bsn_monitor_v2_aligned_xsub_copi       => reg_bsn_monitor_v2_aligned_xsub_copi,
-    reg_bsn_monitor_v2_aligned_xsub_cipo       => reg_bsn_monitor_v2_aligned_xsub_cipo,
-    reg_bsn_monitor_v2_xst_offload_copi        => reg_bsn_monitor_v2_xst_offload_copi,
-    reg_bsn_monitor_v2_xst_offload_cipo        => reg_bsn_monitor_v2_xst_offload_cipo,
-    reg_ring_lane_info_xst_copi                => reg_ring_lane_info_xst_copi,
-    reg_ring_lane_info_xst_cipo                => reg_ring_lane_info_xst_cipo,
-    reg_bsn_monitor_v2_ring_rx_xst_copi        => reg_bsn_monitor_v2_ring_rx_xst_copi,
-    reg_bsn_monitor_v2_ring_rx_xst_cipo        => reg_bsn_monitor_v2_ring_rx_xst_cipo,
-    reg_bsn_monitor_v2_ring_tx_xst_copi        => reg_bsn_monitor_v2_ring_tx_xst_copi,
-    reg_bsn_monitor_v2_ring_tx_xst_cipo        => reg_bsn_monitor_v2_ring_tx_xst_cipo,
-    reg_dp_block_validate_err_xst_copi         => reg_dp_block_validate_err_xst_copi,
-    reg_dp_block_validate_err_xst_cipo         => reg_dp_block_validate_err_xst_cipo,
-    reg_dp_block_validate_bsn_at_sync_xst_copi => reg_dp_block_validate_bsn_at_sync_xst_copi,
-    reg_dp_block_validate_bsn_at_sync_xst_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo,
-    reg_tr_10GbE_mac_copi                      => reg_tr_10GbE_mac_copi,
-    reg_tr_10GbE_mac_cipo                      => reg_tr_10GbE_mac_cipo,
-    reg_tr_10GbE_eth10g_copi                   => reg_tr_10GbE_eth10g_copi,
-    reg_tr_10GbE_eth10g_cipo                   => reg_tr_10GbE_eth10g_cipo,
-
-    -- BST
-    reg_stat_enable_bst_copi               => reg_stat_enable_bst_copi,
-    reg_stat_enable_bst_cipo               => reg_stat_enable_bst_cipo,
-    reg_stat_hdr_dat_bst_copi              => reg_stat_hdr_dat_bst_copi,
-    reg_stat_hdr_dat_bst_cipo              => reg_stat_hdr_dat_bst_cipo,
-    reg_bsn_monitor_v2_bst_offload_copi    => reg_bsn_monitor_v2_bst_offload_copi,
-    reg_bsn_monitor_v2_bst_offload_cipo    => reg_bsn_monitor_v2_bst_offload_cipo,
-    reg_bsn_monitor_v2_beamlet_output_copi => reg_bsn_monitor_v2_beamlet_output_copi,
-    reg_bsn_monitor_v2_beamlet_output_cipo => reg_bsn_monitor_v2_beamlet_output_cipo,
-
-    RING_0_TX => RING_0_TX,
-    RING_0_RX => RING_0_RX,
-    RING_1_TX => RING_1_TX,
-    RING_1_RX => RING_1_RX,
-
-    -- QSFP serial
-    unb2_board_front_io_serial_tx_arr => unb2_board_front_io_serial_tx_arr,
-    unb2_board_front_io_serial_rx_arr => unb2_board_front_io_serial_rx_arr,
-
-    -- QSFP LEDS
-    unb2_board_qsfp_leds_tx_sosi_arr  => unb2_board_qsfp_leds_tx_sosi_arr,
-    unb2_board_qsfp_leds_tx_siso_arr  => unb2_board_qsfp_leds_tx_siso_arr,
-    unb2_board_qsfp_leds_rx_sosi_arr  => unb2_board_qsfp_leds_rx_sosi_arr
-  );
+    generic map (
+      g_sim                    => g_sim,
+      g_wpfb                   => g_wpfb,
+      g_bsn_nof_clk_per_sync   => g_bsn_nof_clk_per_sync,
+      g_scope_selected_subband => g_scope_selected_subband,
+      g_no_jesd                => c_revision_select.no_jesd,
+      g_use_fsub               => c_revision_select.use_fsub,
+      g_use_oversample         => c_revision_select.use_oversample,
+      g_use_xsub               => c_revision_select.use_xsub,
+      g_use_bf                 => c_revision_select.use_bf,
+      g_use_ring               => c_revision_select.use_ring,
+      g_P_sq                   => c_revision_select.P_sq
+    )
+    port map (
+
+      mm_clk => mm_clk,
+      mm_rst => mm_rst,
+
+      dp_pps => dp_pps,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      gn_id        => gn_id,
+      this_bck_id  => this_bck_id,
+      this_chip_id => this_chip_id,
+
+      SA_CLK => SA_CLK,
+
+      -- jesd204b
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+      JESD204B_SYSREF      => JESD204B_SYSREF,
+      JESD204B_SYNC_N      => JESD204B_SYNC_N,
+
+      -- UDP Offload
+      udp_tx_sosi_arr      =>  udp_tx_sosi_arr,
+      udp_tx_siso_arr      =>  udp_tx_siso_arr,
+
+      -- 10 GbE
+      reg_nw_10GbE_mac_copi       => reg_nw_10GbE_mac_copi,
+      reg_nw_10GbE_mac_cipo       => reg_nw_10GbE_mac_cipo,
+      reg_nw_10GbE_eth10g_copi    => reg_nw_10GbE_eth10g_copi,
+      reg_nw_10GbE_eth10g_cipo    => reg_nw_10GbE_eth10g_cipo,
+
+      -- AIT
+      jesd204b_copi               => jesd204b_copi,
+      jesd204b_cipo               => jesd204b_cipo,
+      jesd_ctrl_copi              => jesd_ctrl_copi,
+      jesd_ctrl_cipo              => jesd_ctrl_cipo,
+      reg_dp_shiftram_copi        => reg_dp_shiftram_copi,
+      reg_dp_shiftram_cipo        => reg_dp_shiftram_cipo,
+      reg_bsn_source_v2_copi      => reg_bsn_source_v2_copi,
+      reg_bsn_source_v2_cipo      => reg_bsn_source_v2_cipo,
+      reg_bsn_scheduler_wg_copi   => reg_bsn_scheduler_wg_copi,
+      reg_bsn_scheduler_wg_cipo   => reg_bsn_scheduler_wg_cipo,
+      reg_wg_copi                 => reg_wg_copi,
+      reg_wg_cipo                 => reg_wg_cipo,
+      ram_wg_copi                 => ram_wg_copi,
+      ram_wg_cipo                 => ram_wg_cipo,
+      reg_bsn_monitor_input_copi  => reg_bsn_monitor_input_copi,
+      reg_bsn_monitor_input_cipo  => reg_bsn_monitor_input_cipo,
+      ram_diag_data_buf_bsn_copi  => ram_diag_data_buf_bsn_copi,
+      ram_diag_data_buf_bsn_cipo  => ram_diag_data_buf_bsn_cipo,
+      reg_diag_data_buf_bsn_copi  => reg_diag_data_buf_bsn_copi,
+      reg_diag_data_buf_bsn_cipo  => reg_diag_data_buf_bsn_cipo,
+      ram_st_histogram_copi       => ram_st_histogram_copi,
+      ram_st_histogram_cipo       => ram_st_histogram_cipo,
+      reg_aduh_monitor_copi       => reg_aduh_monitor_copi,
+      reg_aduh_monitor_cipo       => reg_aduh_monitor_cipo,
+
+      -- FSUB
+      ram_st_sst_copi             => ram_st_sst_copi,
+      ram_st_sst_cipo             => ram_st_sst_cipo,
+      reg_si_copi                 => reg_si_copi,
+      reg_si_cipo                 => reg_si_cipo,
+      ram_fil_coefs_copi          => ram_fil_coefs_copi,
+      ram_fil_coefs_cipo          => ram_fil_coefs_cipo,
+      ram_equalizer_gains_copi    => ram_equalizer_gains_copi,
+      ram_equalizer_gains_cipo    => ram_equalizer_gains_cipo,
+      ram_equalizer_gains_cross_copi => ram_equalizer_gains_cross_copi,
+      ram_equalizer_gains_cross_cipo => ram_equalizer_gains_cross_cipo,
+      reg_dp_selector_copi        => reg_dp_selector_copi,
+      reg_dp_selector_cipo        => reg_dp_selector_cipo,
+
+      -- SDP Info
+      reg_sdp_info_copi           => reg_sdp_info_copi,
+      reg_sdp_info_cipo           => reg_sdp_info_cipo,
+
+      -- RING Info
+      reg_ring_info_copi          => reg_ring_info_copi,
+      reg_ring_info_cipo          => reg_ring_info_cipo,
+
+      -- XSUB
+      reg_crosslets_info_copi     => reg_crosslets_info_copi,
+      reg_crosslets_info_cipo     => reg_crosslets_info_cipo,
+      reg_nof_crosslets_copi      => reg_nof_crosslets_copi,
+      reg_nof_crosslets_cipo      => reg_nof_crosslets_cipo,
+      reg_bsn_sync_scheduler_xsub_copi => reg_bsn_sync_scheduler_xsub_copi,
+      reg_bsn_sync_scheduler_xsub_cipo => reg_bsn_sync_scheduler_xsub_cipo,
+      ram_st_xsq_copi             => ram_st_xsq_copi,
+      ram_st_xsq_cipo             => ram_st_xsq_cipo,
+
+      -- BF
+      ram_ss_ss_wide_copi         => ram_ss_ss_wide_copi,
+      ram_ss_ss_wide_cipo         => ram_ss_ss_wide_cipo,
+      ram_bf_weights_copi         => ram_bf_weights_copi,
+      ram_bf_weights_cipo         => ram_bf_weights_cipo,
+      reg_bf_scale_copi           => reg_bf_scale_copi,
+      reg_bf_scale_cipo           => reg_bf_scale_cipo,
+      reg_hdr_dat_copi            => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo            => reg_hdr_dat_cipo,
+      reg_dp_xonoff_copi          => reg_dp_xonoff_copi,
+      reg_dp_xonoff_cipo          => reg_dp_xonoff_cipo,
+      ram_st_bst_copi             => ram_st_bst_copi,
+      ram_st_bst_cipo             => ram_st_bst_cipo,
+      reg_bsn_align_v2_bf_copi    => reg_bsn_align_v2_bf_copi,
+      reg_bsn_align_v2_bf_cipo    => reg_bsn_align_v2_bf_cipo,
+      reg_bsn_monitor_v2_rx_align_bf_copi => reg_bsn_monitor_v2_rx_align_bf_copi,
+      reg_bsn_monitor_v2_rx_align_bf_cipo => reg_bsn_monitor_v2_rx_align_bf_cipo,
+      reg_bsn_monitor_v2_aligned_bf_copi  => reg_bsn_monitor_v2_aligned_bf_copi,
+      reg_bsn_monitor_v2_aligned_bf_cipo  => reg_bsn_monitor_v2_aligned_bf_cipo,
+      reg_ring_lane_info_bf_copi          => reg_ring_lane_info_bf_copi,
+      reg_ring_lane_info_bf_cipo          => reg_ring_lane_info_bf_cipo,
+      reg_bsn_monitor_v2_ring_rx_bf_copi  => reg_bsn_monitor_v2_ring_rx_bf_copi,
+      reg_bsn_monitor_v2_ring_rx_bf_cipo  => reg_bsn_monitor_v2_ring_rx_bf_cipo,
+      reg_bsn_monitor_v2_ring_tx_bf_copi  => reg_bsn_monitor_v2_ring_tx_bf_copi,
+      reg_bsn_monitor_v2_ring_tx_bf_cipo  => reg_bsn_monitor_v2_ring_tx_bf_cipo,
+      reg_dp_block_validate_err_bf_copi   => reg_dp_block_validate_err_bf_copi,
+      reg_dp_block_validate_err_bf_cipo   => reg_dp_block_validate_err_bf_cipo,
+      reg_dp_block_validate_bsn_at_sync_bf_copi => reg_dp_block_validate_bsn_at_sync_bf_copi,
+      reg_dp_block_validate_bsn_at_sync_bf_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo,
+
+      -- SST
+      reg_stat_enable_sst_copi            => reg_stat_enable_sst_copi,
+      reg_stat_enable_sst_cipo            => reg_stat_enable_sst_cipo,
+      reg_stat_hdr_dat_sst_copi           => reg_stat_hdr_dat_sst_copi,
+      reg_stat_hdr_dat_sst_cipo           => reg_stat_hdr_dat_sst_cipo,
+      reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
+      reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
+
+      -- XST
+      reg_stat_enable_xst_copi    => reg_stat_enable_xst_copi,
+      reg_stat_enable_xst_cipo    => reg_stat_enable_xst_cipo,
+      reg_stat_hdr_dat_xst_copi   => reg_stat_hdr_dat_xst_copi,
+      reg_stat_hdr_dat_xst_cipo   => reg_stat_hdr_dat_xst_cipo,
+
+      reg_bsn_align_v2_xsub_copi                 => reg_bsn_align_v2_xsub_copi,
+      reg_bsn_align_v2_xsub_cipo                 => reg_bsn_align_v2_xsub_cipo,
+      reg_bsn_monitor_v2_rx_align_xsub_copi      => reg_bsn_monitor_v2_rx_align_xsub_copi,
+      reg_bsn_monitor_v2_rx_align_xsub_cipo      => reg_bsn_monitor_v2_rx_align_xsub_cipo,
+      reg_bsn_monitor_v2_aligned_xsub_copi       => reg_bsn_monitor_v2_aligned_xsub_copi,
+      reg_bsn_monitor_v2_aligned_xsub_cipo       => reg_bsn_monitor_v2_aligned_xsub_cipo,
+      reg_bsn_monitor_v2_xst_offload_copi        => reg_bsn_monitor_v2_xst_offload_copi,
+      reg_bsn_monitor_v2_xst_offload_cipo        => reg_bsn_monitor_v2_xst_offload_cipo,
+      reg_ring_lane_info_xst_copi                => reg_ring_lane_info_xst_copi,
+      reg_ring_lane_info_xst_cipo                => reg_ring_lane_info_xst_cipo,
+      reg_bsn_monitor_v2_ring_rx_xst_copi        => reg_bsn_monitor_v2_ring_rx_xst_copi,
+      reg_bsn_monitor_v2_ring_rx_xst_cipo        => reg_bsn_monitor_v2_ring_rx_xst_cipo,
+      reg_bsn_monitor_v2_ring_tx_xst_copi        => reg_bsn_monitor_v2_ring_tx_xst_copi,
+      reg_bsn_monitor_v2_ring_tx_xst_cipo        => reg_bsn_monitor_v2_ring_tx_xst_cipo,
+      reg_dp_block_validate_err_xst_copi         => reg_dp_block_validate_err_xst_copi,
+      reg_dp_block_validate_err_xst_cipo         => reg_dp_block_validate_err_xst_cipo,
+      reg_dp_block_validate_bsn_at_sync_xst_copi => reg_dp_block_validate_bsn_at_sync_xst_copi,
+      reg_dp_block_validate_bsn_at_sync_xst_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo,
+      reg_tr_10GbE_mac_copi                      => reg_tr_10GbE_mac_copi,
+      reg_tr_10GbE_mac_cipo                      => reg_tr_10GbE_mac_cipo,
+      reg_tr_10GbE_eth10g_copi                   => reg_tr_10GbE_eth10g_copi,
+      reg_tr_10GbE_eth10g_cipo                   => reg_tr_10GbE_eth10g_cipo,
+
+      -- BST
+      reg_stat_enable_bst_copi               => reg_stat_enable_bst_copi,
+      reg_stat_enable_bst_cipo               => reg_stat_enable_bst_cipo,
+      reg_stat_hdr_dat_bst_copi              => reg_stat_hdr_dat_bst_copi,
+      reg_stat_hdr_dat_bst_cipo              => reg_stat_hdr_dat_bst_cipo,
+      reg_bsn_monitor_v2_bst_offload_copi    => reg_bsn_monitor_v2_bst_offload_copi,
+      reg_bsn_monitor_v2_bst_offload_cipo    => reg_bsn_monitor_v2_bst_offload_cipo,
+      reg_bsn_monitor_v2_beamlet_output_copi => reg_bsn_monitor_v2_beamlet_output_copi,
+      reg_bsn_monitor_v2_beamlet_output_cipo => reg_bsn_monitor_v2_beamlet_output_cipo,
+
+      RING_0_TX => RING_0_TX,
+      RING_0_RX => RING_0_RX,
+      RING_1_TX => RING_1_TX,
+      RING_1_RX => RING_1_RX,
+
+      -- QSFP serial
+      unb2_board_front_io_serial_tx_arr => unb2_board_front_io_serial_tx_arr,
+      unb2_board_front_io_serial_rx_arr => unb2_board_front_io_serial_rx_arr,
+
+      -- QSFP LEDS
+      unb2_board_qsfp_leds_tx_sosi_arr  => unb2_board_qsfp_leds_tx_sosi_arr,
+      unb2_board_qsfp_leds_tx_siso_arr  => unb2_board_qsfp_leds_tx_siso_arr,
+      unb2_board_qsfp_leds_rx_sosi_arr  => unb2_board_qsfp_leds_rx_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Interface : 10GbE
@@ -980,41 +980,41 @@ begin
   -- Front IO
   ------------
   u_front_io : entity unb2c_board_lib.unb2c_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
-  )
-  port map (
-    serial_tx_arr => unb2_board_front_io_serial_tx_arr,
-    serial_rx_arr => unb2_board_front_io_serial_rx_arr,
+    generic map (
+      g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
+    )
+    port map (
+      serial_tx_arr => unb2_board_front_io_serial_tx_arr,
+      serial_rx_arr => unb2_board_front_io_serial_rx_arr,
 
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
 
-    QSFP_RX       => i_QSFP_RX,
-    QSFP_TX       => i_QSFP_TX,
+      QSFP_RX       => i_QSFP_RX,
+      QSFP_TX       => i_QSFP_TX,
 
-    QSFP_LED      => QSFP_LED
-  );
+      QSFP_LED      => QSFP_LED
+    );
 
   ------------
   -- LEDs
   ------------
   u_front_led : entity unb2c_board_lib.unb2c_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr,
-
-    tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr,
-    tx_sosi_arr     => unb2_board_qsfp_leds_tx_sosi_arr,
-    rx_sosi_arr     => unb2_board_qsfp_leds_rx_sosi_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr,
+
+      tx_siso_arr     => unb2_board_qsfp_leds_tx_siso_arr,
+      tx_sosi_arr     => unb2_board_qsfp_leds_tx_sosi_arr,
+      rx_sosi_arr     => unb2_board_qsfp_leds_rx_sosi_arr
+    );
 
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd
index f7b15514a3..85382e9c9a 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
 
 package lofar2_unb2c_sdp_station_pkg is
 
- -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Revision control
   -----------------------------------------------------------------------------
 
@@ -53,7 +53,7 @@ package lofar2_unb2c_sdp_station_pkg is
   constant c_full_os    : t_lofar2_unb2c_sdp_station_config := (false, true,  true,  true,  true,  true,  9);
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2c_sdp_station_config;
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2c_sdp_station_config;
 
 
 end lofar2_unb2c_sdp_station_pkg;
@@ -61,7 +61,7 @@ end lofar2_unb2c_sdp_station_pkg;
 
 package body lofar2_unb2c_sdp_station_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_lofar2_unb2c_sdp_station_config is
+  function func_sel_revision_rec (g_design_name : string) return t_lofar2_unb2c_sdp_station_config is
   begin
     if    g_design_name = "lofar2_unb2c_sdp_station_adc"        then return c_ait;
     elsif g_design_name = "lofar2_unb2c_sdp_station_fsub"       then return c_fsub;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/mmm_lofar2_unb2c_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/mmm_lofar2_unb2c_sdp_station.vhd
index ebfd3d5a24..775aec6880 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/mmm_lofar2_unb2c_sdp_station.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/mmm_lofar2_unb2c_sdp_station.vhd
@@ -19,16 +19,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, mm_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_lofar2_unb2c_sdp_station_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_lofar2_unb2c_sdp_station_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
 
 entity mmm_lofar2_unb2c_sdp_station is
   generic (
@@ -143,187 +143,187 @@ entity mmm_lofar2_unb2c_sdp_station is
     reg_si_copi                   : out t_mem_copi;
     reg_si_cipo                   : in  t_mem_cipo;
 
-   -- Equalizer gains
-   ram_equalizer_gains_copi       : out t_mem_copi;
-   ram_equalizer_gains_cipo       : in  t_mem_cipo;
-   ram_equalizer_gains_cross_copi : out t_mem_copi;
-   ram_equalizer_gains_cross_cipo : in  t_mem_cipo;
+    -- Equalizer gains
+    ram_equalizer_gains_copi       : out t_mem_copi;
+    ram_equalizer_gains_cipo       : in  t_mem_cipo;
+    ram_equalizer_gains_cross_copi : out t_mem_copi;
+    ram_equalizer_gains_cross_cipo : in  t_mem_cipo;
 
-   -- DP Selector
-   reg_dp_selector_copi           : out t_mem_copi;
-   reg_dp_selector_cipo           : in  t_mem_cipo;
+    -- DP Selector
+    reg_dp_selector_copi           : out t_mem_copi;
+    reg_dp_selector_cipo           : in  t_mem_cipo;
 
-   -- SDP Info
-   reg_sdp_info_copi              : out t_mem_copi;
-   reg_sdp_info_cipo              : in  t_mem_cipo;
+    -- SDP Info
+    reg_sdp_info_copi              : out t_mem_copi;
+    reg_sdp_info_cipo              : in  t_mem_cipo;
 
-   -- RING Info
-   reg_ring_info_copi             : out t_mem_copi;
-   reg_ring_info_cipo             : in  t_mem_cipo;
+    -- RING Info
+    reg_ring_info_copi             : out t_mem_copi;
+    reg_ring_info_cipo             : in  t_mem_cipo;
 
-   -- Beamlet Subband Select
-   ram_ss_ss_wide_copi            : out t_mem_copi;
-   ram_ss_ss_wide_cipo            : in  t_mem_cipo;
+    -- Beamlet Subband Select
+    ram_ss_ss_wide_copi            : out t_mem_copi;
+    ram_ss_ss_wide_cipo            : in  t_mem_cipo;
 
-   -- Local BF bf weights
-   ram_bf_weights_copi            : out t_mem_copi;
-   ram_bf_weights_cipo            : in  t_mem_cipo;
+    -- Local BF bf weights
+    ram_bf_weights_copi            : out t_mem_copi;
+    ram_bf_weights_cipo            : in  t_mem_cipo;
 
-   -- BF bsn aligner_v2
-   reg_bsn_align_v2_bf_copi       : out t_mem_copi;
-   reg_bsn_align_v2_bf_cipo       : in  t_mem_cipo;
+    -- BF bsn aligner_v2
+    reg_bsn_align_v2_bf_copi       : out t_mem_copi;
+    reg_bsn_align_v2_bf_cipo       : in  t_mem_cipo;
 
-   -- BF bsn aligner_v2 bsn monitors
-   reg_bsn_monitor_v2_rx_align_bf_copi : out t_mem_copi;
-   reg_bsn_monitor_v2_rx_align_bf_cipo : in  t_mem_cipo;
-   reg_bsn_monitor_v2_aligned_bf_copi  : out t_mem_copi;
-   reg_bsn_monitor_v2_aligned_bf_cipo  : in  t_mem_cipo;
-
-   -- mms_dp_scale Scale Beamlets
-   reg_bf_scale_copi              : out t_mem_copi;
-   reg_bf_scale_cipo              : in  t_mem_cipo;
-
-   -- Beamlet Data Output header fields
-   reg_hdr_dat_copi               : out t_mem_copi;
-   reg_hdr_dat_cipo               : in  t_mem_cipo;
-
-   -- Beamlet Data Output xonoff
-   reg_dp_xonoff_copi             : out t_mem_copi;
-   reg_dp_xonoff_cipo             : in  t_mem_cipo;
-
-   -- BF ring lane info
-   reg_ring_lane_info_bf_copi                 : out t_mem_copi;
-   reg_ring_lane_info_bf_cipo                 : in  t_mem_cipo;
-
-   -- BF ring bsn monitor rx
-   reg_bsn_monitor_v2_ring_rx_bf_copi         : out t_mem_copi;
-   reg_bsn_monitor_v2_ring_rx_bf_cipo         : in  t_mem_cipo;
-
-   -- BF ring bsn monitor tx
-   reg_bsn_monitor_v2_ring_tx_bf_copi         : out t_mem_copi;
-   reg_bsn_monitor_v2_ring_tx_bf_cipo         : in  t_mem_cipo;
-
-   -- BF ring validate err
-   reg_dp_block_validate_err_bf_copi          : out t_mem_copi;
-   reg_dp_block_validate_err_bf_cipo          : in  t_mem_cipo;
-
-   -- BF ring bsn at sync
-   reg_dp_block_validate_bsn_at_sync_bf_copi  : out t_mem_copi;
-   reg_dp_block_validate_bsn_at_sync_bf_cipo  : in  t_mem_cipo;
-
-   -- Beamlet Statistics (BST)
-   ram_st_bst_copi                : out t_mem_copi;
-   ram_st_bst_cipo                : in  t_mem_cipo;
-
-   -- Subband Statistics offload
-   reg_stat_enable_sst_copi       : out t_mem_copi;
-   reg_stat_enable_sst_cipo       : in  t_mem_cipo;
-
-   -- Statistics header info
-   reg_stat_hdr_dat_sst_copi      : out t_mem_copi;
-   reg_stat_hdr_dat_sst_cipo      : in  t_mem_cipo;
-
-   -- Crosslet Statistics offload
-   reg_stat_enable_xst_copi       : out t_mem_copi;
-   reg_stat_enable_xst_cipo       : in  t_mem_cipo;
-
-   -- Crosslet Statistics header info
-   reg_stat_hdr_dat_xst_copi      : out t_mem_copi;
-   reg_stat_hdr_dat_xst_cipo      : in  t_mem_cipo;
-
-   -- Beamlet Statistics offload
-   reg_stat_enable_bst_copi       : out t_mem_copi;
-   reg_stat_enable_bst_cipo       : in  t_mem_cipo;
-
-   -- Beamlet Statistics header info
-   reg_stat_hdr_dat_bst_copi      : out t_mem_copi;
-   reg_stat_hdr_dat_bst_cipo      : in  t_mem_cipo;
-
-   -- crosslets_info
-   reg_crosslets_info_copi        : out t_mem_copi;
-   reg_crosslets_info_cipo        : in  t_mem_cipo;
-
-   -- crosslets_info
-   reg_nof_crosslets_copi         : out t_mem_copi;
-   reg_nof_crosslets_cipo         : in  t_mem_cipo;
-
-   -- bsn_sync_scheduler_xsub
-   reg_bsn_sync_scheduler_xsub_copi    : out t_mem_copi;
-   reg_bsn_sync_scheduler_xsub_cipo    : in  t_mem_cipo;
-
-   -- st_xsq (XST)
-   ram_st_xsq_copi                : out t_mem_copi;
-   ram_st_xsq_cipo                : in  t_mem_cipo;
-
-   -- 10 GbE mac
-   reg_nw_10GbE_mac_copi          : out t_mem_copi;
-   reg_nw_10GbE_mac_cipo          : in  t_mem_cipo;
-
-   -- 10 GbE eth
-   reg_nw_10GbE_eth10g_copi       : out t_mem_copi;
-   reg_nw_10GbE_eth10g_cipo       : in  t_mem_cipo;
-
-   -- XST bsn aligner_v2
-   reg_bsn_align_v2_xsub_copi                : out t_mem_copi;
-   reg_bsn_align_v2_xsub_cipo                : in  t_mem_cipo;
-
-   -- XST bsn aligner_v2 bsn monitors
-   reg_bsn_monitor_v2_rx_align_xsub_copi     : out t_mem_copi;
-   reg_bsn_monitor_v2_rx_align_xsub_cipo     : in  t_mem_cipo;
-   reg_bsn_monitor_v2_aligned_xsub_copi      : out t_mem_copi;
-   reg_bsn_monitor_v2_aligned_xsub_cipo      : in  t_mem_cipo;
-
-   -- XST UDP offload bsn monitor
-   reg_bsn_monitor_v2_xst_offload_copi       : out t_mem_copi;
-   reg_bsn_monitor_v2_xst_offload_cipo       : in  t_mem_cipo;
-
-   -- BST UDP offload bsn monitor
-   reg_bsn_monitor_v2_bst_offload_copi       : out t_mem_copi;
-   reg_bsn_monitor_v2_bst_offload_cipo       : in  t_mem_cipo;
-
-   -- Beamlet output bsn monitor
-   reg_bsn_monitor_v2_beamlet_output_copi    : out t_mem_copi;
-   reg_bsn_monitor_v2_beamlet_output_cipo    : in  t_mem_cipo;
-
-   -- SST UDP offload bsn monitor
-   reg_bsn_monitor_v2_sst_offload_copi       : out t_mem_copi;
-   reg_bsn_monitor_v2_sst_offload_cipo       : in  t_mem_cipo;
-
-   -- XST ring lane info
-   reg_ring_lane_info_xst_copi    : out t_mem_copi;
-   reg_ring_lane_info_xst_cipo    : in  t_mem_cipo;
-
-   -- XST ring bsn monitor rx
-   reg_bsn_monitor_v2_ring_rx_xst_copi: out t_mem_copi;
-   reg_bsn_monitor_v2_ring_rx_xst_cipo: in  t_mem_cipo;
-
-   -- XST ring bsn monitor tx
-   reg_bsn_monitor_v2_ring_tx_xst_copi : out t_mem_copi;
-   reg_bsn_monitor_v2_ring_tx_xst_cipo : in  t_mem_cipo;
-
-   -- XST ring validate err
-   reg_dp_block_validate_err_xst_copi : out t_mem_copi;
-   reg_dp_block_validate_err_xst_cipo : in  t_mem_cipo;
-
-   -- XST ring bsn at sync
-   reg_dp_block_validate_bsn_at_sync_xst_copi : out t_mem_copi;
-   reg_dp_block_validate_bsn_at_sync_xst_cipo : in  t_mem_cipo;
-
-   -- XST ring MAC
-   reg_tr_10GbE_mac_copi          : out t_mem_copi;
-   reg_tr_10GbE_mac_cipo          : in  t_mem_cipo;
-
-   -- XST ring ETH
-   reg_tr_10GbE_eth10g_copi       : out t_mem_copi;
-   reg_tr_10GbE_eth10g_cipo       : in  t_mem_cipo;
-
-   -- Scrap ram
-   ram_scrap_copi                 : out t_mem_copi;
-   ram_scrap_cipo                 : in  t_mem_cipo;
-
-   -- Jesd reset control
-   jesd_ctrl_copi                 : out t_mem_copi;
-   jesd_ctrl_cipo                 : in  t_mem_cipo
+    -- BF bsn aligner_v2 bsn monitors
+    reg_bsn_monitor_v2_rx_align_bf_copi : out t_mem_copi;
+    reg_bsn_monitor_v2_rx_align_bf_cipo : in  t_mem_cipo;
+    reg_bsn_monitor_v2_aligned_bf_copi  : out t_mem_copi;
+    reg_bsn_monitor_v2_aligned_bf_cipo  : in  t_mem_cipo;
+
+    -- mms_dp_scale Scale Beamlets
+    reg_bf_scale_copi              : out t_mem_copi;
+    reg_bf_scale_cipo              : in  t_mem_cipo;
+
+    -- Beamlet Data Output header fields
+    reg_hdr_dat_copi               : out t_mem_copi;
+    reg_hdr_dat_cipo               : in  t_mem_cipo;
+
+    -- Beamlet Data Output xonoff
+    reg_dp_xonoff_copi             : out t_mem_copi;
+    reg_dp_xonoff_cipo             : in  t_mem_cipo;
+
+    -- BF ring lane info
+    reg_ring_lane_info_bf_copi                 : out t_mem_copi;
+    reg_ring_lane_info_bf_cipo                 : in  t_mem_cipo;
+
+    -- BF ring bsn monitor rx
+    reg_bsn_monitor_v2_ring_rx_bf_copi         : out t_mem_copi;
+    reg_bsn_monitor_v2_ring_rx_bf_cipo         : in  t_mem_cipo;
+
+    -- BF ring bsn monitor tx
+    reg_bsn_monitor_v2_ring_tx_bf_copi         : out t_mem_copi;
+    reg_bsn_monitor_v2_ring_tx_bf_cipo         : in  t_mem_cipo;
+
+    -- BF ring validate err
+    reg_dp_block_validate_err_bf_copi          : out t_mem_copi;
+    reg_dp_block_validate_err_bf_cipo          : in  t_mem_cipo;
+
+    -- BF ring bsn at sync
+    reg_dp_block_validate_bsn_at_sync_bf_copi  : out t_mem_copi;
+    reg_dp_block_validate_bsn_at_sync_bf_cipo  : in  t_mem_cipo;
+
+    -- Beamlet Statistics (BST)
+    ram_st_bst_copi                : out t_mem_copi;
+    ram_st_bst_cipo                : in  t_mem_cipo;
+
+    -- Subband Statistics offload
+    reg_stat_enable_sst_copi       : out t_mem_copi;
+    reg_stat_enable_sst_cipo       : in  t_mem_cipo;
+
+    -- Statistics header info
+    reg_stat_hdr_dat_sst_copi      : out t_mem_copi;
+    reg_stat_hdr_dat_sst_cipo      : in  t_mem_cipo;
+
+    -- Crosslet Statistics offload
+    reg_stat_enable_xst_copi       : out t_mem_copi;
+    reg_stat_enable_xst_cipo       : in  t_mem_cipo;
+
+    -- Crosslet Statistics header info
+    reg_stat_hdr_dat_xst_copi      : out t_mem_copi;
+    reg_stat_hdr_dat_xst_cipo      : in  t_mem_cipo;
+
+    -- Beamlet Statistics offload
+    reg_stat_enable_bst_copi       : out t_mem_copi;
+    reg_stat_enable_bst_cipo       : in  t_mem_cipo;
+
+    -- Beamlet Statistics header info
+    reg_stat_hdr_dat_bst_copi      : out t_mem_copi;
+    reg_stat_hdr_dat_bst_cipo      : in  t_mem_cipo;
+
+    -- crosslets_info
+    reg_crosslets_info_copi        : out t_mem_copi;
+    reg_crosslets_info_cipo        : in  t_mem_cipo;
+
+    -- crosslets_info
+    reg_nof_crosslets_copi         : out t_mem_copi;
+    reg_nof_crosslets_cipo         : in  t_mem_cipo;
+
+    -- bsn_sync_scheduler_xsub
+    reg_bsn_sync_scheduler_xsub_copi    : out t_mem_copi;
+    reg_bsn_sync_scheduler_xsub_cipo    : in  t_mem_cipo;
+
+    -- st_xsq (XST)
+    ram_st_xsq_copi                : out t_mem_copi;
+    ram_st_xsq_cipo                : in  t_mem_cipo;
+
+    -- 10 GbE mac
+    reg_nw_10GbE_mac_copi          : out t_mem_copi;
+    reg_nw_10GbE_mac_cipo          : in  t_mem_cipo;
+
+    -- 10 GbE eth
+    reg_nw_10GbE_eth10g_copi       : out t_mem_copi;
+    reg_nw_10GbE_eth10g_cipo       : in  t_mem_cipo;
+
+    -- XST bsn aligner_v2
+    reg_bsn_align_v2_xsub_copi                : out t_mem_copi;
+    reg_bsn_align_v2_xsub_cipo                : in  t_mem_cipo;
+
+    -- XST bsn aligner_v2 bsn monitors
+    reg_bsn_monitor_v2_rx_align_xsub_copi     : out t_mem_copi;
+    reg_bsn_monitor_v2_rx_align_xsub_cipo     : in  t_mem_cipo;
+    reg_bsn_monitor_v2_aligned_xsub_copi      : out t_mem_copi;
+    reg_bsn_monitor_v2_aligned_xsub_cipo      : in  t_mem_cipo;
+
+    -- XST UDP offload bsn monitor
+    reg_bsn_monitor_v2_xst_offload_copi       : out t_mem_copi;
+    reg_bsn_monitor_v2_xst_offload_cipo       : in  t_mem_cipo;
+
+    -- BST UDP offload bsn monitor
+    reg_bsn_monitor_v2_bst_offload_copi       : out t_mem_copi;
+    reg_bsn_monitor_v2_bst_offload_cipo       : in  t_mem_cipo;
+
+    -- Beamlet output bsn monitor
+    reg_bsn_monitor_v2_beamlet_output_copi    : out t_mem_copi;
+    reg_bsn_monitor_v2_beamlet_output_cipo    : in  t_mem_cipo;
+
+    -- SST UDP offload bsn monitor
+    reg_bsn_monitor_v2_sst_offload_copi       : out t_mem_copi;
+    reg_bsn_monitor_v2_sst_offload_cipo       : in  t_mem_cipo;
+
+    -- XST ring lane info
+    reg_ring_lane_info_xst_copi    : out t_mem_copi;
+    reg_ring_lane_info_xst_cipo    : in  t_mem_cipo;
+
+    -- XST ring bsn monitor rx
+    reg_bsn_monitor_v2_ring_rx_xst_copi: out t_mem_copi;
+    reg_bsn_monitor_v2_ring_rx_xst_cipo: in  t_mem_cipo;
+
+    -- XST ring bsn monitor tx
+    reg_bsn_monitor_v2_ring_tx_xst_copi : out t_mem_copi;
+    reg_bsn_monitor_v2_ring_tx_xst_cipo : in  t_mem_cipo;
+
+    -- XST ring validate err
+    reg_dp_block_validate_err_xst_copi : out t_mem_copi;
+    reg_dp_block_validate_err_xst_cipo : in  t_mem_cipo;
+
+    -- XST ring bsn at sync
+    reg_dp_block_validate_bsn_at_sync_xst_copi : out t_mem_copi;
+    reg_dp_block_validate_bsn_at_sync_xst_cipo : in  t_mem_cipo;
+
+    -- XST ring MAC
+    reg_tr_10GbE_mac_copi          : out t_mem_copi;
+    reg_tr_10GbE_mac_cipo          : in  t_mem_cipo;
+
+    -- XST ring ETH
+    reg_tr_10GbE_eth10g_copi       : out t_mem_copi;
+    reg_tr_10GbE_eth10g_cipo       : in  t_mem_cipo;
+
+    -- Scrap ram
+    ram_scrap_copi                 : out t_mem_copi;
+    ram_scrap_cipo                 : in  t_mem_cipo;
+
+    -- Jesd reset control
+    jesd_ctrl_copi                 : out t_mem_copi;
+    jesd_ctrl_cipo                 : in  t_mem_cipo
   );
 end mmm_lofar2_unb2c_sdp_station;
 
@@ -343,207 +343,207 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo );
 
     u_mm_file_rom_unb_system_info     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
+      port map(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo );
 
     u_mm_file_reg_wdi                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
+      port map(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo );
 
     u_mm_file_reg_fpga_temp_sens      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                                port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo );
 
     u_mm_file_reg_fpga_voltage_sens   :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                                port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo );
 
     u_mm_file_reg_ppsh                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
+      port map(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
+      port map(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo );
 
     -- Must use exact g_mm_rd_latency = 1 instead of default 2, because JESD204B IP forces rddata = 0 after it has been read
     u_mm_file_jesd204b                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B", '1', 1)
-                                                 port map(mm_rst, mm_clk, jesd204b_copi, jesd204b_cipo );
+      port map(mm_rst, mm_clk, jesd204b_copi, jesd204b_cipo );
 
     u_mm_file_pio_jesd_ctrl           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_JESD_CTRL")
-                                                 port map(mm_rst, mm_clk, jesd_ctrl_copi, jesd_ctrl_cipo );
+      port map(mm_rst, mm_clk, jesd_ctrl_copi, jesd_ctrl_cipo );
 
     u_mm_file_reg_dp_shiftram         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM")
-                                                 port map(mm_rst, mm_clk, reg_dp_shiftram_copi, reg_dp_shiftram_cipo );
+      port map(mm_rst, mm_clk, reg_dp_shiftram_copi, reg_dp_shiftram_cipo );
 
     u_mm_file_reg_bsn_source_v2       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE_V2")
-                                                 port map(mm_rst, mm_clk, reg_bsn_source_v2_copi, reg_bsn_source_v2_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_source_v2_copi, reg_bsn_source_v2_cipo );
 
     u_mm_file_reg_bsn_scheduler       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER")
-                                                 port map(mm_rst, mm_clk, reg_bsn_scheduler_copi, reg_bsn_scheduler_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_scheduler_copi, reg_bsn_scheduler_cipo );
 
     u_mm_file_reg_bsn_monitor_input   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT")
-                                                 port map(mm_rst, mm_clk, reg_bsn_monitor_input_copi, reg_bsn_monitor_input_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_input_copi, reg_bsn_monitor_input_cipo );
 
     u_mm_file_reg_wg                  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG")
-                                                 port map(mm_rst, mm_clk, reg_wg_copi, reg_wg_cipo );
+      port map(mm_rst, mm_clk, reg_wg_copi, reg_wg_cipo );
     u_mm_file_ram_wg                  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG")
-                                                port map(mm_rst, mm_clk, ram_wg_copi, ram_wg_cipo );
+      port map(mm_rst, mm_clk, ram_wg_copi, ram_wg_cipo );
 
     u_mm_file_ram_diag_data_buf_bsn   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_BSN")
-                                                port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_copi, ram_diag_data_buf_bsn_cipo );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_bsn_copi, ram_diag_data_buf_bsn_cipo );
     u_mm_file_reg_diag_data_buf_bsn   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_BSN")
-                                                port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_copi, reg_diag_data_buf_bsn_cipo );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_bsn_copi, reg_diag_data_buf_bsn_cipo );
 
     u_mm_file_ram_st_histogram        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_HISTOGRAM")
-                                                port map(mm_rst, mm_clk, ram_st_histogram_copi, ram_st_histogram_cipo );
+      port map(mm_rst, mm_clk, ram_st_histogram_copi, ram_st_histogram_cipo );
 
     u_mm_file_reg_aduh_monitor        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR")
-                                                port map(mm_rst, mm_clk, reg_aduh_monitor_copi, reg_aduh_monitor_cipo );
+      port map(mm_rst, mm_clk, reg_aduh_monitor_copi, reg_aduh_monitor_cipo );
 
     u_mm_file_ram_st_sst              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST")
-                                                port map(mm_rst, mm_clk, ram_st_sst_copi, ram_st_sst_cipo );
+      port map(mm_rst, mm_clk, ram_st_sst_copi, ram_st_sst_cipo );
 
     u_mm_file_ram_fil_coefs           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_FIL_COEFS")
-                                                port map(mm_rst, mm_clk, ram_fil_coefs_copi, ram_fil_coefs_cipo );
+      port map(mm_rst, mm_clk, ram_fil_coefs_copi, ram_fil_coefs_cipo );
 
     u_mm_file_reg_si                  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SI")
-                                               port map(mm_rst, mm_clk, reg_si_copi, reg_si_cipo );
+      port map(mm_rst, mm_clk, reg_si_copi, reg_si_cipo );
 
     u_mm_file_ram_equalizer_gains     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS")
-                                                port map(mm_rst, mm_clk, ram_equalizer_gains_copi, ram_equalizer_gains_cipo );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_copi, ram_equalizer_gains_cipo );
     u_mm_file_ram_equalizer_gains_cross : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS_CROSS")
-                                                  port map(mm_rst, mm_clk, ram_equalizer_gains_cross_copi, ram_equalizer_gains_cross_cipo );
+      port map(mm_rst, mm_clk, ram_equalizer_gains_cross_copi, ram_equalizer_gains_cross_cipo );
 
     u_mm_file_reg_dp_selector         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SELECTOR")
-                                               port map(mm_rst, mm_clk, reg_dp_selector_copi, reg_dp_selector_cipo );
+      port map(mm_rst, mm_clk, reg_dp_selector_copi, reg_dp_selector_cipo );
 
     u_mm_file_reg_sdp_info            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SDP_INFO")
-                                               port map(mm_rst, mm_clk, reg_sdp_info_copi, reg_sdp_info_cipo );
+      port map(mm_rst, mm_clk, reg_sdp_info_copi, reg_sdp_info_cipo );
 
     u_mm_file_reg_ring_info           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_INFO")
-                                               port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo );
+      port map(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo );
 
     u_mm_file_ram_ss_ss_wide          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE")
-                                               port map(mm_rst, mm_clk, ram_ss_ss_wide_copi, ram_ss_ss_wide_cipo );
+      port map(mm_rst, mm_clk, ram_ss_ss_wide_copi, ram_ss_ss_wide_cipo );
 
     u_mm_file_ram_bf_weights          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_BF_WEIGHTS")
-                                               port map(mm_rst, mm_clk, ram_bf_weights_copi, ram_bf_weights_cipo );
+      port map(mm_rst, mm_clk, ram_bf_weights_copi, ram_bf_weights_cipo );
 
     u_mm_file_reg_bf_scale            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BF_SCALE")
-                                               port map(mm_rst, mm_clk, reg_bf_scale_copi, reg_bf_scale_cipo );
+      port map(mm_rst, mm_clk, reg_bf_scale_copi, reg_bf_scale_cipo );
 
     u_mm_file_reg_hdr_dat             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT")
-                                               port map(mm_rst, mm_clk, reg_hdr_dat_copi, reg_hdr_dat_cipo );
+      port map(mm_rst, mm_clk, reg_hdr_dat_copi, reg_hdr_dat_cipo );
 
     u_mm_file_reg_dp_xonoff           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF")
-                                               port map(mm_rst, mm_clk, reg_dp_xonoff_copi, reg_dp_xonoff_cipo );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_copi, reg_dp_xonoff_cipo );
 
     u_mm_file_ram_st_bst              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_BST")
-                                               port map(mm_rst, mm_clk, ram_st_bst_copi, ram_st_bst_cipo );
+      port map(mm_rst, mm_clk, ram_st_bst_copi, ram_st_bst_cipo );
 
     u_mm_file_reg_stat_enable_sst     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_SST")
-                                                port map(mm_rst, mm_clk, reg_stat_enable_sst_copi, reg_stat_enable_sst_cipo );
+      port map(mm_rst, mm_clk, reg_stat_enable_sst_copi, reg_stat_enable_sst_cipo );
 
     u_mm_file_reg_stat_hdr_info_sst   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_SST")
-                                                port map(mm_rst, mm_clk, reg_stat_hdr_dat_sst_copi, reg_stat_hdr_dat_sst_cipo);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_sst_copi, reg_stat_hdr_dat_sst_cipo);
 
     u_mm_file_reg_stat_enable_xst     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_XST")
-                                                port map(mm_rst, mm_clk, reg_stat_enable_xst_copi, reg_stat_enable_xst_cipo );
+      port map(mm_rst, mm_clk, reg_stat_enable_xst_copi, reg_stat_enable_xst_cipo );
 
     u_mm_file_reg_stat_hdr_info_xst   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_XST")
-                                                port map(mm_rst, mm_clk, reg_stat_hdr_dat_xst_copi, reg_stat_hdr_dat_xst_cipo);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_xst_copi, reg_stat_hdr_dat_xst_cipo);
 
     u_mm_file_reg_stat_enable_bst     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_BST")
-                                                port map(mm_rst, mm_clk, reg_stat_enable_bst_copi, reg_stat_enable_bst_cipo );
+      port map(mm_rst, mm_clk, reg_stat_enable_bst_copi, reg_stat_enable_bst_cipo );
 
     u_mm_file_reg_stat_hdr_info_bst   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_BST")
-                                                port map(mm_rst, mm_clk, reg_stat_hdr_dat_bst_copi, reg_stat_hdr_dat_bst_cipo);
+      port map(mm_rst, mm_clk, reg_stat_hdr_dat_bst_copi, reg_stat_hdr_dat_bst_cipo);
 
     u_mm_file_reg_crosslets_info      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_CROSSLETS_INFO")
-                                                port map(mm_rst, mm_clk, reg_crosslets_info_copi, reg_crosslets_info_cipo);
+      port map(mm_rst, mm_clk, reg_crosslets_info_copi, reg_crosslets_info_cipo);
 
     u_mm_file_reg_nof_crosslets       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NOF_CROSSLETS")
-                                                port map(mm_rst, mm_clk, reg_nof_crosslets_copi, reg_nof_crosslets_cipo);
+      port map(mm_rst, mm_clk, reg_nof_crosslets_copi, reg_nof_crosslets_cipo);
 
     u_mm_file_reg_bsn_sync_scheduler_xsub  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SYNC_SCHEDULER_XSUB")
-                                                     port map(mm_rst, mm_clk, reg_bsn_sync_scheduler_xsub_copi, reg_bsn_sync_scheduler_xsub_cipo);
+      port map(mm_rst, mm_clk, reg_bsn_sync_scheduler_xsub_copi, reg_bsn_sync_scheduler_xsub_cipo);
 
     u_mm_file_ram_st_xsq              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_XSQ")
-                                                port map(mm_rst, mm_clk, ram_st_xsq_copi, ram_st_xsq_cipo);
+      port map(mm_rst, mm_clk, ram_st_xsq_copi, ram_st_xsq_cipo);
 
     u_mm_file_reg_nw_10GbE_mac        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_MAC")
-                                                port map(mm_rst, mm_clk, reg_nw_10GbE_mac_copi, reg_nw_10GbE_mac_cipo );
+      port map(mm_rst, mm_clk, reg_nw_10GbE_mac_copi, reg_nw_10GbE_mac_cipo );
 
     u_mm_file_reg_nw_10GbE_eth10g     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_ETH10G")
-                                                port map(mm_rst, mm_clk, reg_nw_10GbE_eth10g_copi, reg_nw_10GbE_eth10g_cipo );
+      port map(mm_rst, mm_clk, reg_nw_10GbE_eth10g_copi, reg_nw_10GbE_eth10g_cipo );
 
     u_mm_file_reg_bsn_align_v2_bf     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_ALIGN_V2_BF")
-                                                port map(mm_rst, mm_clk, reg_bsn_align_v2_bf_copi, reg_bsn_align_v2_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_align_v2_bf_copi, reg_bsn_align_v2_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_rx_align_bf : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ALIGN_BF")
-                                                       port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_bf_copi, reg_bsn_monitor_v2_rx_align_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_bf_copi, reg_bsn_monitor_v2_rx_align_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_aligned_bf  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_ALIGNED_BF")
-                                                       port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_bf_copi, reg_bsn_monitor_v2_aligned_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_bf_copi, reg_bsn_monitor_v2_aligned_bf_cipo );
 
     u_mm_file_reg_ring_lane_info_bf          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO_BF")
-                                                       port map(mm_rst, mm_clk, reg_ring_lane_info_bf_copi, reg_ring_lane_info_bf_cipo );
+      port map(mm_rst, mm_clk, reg_ring_lane_info_bf_copi, reg_ring_lane_info_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_rx_bf         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX_BF")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_bf_copi, reg_bsn_monitor_v2_ring_rx_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_bf_copi, reg_bsn_monitor_v2_ring_rx_bf_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_tx_bf         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX_BF")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_bf_copi, reg_bsn_monitor_v2_ring_tx_bf_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_bf_copi, reg_bsn_monitor_v2_ring_tx_bf_cipo );
 
     u_mm_file_reg_dp_block_validate_err_bf          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR_BF")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_err_bf_copi, reg_dp_block_validate_err_bf_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_err_bf_copi, reg_dp_block_validate_err_bf_cipo );
 
     u_mm_file_reg_dp_block_validate_bsn_at_sync_bf  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_BF")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_bf_copi, reg_dp_block_validate_bsn_at_sync_bf_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_bf_copi, reg_dp_block_validate_bsn_at_sync_bf_cipo );
 
     u_mm_file_reg_bsn_align_v2_xsub                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_ALIGN_V2_XSUB")
-                                                              port map(mm_rst, mm_clk, reg_bsn_align_v2_xsub_copi, reg_bsn_align_v2_xsub_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_align_v2_xsub_copi, reg_bsn_align_v2_xsub_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_rx_align_xsub      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ALIGN_XSUB")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_xsub_copi, reg_bsn_monitor_v2_rx_align_xsub_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_xsub_copi, reg_bsn_monitor_v2_rx_align_xsub_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_aligned_xsub       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_ALIGNED_XSUB")
-                                                          port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_xsub_copi, reg_bsn_monitor_v2_aligned_xsub_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_xsub_copi, reg_bsn_monitor_v2_aligned_xsub_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_sst_offload        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_SST_OFFLOAD")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_sst_offload_copi, reg_bsn_monitor_v2_sst_offload_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_sst_offload_copi, reg_bsn_monitor_v2_sst_offload_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_bst_offload        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_BST_OFFLOAD")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_bst_offload_copi, reg_bsn_monitor_v2_bst_offload_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_bst_offload_copi, reg_bsn_monitor_v2_bst_offload_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_beamlet_output     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_BEAMLET_OUTPUT")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_beamlet_output_copi, reg_bsn_monitor_v2_beamlet_output_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_beamlet_output_copi, reg_bsn_monitor_v2_beamlet_output_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_xst_offload        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_XST_OFFLOAD")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_xst_offload_copi, reg_bsn_monitor_v2_xst_offload_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_xst_offload_copi, reg_bsn_monitor_v2_xst_offload_cipo );
 
     u_mm_file_reg_ring_lane_info_xst                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO_XST")
-                                                              port map(mm_rst, mm_clk, reg_ring_lane_info_xst_copi, reg_ring_lane_info_xst_cipo );
+      port map(mm_rst, mm_clk, reg_ring_lane_info_xst_copi, reg_ring_lane_info_xst_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_rx_xst        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX_XST")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_xst_copi, reg_bsn_monitor_v2_ring_rx_xst_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_xst_copi, reg_bsn_monitor_v2_ring_rx_xst_cipo );
 
     u_mm_file_reg_bsn_monitor_v2_ring_tx_xst        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX_XST")
-                                                              port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_xst_copi, reg_bsn_monitor_v2_ring_tx_xst_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_xst_copi, reg_bsn_monitor_v2_ring_tx_xst_cipo );
 
     u_mm_file_reg_dp_block_validate_err_xst         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR_XST")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_err_xst_copi, reg_dp_block_validate_err_xst_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_err_xst_copi, reg_dp_block_validate_err_xst_cipo );
 
     u_mm_file_reg_dp_block_validate_bsn_at_sync_xst : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_XST")
-                                                              port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_xst_copi, reg_dp_block_validate_bsn_at_sync_xst_cipo );
+      port map(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_xst_copi, reg_dp_block_validate_bsn_at_sync_xst_cipo );
 
     u_mm_file_reg_tr_10GbE_mac        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_MAC")
-                                                port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo );
 
     u_mm_file_reg_tr_10GbE_eth10g     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_ETH10G")
-                                                port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
+      port map(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo );
 
     u_mm_file_ram_scrap               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                                port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
+      port map(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo );
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
     -- the simulation time in ns
@@ -558,620 +558,620 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_lofar2_unb2c_sdp_station
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_copi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_copi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_cipo.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_copi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_copi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_copi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_copi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_copi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_copi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_copi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_copi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_copi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
---    ToDo: This has changed in the peripherals package
---      rom_system_info_address_export            => rom_unb_system_info_copi.address(9 DOWNTO 0),
-      rom_system_info_address_export            => rom_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_copi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_copi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_copi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_copi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_copi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_copi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_copi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_copi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_copi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_copi.wr,
-      reg_remu_writedata_export                 => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_copi.rd,
-      reg_remu_readdata_export                  => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_copi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
-      jesd204b_write_export                     => jesd204b_copi.wr,
-      jesd204b_writedata_export                 => jesd204b_copi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_copi.rd,
-      jesd204b_readdata_export                  => jesd204b_cipo.rddata(c_word_w - 1 downto 0),
-
-      pio_jesd_ctrl_reset_export                => OPEN,
-      pio_jesd_ctrl_clk_export                  => OPEN,
-      pio_jesd_ctrl_address_export              => jesd_ctrl_copi.address(c_sdp_jesd_ctrl_addr_w - 1 downto 0),
-      pio_jesd_ctrl_write_export                => jesd_ctrl_copi.wr,
-      pio_jesd_ctrl_writedata_export            => jesd_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-      pio_jesd_ctrl_read_export                 => jesd_ctrl_copi.rd,
-      pio_jesd_ctrl_readdata_export             => jesd_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_copi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_copi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_copi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_copi.wrdata(c_word_w - 1 downto 0),
-
-      -- waveform generators (multiplexed)
-      reg_wg_clk_export                         => OPEN,
-      reg_wg_reset_export                       => OPEN,
-      reg_wg_address_export                     => reg_wg_copi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
-      reg_wg_read_export                        => reg_wg_copi.rd,
-      reg_wg_readdata_export                    => reg_wg_cipo.rddata(c_word_w - 1 downto 0),
-      reg_wg_write_export                       => reg_wg_copi.wr,
-      reg_wg_writedata_export                   => reg_wg_copi.wrdata(c_word_w - 1 downto 0),
-
-      ram_wg_clk_export                         => OPEN,
-      ram_wg_reset_export                       => OPEN,
-      ram_wg_address_export                     => ram_wg_copi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
-      ram_wg_read_export                        => ram_wg_copi.rd,
-      ram_wg_readdata_export                    => ram_wg_cipo.rddata(c_word_w - 1 downto 0),
-      ram_wg_write_export                       => ram_wg_copi.wr,
-      ram_wg_writedata_export                   => ram_wg_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_shiftram_clk_export                => OPEN,
-      reg_dp_shiftram_reset_export              => OPEN,
-      reg_dp_shiftram_address_export            => reg_dp_shiftram_copi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
-      reg_dp_shiftram_read_export               => reg_dp_shiftram_copi.rd,
-      reg_dp_shiftram_readdata_export           => reg_dp_shiftram_cipo.rddata(c_word_w - 1 downto 0),
-      reg_dp_shiftram_write_export              => reg_dp_shiftram_copi.wr,
-      reg_dp_shiftram_writedata_export          => reg_dp_shiftram_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_v2_clk_export              => OPEN,
-      reg_bsn_source_v2_reset_export            => OPEN,
-      reg_bsn_source_v2_address_export          => reg_bsn_source_v2_copi.address(c_sdp_reg_bsn_source_v2_addr_w - 1 downto 0),
-      reg_bsn_source_v2_read_export             => reg_bsn_source_v2_copi.rd,
-      reg_bsn_source_v2_readdata_export         => reg_bsn_source_v2_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_v2_write_export            => reg_bsn_source_v2_copi.wr,
-      reg_bsn_source_v2_writedata_export        => reg_bsn_source_v2_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_scheduler_clk_export              => OPEN,
-      reg_bsn_scheduler_reset_export            => OPEN,
-      reg_bsn_scheduler_address_export          => reg_bsn_scheduler_copi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
-      reg_bsn_scheduler_read_export             => reg_bsn_scheduler_copi.rd,
-      reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_cipo.rddata(c_word_w - 1 downto 0),
-      reg_bsn_scheduler_write_export            => reg_bsn_scheduler_copi.wr,
-      reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_copi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_copi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_copi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_copi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_copi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_copi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_copi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_copi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_copi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_copi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_copi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_copi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_copi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_copi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_bsn_clk_export       => OPEN,
-      ram_diag_data_buffer_bsn_reset_export     => OPEN,
-      ram_diag_data_buffer_bsn_address_export   => ram_diag_data_buf_bsn_copi.address(c_sdp_ram_diag_data_buf_bsn_addr_w - 1 downto 0),
-      ram_diag_data_buffer_bsn_write_export     => ram_diag_data_buf_bsn_copi.wr,
-      ram_diag_data_buffer_bsn_writedata_export => ram_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_bsn_read_export      => ram_diag_data_buf_bsn_copi.rd,
-      ram_diag_data_buffer_bsn_readdata_export  => ram_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_bsn_reset_export     => OPEN,
-      reg_diag_data_buffer_bsn_clk_export       => OPEN,
-      reg_diag_data_buffer_bsn_address_export   => reg_diag_data_buf_bsn_copi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
-      reg_diag_data_buffer_bsn_write_export     => reg_diag_data_buf_bsn_copi.wr,
-      reg_diag_data_buffer_bsn_writedata_export => reg_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_bsn_read_export      => reg_diag_data_buf_bsn_copi.rd,
-      reg_diag_data_buffer_bsn_readdata_export  => reg_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_histogram_clk_export               => OPEN,
-      ram_st_histogram_reset_export             => OPEN,
-      ram_st_histogram_address_export           => ram_st_histogram_copi.address(c_sdp_ram_st_histogram_addr_w - 1 downto 0),
-      ram_st_histogram_write_export             => ram_st_histogram_copi.wr,
-      ram_st_histogram_writedata_export         => ram_st_histogram_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_histogram_read_export              => ram_st_histogram_copi.rd,
-      ram_st_histogram_readdata_export          => ram_st_histogram_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_aduh_monitor_reset_export             => OPEN,
-      reg_aduh_monitor_clk_export               => OPEN,
-      reg_aduh_monitor_address_export           => reg_aduh_monitor_copi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
-      reg_aduh_monitor_write_export             => reg_aduh_monitor_copi.wr,
-      reg_aduh_monitor_writedata_export         => reg_aduh_monitor_copi.wrdata(c_word_w - 1 downto 0),
-      reg_aduh_monitor_read_export              => reg_aduh_monitor_copi.rd,
-      reg_aduh_monitor_readdata_export          => reg_aduh_monitor_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_fil_coefs_clk_export                  => OPEN,
-      ram_fil_coefs_reset_export                => OPEN,
-      ram_fil_coefs_address_export              => ram_fil_coefs_copi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
-      ram_fil_coefs_write_export                => ram_fil_coefs_copi.wr,
-      ram_fil_coefs_writedata_export            => ram_fil_coefs_copi.wrdata(c_word_w - 1 downto 0),
-      ram_fil_coefs_read_export                 => ram_fil_coefs_copi.rd,
-      ram_fil_coefs_readdata_export             => ram_fil_coefs_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_sst_clk_export                     => OPEN,
-      ram_st_sst_reset_export                   => OPEN,
-      ram_st_sst_address_export                 => ram_st_sst_copi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
-      ram_st_sst_write_export                   => ram_st_sst_copi.wr,
-      ram_st_sst_writedata_export               => ram_st_sst_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_sst_read_export                    => ram_st_sst_copi.rd,
-      ram_st_sst_readdata_export                => ram_st_sst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_si_clk_export                         => OPEN,
-      reg_si_reset_export                       => OPEN,
-      reg_si_address_export                     => reg_si_copi.address(c_sdp_reg_si_addr_w - 1 downto 0),
-      reg_si_write_export                       => reg_si_copi.wr,
-      reg_si_writedata_export                   => reg_si_copi.wrdata(c_word_w - 1 downto 0),
-      reg_si_read_export                        => reg_si_copi.rd,
-      reg_si_readdata_export                    => reg_si_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_clk_export            => OPEN,
-      ram_equalizer_gains_reset_export          => OPEN,
-      ram_equalizer_gains_address_export        => ram_equalizer_gains_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_write_export          => ram_equalizer_gains_copi.wr,
-      ram_equalizer_gains_writedata_export      => ram_equalizer_gains_copi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_read_export           => ram_equalizer_gains_copi.rd,
-      ram_equalizer_gains_readdata_export       => ram_equalizer_gains_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_equalizer_gains_cross_clk_export       => OPEN,
-      ram_equalizer_gains_cross_reset_export     => OPEN,
-      ram_equalizer_gains_cross_address_export   => ram_equalizer_gains_cross_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
-      ram_equalizer_gains_cross_write_export     => ram_equalizer_gains_cross_copi.wr,
-      ram_equalizer_gains_cross_writedata_export => ram_equalizer_gains_cross_copi.wrdata(c_word_w - 1 downto 0),
-      ram_equalizer_gains_cross_read_export      => ram_equalizer_gains_cross_copi.rd,
-      ram_equalizer_gains_cross_readdata_export  => ram_equalizer_gains_cross_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_selector_clk_export                => OPEN,
-      reg_dp_selector_reset_export              => OPEN,
-      reg_dp_selector_address_export            => reg_dp_selector_copi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
-      reg_dp_selector_write_export              => reg_dp_selector_copi.wr,
-      reg_dp_selector_writedata_export          => reg_dp_selector_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_selector_read_export               => reg_dp_selector_copi.rd,
-      reg_dp_selector_readdata_export           => reg_dp_selector_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_sdp_info_clk_export                   => OPEN,
-      reg_sdp_info_reset_export                 => OPEN,
-      reg_sdp_info_address_export               => reg_sdp_info_copi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
-      reg_sdp_info_write_export                 => reg_sdp_info_copi.wr,
-      reg_sdp_info_writedata_export             => reg_sdp_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_sdp_info_read_export                  => reg_sdp_info_copi.rd,
-      reg_sdp_info_readdata_export              => reg_sdp_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_info_clk_export                  => OPEN,
-      reg_ring_info_reset_export                => OPEN,
-      reg_ring_info_address_export              => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
-      reg_ring_info_write_export                => reg_ring_info_copi.wr,
-      reg_ring_info_writedata_export            => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_info_read_export                 => reg_ring_info_copi.rd,
-      reg_ring_info_readdata_export             => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_ss_ss_wide_clk_export                 => OPEN,
-      ram_ss_ss_wide_reset_export               => OPEN,
-      ram_ss_ss_wide_address_export             => ram_ss_ss_wide_copi.address(c_sdp_ram_ss_ss_wide_addr_w - 1 downto 0),
-      ram_ss_ss_wide_write_export               => ram_ss_ss_wide_copi.wr,
-      ram_ss_ss_wide_writedata_export           => ram_ss_ss_wide_copi.wrdata(c_word_w - 1 downto 0),
-      ram_ss_ss_wide_read_export                => ram_ss_ss_wide_copi.rd,
-      ram_ss_ss_wide_readdata_export            => ram_ss_ss_wide_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_bf_weights_clk_export                 => OPEN,
-      ram_bf_weights_reset_export               => OPEN,
-      ram_bf_weights_address_export             => ram_bf_weights_copi.address(c_sdp_ram_bf_weights_addr_w - 1 downto 0),
-      ram_bf_weights_write_export               => ram_bf_weights_copi.wr,
-      ram_bf_weights_writedata_export           => ram_bf_weights_copi.wrdata(c_word_w - 1 downto 0),
-      ram_bf_weights_read_export                => ram_bf_weights_copi.rd,
-      ram_bf_weights_readdata_export            => ram_bf_weights_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bf_scale_clk_export                   => OPEN,
-      reg_bf_scale_reset_export                 => OPEN,
-      reg_bf_scale_address_export               => reg_bf_scale_copi.address(c_sdp_reg_bf_scale_addr_w - 1 downto 0),
-      reg_bf_scale_write_export                 => reg_bf_scale_copi.wr,
-      reg_bf_scale_writedata_export             => reg_bf_scale_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bf_scale_read_export                  => reg_bf_scale_copi.rd,
-      reg_bf_scale_readdata_export              => reg_bf_scale_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_hdr_dat_clk_export                    => OPEN,
-      reg_hdr_dat_reset_export                  => OPEN,
-      reg_hdr_dat_address_export                => reg_hdr_dat_copi.address(c_sdp_reg_bf_hdr_dat_addr_w - 1 downto 0),
-      reg_hdr_dat_write_export                  => reg_hdr_dat_copi.wr,
-      reg_hdr_dat_writedata_export              => reg_hdr_dat_copi.wrdata(c_word_w - 1 downto 0),
-      reg_hdr_dat_read_export                   => reg_hdr_dat_copi.rd,
-      reg_hdr_dat_readdata_export               => reg_hdr_dat_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_clk_export                  => OPEN,
-      reg_dp_xonoff_reset_export                => OPEN,
-      reg_dp_xonoff_address_export              => reg_dp_xonoff_copi.address(c_sdp_reg_dp_xonoff_addr_w - 1 downto 0),
-      reg_dp_xonoff_write_export                => reg_dp_xonoff_copi.wr,
-      reg_dp_xonoff_writedata_export            => reg_dp_xonoff_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_read_export                 => reg_dp_xonoff_copi.rd,
-      reg_dp_xonoff_readdata_export             => reg_dp_xonoff_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_bst_clk_export                     => OPEN,
-      ram_st_bst_reset_export                   => OPEN,
-      ram_st_bst_address_export                 => ram_st_bst_copi.address(c_sdp_ram_st_bst_addr_w - 1 downto 0),
-      ram_st_bst_write_export                   => ram_st_bst_copi.wr,
-      ram_st_bst_writedata_export               => ram_st_bst_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_bst_read_export                    => ram_st_bst_copi.rd,
-      ram_st_bst_readdata_export                => ram_st_bst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_sst_clk_export            => OPEN,
-      reg_stat_enable_sst_reset_export          => OPEN,
-      reg_stat_enable_sst_address_export        => reg_stat_enable_sst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
-      reg_stat_enable_sst_write_export          => reg_stat_enable_sst_copi.wr,
-      reg_stat_enable_sst_writedata_export      => reg_stat_enable_sst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_sst_read_export           => reg_stat_enable_sst_copi.rd,
-      reg_stat_enable_sst_readdata_export       => reg_stat_enable_sst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_sst_clk_export           => OPEN,
-      reg_stat_hdr_dat_sst_reset_export         => OPEN,
-      reg_stat_hdr_dat_sst_address_export       => reg_stat_hdr_dat_sst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_sst_write_export         => reg_stat_hdr_dat_sst_copi.wr,
-      reg_stat_hdr_dat_sst_writedata_export     => reg_stat_hdr_dat_sst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_sst_read_export          => reg_stat_hdr_dat_sst_copi.rd,
-      reg_stat_hdr_dat_sst_readdata_export      => reg_stat_hdr_dat_sst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_xst_clk_export            => OPEN,
-      reg_stat_enable_xst_reset_export          => OPEN,
-      reg_stat_enable_xst_address_export        => reg_stat_enable_xst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
-      reg_stat_enable_xst_write_export          => reg_stat_enable_xst_copi.wr,
-      reg_stat_enable_xst_writedata_export      => reg_stat_enable_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_xst_read_export           => reg_stat_enable_xst_copi.rd,
-      reg_stat_enable_xst_readdata_export       => reg_stat_enable_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_xst_clk_export           => OPEN,
-      reg_stat_hdr_dat_xst_reset_export         => OPEN,
-      reg_stat_hdr_dat_xst_address_export       => reg_stat_hdr_dat_xst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_xst_write_export         => reg_stat_hdr_dat_xst_copi.wr,
-      reg_stat_hdr_dat_xst_writedata_export     => reg_stat_hdr_dat_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_xst_read_export          => reg_stat_hdr_dat_xst_copi.rd,
-      reg_stat_hdr_dat_xst_readdata_export      => reg_stat_hdr_dat_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_enable_bst_clk_export            => OPEN,
-      reg_stat_enable_bst_reset_export          => OPEN,
-      reg_stat_enable_bst_address_export        => reg_stat_enable_bst_copi.address(c_sdp_reg_stat_enable_bst_addr_w - 1 downto 0),
-      reg_stat_enable_bst_write_export          => reg_stat_enable_bst_copi.wr,
-      reg_stat_enable_bst_writedata_export      => reg_stat_enable_bst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_enable_bst_read_export           => reg_stat_enable_bst_copi.rd,
-      reg_stat_enable_bst_readdata_export       => reg_stat_enable_bst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_stat_hdr_dat_bst_clk_export           => OPEN,
-      reg_stat_hdr_dat_bst_reset_export         => OPEN,
-      reg_stat_hdr_dat_bst_address_export       => reg_stat_hdr_dat_bst_copi.address(c_sdp_reg_stat_hdr_dat_bst_addr_w - 1 downto 0),
-      reg_stat_hdr_dat_bst_write_export         => reg_stat_hdr_dat_bst_copi.wr,
-      reg_stat_hdr_dat_bst_writedata_export     => reg_stat_hdr_dat_bst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_stat_hdr_dat_bst_read_export          => reg_stat_hdr_dat_bst_copi.rd,
-      reg_stat_hdr_dat_bst_readdata_export      => reg_stat_hdr_dat_bst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_crosslets_info_clk_export             => OPEN,
-      reg_crosslets_info_reset_export           => OPEN,
-      reg_crosslets_info_address_export         => reg_crosslets_info_copi.address(c_sdp_reg_crosslets_info_addr_w - 1 downto 0),
-      reg_crosslets_info_write_export           => reg_crosslets_info_copi.wr,
-      reg_crosslets_info_writedata_export       => reg_crosslets_info_copi.wrdata(c_word_w - 1 downto 0),
-      reg_crosslets_info_read_export            => reg_crosslets_info_copi.rd,
-      reg_crosslets_info_readdata_export        => reg_crosslets_info_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_nof_crosslets_clk_export              => OPEN,
-      reg_nof_crosslets_reset_export            => OPEN,
-      reg_nof_crosslets_address_export          => reg_nof_crosslets_copi.address(c_sdp_reg_nof_crosslets_addr_w - 1 downto 0),
-      reg_nof_crosslets_write_export            => reg_nof_crosslets_copi.wr,
-      reg_nof_crosslets_writedata_export        => reg_nof_crosslets_copi.wrdata(c_word_w - 1 downto 0),
-      reg_nof_crosslets_read_export             => reg_nof_crosslets_copi.rd,
-      reg_nof_crosslets_readdata_export         => reg_nof_crosslets_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_sync_scheduler_xsub_clk_export         => OPEN,
-      reg_bsn_sync_scheduler_xsub_reset_export       => OPEN,
-      reg_bsn_sync_scheduler_xsub_address_export     => reg_bsn_sync_scheduler_xsub_copi.address(c_sdp_reg_bsn_sync_scheduler_xsub_addr_w - 1 downto 0),
-      reg_bsn_sync_scheduler_xsub_write_export       => reg_bsn_sync_scheduler_xsub_copi.wr,
-      reg_bsn_sync_scheduler_xsub_writedata_export   => reg_bsn_sync_scheduler_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_sync_scheduler_xsub_read_export        => reg_bsn_sync_scheduler_xsub_copi.rd,
-      reg_bsn_sync_scheduler_xsub_readdata_export    => reg_bsn_sync_scheduler_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_st_xsq_clk_export                     => OPEN,
-      ram_st_xsq_reset_export                   => OPEN,
-      ram_st_xsq_address_export                 => ram_st_xsq_copi.address(c_sdp_ram_st_xsq_arr_addr_w - 1 downto 0),
-      ram_st_xsq_write_export                   => ram_st_xsq_copi.wr,
-      ram_st_xsq_writedata_export               => ram_st_xsq_copi.wrdata(c_word_w - 1 downto 0),
-      ram_st_xsq_read_export                    => ram_st_xsq_copi.rd,
-      ram_st_xsq_readdata_export                => ram_st_xsq_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_nw_10GbE_mac_clk_export               => OPEN,
-      reg_nw_10GbE_mac_reset_export             => OPEN,
-      reg_nw_10GbE_mac_address_export           => reg_nw_10GbE_mac_copi.address(c_sdp_reg_nw_10GbE_mac_addr_w - 1 downto 0),
-      reg_nw_10GbE_mac_write_export             => reg_nw_10GbE_mac_copi.wr,
-      reg_nw_10GbE_mac_writedata_export         => reg_nw_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
-      reg_nw_10GbE_mac_read_export              => reg_nw_10GbE_mac_copi.rd,
-      reg_nw_10GbE_mac_readdata_export          => reg_nw_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_nw_10GbE_eth10g_clk_export            => OPEN,
-      reg_nw_10GbE_eth10g_reset_export          => OPEN,
-      reg_nw_10GbE_eth10g_address_export        => reg_nw_10GbE_eth10g_copi.address(c_sdp_reg_nw_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_nw_10GbE_eth10g_write_export          => reg_nw_10GbE_eth10g_copi.wr,
-      reg_nw_10GbE_eth10g_writedata_export      => reg_nw_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
-      reg_nw_10GbE_eth10g_read_export           => reg_nw_10GbE_eth10g_copi.rd,
-      reg_nw_10GbE_eth10g_readdata_export       => reg_nw_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_align_v2_bf_clk_export          => OPEN,
-      reg_bsn_align_v2_bf_reset_export        => OPEN,
-      reg_bsn_align_v2_bf_address_export      => reg_bsn_align_v2_bf_copi.address(c_sdp_reg_bsn_align_v2_bf_addr_w - 1 downto 0),
-      reg_bsn_align_v2_bf_write_export        => reg_bsn_align_v2_bf_copi.wr,
-      reg_bsn_align_v2_bf_writedata_export    => reg_bsn_align_v2_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_align_v2_bf_read_export         => reg_bsn_align_v2_bf_copi.rd,
-      reg_bsn_align_v2_bf_readdata_export     => reg_bsn_align_v2_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_align_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_rx_align_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_rx_align_bf_address_export   => reg_bsn_monitor_v2_rx_align_bf_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_bf_write_export     => reg_bsn_monitor_v2_rx_align_bf_copi.wr,
-      reg_bsn_monitor_v2_rx_align_bf_writedata_export => reg_bsn_monitor_v2_rx_align_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_bf_read_export      => reg_bsn_monitor_v2_rx_align_bf_copi.rd,
-      reg_bsn_monitor_v2_rx_align_bf_readdata_export  => reg_bsn_monitor_v2_rx_align_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_aligned_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_aligned_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_aligned_bf_address_export   => reg_bsn_monitor_v2_aligned_bf_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_bf_write_export     => reg_bsn_monitor_v2_aligned_bf_copi.wr,
-      reg_bsn_monitor_v2_aligned_bf_writedata_export => reg_bsn_monitor_v2_aligned_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_bf_read_export      => reg_bsn_monitor_v2_aligned_bf_copi.rd,
-      reg_bsn_monitor_v2_aligned_bf_readdata_export  => reg_bsn_monitor_v2_aligned_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_align_v2_xsub_clk_export          => OPEN,
-      reg_bsn_align_v2_xsub_reset_export        => OPEN,
-      reg_bsn_align_v2_xsub_address_export      => reg_bsn_align_v2_xsub_copi.address(c_sdp_reg_bsn_align_v2_xsub_addr_w - 1 downto 0),
-      reg_bsn_align_v2_xsub_write_export        => reg_bsn_align_v2_xsub_copi.wr,
-      reg_bsn_align_v2_xsub_writedata_export    => reg_bsn_align_v2_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_align_v2_xsub_read_export         => reg_bsn_align_v2_xsub_copi.rd,
-      reg_bsn_align_v2_xsub_readdata_export     => reg_bsn_align_v2_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_align_xsub_clk_export       => OPEN,
-      reg_bsn_monitor_v2_rx_align_xsub_reset_export     => OPEN,
-      reg_bsn_monitor_v2_rx_align_xsub_address_export   => reg_bsn_monitor_v2_rx_align_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_xsub_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_xsub_write_export     => reg_bsn_monitor_v2_rx_align_xsub_copi.wr,
-      reg_bsn_monitor_v2_rx_align_xsub_writedata_export => reg_bsn_monitor_v2_rx_align_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_align_xsub_read_export      => reg_bsn_monitor_v2_rx_align_xsub_copi.rd,
-      reg_bsn_monitor_v2_rx_align_xsub_readdata_export  => reg_bsn_monitor_v2_rx_align_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_aligned_xsub_clk_export       => OPEN,
-      reg_bsn_monitor_v2_aligned_xsub_reset_export     => OPEN,
-      reg_bsn_monitor_v2_aligned_xsub_address_export   => reg_bsn_monitor_v2_aligned_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_xsub_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_xsub_write_export     => reg_bsn_monitor_v2_aligned_xsub_copi.wr,
-      reg_bsn_monitor_v2_aligned_xsub_writedata_export => reg_bsn_monitor_v2_aligned_xsub_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_aligned_xsub_read_export      => reg_bsn_monitor_v2_aligned_xsub_copi.rd,
-      reg_bsn_monitor_v2_aligned_xsub_readdata_export  => reg_bsn_monitor_v2_aligned_xsub_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_sst_offload_clk_export            => OPEN,
-      reg_bsn_monitor_v2_sst_offload_reset_export          => OPEN,
-      reg_bsn_monitor_v2_sst_offload_address_export        => reg_bsn_monitor_v2_sst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_sst_offload_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_sst_offload_write_export          => reg_bsn_monitor_v2_sst_offload_copi.wr,
-      reg_bsn_monitor_v2_sst_offload_writedata_export      => reg_bsn_monitor_v2_sst_offload_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_sst_offload_read_export           => reg_bsn_monitor_v2_sst_offload_copi.rd,
-      reg_bsn_monitor_v2_sst_offload_readdata_export       => reg_bsn_monitor_v2_sst_offload_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_bst_offload_clk_export            => OPEN,
-      reg_bsn_monitor_v2_bst_offload_reset_export          => OPEN,
-      reg_bsn_monitor_v2_bst_offload_address_export        => reg_bsn_monitor_v2_bst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_bst_offload_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_bst_offload_write_export          => reg_bsn_monitor_v2_bst_offload_copi.wr,
-      reg_bsn_monitor_v2_bst_offload_writedata_export      => reg_bsn_monitor_v2_bst_offload_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_bst_offload_read_export           => reg_bsn_monitor_v2_bst_offload_copi.rd,
-      reg_bsn_monitor_v2_bst_offload_readdata_export       => reg_bsn_monitor_v2_bst_offload_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_beamlet_output_clk_export         => OPEN,
-      reg_bsn_monitor_v2_beamlet_output_reset_export       => OPEN,
-      reg_bsn_monitor_v2_beamlet_output_address_export     => reg_bsn_monitor_v2_beamlet_output_copi.address(c_sdp_reg_bsn_monitor_v2_beamlet_output_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_beamlet_output_write_export       => reg_bsn_monitor_v2_beamlet_output_copi.wr,
-      reg_bsn_monitor_v2_beamlet_output_writedata_export   => reg_bsn_monitor_v2_beamlet_output_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_beamlet_output_read_export        => reg_bsn_monitor_v2_beamlet_output_copi.rd,
-      reg_bsn_monitor_v2_beamlet_output_readdata_export    => reg_bsn_monitor_v2_beamlet_output_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_xst_offload_clk_export            => OPEN,
-      reg_bsn_monitor_v2_xst_offload_reset_export          => OPEN,
-      reg_bsn_monitor_v2_xst_offload_address_export        => reg_bsn_monitor_v2_xst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_xst_offload_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_xst_offload_write_export          => reg_bsn_monitor_v2_xst_offload_copi.wr,
-      reg_bsn_monitor_v2_xst_offload_writedata_export      => reg_bsn_monitor_v2_xst_offload_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_xst_offload_read_export           => reg_bsn_monitor_v2_xst_offload_copi.rd,
-      reg_bsn_monitor_v2_xst_offload_readdata_export       => reg_bsn_monitor_v2_xst_offload_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_lane_info_bf_clk_export               => OPEN,
-      reg_ring_lane_info_bf_reset_export             => OPEN,
-      reg_ring_lane_info_bf_address_export           => reg_ring_lane_info_bf_copi.address(c_sdp_reg_ring_lane_info_bf_addr_w - 1 downto 0),
-      reg_ring_lane_info_bf_write_export             => reg_ring_lane_info_bf_copi.wr,
-      reg_ring_lane_info_bf_writedata_export         => reg_ring_lane_info_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_lane_info_bf_read_export              => reg_ring_lane_info_bf_copi.rd,
-      reg_ring_lane_info_bf_readdata_export          => reg_ring_lane_info_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_rx_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_rx_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_rx_bf_address_export   => reg_bsn_monitor_v2_ring_rx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_bf_write_export     => reg_bsn_monitor_v2_ring_rx_bf_copi.wr,
-      reg_bsn_monitor_v2_ring_rx_bf_writedata_export => reg_bsn_monitor_v2_ring_rx_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_bf_read_export      => reg_bsn_monitor_v2_ring_rx_bf_copi.rd,
-      reg_bsn_monitor_v2_ring_rx_bf_readdata_export  => reg_bsn_monitor_v2_ring_rx_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_tx_bf_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_tx_bf_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_tx_bf_address_export   => reg_bsn_monitor_v2_ring_tx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_bf_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_bf_write_export     => reg_bsn_monitor_v2_ring_tx_bf_copi.wr,
-      reg_bsn_monitor_v2_ring_tx_bf_writedata_export => reg_bsn_monitor_v2_ring_tx_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_bf_read_export      => reg_bsn_monitor_v2_ring_tx_bf_copi.rd,
-      reg_bsn_monitor_v2_ring_tx_bf_readdata_export  => reg_bsn_monitor_v2_ring_tx_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_err_bf_clk_export       => OPEN,
-      reg_dp_block_validate_err_bf_reset_export     => OPEN,
-      reg_dp_block_validate_err_bf_address_export   => reg_dp_block_validate_err_bf_copi.address(c_sdp_reg_dp_block_validate_err_bf_addr_w - 1 downto 0),
-      reg_dp_block_validate_err_bf_write_export     => reg_dp_block_validate_err_bf_copi.wr,
-      reg_dp_block_validate_err_bf_writedata_export => reg_dp_block_validate_err_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_err_bf_read_export      => reg_dp_block_validate_err_bf_copi.rd,
-      reg_dp_block_validate_err_bf_readdata_export  => reg_dp_block_validate_err_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_bsn_at_sync_bf_clk_export       => OPEN,
-      reg_dp_block_validate_bsn_at_sync_bf_reset_export     => OPEN,
-      reg_dp_block_validate_bsn_at_sync_bf_address_export   => reg_dp_block_validate_bsn_at_sync_bf_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_bf_addr_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_bf_write_export     => reg_dp_block_validate_bsn_at_sync_bf_copi.wr,
-      reg_dp_block_validate_bsn_at_sync_bf_writedata_export => reg_dp_block_validate_bsn_at_sync_bf_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_bf_read_export      => reg_dp_block_validate_bsn_at_sync_bf_copi.rd,
-      reg_dp_block_validate_bsn_at_sync_bf_readdata_export  => reg_dp_block_validate_bsn_at_sync_bf_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_ring_lane_info_xst_clk_export         => OPEN,
-      reg_ring_lane_info_xst_reset_export       => OPEN,
-      reg_ring_lane_info_xst_address_export     => reg_ring_lane_info_xst_copi.address(c_sdp_reg_ring_lane_info_xst_addr_w - 1 downto 0),
-      reg_ring_lane_info_xst_write_export       => reg_ring_lane_info_xst_copi.wr,
-      reg_ring_lane_info_xst_writedata_export   => reg_ring_lane_info_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_ring_lane_info_xst_read_export        => reg_ring_lane_info_xst_copi.rd,
-      reg_ring_lane_info_xst_readdata_export    => reg_ring_lane_info_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_rx_xst_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_rx_xst_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_rx_xst_address_export   => reg_bsn_monitor_v2_ring_rx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_xst_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_xst_write_export     => reg_bsn_monitor_v2_ring_rx_xst_copi.wr,
-      reg_bsn_monitor_v2_ring_rx_xst_writedata_export => reg_bsn_monitor_v2_ring_rx_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_rx_xst_read_export      => reg_bsn_monitor_v2_ring_rx_xst_copi.rd,
-      reg_bsn_monitor_v2_ring_rx_xst_readdata_export  => reg_bsn_monitor_v2_ring_rx_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_ring_tx_xst_clk_export       => OPEN,
-      reg_bsn_monitor_v2_ring_tx_xst_reset_export     => OPEN,
-      reg_bsn_monitor_v2_ring_tx_xst_address_export   => reg_bsn_monitor_v2_ring_tx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_xst_addr_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_xst_write_export     => reg_bsn_monitor_v2_ring_tx_xst_copi.wr,
-      reg_bsn_monitor_v2_ring_tx_xst_writedata_export => reg_bsn_monitor_v2_ring_tx_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_ring_tx_xst_read_export      => reg_bsn_monitor_v2_ring_tx_xst_copi.rd,
-      reg_bsn_monitor_v2_ring_tx_xst_readdata_export  => reg_bsn_monitor_v2_ring_tx_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_err_xst_clk_export       => OPEN,
-      reg_dp_block_validate_err_xst_reset_export     => OPEN,
-      reg_dp_block_validate_err_xst_address_export   => reg_dp_block_validate_err_xst_copi.address(c_sdp_reg_dp_block_validate_err_xst_addr_w - 1 downto 0),
-      reg_dp_block_validate_err_xst_write_export     => reg_dp_block_validate_err_xst_copi.wr,
-      reg_dp_block_validate_err_xst_writedata_export => reg_dp_block_validate_err_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_err_xst_read_export      => reg_dp_block_validate_err_xst_copi.rd,
-      reg_dp_block_validate_err_xst_readdata_export  => reg_dp_block_validate_err_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_dp_block_validate_bsn_at_sync_xst_clk_export       => OPEN,
-      reg_dp_block_validate_bsn_at_sync_xst_reset_export     => OPEN,
-      reg_dp_block_validate_bsn_at_sync_xst_address_export   => reg_dp_block_validate_bsn_at_sync_xst_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_xst_addr_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_xst_write_export     => reg_dp_block_validate_bsn_at_sync_xst_copi.wr,
-      reg_dp_block_validate_bsn_at_sync_xst_writedata_export => reg_dp_block_validate_bsn_at_sync_xst_copi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_block_validate_bsn_at_sync_xst_read_export      => reg_dp_block_validate_bsn_at_sync_xst_copi.rd,
-      reg_dp_block_validate_bsn_at_sync_xst_readdata_export  => reg_dp_block_validate_bsn_at_sync_xst_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_mac_clk_export               => OPEN,
-      reg_tr_10GbE_mac_reset_export             => OPEN,
-      reg_tr_10GbE_mac_address_export           => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
-      reg_tr_10GbE_mac_write_export             => reg_tr_10GbE_mac_copi.wr,
-      reg_tr_10GbE_mac_writedata_export         => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_mac_read_export              => reg_tr_10GbE_mac_copi.rd,
-      reg_tr_10GbE_mac_readdata_export          => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_tr_10GbE_eth10g_clk_export            => OPEN,
-      reg_tr_10GbE_eth10g_reset_export          => OPEN,
-      reg_tr_10GbE_eth10g_address_export        => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_write_export          => reg_tr_10GbE_eth10g_copi.wr,
-      reg_tr_10GbE_eth10g_writedata_export      => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10GbE_eth10g_read_export           => reg_tr_10GbE_eth10g_copi.rd,
-      reg_tr_10GbE_eth10g_readdata_export       => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_address_export                  => ram_scrap_copi.address(9 - 1 downto 0),
-      ram_scrap_write_export                    => ram_scrap_copi.wr,
-      ram_scrap_writedata_export                => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_copi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_copi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_copi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_cipo.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_copi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_copi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_copi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_copi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_copi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_copi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_cipo.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_copi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_copi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_copi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_copi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_copi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_cipo.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        --    ToDo: This has changed in the peripherals package
+        --      rom_system_info_address_export            => rom_unb_system_info_copi.address(9 DOWNTO 0),
+        rom_system_info_address_export            => rom_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_copi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_copi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_copi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_copi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_copi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_copi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_copi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_copi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_copi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_copi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_copi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_copi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_copi.wr,
+        reg_remu_writedata_export                 => reg_remu_copi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_copi.rd,
+        reg_remu_readdata_export                  => reg_remu_cipo.rddata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_copi.address(c_sdp_jesd204b_addr_w - 1 downto 0),
+        jesd204b_write_export                     => jesd204b_copi.wr,
+        jesd204b_writedata_export                 => jesd204b_copi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_copi.rd,
+        jesd204b_readdata_export                  => jesd204b_cipo.rddata(c_word_w - 1 downto 0),
+
+        pio_jesd_ctrl_reset_export                => OPEN,
+        pio_jesd_ctrl_clk_export                  => OPEN,
+        pio_jesd_ctrl_address_export              => jesd_ctrl_copi.address(c_sdp_jesd_ctrl_addr_w - 1 downto 0),
+        pio_jesd_ctrl_write_export                => jesd_ctrl_copi.wr,
+        pio_jesd_ctrl_writedata_export            => jesd_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+        pio_jesd_ctrl_read_export                 => jesd_ctrl_copi.rd,
+        pio_jesd_ctrl_readdata_export             => jesd_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_copi.address(c_sdp_reg_bsn_monitor_input_addr_w - 1 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_copi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_copi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_copi.wrdata(c_word_w - 1 downto 0),
+
+        -- waveform generators (multiplexed)
+        reg_wg_clk_export                         => OPEN,
+        reg_wg_reset_export                       => OPEN,
+        reg_wg_address_export                     => reg_wg_copi.address(c_sdp_reg_wg_addr_w - 1 downto 0),
+        reg_wg_read_export                        => reg_wg_copi.rd,
+        reg_wg_readdata_export                    => reg_wg_cipo.rddata(c_word_w - 1 downto 0),
+        reg_wg_write_export                       => reg_wg_copi.wr,
+        reg_wg_writedata_export                   => reg_wg_copi.wrdata(c_word_w - 1 downto 0),
+
+        ram_wg_clk_export                         => OPEN,
+        ram_wg_reset_export                       => OPEN,
+        ram_wg_address_export                     => ram_wg_copi.address(c_sdp_ram_wg_addr_w - 1 downto 0),
+        ram_wg_read_export                        => ram_wg_copi.rd,
+        ram_wg_readdata_export                    => ram_wg_cipo.rddata(c_word_w - 1 downto 0),
+        ram_wg_write_export                       => ram_wg_copi.wr,
+        ram_wg_writedata_export                   => ram_wg_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_shiftram_clk_export                => OPEN,
+        reg_dp_shiftram_reset_export              => OPEN,
+        reg_dp_shiftram_address_export            => reg_dp_shiftram_copi.address(c_sdp_reg_dp_shiftram_addr_w - 1 downto 0),
+        reg_dp_shiftram_read_export               => reg_dp_shiftram_copi.rd,
+        reg_dp_shiftram_readdata_export           => reg_dp_shiftram_cipo.rddata(c_word_w - 1 downto 0),
+        reg_dp_shiftram_write_export              => reg_dp_shiftram_copi.wr,
+        reg_dp_shiftram_writedata_export          => reg_dp_shiftram_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_v2_clk_export              => OPEN,
+        reg_bsn_source_v2_reset_export            => OPEN,
+        reg_bsn_source_v2_address_export          => reg_bsn_source_v2_copi.address(c_sdp_reg_bsn_source_v2_addr_w - 1 downto 0),
+        reg_bsn_source_v2_read_export             => reg_bsn_source_v2_copi.rd,
+        reg_bsn_source_v2_readdata_export         => reg_bsn_source_v2_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_v2_write_export            => reg_bsn_source_v2_copi.wr,
+        reg_bsn_source_v2_writedata_export        => reg_bsn_source_v2_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_scheduler_clk_export              => OPEN,
+        reg_bsn_scheduler_reset_export            => OPEN,
+        reg_bsn_scheduler_address_export          => reg_bsn_scheduler_copi.address(c_sdp_reg_bsn_scheduler_addr_w - 1 downto 0),
+        reg_bsn_scheduler_read_export             => reg_bsn_scheduler_copi.rd,
+        reg_bsn_scheduler_readdata_export         => reg_bsn_scheduler_cipo.rddata(c_word_w - 1 downto 0),
+        reg_bsn_scheduler_write_export            => reg_bsn_scheduler_copi.wr,
+        reg_bsn_scheduler_writedata_export        => reg_bsn_scheduler_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_copi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_copi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_copi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_copi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_copi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_copi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_copi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_copi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_copi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_copi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_copi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_copi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_copi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_cipo.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_copi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_copi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_copi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_copi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_cipo.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_copi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_copi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_bsn_clk_export       => OPEN,
+        ram_diag_data_buffer_bsn_reset_export     => OPEN,
+        ram_diag_data_buffer_bsn_address_export   => ram_diag_data_buf_bsn_copi.address(c_sdp_ram_diag_data_buf_bsn_addr_w - 1 downto 0),
+        ram_diag_data_buffer_bsn_write_export     => ram_diag_data_buf_bsn_copi.wr,
+        ram_diag_data_buffer_bsn_writedata_export => ram_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_bsn_read_export      => ram_diag_data_buf_bsn_copi.rd,
+        ram_diag_data_buffer_bsn_readdata_export  => ram_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_bsn_reset_export     => OPEN,
+        reg_diag_data_buffer_bsn_clk_export       => OPEN,
+        reg_diag_data_buffer_bsn_address_export   => reg_diag_data_buf_bsn_copi.address(c_sdp_reg_diag_data_buf_bsn_addr_w - 1 downto 0),
+        reg_diag_data_buffer_bsn_write_export     => reg_diag_data_buf_bsn_copi.wr,
+        reg_diag_data_buffer_bsn_writedata_export => reg_diag_data_buf_bsn_copi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_bsn_read_export      => reg_diag_data_buf_bsn_copi.rd,
+        reg_diag_data_buffer_bsn_readdata_export  => reg_diag_data_buf_bsn_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_histogram_clk_export               => OPEN,
+        ram_st_histogram_reset_export             => OPEN,
+        ram_st_histogram_address_export           => ram_st_histogram_copi.address(c_sdp_ram_st_histogram_addr_w - 1 downto 0),
+        ram_st_histogram_write_export             => ram_st_histogram_copi.wr,
+        ram_st_histogram_writedata_export         => ram_st_histogram_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_histogram_read_export              => ram_st_histogram_copi.rd,
+        ram_st_histogram_readdata_export          => ram_st_histogram_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_aduh_monitor_reset_export             => OPEN,
+        reg_aduh_monitor_clk_export               => OPEN,
+        reg_aduh_monitor_address_export           => reg_aduh_monitor_copi.address(c_sdp_reg_aduh_monitor_addr_w - 1 downto 0),
+        reg_aduh_monitor_write_export             => reg_aduh_monitor_copi.wr,
+        reg_aduh_monitor_writedata_export         => reg_aduh_monitor_copi.wrdata(c_word_w - 1 downto 0),
+        reg_aduh_monitor_read_export              => reg_aduh_monitor_copi.rd,
+        reg_aduh_monitor_readdata_export          => reg_aduh_monitor_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_fil_coefs_clk_export                  => OPEN,
+        ram_fil_coefs_reset_export                => OPEN,
+        ram_fil_coefs_address_export              => ram_fil_coefs_copi.address(c_sdp_ram_fil_coefs_addr_w - 1 downto 0),
+        ram_fil_coefs_write_export                => ram_fil_coefs_copi.wr,
+        ram_fil_coefs_writedata_export            => ram_fil_coefs_copi.wrdata(c_word_w - 1 downto 0),
+        ram_fil_coefs_read_export                 => ram_fil_coefs_copi.rd,
+        ram_fil_coefs_readdata_export             => ram_fil_coefs_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_sst_clk_export                     => OPEN,
+        ram_st_sst_reset_export                   => OPEN,
+        ram_st_sst_address_export                 => ram_st_sst_copi.address(c_sdp_ram_st_sst_addr_w - 1 downto 0),
+        ram_st_sst_write_export                   => ram_st_sst_copi.wr,
+        ram_st_sst_writedata_export               => ram_st_sst_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_sst_read_export                    => ram_st_sst_copi.rd,
+        ram_st_sst_readdata_export                => ram_st_sst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_si_clk_export                         => OPEN,
+        reg_si_reset_export                       => OPEN,
+        reg_si_address_export                     => reg_si_copi.address(c_sdp_reg_si_addr_w - 1 downto 0),
+        reg_si_write_export                       => reg_si_copi.wr,
+        reg_si_writedata_export                   => reg_si_copi.wrdata(c_word_w - 1 downto 0),
+        reg_si_read_export                        => reg_si_copi.rd,
+        reg_si_readdata_export                    => reg_si_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_clk_export            => OPEN,
+        ram_equalizer_gains_reset_export          => OPEN,
+        ram_equalizer_gains_address_export        => ram_equalizer_gains_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_write_export          => ram_equalizer_gains_copi.wr,
+        ram_equalizer_gains_writedata_export      => ram_equalizer_gains_copi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_read_export           => ram_equalizer_gains_copi.rd,
+        ram_equalizer_gains_readdata_export       => ram_equalizer_gains_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_equalizer_gains_cross_clk_export       => OPEN,
+        ram_equalizer_gains_cross_reset_export     => OPEN,
+        ram_equalizer_gains_cross_address_export   => ram_equalizer_gains_cross_copi.address(c_sdp_ram_equalizer_gains_addr_w - 1 downto 0),
+        ram_equalizer_gains_cross_write_export     => ram_equalizer_gains_cross_copi.wr,
+        ram_equalizer_gains_cross_writedata_export => ram_equalizer_gains_cross_copi.wrdata(c_word_w - 1 downto 0),
+        ram_equalizer_gains_cross_read_export      => ram_equalizer_gains_cross_copi.rd,
+        ram_equalizer_gains_cross_readdata_export  => ram_equalizer_gains_cross_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_selector_clk_export                => OPEN,
+        reg_dp_selector_reset_export              => OPEN,
+        reg_dp_selector_address_export            => reg_dp_selector_copi.address(c_sdp_reg_dp_selector_addr_w - 1 downto 0),
+        reg_dp_selector_write_export              => reg_dp_selector_copi.wr,
+        reg_dp_selector_writedata_export          => reg_dp_selector_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_selector_read_export               => reg_dp_selector_copi.rd,
+        reg_dp_selector_readdata_export           => reg_dp_selector_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_sdp_info_clk_export                   => OPEN,
+        reg_sdp_info_reset_export                 => OPEN,
+        reg_sdp_info_address_export               => reg_sdp_info_copi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
+        reg_sdp_info_write_export                 => reg_sdp_info_copi.wr,
+        reg_sdp_info_writedata_export             => reg_sdp_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_sdp_info_read_export                  => reg_sdp_info_copi.rd,
+        reg_sdp_info_readdata_export              => reg_sdp_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_info_clk_export                  => OPEN,
+        reg_ring_info_reset_export                => OPEN,
+        reg_ring_info_address_export              => reg_ring_info_copi.address(c_sdp_reg_ring_info_addr_w - 1 downto 0),
+        reg_ring_info_write_export                => reg_ring_info_copi.wr,
+        reg_ring_info_writedata_export            => reg_ring_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_info_read_export                 => reg_ring_info_copi.rd,
+        reg_ring_info_readdata_export             => reg_ring_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_ss_ss_wide_clk_export                 => OPEN,
+        ram_ss_ss_wide_reset_export               => OPEN,
+        ram_ss_ss_wide_address_export             => ram_ss_ss_wide_copi.address(c_sdp_ram_ss_ss_wide_addr_w - 1 downto 0),
+        ram_ss_ss_wide_write_export               => ram_ss_ss_wide_copi.wr,
+        ram_ss_ss_wide_writedata_export           => ram_ss_ss_wide_copi.wrdata(c_word_w - 1 downto 0),
+        ram_ss_ss_wide_read_export                => ram_ss_ss_wide_copi.rd,
+        ram_ss_ss_wide_readdata_export            => ram_ss_ss_wide_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_bf_weights_clk_export                 => OPEN,
+        ram_bf_weights_reset_export               => OPEN,
+        ram_bf_weights_address_export             => ram_bf_weights_copi.address(c_sdp_ram_bf_weights_addr_w - 1 downto 0),
+        ram_bf_weights_write_export               => ram_bf_weights_copi.wr,
+        ram_bf_weights_writedata_export           => ram_bf_weights_copi.wrdata(c_word_w - 1 downto 0),
+        ram_bf_weights_read_export                => ram_bf_weights_copi.rd,
+        ram_bf_weights_readdata_export            => ram_bf_weights_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bf_scale_clk_export                   => OPEN,
+        reg_bf_scale_reset_export                 => OPEN,
+        reg_bf_scale_address_export               => reg_bf_scale_copi.address(c_sdp_reg_bf_scale_addr_w - 1 downto 0),
+        reg_bf_scale_write_export                 => reg_bf_scale_copi.wr,
+        reg_bf_scale_writedata_export             => reg_bf_scale_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bf_scale_read_export                  => reg_bf_scale_copi.rd,
+        reg_bf_scale_readdata_export              => reg_bf_scale_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_hdr_dat_clk_export                    => OPEN,
+        reg_hdr_dat_reset_export                  => OPEN,
+        reg_hdr_dat_address_export                => reg_hdr_dat_copi.address(c_sdp_reg_bf_hdr_dat_addr_w - 1 downto 0),
+        reg_hdr_dat_write_export                  => reg_hdr_dat_copi.wr,
+        reg_hdr_dat_writedata_export              => reg_hdr_dat_copi.wrdata(c_word_w - 1 downto 0),
+        reg_hdr_dat_read_export                   => reg_hdr_dat_copi.rd,
+        reg_hdr_dat_readdata_export               => reg_hdr_dat_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_clk_export                  => OPEN,
+        reg_dp_xonoff_reset_export                => OPEN,
+        reg_dp_xonoff_address_export              => reg_dp_xonoff_copi.address(c_sdp_reg_dp_xonoff_addr_w - 1 downto 0),
+        reg_dp_xonoff_write_export                => reg_dp_xonoff_copi.wr,
+        reg_dp_xonoff_writedata_export            => reg_dp_xonoff_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_read_export                 => reg_dp_xonoff_copi.rd,
+        reg_dp_xonoff_readdata_export             => reg_dp_xonoff_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_bst_clk_export                     => OPEN,
+        ram_st_bst_reset_export                   => OPEN,
+        ram_st_bst_address_export                 => ram_st_bst_copi.address(c_sdp_ram_st_bst_addr_w - 1 downto 0),
+        ram_st_bst_write_export                   => ram_st_bst_copi.wr,
+        ram_st_bst_writedata_export               => ram_st_bst_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_bst_read_export                    => ram_st_bst_copi.rd,
+        ram_st_bst_readdata_export                => ram_st_bst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_sst_clk_export            => OPEN,
+        reg_stat_enable_sst_reset_export          => OPEN,
+        reg_stat_enable_sst_address_export        => reg_stat_enable_sst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
+        reg_stat_enable_sst_write_export          => reg_stat_enable_sst_copi.wr,
+        reg_stat_enable_sst_writedata_export      => reg_stat_enable_sst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_sst_read_export           => reg_stat_enable_sst_copi.rd,
+        reg_stat_enable_sst_readdata_export       => reg_stat_enable_sst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_sst_clk_export           => OPEN,
+        reg_stat_hdr_dat_sst_reset_export         => OPEN,
+        reg_stat_hdr_dat_sst_address_export       => reg_stat_hdr_dat_sst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_sst_write_export         => reg_stat_hdr_dat_sst_copi.wr,
+        reg_stat_hdr_dat_sst_writedata_export     => reg_stat_hdr_dat_sst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_sst_read_export          => reg_stat_hdr_dat_sst_copi.rd,
+        reg_stat_hdr_dat_sst_readdata_export      => reg_stat_hdr_dat_sst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_xst_clk_export            => OPEN,
+        reg_stat_enable_xst_reset_export          => OPEN,
+        reg_stat_enable_xst_address_export        => reg_stat_enable_xst_copi.address(c_sdp_reg_stat_enable_addr_w - 1 downto 0),
+        reg_stat_enable_xst_write_export          => reg_stat_enable_xst_copi.wr,
+        reg_stat_enable_xst_writedata_export      => reg_stat_enable_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_xst_read_export           => reg_stat_enable_xst_copi.rd,
+        reg_stat_enable_xst_readdata_export       => reg_stat_enable_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_xst_clk_export           => OPEN,
+        reg_stat_hdr_dat_xst_reset_export         => OPEN,
+        reg_stat_hdr_dat_xst_address_export       => reg_stat_hdr_dat_xst_copi.address(c_sdp_reg_stat_hdr_dat_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_xst_write_export         => reg_stat_hdr_dat_xst_copi.wr,
+        reg_stat_hdr_dat_xst_writedata_export     => reg_stat_hdr_dat_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_xst_read_export          => reg_stat_hdr_dat_xst_copi.rd,
+        reg_stat_hdr_dat_xst_readdata_export      => reg_stat_hdr_dat_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_enable_bst_clk_export            => OPEN,
+        reg_stat_enable_bst_reset_export          => OPEN,
+        reg_stat_enable_bst_address_export        => reg_stat_enable_bst_copi.address(c_sdp_reg_stat_enable_bst_addr_w - 1 downto 0),
+        reg_stat_enable_bst_write_export          => reg_stat_enable_bst_copi.wr,
+        reg_stat_enable_bst_writedata_export      => reg_stat_enable_bst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_enable_bst_read_export           => reg_stat_enable_bst_copi.rd,
+        reg_stat_enable_bst_readdata_export       => reg_stat_enable_bst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_stat_hdr_dat_bst_clk_export           => OPEN,
+        reg_stat_hdr_dat_bst_reset_export         => OPEN,
+        reg_stat_hdr_dat_bst_address_export       => reg_stat_hdr_dat_bst_copi.address(c_sdp_reg_stat_hdr_dat_bst_addr_w - 1 downto 0),
+        reg_stat_hdr_dat_bst_write_export         => reg_stat_hdr_dat_bst_copi.wr,
+        reg_stat_hdr_dat_bst_writedata_export     => reg_stat_hdr_dat_bst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_stat_hdr_dat_bst_read_export          => reg_stat_hdr_dat_bst_copi.rd,
+        reg_stat_hdr_dat_bst_readdata_export      => reg_stat_hdr_dat_bst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_crosslets_info_clk_export             => OPEN,
+        reg_crosslets_info_reset_export           => OPEN,
+        reg_crosslets_info_address_export         => reg_crosslets_info_copi.address(c_sdp_reg_crosslets_info_addr_w - 1 downto 0),
+        reg_crosslets_info_write_export           => reg_crosslets_info_copi.wr,
+        reg_crosslets_info_writedata_export       => reg_crosslets_info_copi.wrdata(c_word_w - 1 downto 0),
+        reg_crosslets_info_read_export            => reg_crosslets_info_copi.rd,
+        reg_crosslets_info_readdata_export        => reg_crosslets_info_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_nof_crosslets_clk_export              => OPEN,
+        reg_nof_crosslets_reset_export            => OPEN,
+        reg_nof_crosslets_address_export          => reg_nof_crosslets_copi.address(c_sdp_reg_nof_crosslets_addr_w - 1 downto 0),
+        reg_nof_crosslets_write_export            => reg_nof_crosslets_copi.wr,
+        reg_nof_crosslets_writedata_export        => reg_nof_crosslets_copi.wrdata(c_word_w - 1 downto 0),
+        reg_nof_crosslets_read_export             => reg_nof_crosslets_copi.rd,
+        reg_nof_crosslets_readdata_export         => reg_nof_crosslets_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_sync_scheduler_xsub_clk_export         => OPEN,
+        reg_bsn_sync_scheduler_xsub_reset_export       => OPEN,
+        reg_bsn_sync_scheduler_xsub_address_export     => reg_bsn_sync_scheduler_xsub_copi.address(c_sdp_reg_bsn_sync_scheduler_xsub_addr_w - 1 downto 0),
+        reg_bsn_sync_scheduler_xsub_write_export       => reg_bsn_sync_scheduler_xsub_copi.wr,
+        reg_bsn_sync_scheduler_xsub_writedata_export   => reg_bsn_sync_scheduler_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_sync_scheduler_xsub_read_export        => reg_bsn_sync_scheduler_xsub_copi.rd,
+        reg_bsn_sync_scheduler_xsub_readdata_export    => reg_bsn_sync_scheduler_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_st_xsq_clk_export                     => OPEN,
+        ram_st_xsq_reset_export                   => OPEN,
+        ram_st_xsq_address_export                 => ram_st_xsq_copi.address(c_sdp_ram_st_xsq_arr_addr_w - 1 downto 0),
+        ram_st_xsq_write_export                   => ram_st_xsq_copi.wr,
+        ram_st_xsq_writedata_export               => ram_st_xsq_copi.wrdata(c_word_w - 1 downto 0),
+        ram_st_xsq_read_export                    => ram_st_xsq_copi.rd,
+        ram_st_xsq_readdata_export                => ram_st_xsq_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_nw_10GbE_mac_clk_export               => OPEN,
+        reg_nw_10GbE_mac_reset_export             => OPEN,
+        reg_nw_10GbE_mac_address_export           => reg_nw_10GbE_mac_copi.address(c_sdp_reg_nw_10GbE_mac_addr_w - 1 downto 0),
+        reg_nw_10GbE_mac_write_export             => reg_nw_10GbE_mac_copi.wr,
+        reg_nw_10GbE_mac_writedata_export         => reg_nw_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
+        reg_nw_10GbE_mac_read_export              => reg_nw_10GbE_mac_copi.rd,
+        reg_nw_10GbE_mac_readdata_export          => reg_nw_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_nw_10GbE_eth10g_clk_export            => OPEN,
+        reg_nw_10GbE_eth10g_reset_export          => OPEN,
+        reg_nw_10GbE_eth10g_address_export        => reg_nw_10GbE_eth10g_copi.address(c_sdp_reg_nw_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_nw_10GbE_eth10g_write_export          => reg_nw_10GbE_eth10g_copi.wr,
+        reg_nw_10GbE_eth10g_writedata_export      => reg_nw_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
+        reg_nw_10GbE_eth10g_read_export           => reg_nw_10GbE_eth10g_copi.rd,
+        reg_nw_10GbE_eth10g_readdata_export       => reg_nw_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_align_v2_bf_clk_export          => OPEN,
+        reg_bsn_align_v2_bf_reset_export        => OPEN,
+        reg_bsn_align_v2_bf_address_export      => reg_bsn_align_v2_bf_copi.address(c_sdp_reg_bsn_align_v2_bf_addr_w - 1 downto 0),
+        reg_bsn_align_v2_bf_write_export        => reg_bsn_align_v2_bf_copi.wr,
+        reg_bsn_align_v2_bf_writedata_export    => reg_bsn_align_v2_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_align_v2_bf_read_export         => reg_bsn_align_v2_bf_copi.rd,
+        reg_bsn_align_v2_bf_readdata_export     => reg_bsn_align_v2_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_align_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_rx_align_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_rx_align_bf_address_export   => reg_bsn_monitor_v2_rx_align_bf_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_bf_write_export     => reg_bsn_monitor_v2_rx_align_bf_copi.wr,
+        reg_bsn_monitor_v2_rx_align_bf_writedata_export => reg_bsn_monitor_v2_rx_align_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_bf_read_export      => reg_bsn_monitor_v2_rx_align_bf_copi.rd,
+        reg_bsn_monitor_v2_rx_align_bf_readdata_export  => reg_bsn_monitor_v2_rx_align_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_aligned_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_aligned_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_aligned_bf_address_export   => reg_bsn_monitor_v2_aligned_bf_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_bf_write_export     => reg_bsn_monitor_v2_aligned_bf_copi.wr,
+        reg_bsn_monitor_v2_aligned_bf_writedata_export => reg_bsn_monitor_v2_aligned_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_bf_read_export      => reg_bsn_monitor_v2_aligned_bf_copi.rd,
+        reg_bsn_monitor_v2_aligned_bf_readdata_export  => reg_bsn_monitor_v2_aligned_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_align_v2_xsub_clk_export          => OPEN,
+        reg_bsn_align_v2_xsub_reset_export        => OPEN,
+        reg_bsn_align_v2_xsub_address_export      => reg_bsn_align_v2_xsub_copi.address(c_sdp_reg_bsn_align_v2_xsub_addr_w - 1 downto 0),
+        reg_bsn_align_v2_xsub_write_export        => reg_bsn_align_v2_xsub_copi.wr,
+        reg_bsn_align_v2_xsub_writedata_export    => reg_bsn_align_v2_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_align_v2_xsub_read_export         => reg_bsn_align_v2_xsub_copi.rd,
+        reg_bsn_align_v2_xsub_readdata_export     => reg_bsn_align_v2_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_align_xsub_clk_export       => OPEN,
+        reg_bsn_monitor_v2_rx_align_xsub_reset_export     => OPEN,
+        reg_bsn_monitor_v2_rx_align_xsub_address_export   => reg_bsn_monitor_v2_rx_align_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_rx_align_xsub_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_xsub_write_export     => reg_bsn_monitor_v2_rx_align_xsub_copi.wr,
+        reg_bsn_monitor_v2_rx_align_xsub_writedata_export => reg_bsn_monitor_v2_rx_align_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_align_xsub_read_export      => reg_bsn_monitor_v2_rx_align_xsub_copi.rd,
+        reg_bsn_monitor_v2_rx_align_xsub_readdata_export  => reg_bsn_monitor_v2_rx_align_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_aligned_xsub_clk_export       => OPEN,
+        reg_bsn_monitor_v2_aligned_xsub_reset_export     => OPEN,
+        reg_bsn_monitor_v2_aligned_xsub_address_export   => reg_bsn_monitor_v2_aligned_xsub_copi.address(c_sdp_reg_bsn_monitor_v2_aligned_xsub_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_xsub_write_export     => reg_bsn_monitor_v2_aligned_xsub_copi.wr,
+        reg_bsn_monitor_v2_aligned_xsub_writedata_export => reg_bsn_monitor_v2_aligned_xsub_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_aligned_xsub_read_export      => reg_bsn_monitor_v2_aligned_xsub_copi.rd,
+        reg_bsn_monitor_v2_aligned_xsub_readdata_export  => reg_bsn_monitor_v2_aligned_xsub_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_sst_offload_clk_export            => OPEN,
+        reg_bsn_monitor_v2_sst_offload_reset_export          => OPEN,
+        reg_bsn_monitor_v2_sst_offload_address_export        => reg_bsn_monitor_v2_sst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_sst_offload_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_sst_offload_write_export          => reg_bsn_monitor_v2_sst_offload_copi.wr,
+        reg_bsn_monitor_v2_sst_offload_writedata_export      => reg_bsn_monitor_v2_sst_offload_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_sst_offload_read_export           => reg_bsn_monitor_v2_sst_offload_copi.rd,
+        reg_bsn_monitor_v2_sst_offload_readdata_export       => reg_bsn_monitor_v2_sst_offload_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_bst_offload_clk_export            => OPEN,
+        reg_bsn_monitor_v2_bst_offload_reset_export          => OPEN,
+        reg_bsn_monitor_v2_bst_offload_address_export        => reg_bsn_monitor_v2_bst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_bst_offload_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_bst_offload_write_export          => reg_bsn_monitor_v2_bst_offload_copi.wr,
+        reg_bsn_monitor_v2_bst_offload_writedata_export      => reg_bsn_monitor_v2_bst_offload_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_bst_offload_read_export           => reg_bsn_monitor_v2_bst_offload_copi.rd,
+        reg_bsn_monitor_v2_bst_offload_readdata_export       => reg_bsn_monitor_v2_bst_offload_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_beamlet_output_clk_export         => OPEN,
+        reg_bsn_monitor_v2_beamlet_output_reset_export       => OPEN,
+        reg_bsn_monitor_v2_beamlet_output_address_export     => reg_bsn_monitor_v2_beamlet_output_copi.address(c_sdp_reg_bsn_monitor_v2_beamlet_output_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_beamlet_output_write_export       => reg_bsn_monitor_v2_beamlet_output_copi.wr,
+        reg_bsn_monitor_v2_beamlet_output_writedata_export   => reg_bsn_monitor_v2_beamlet_output_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_beamlet_output_read_export        => reg_bsn_monitor_v2_beamlet_output_copi.rd,
+        reg_bsn_monitor_v2_beamlet_output_readdata_export    => reg_bsn_monitor_v2_beamlet_output_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_xst_offload_clk_export            => OPEN,
+        reg_bsn_monitor_v2_xst_offload_reset_export          => OPEN,
+        reg_bsn_monitor_v2_xst_offload_address_export        => reg_bsn_monitor_v2_xst_offload_copi.address(c_sdp_reg_bsn_monitor_v2_xst_offload_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_xst_offload_write_export          => reg_bsn_monitor_v2_xst_offload_copi.wr,
+        reg_bsn_monitor_v2_xst_offload_writedata_export      => reg_bsn_monitor_v2_xst_offload_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_xst_offload_read_export           => reg_bsn_monitor_v2_xst_offload_copi.rd,
+        reg_bsn_monitor_v2_xst_offload_readdata_export       => reg_bsn_monitor_v2_xst_offload_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_lane_info_bf_clk_export               => OPEN,
+        reg_ring_lane_info_bf_reset_export             => OPEN,
+        reg_ring_lane_info_bf_address_export           => reg_ring_lane_info_bf_copi.address(c_sdp_reg_ring_lane_info_bf_addr_w - 1 downto 0),
+        reg_ring_lane_info_bf_write_export             => reg_ring_lane_info_bf_copi.wr,
+        reg_ring_lane_info_bf_writedata_export         => reg_ring_lane_info_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_lane_info_bf_read_export              => reg_ring_lane_info_bf_copi.rd,
+        reg_ring_lane_info_bf_readdata_export          => reg_ring_lane_info_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_rx_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_rx_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_rx_bf_address_export   => reg_bsn_monitor_v2_ring_rx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_bf_write_export     => reg_bsn_monitor_v2_ring_rx_bf_copi.wr,
+        reg_bsn_monitor_v2_ring_rx_bf_writedata_export => reg_bsn_monitor_v2_ring_rx_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_bf_read_export      => reg_bsn_monitor_v2_ring_rx_bf_copi.rd,
+        reg_bsn_monitor_v2_ring_rx_bf_readdata_export  => reg_bsn_monitor_v2_ring_rx_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_tx_bf_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_tx_bf_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_tx_bf_address_export   => reg_bsn_monitor_v2_ring_tx_bf_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_bf_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_bf_write_export     => reg_bsn_monitor_v2_ring_tx_bf_copi.wr,
+        reg_bsn_monitor_v2_ring_tx_bf_writedata_export => reg_bsn_monitor_v2_ring_tx_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_bf_read_export      => reg_bsn_monitor_v2_ring_tx_bf_copi.rd,
+        reg_bsn_monitor_v2_ring_tx_bf_readdata_export  => reg_bsn_monitor_v2_ring_tx_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_err_bf_clk_export       => OPEN,
+        reg_dp_block_validate_err_bf_reset_export     => OPEN,
+        reg_dp_block_validate_err_bf_address_export   => reg_dp_block_validate_err_bf_copi.address(c_sdp_reg_dp_block_validate_err_bf_addr_w - 1 downto 0),
+        reg_dp_block_validate_err_bf_write_export     => reg_dp_block_validate_err_bf_copi.wr,
+        reg_dp_block_validate_err_bf_writedata_export => reg_dp_block_validate_err_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_err_bf_read_export      => reg_dp_block_validate_err_bf_copi.rd,
+        reg_dp_block_validate_err_bf_readdata_export  => reg_dp_block_validate_err_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_bsn_at_sync_bf_clk_export       => OPEN,
+        reg_dp_block_validate_bsn_at_sync_bf_reset_export     => OPEN,
+        reg_dp_block_validate_bsn_at_sync_bf_address_export   => reg_dp_block_validate_bsn_at_sync_bf_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_bf_addr_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_bf_write_export     => reg_dp_block_validate_bsn_at_sync_bf_copi.wr,
+        reg_dp_block_validate_bsn_at_sync_bf_writedata_export => reg_dp_block_validate_bsn_at_sync_bf_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_bf_read_export      => reg_dp_block_validate_bsn_at_sync_bf_copi.rd,
+        reg_dp_block_validate_bsn_at_sync_bf_readdata_export  => reg_dp_block_validate_bsn_at_sync_bf_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_ring_lane_info_xst_clk_export         => OPEN,
+        reg_ring_lane_info_xst_reset_export       => OPEN,
+        reg_ring_lane_info_xst_address_export     => reg_ring_lane_info_xst_copi.address(c_sdp_reg_ring_lane_info_xst_addr_w - 1 downto 0),
+        reg_ring_lane_info_xst_write_export       => reg_ring_lane_info_xst_copi.wr,
+        reg_ring_lane_info_xst_writedata_export   => reg_ring_lane_info_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_ring_lane_info_xst_read_export        => reg_ring_lane_info_xst_copi.rd,
+        reg_ring_lane_info_xst_readdata_export    => reg_ring_lane_info_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_rx_xst_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_rx_xst_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_rx_xst_address_export   => reg_bsn_monitor_v2_ring_rx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_rx_xst_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_xst_write_export     => reg_bsn_monitor_v2_ring_rx_xst_copi.wr,
+        reg_bsn_monitor_v2_ring_rx_xst_writedata_export => reg_bsn_monitor_v2_ring_rx_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_rx_xst_read_export      => reg_bsn_monitor_v2_ring_rx_xst_copi.rd,
+        reg_bsn_monitor_v2_ring_rx_xst_readdata_export  => reg_bsn_monitor_v2_ring_rx_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_ring_tx_xst_clk_export       => OPEN,
+        reg_bsn_monitor_v2_ring_tx_xst_reset_export     => OPEN,
+        reg_bsn_monitor_v2_ring_tx_xst_address_export   => reg_bsn_monitor_v2_ring_tx_xst_copi.address(c_sdp_reg_bsn_monitor_v2_ring_tx_xst_addr_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_xst_write_export     => reg_bsn_monitor_v2_ring_tx_xst_copi.wr,
+        reg_bsn_monitor_v2_ring_tx_xst_writedata_export => reg_bsn_monitor_v2_ring_tx_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_ring_tx_xst_read_export      => reg_bsn_monitor_v2_ring_tx_xst_copi.rd,
+        reg_bsn_monitor_v2_ring_tx_xst_readdata_export  => reg_bsn_monitor_v2_ring_tx_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_err_xst_clk_export       => OPEN,
+        reg_dp_block_validate_err_xst_reset_export     => OPEN,
+        reg_dp_block_validate_err_xst_address_export   => reg_dp_block_validate_err_xst_copi.address(c_sdp_reg_dp_block_validate_err_xst_addr_w - 1 downto 0),
+        reg_dp_block_validate_err_xst_write_export     => reg_dp_block_validate_err_xst_copi.wr,
+        reg_dp_block_validate_err_xst_writedata_export => reg_dp_block_validate_err_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_err_xst_read_export      => reg_dp_block_validate_err_xst_copi.rd,
+        reg_dp_block_validate_err_xst_readdata_export  => reg_dp_block_validate_err_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_dp_block_validate_bsn_at_sync_xst_clk_export       => OPEN,
+        reg_dp_block_validate_bsn_at_sync_xst_reset_export     => OPEN,
+        reg_dp_block_validate_bsn_at_sync_xst_address_export   => reg_dp_block_validate_bsn_at_sync_xst_copi.address(c_sdp_reg_dp_block_validate_bsn_at_sync_xst_addr_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_xst_write_export     => reg_dp_block_validate_bsn_at_sync_xst_copi.wr,
+        reg_dp_block_validate_bsn_at_sync_xst_writedata_export => reg_dp_block_validate_bsn_at_sync_xst_copi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_block_validate_bsn_at_sync_xst_read_export      => reg_dp_block_validate_bsn_at_sync_xst_copi.rd,
+        reg_dp_block_validate_bsn_at_sync_xst_readdata_export  => reg_dp_block_validate_bsn_at_sync_xst_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_mac_clk_export               => OPEN,
+        reg_tr_10GbE_mac_reset_export             => OPEN,
+        reg_tr_10GbE_mac_address_export           => reg_tr_10GbE_mac_copi.address(c_sdp_reg_tr_10GbE_mac_addr_w - 1 downto 0),
+        reg_tr_10GbE_mac_write_export             => reg_tr_10GbE_mac_copi.wr,
+        reg_tr_10GbE_mac_writedata_export         => reg_tr_10GbE_mac_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_mac_read_export              => reg_tr_10GbE_mac_copi.rd,
+        reg_tr_10GbE_mac_readdata_export          => reg_tr_10GbE_mac_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_tr_10GbE_eth10g_clk_export            => OPEN,
+        reg_tr_10GbE_eth10g_reset_export          => OPEN,
+        reg_tr_10GbE_eth10g_address_export        => reg_tr_10GbE_eth10g_copi.address(c_sdp_reg_tr_10GbE_eth10g_addr_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_write_export          => reg_tr_10GbE_eth10g_copi.wr,
+        reg_tr_10GbE_eth10g_writedata_export      => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10GbE_eth10g_read_export           => reg_tr_10GbE_eth10g_copi.rd,
+        reg_tr_10GbE_eth10g_readdata_export       => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_address_export                  => ram_scrap_copi.address(9 - 1 downto 0),
+        ram_scrap_write_export                    => ram_scrap_copi.wr,
+        ram_scrap_writedata_export                => ram_scrap_copi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_copi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_cipo.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd
index 74c071dcbf..d89fe430e8 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd
@@ -19,549 +19,549 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_lofar2_unb2c_sdp_station_pkg is
 
   -----------------------------------------------------------------------------
   -- this component declaration is copy-pasted from Quartus platform designer:
   -----------------------------------------------------------------------------
-    component qsys_lofar2_unb2c_sdp_station is
-        port (
-            avs_eth_0_clk_export                                   : out std_logic;  -- export
-            avs_eth_0_irq_export                                   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export                           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                              : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                             : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export                           : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                              : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                             : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                                 : out std_logic;  -- export
-            avs_eth_0_tse_address_export                           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                              : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                       : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                             : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                                : in  std_logic                     := 'X';  -- clk
-            reset_reset_n                                          : in  std_logic                     := 'X';  -- reset_n
-            jesd204b_address_export                                : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_clk_export                                    : out std_logic;  -- export
-            jesd204b_read_export                                   : out std_logic;  -- export
-            jesd204b_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            jesd204b_reset_export                                  : out std_logic;  -- export
-            jesd204b_write_export                                  : out std_logic;  -- export
-            jesd204b_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
-            pio_jesd_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            pio_jesd_ctrl_clk_export                               : out std_logic;  -- export
-            pio_jesd_ctrl_read_export                              : out std_logic;  -- export
-            pio_jesd_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_jesd_ctrl_reset_export                             : out std_logic;  -- export
-            pio_jesd_ctrl_write_export                             : out std_logic;  -- export
-            pio_jesd_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_address_export                                 : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_clk_export                                     : out std_logic;  -- export
-            pio_pps_read_export                                    : out std_logic;  -- export
-            pio_pps_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                                   : out std_logic;  -- export
-            pio_pps_write_export                                   : out std_logic;  -- export
-            pio_pps_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export                         : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                             : out std_logic;  -- export
-            pio_system_info_read_export                            : out std_logic;  -- export
-            pio_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                           : out std_logic;  -- export
-            pio_system_info_write_export                           : out std_logic;  -- export
-            pio_system_info_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export                     : out std_logic;  -- export
-            ram_bf_weights_reset_export                            : out std_logic;  -- export
-            ram_bf_weights_clk_export                              : out std_logic;  -- export
-            ram_bf_weights_address_export                          : out std_logic_vector(14 downto 0);  -- export
-            ram_bf_weights_write_export                            : out std_logic;  -- export
-            ram_bf_weights_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            ram_bf_weights_read_export                             : out std_logic;  -- export
-            ram_bf_weights_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_bsn_address_export                : out std_logic_vector(20 downto 0);  -- export
-            ram_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_reset_export                       : out std_logic;  -- export
-            ram_equalizer_gains_clk_export                         : out std_logic;  -- export
-            ram_equalizer_gains_address_export                     : out std_logic_vector(13 downto 0);  -- export
-            ram_equalizer_gains_write_export                       : out std_logic;  -- export
-            ram_equalizer_gains_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_read_export                        : out std_logic;  -- export
-            ram_equalizer_gains_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_fil_coefs_address_export                           : out std_logic_vector(14 downto 0);  -- export
-            ram_fil_coefs_clk_export                               : out std_logic;  -- export
-            ram_fil_coefs_read_export                              : out std_logic;  -- export
-            ram_fil_coefs_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_fil_coefs_reset_export                             : out std_logic;  -- export
-            ram_fil_coefs_write_export                             : out std_logic;  -- export
-            ram_fil_coefs_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_address_export                               : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export                                   : out std_logic;  -- export
-            ram_scrap_read_export                                  : out std_logic;  -- export
-            ram_scrap_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                                 : out std_logic;  -- export
-            ram_scrap_write_export                                 : out std_logic;  -- export
-            ram_scrap_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
-            ram_ss_ss_wide_address_export                          : out std_logic_vector(13 downto 0);  -- export
-            ram_ss_ss_wide_clk_export                              : out std_logic;  -- export
-            ram_ss_ss_wide_read_export                             : out std_logic;  -- export
-            ram_ss_ss_wide_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_ss_ss_wide_reset_export                            : out std_logic;  -- export
-            ram_ss_ss_wide_write_export                            : out std_logic;  -- export
-            ram_ss_ss_wide_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            ram_st_bst_address_export                              : out std_logic_vector(11 downto 0);  -- export
-            ram_st_bst_clk_export                                  : out std_logic;  -- export
-            ram_st_bst_read_export                                 : out std_logic;  -- export
-            ram_st_bst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_bst_reset_export                                : out std_logic;  -- export
-            ram_st_bst_write_export                                : out std_logic;  -- export
-            ram_st_bst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
-            ram_st_histogram_reset_export                          : out std_logic;  -- export
-            ram_st_histogram_clk_export                            : out std_logic;  -- export
-            ram_st_histogram_address_export                        : out std_logic_vector(12 downto 0);  -- export
-            ram_st_histogram_write_export                          : out std_logic;  -- export
-            ram_st_histogram_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            ram_st_histogram_read_export                           : out std_logic;  -- export
-            ram_st_histogram_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_sst_address_export                              : out std_logic_vector(14 downto 0);  -- export
-            ram_st_sst_clk_export                                  : out std_logic;  -- export
-            ram_st_sst_read_export                                 : out std_logic;  -- export
-            ram_st_sst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_sst_reset_export                                : out std_logic;  -- export
-            ram_st_sst_write_export                                : out std_logic;  -- export
-            ram_st_sst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
-            ram_st_xsq_address_export                              : out std_logic_vector(15 downto 0);  -- export
-            ram_st_xsq_clk_export                                  : out std_logic;  -- export
-            ram_st_xsq_read_export                                 : out std_logic;  -- export
-            ram_st_xsq_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_st_xsq_reset_export                                : out std_logic;  -- export
-            ram_st_xsq_write_export                                : out std_logic;  -- export
-            ram_st_xsq_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
-            ram_wg_address_export                                  : out std_logic_vector(13 downto 0);  -- export
-            ram_wg_clk_export                                      : out std_logic;  -- export
-            ram_wg_read_export                                     : out std_logic;  -- export
-            ram_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_wg_reset_export                                    : out std_logic;  -- export
-            ram_wg_write_export                                    : out std_logic;  -- export
-            ram_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            reg_aduh_monitor_address_export                        : out std_logic_vector(5 downto 0);  -- export
-            reg_aduh_monitor_clk_export                            : out std_logic;  -- export
-            reg_aduh_monitor_read_export                           : out std_logic;  -- export
-            reg_aduh_monitor_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_aduh_monitor_reset_export                          : out std_logic;  -- export
-            reg_aduh_monitor_write_export                          : out std_logic;  -- export
-            reg_aduh_monitor_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_bf_scale_address_export                            : out std_logic_vector(1 downto 0);  -- export
-            reg_bf_scale_clk_export                                : out std_logic;  -- export
-            reg_bf_scale_read_export                               : out std_logic;  -- export
-            reg_bf_scale_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bf_scale_reset_export                              : out std_logic;  -- export
-            reg_bf_scale_write_export                              : out std_logic;  -- export
-            reg_bf_scale_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_align_v2_bf_reset_export                       : out std_logic;  -- export
-            reg_bsn_align_v2_bf_clk_export                         : out std_logic;  -- export
-            reg_bsn_align_v2_bf_address_export                     : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_align_v2_bf_write_export                       : out std_logic;  -- export
-            reg_bsn_align_v2_bf_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_align_v2_bf_read_export                        : out std_logic;  -- export
-            reg_bsn_align_v2_bf_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_align_v2_xsub_reset_export                     : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_clk_export                       : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_address_export                   : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_align_v2_xsub_write_export                     : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_align_v2_xsub_read_export                      : out std_logic;  -- export
-            reg_bsn_align_v2_xsub_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_address_export                   : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_clk_export                       : out std_logic;  -- export
-            reg_bsn_monitor_input_read_export                      : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export                     : out std_logic;  -- export
-            reg_bsn_monitor_input_write_export                     : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_bf_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_clk_export               : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_bf_write_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_bf_read_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_aligned_xsub_reset_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_clk_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_address_export         : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_xsub_write_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_aligned_xsub_read_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_aligned_xsub_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_beamlet_output_reset_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_clk_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_address_export       : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_beamlet_output_write_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_beamlet_output_read_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_beamlet_output_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_bst_offload_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_address_export          : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_bst_offload_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_bst_offload_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_bst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_clk_export               : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_write_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_read_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_rx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_clk_export               : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_write_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_read_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_ring_tx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_rx_align_bf_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_address_export          : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_bf_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_bf_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_bf_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_reset_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_clk_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_address_export        : out std_logic_vector(6 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_write_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_read_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_align_xsub_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_sst_offload_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_sst_offload_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_sst_offload_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_sst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_xst_offload_reset_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_clk_export              : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_xst_offload_write_export            : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_xst_offload_read_export             : out std_logic;  -- export
-            reg_bsn_monitor_v2_xst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_clk_export                           : out std_logic;  -- export
-            reg_bsn_scheduler_read_export                          : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export                         : out std_logic;  -- export
-            reg_bsn_scheduler_write_export                         : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_v2_address_export                       : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_source_v2_clk_export                           : out std_logic;  -- export
-            reg_bsn_source_v2_read_export                          : out std_logic;  -- export
-            reg_bsn_source_v2_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_v2_reset_export                         : out std_logic;  -- export
-            reg_bsn_source_v2_write_export                         : out std_logic;  -- export
-            reg_bsn_source_v2_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_sync_scheduler_xsub_reset_export               : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_clk_export                 : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_sync_scheduler_xsub_write_export               : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_sync_scheduler_xsub_read_export                : out std_logic;  -- export
-            reg_bsn_sync_scheduler_xsub_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_crosslets_info_address_export                      : out std_logic_vector(3 downto 0);  -- export
-            reg_crosslets_info_clk_export                          : out std_logic;  -- export
-            reg_crosslets_info_read_export                         : out std_logic;  -- export
-            reg_crosslets_info_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_crosslets_info_reset_export                        : out std_logic;  -- export
-            reg_crosslets_info_write_export                        : out std_logic;  -- export
-            reg_crosslets_info_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_bsn_address_export                : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_reset_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_clk_export        : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_address_export    : out std_logic_vector(2 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_write_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_read_export       : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_bf_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_reset_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_clk_export       : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_address_export   : out std_logic_vector(1 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_write_export     : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_read_export      : out std_logic;  -- export
-            reg_dp_block_validate_bsn_at_sync_xst_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_err_bf_reset_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_clk_export                : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_address_export            : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_block_validate_err_bf_write_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_err_bf_read_export               : out std_logic;  -- export
-            reg_dp_block_validate_err_bf_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_block_validate_err_xst_reset_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_clk_export               : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_address_export           : out std_logic_vector(3 downto 0);  -- export
-            reg_dp_block_validate_err_xst_write_export             : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_block_validate_err_xst_read_export              : out std_logic;  -- export
-            reg_dp_block_validate_err_xst_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_selector_address_export                         : out std_logic_vector(0 downto 0);  -- export
-            reg_dp_selector_clk_export                             : out std_logic;  -- export
-            reg_dp_selector_read_export                            : out std_logic;  -- export
-            reg_dp_selector_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_selector_reset_export                           : out std_logic;  -- export
-            reg_dp_selector_write_export                           : out std_logic;  -- export
-            reg_dp_selector_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_shiftram_address_export                         : out std_logic_vector(4 downto 0);  -- export
-            reg_dp_shiftram_clk_export                             : out std_logic;  -- export
-            reg_dp_shiftram_read_export                            : out std_logic;  -- export
-            reg_dp_shiftram_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_shiftram_reset_export                           : out std_logic;  -- export
-            reg_dp_shiftram_write_export                           : out std_logic;  -- export
-            reg_dp_shiftram_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_address_export                           : out std_logic_vector(1 downto 0);  -- export
-            reg_dp_xonoff_clk_export                               : out std_logic;  -- export
-            reg_dp_xonoff_read_export                              : out std_logic;  -- export
-            reg_dp_xonoff_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_reset_export                             : out std_logic;  -- export
-            reg_dp_xonoff_write_export                             : out std_logic;  -- export
-            reg_dp_xonoff_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                               : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                              : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                             : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                             : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                               : out std_logic;  -- export
-            reg_dpmm_data_read_export                              : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                             : out std_logic;  -- export
-            reg_dpmm_data_write_export                             : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                                : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                                    : out std_logic;  -- export
-            reg_epcs_read_export                                   : out std_logic;  -- export
-            reg_epcs_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                                  : out std_logic;  -- export
-            reg_epcs_write_export                                  : out std_logic;  -- export
-            reg_epcs_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export                      : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export                          : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export                         : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export                        : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export                        : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export                   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export                       : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export                      : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export                     : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export                     : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_hdr_dat_address_export                             : out std_logic_vector(6 downto 0);  -- export
-            reg_hdr_dat_clk_export                                 : out std_logic;  -- export
-            reg_hdr_dat_read_export                                : out std_logic;  -- export
-            reg_hdr_dat_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_hdr_dat_reset_export                               : out std_logic;  -- export
-            reg_hdr_dat_write_export                               : out std_logic;  -- export
-            reg_hdr_dat_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                               : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                              : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                             : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                             : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                               : out std_logic;  -- export
-            reg_mmdp_data_read_export                              : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                             : out std_logic;  -- export
-            reg_mmdp_data_write_export                             : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_nof_crosslets_reset_export                         : out std_logic;  -- export
-            reg_nof_crosslets_clk_export                           : out std_logic;  -- export
-            reg_nof_crosslets_address_export                       : out std_logic_vector(0 downto 0);  -- export
-            reg_nof_crosslets_write_export                         : out std_logic;  -- export
-            reg_nof_crosslets_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
-            reg_nof_crosslets_read_export                          : out std_logic;  -- export
-            reg_nof_crosslets_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_eth10g_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_nw_10gbe_eth10g_clk_export                         : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_read_export                        : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_eth10g_reset_export                       : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_write_export                       : out std_logic;  -- export
-            reg_nw_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_nw_10gbe_mac_address_export                        : out std_logic_vector(12 downto 0);  -- export
-            reg_nw_10gbe_mac_clk_export                            : out std_logic;  -- export
-            reg_nw_10gbe_mac_read_export                           : out std_logic;  -- export
-            reg_nw_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_nw_10gbe_mac_reset_export                          : out std_logic;  -- export
-            reg_nw_10gbe_mac_write_export                          : out std_logic;  -- export
-            reg_nw_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                                : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                                    : out std_logic;  -- export
-            reg_remu_read_export                                   : out std_logic;  -- export
-            reg_remu_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                                  : out std_logic;  -- export
-            reg_remu_write_export                                  : out std_logic;  -- export
-            reg_remu_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_info_reset_export                             : out std_logic;  -- export
-            reg_ring_info_clk_export                               : out std_logic;  -- export
-            reg_ring_info_address_export                           : out std_logic_vector(1 downto 0);  -- export
-            reg_ring_info_write_export                             : out std_logic;  -- export
-            reg_ring_info_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_info_read_export                              : out std_logic;  -- export
-            reg_ring_info_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_lane_info_bf_reset_export                     : out std_logic;  -- export
-            reg_ring_lane_info_bf_clk_export                       : out std_logic;  -- export
-            reg_ring_lane_info_bf_address_export                   : out std_logic_vector(1 downto 0);  -- export
-            reg_ring_lane_info_bf_write_export                     : out std_logic;  -- export
-            reg_ring_lane_info_bf_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_lane_info_bf_read_export                      : out std_logic;  -- export
-            reg_ring_lane_info_bf_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ring_lane_info_xst_reset_export                    : out std_logic;  -- export
-            reg_ring_lane_info_xst_clk_export                      : out std_logic;  -- export
-            reg_ring_lane_info_xst_address_export                  : out std_logic_vector(0 downto 0);  -- export
-            reg_ring_lane_info_xst_write_export                    : out std_logic;  -- export
-            reg_ring_lane_info_xst_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_ring_lane_info_xst_read_export                     : out std_logic;  -- export
-            reg_ring_lane_info_xst_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_address_export                            : out std_logic_vector(3 downto 0);  -- export
-            reg_sdp_info_clk_export                                : out std_logic;  -- export
-            reg_sdp_info_read_export                               : out std_logic;  -- export
-            reg_sdp_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_reset_export                              : out std_logic;  -- export
-            reg_sdp_info_write_export                              : out std_logic;  -- export
-            reg_sdp_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_si_address_export                                  : out std_logic_vector(0 downto 0);  -- export
-            reg_si_clk_export                                      : out std_logic;  -- export
-            reg_si_read_export                                     : out std_logic;  -- export
-            reg_si_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_si_reset_export                                    : out std_logic;  -- export
-            reg_si_write_export                                    : out std_logic;  -- export
-            reg_si_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_bst_address_export                     : out std_logic_vector(1 downto 0);  -- export
-            reg_stat_enable_bst_clk_export                         : out std_logic;  -- export
-            reg_stat_enable_bst_read_export                        : out std_logic;  -- export
-            reg_stat_enable_bst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_bst_reset_export                       : out std_logic;  -- export
-            reg_stat_enable_bst_write_export                       : out std_logic;  -- export
-            reg_stat_enable_bst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_sst_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_stat_enable_sst_clk_export                         : out std_logic;  -- export
-            reg_stat_enable_sst_read_export                        : out std_logic;  -- export
-            reg_stat_enable_sst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_sst_reset_export                       : out std_logic;  -- export
-            reg_stat_enable_sst_write_export                       : out std_logic;  -- export
-            reg_stat_enable_sst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_enable_xst_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_stat_enable_xst_clk_export                         : out std_logic;  -- export
-            reg_stat_enable_xst_read_export                        : out std_logic;  -- export
-            reg_stat_enable_xst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_enable_xst_reset_export                       : out std_logic;  -- export
-            reg_stat_enable_xst_write_export                       : out std_logic;  -- export
-            reg_stat_enable_xst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_bst_address_export                    : out std_logic_vector(6 downto 0);  -- export
-            reg_stat_hdr_dat_bst_clk_export                        : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_read_export                       : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_bst_reset_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_write_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_bst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_sst_address_export                    : out std_logic_vector(5 downto 0);  -- export
-            reg_stat_hdr_dat_sst_clk_export                        : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_read_export                       : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_sst_reset_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_write_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_sst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_stat_hdr_dat_xst_address_export                    : out std_logic_vector(5 downto 0);  -- export
-            reg_stat_hdr_dat_xst_clk_export                        : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_read_export                       : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_stat_hdr_dat_xst_reset_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_write_export                      : out std_logic;  -- export
-            reg_stat_hdr_dat_xst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_eth10g_reset_export                       : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_clk_export                         : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_address_export                     : out std_logic_vector(2 downto 0);  -- export
-            reg_tr_10gbe_eth10g_write_export                       : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_eth10g_read_export                        : out std_logic;  -- export
-            reg_tr_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_mac_reset_export                          : out std_logic;  -- export
-            reg_tr_10gbe_mac_clk_export                            : out std_logic;  -- export
-            reg_tr_10gbe_mac_address_export                        : out std_logic_vector(14 downto 0);  -- export
-            reg_tr_10gbe_mac_write_export                          : out std_logic;  -- export
-            reg_tr_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_mac_read_export                           : out std_logic;  -- export
-            reg_tr_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_address_export                                 : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                                     : out std_logic;  -- export
-            reg_wdi_read_export                                    : out std_logic;  -- export
-            reg_wdi_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                                   : out std_logic;  -- export
-            reg_wdi_write_export                                   : out std_logic;  -- export
-            reg_wdi_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_wg_address_export                                  : out std_logic_vector(5 downto 0);  -- export
-            reg_wg_clk_export                                      : out std_logic;  -- export
-            reg_wg_read_export                                     : out std_logic;  -- export
-            reg_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wg_reset_export                                    : out std_logic;  -- export
-            reg_wg_write_export                                    : out std_logic;  -- export
-            reg_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            rom_system_info_address_export                         : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_clk_export                             : out std_logic;  -- export
-            rom_system_info_read_export                            : out std_logic;  -- export
-            rom_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                           : out std_logic;  -- export
-            rom_system_info_write_export                           : out std_logic;  -- export
-            rom_system_info_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_cross_reset_export                 : out std_logic;  -- export
-            ram_equalizer_gains_cross_clk_export                   : out std_logic;  -- export
-            ram_equalizer_gains_cross_address_export               : out std_logic_vector(13 downto 0);  -- export
-            ram_equalizer_gains_cross_write_export                 : out std_logic;  -- export
-            ram_equalizer_gains_cross_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_equalizer_gains_cross_read_export                  : out std_logic;  -- export
-            ram_equalizer_gains_cross_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_lofar2_unb2c_sdp_station;
+  component qsys_lofar2_unb2c_sdp_station is
+    port (
+      avs_eth_0_clk_export                                   : out std_logic;  -- export
+      avs_eth_0_irq_export                                   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export                           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                              : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                             : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export                           : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                              : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                             : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                                 : out std_logic;  -- export
+      avs_eth_0_tse_address_export                           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                              : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                       : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                             : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                                : in  std_logic                     := 'X';  -- clk
+      reset_reset_n                                          : in  std_logic                     := 'X';  -- reset_n
+      jesd204b_address_export                                : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_clk_export                                    : out std_logic;  -- export
+      jesd204b_read_export                                   : out std_logic;  -- export
+      jesd204b_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      jesd204b_reset_export                                  : out std_logic;  -- export
+      jesd204b_write_export                                  : out std_logic;  -- export
+      jesd204b_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
+      pio_jesd_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      pio_jesd_ctrl_clk_export                               : out std_logic;  -- export
+      pio_jesd_ctrl_read_export                              : out std_logic;  -- export
+      pio_jesd_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_jesd_ctrl_reset_export                             : out std_logic;  -- export
+      pio_jesd_ctrl_write_export                             : out std_logic;  -- export
+      pio_jesd_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_address_export                                 : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_clk_export                                     : out std_logic;  -- export
+      pio_pps_read_export                                    : out std_logic;  -- export
+      pio_pps_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                                   : out std_logic;  -- export
+      pio_pps_write_export                                   : out std_logic;  -- export
+      pio_pps_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export                         : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                             : out std_logic;  -- export
+      pio_system_info_read_export                            : out std_logic;  -- export
+      pio_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                           : out std_logic;  -- export
+      pio_system_info_write_export                           : out std_logic;  -- export
+      pio_system_info_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export                     : out std_logic;  -- export
+      ram_bf_weights_reset_export                            : out std_logic;  -- export
+      ram_bf_weights_clk_export                              : out std_logic;  -- export
+      ram_bf_weights_address_export                          : out std_logic_vector(14 downto 0);  -- export
+      ram_bf_weights_write_export                            : out std_logic;  -- export
+      ram_bf_weights_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      ram_bf_weights_read_export                             : out std_logic;  -- export
+      ram_bf_weights_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_bsn_address_export                : out std_logic_vector(20 downto 0);  -- export
+      ram_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_reset_export                       : out std_logic;  -- export
+      ram_equalizer_gains_clk_export                         : out std_logic;  -- export
+      ram_equalizer_gains_address_export                     : out std_logic_vector(13 downto 0);  -- export
+      ram_equalizer_gains_write_export                       : out std_logic;  -- export
+      ram_equalizer_gains_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_read_export                        : out std_logic;  -- export
+      ram_equalizer_gains_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_fil_coefs_address_export                           : out std_logic_vector(14 downto 0);  -- export
+      ram_fil_coefs_clk_export                               : out std_logic;  -- export
+      ram_fil_coefs_read_export                              : out std_logic;  -- export
+      ram_fil_coefs_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_fil_coefs_reset_export                             : out std_logic;  -- export
+      ram_fil_coefs_write_export                             : out std_logic;  -- export
+      ram_fil_coefs_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_address_export                               : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export                                   : out std_logic;  -- export
+      ram_scrap_read_export                                  : out std_logic;  -- export
+      ram_scrap_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                                 : out std_logic;  -- export
+      ram_scrap_write_export                                 : out std_logic;  -- export
+      ram_scrap_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
+      ram_ss_ss_wide_address_export                          : out std_logic_vector(13 downto 0);  -- export
+      ram_ss_ss_wide_clk_export                              : out std_logic;  -- export
+      ram_ss_ss_wide_read_export                             : out std_logic;  -- export
+      ram_ss_ss_wide_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_ss_ss_wide_reset_export                            : out std_logic;  -- export
+      ram_ss_ss_wide_write_export                            : out std_logic;  -- export
+      ram_ss_ss_wide_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      ram_st_bst_address_export                              : out std_logic_vector(11 downto 0);  -- export
+      ram_st_bst_clk_export                                  : out std_logic;  -- export
+      ram_st_bst_read_export                                 : out std_logic;  -- export
+      ram_st_bst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_bst_reset_export                                : out std_logic;  -- export
+      ram_st_bst_write_export                                : out std_logic;  -- export
+      ram_st_bst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
+      ram_st_histogram_reset_export                          : out std_logic;  -- export
+      ram_st_histogram_clk_export                            : out std_logic;  -- export
+      ram_st_histogram_address_export                        : out std_logic_vector(12 downto 0);  -- export
+      ram_st_histogram_write_export                          : out std_logic;  -- export
+      ram_st_histogram_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      ram_st_histogram_read_export                           : out std_logic;  -- export
+      ram_st_histogram_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_sst_address_export                              : out std_logic_vector(14 downto 0);  -- export
+      ram_st_sst_clk_export                                  : out std_logic;  -- export
+      ram_st_sst_read_export                                 : out std_logic;  -- export
+      ram_st_sst_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_sst_reset_export                                : out std_logic;  -- export
+      ram_st_sst_write_export                                : out std_logic;  -- export
+      ram_st_sst_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
+      ram_st_xsq_address_export                              : out std_logic_vector(15 downto 0);  -- export
+      ram_st_xsq_clk_export                                  : out std_logic;  -- export
+      ram_st_xsq_read_export                                 : out std_logic;  -- export
+      ram_st_xsq_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_st_xsq_reset_export                                : out std_logic;  -- export
+      ram_st_xsq_write_export                                : out std_logic;  -- export
+      ram_st_xsq_writedata_export                            : out std_logic_vector(31 downto 0);  -- export
+      ram_wg_address_export                                  : out std_logic_vector(13 downto 0);  -- export
+      ram_wg_clk_export                                      : out std_logic;  -- export
+      ram_wg_read_export                                     : out std_logic;  -- export
+      ram_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_wg_reset_export                                    : out std_logic;  -- export
+      ram_wg_write_export                                    : out std_logic;  -- export
+      ram_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      reg_aduh_monitor_address_export                        : out std_logic_vector(5 downto 0);  -- export
+      reg_aduh_monitor_clk_export                            : out std_logic;  -- export
+      reg_aduh_monitor_read_export                           : out std_logic;  -- export
+      reg_aduh_monitor_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_aduh_monitor_reset_export                          : out std_logic;  -- export
+      reg_aduh_monitor_write_export                          : out std_logic;  -- export
+      reg_aduh_monitor_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_bf_scale_address_export                            : out std_logic_vector(1 downto 0);  -- export
+      reg_bf_scale_clk_export                                : out std_logic;  -- export
+      reg_bf_scale_read_export                               : out std_logic;  -- export
+      reg_bf_scale_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bf_scale_reset_export                              : out std_logic;  -- export
+      reg_bf_scale_write_export                              : out std_logic;  -- export
+      reg_bf_scale_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_align_v2_bf_reset_export                       : out std_logic;  -- export
+      reg_bsn_align_v2_bf_clk_export                         : out std_logic;  -- export
+      reg_bsn_align_v2_bf_address_export                     : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_align_v2_bf_write_export                       : out std_logic;  -- export
+      reg_bsn_align_v2_bf_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_align_v2_bf_read_export                        : out std_logic;  -- export
+      reg_bsn_align_v2_bf_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_align_v2_xsub_reset_export                     : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_clk_export                       : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_address_export                   : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_align_v2_xsub_write_export                     : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_align_v2_xsub_read_export                      : out std_logic;  -- export
+      reg_bsn_align_v2_xsub_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_address_export                   : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_clk_export                       : out std_logic;  -- export
+      reg_bsn_monitor_input_read_export                      : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export                     : out std_logic;  -- export
+      reg_bsn_monitor_input_write_export                     : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_bf_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_clk_export               : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_bf_write_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_bf_read_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_aligned_xsub_reset_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_clk_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_address_export         : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_xsub_write_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_aligned_xsub_read_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_aligned_xsub_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_beamlet_output_reset_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_clk_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_address_export       : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_beamlet_output_write_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_beamlet_output_read_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_beamlet_output_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_bst_offload_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_address_export          : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_bst_offload_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_bst_offload_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_bst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_clk_export               : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_write_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_read_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_rx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_clk_export               : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_write_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_read_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_bf_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_address_export          : out std_logic_vector(6 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_ring_tx_xst_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_rx_align_bf_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_address_export          : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_bf_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_bf_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_bf_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_reset_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_clk_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_address_export        : out std_logic_vector(6 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_write_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_read_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_align_xsub_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_sst_offload_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_sst_offload_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_sst_offload_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_sst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_xst_offload_reset_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_clk_export              : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_xst_offload_write_export            : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_xst_offload_read_export             : out std_logic;  -- export
+      reg_bsn_monitor_v2_xst_offload_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_clk_export                           : out std_logic;  -- export
+      reg_bsn_scheduler_read_export                          : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export                         : out std_logic;  -- export
+      reg_bsn_scheduler_write_export                         : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_v2_address_export                       : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_source_v2_clk_export                           : out std_logic;  -- export
+      reg_bsn_source_v2_read_export                          : out std_logic;  -- export
+      reg_bsn_source_v2_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_v2_reset_export                         : out std_logic;  -- export
+      reg_bsn_source_v2_write_export                         : out std_logic;  -- export
+      reg_bsn_source_v2_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_sync_scheduler_xsub_reset_export               : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_clk_export                 : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_sync_scheduler_xsub_write_export               : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_sync_scheduler_xsub_read_export                : out std_logic;  -- export
+      reg_bsn_sync_scheduler_xsub_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_crosslets_info_address_export                      : out std_logic_vector(3 downto 0);  -- export
+      reg_crosslets_info_clk_export                          : out std_logic;  -- export
+      reg_crosslets_info_read_export                         : out std_logic;  -- export
+      reg_crosslets_info_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_crosslets_info_reset_export                        : out std_logic;  -- export
+      reg_crosslets_info_write_export                        : out std_logic;  -- export
+      reg_crosslets_info_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_bsn_address_export                : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_bsn_clk_export                    : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_read_export                   : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_bsn_reset_export                  : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_write_export                  : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_reset_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_clk_export        : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_address_export    : out std_logic_vector(2 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_write_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_read_export       : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_bf_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_reset_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_clk_export       : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_address_export   : out std_logic_vector(1 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_write_export     : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_read_export      : out std_logic;  -- export
+      reg_dp_block_validate_bsn_at_sync_xst_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_err_bf_reset_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_clk_export                : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_address_export            : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_block_validate_err_bf_write_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_err_bf_read_export               : out std_logic;  -- export
+      reg_dp_block_validate_err_bf_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_block_validate_err_xst_reset_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_clk_export               : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_address_export           : out std_logic_vector(3 downto 0);  -- export
+      reg_dp_block_validate_err_xst_write_export             : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_block_validate_err_xst_read_export              : out std_logic;  -- export
+      reg_dp_block_validate_err_xst_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_selector_address_export                         : out std_logic_vector(0 downto 0);  -- export
+      reg_dp_selector_clk_export                             : out std_logic;  -- export
+      reg_dp_selector_read_export                            : out std_logic;  -- export
+      reg_dp_selector_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_selector_reset_export                           : out std_logic;  -- export
+      reg_dp_selector_write_export                           : out std_logic;  -- export
+      reg_dp_selector_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_shiftram_address_export                         : out std_logic_vector(4 downto 0);  -- export
+      reg_dp_shiftram_clk_export                             : out std_logic;  -- export
+      reg_dp_shiftram_read_export                            : out std_logic;  -- export
+      reg_dp_shiftram_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_shiftram_reset_export                           : out std_logic;  -- export
+      reg_dp_shiftram_write_export                           : out std_logic;  -- export
+      reg_dp_shiftram_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_address_export                           : out std_logic_vector(1 downto 0);  -- export
+      reg_dp_xonoff_clk_export                               : out std_logic;  -- export
+      reg_dp_xonoff_read_export                              : out std_logic;  -- export
+      reg_dp_xonoff_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_reset_export                             : out std_logic;  -- export
+      reg_dp_xonoff_write_export                             : out std_logic;  -- export
+      reg_dp_xonoff_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                               : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                              : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                             : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                             : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                               : out std_logic;  -- export
+      reg_dpmm_data_read_export                              : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                             : out std_logic;  -- export
+      reg_dpmm_data_write_export                             : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                                : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                                    : out std_logic;  -- export
+      reg_epcs_read_export                                   : out std_logic;  -- export
+      reg_epcs_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                                  : out std_logic;  -- export
+      reg_epcs_write_export                                  : out std_logic;  -- export
+      reg_epcs_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export                      : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export                          : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export                         : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export                        : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export                        : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export                   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export                       : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export                      : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export                     : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export                     : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_hdr_dat_address_export                             : out std_logic_vector(6 downto 0);  -- export
+      reg_hdr_dat_clk_export                                 : out std_logic;  -- export
+      reg_hdr_dat_read_export                                : out std_logic;  -- export
+      reg_hdr_dat_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_hdr_dat_reset_export                               : out std_logic;  -- export
+      reg_hdr_dat_write_export                               : out std_logic;  -- export
+      reg_hdr_dat_writedata_export                           : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                               : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                              : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                             : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                             : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                               : out std_logic;  -- export
+      reg_mmdp_data_read_export                              : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                             : out std_logic;  -- export
+      reg_mmdp_data_write_export                             : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_nof_crosslets_reset_export                         : out std_logic;  -- export
+      reg_nof_crosslets_clk_export                           : out std_logic;  -- export
+      reg_nof_crosslets_address_export                       : out std_logic_vector(0 downto 0);  -- export
+      reg_nof_crosslets_write_export                         : out std_logic;  -- export
+      reg_nof_crosslets_writedata_export                     : out std_logic_vector(31 downto 0);  -- export
+      reg_nof_crosslets_read_export                          : out std_logic;  -- export
+      reg_nof_crosslets_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_eth10g_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_nw_10gbe_eth10g_clk_export                         : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_read_export                        : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_eth10g_reset_export                       : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_write_export                       : out std_logic;  -- export
+      reg_nw_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_nw_10gbe_mac_address_export                        : out std_logic_vector(12 downto 0);  -- export
+      reg_nw_10gbe_mac_clk_export                            : out std_logic;  -- export
+      reg_nw_10gbe_mac_read_export                           : out std_logic;  -- export
+      reg_nw_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_nw_10gbe_mac_reset_export                          : out std_logic;  -- export
+      reg_nw_10gbe_mac_write_export                          : out std_logic;  -- export
+      reg_nw_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                                : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                                    : out std_logic;  -- export
+      reg_remu_read_export                                   : out std_logic;  -- export
+      reg_remu_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                                  : out std_logic;  -- export
+      reg_remu_write_export                                  : out std_logic;  -- export
+      reg_remu_writedata_export                              : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_info_reset_export                             : out std_logic;  -- export
+      reg_ring_info_clk_export                               : out std_logic;  -- export
+      reg_ring_info_address_export                           : out std_logic_vector(1 downto 0);  -- export
+      reg_ring_info_write_export                             : out std_logic;  -- export
+      reg_ring_info_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_info_read_export                              : out std_logic;  -- export
+      reg_ring_info_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_lane_info_bf_reset_export                     : out std_logic;  -- export
+      reg_ring_lane_info_bf_clk_export                       : out std_logic;  -- export
+      reg_ring_lane_info_bf_address_export                   : out std_logic_vector(1 downto 0);  -- export
+      reg_ring_lane_info_bf_write_export                     : out std_logic;  -- export
+      reg_ring_lane_info_bf_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_lane_info_bf_read_export                      : out std_logic;  -- export
+      reg_ring_lane_info_bf_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ring_lane_info_xst_reset_export                    : out std_logic;  -- export
+      reg_ring_lane_info_xst_clk_export                      : out std_logic;  -- export
+      reg_ring_lane_info_xst_address_export                  : out std_logic_vector(0 downto 0);  -- export
+      reg_ring_lane_info_xst_write_export                    : out std_logic;  -- export
+      reg_ring_lane_info_xst_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_ring_lane_info_xst_read_export                     : out std_logic;  -- export
+      reg_ring_lane_info_xst_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_address_export                            : out std_logic_vector(3 downto 0);  -- export
+      reg_sdp_info_clk_export                                : out std_logic;  -- export
+      reg_sdp_info_read_export                               : out std_logic;  -- export
+      reg_sdp_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_reset_export                              : out std_logic;  -- export
+      reg_sdp_info_write_export                              : out std_logic;  -- export
+      reg_sdp_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_si_address_export                                  : out std_logic_vector(0 downto 0);  -- export
+      reg_si_clk_export                                      : out std_logic;  -- export
+      reg_si_read_export                                     : out std_logic;  -- export
+      reg_si_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_si_reset_export                                    : out std_logic;  -- export
+      reg_si_write_export                                    : out std_logic;  -- export
+      reg_si_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_bst_address_export                     : out std_logic_vector(1 downto 0);  -- export
+      reg_stat_enable_bst_clk_export                         : out std_logic;  -- export
+      reg_stat_enable_bst_read_export                        : out std_logic;  -- export
+      reg_stat_enable_bst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_bst_reset_export                       : out std_logic;  -- export
+      reg_stat_enable_bst_write_export                       : out std_logic;  -- export
+      reg_stat_enable_bst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_sst_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_stat_enable_sst_clk_export                         : out std_logic;  -- export
+      reg_stat_enable_sst_read_export                        : out std_logic;  -- export
+      reg_stat_enable_sst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_sst_reset_export                       : out std_logic;  -- export
+      reg_stat_enable_sst_write_export                       : out std_logic;  -- export
+      reg_stat_enable_sst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_enable_xst_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_stat_enable_xst_clk_export                         : out std_logic;  -- export
+      reg_stat_enable_xst_read_export                        : out std_logic;  -- export
+      reg_stat_enable_xst_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_enable_xst_reset_export                       : out std_logic;  -- export
+      reg_stat_enable_xst_write_export                       : out std_logic;  -- export
+      reg_stat_enable_xst_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_bst_address_export                    : out std_logic_vector(6 downto 0);  -- export
+      reg_stat_hdr_dat_bst_clk_export                        : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_read_export                       : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_bst_reset_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_write_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_bst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_sst_address_export                    : out std_logic_vector(5 downto 0);  -- export
+      reg_stat_hdr_dat_sst_clk_export                        : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_read_export                       : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_sst_reset_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_write_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_sst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_stat_hdr_dat_xst_address_export                    : out std_logic_vector(5 downto 0);  -- export
+      reg_stat_hdr_dat_xst_clk_export                        : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_read_export                       : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_stat_hdr_dat_xst_reset_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_write_export                      : out std_logic;  -- export
+      reg_stat_hdr_dat_xst_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_eth10g_reset_export                       : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_clk_export                         : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_address_export                     : out std_logic_vector(2 downto 0);  -- export
+      reg_tr_10gbe_eth10g_write_export                       : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_eth10g_read_export                        : out std_logic;  -- export
+      reg_tr_10gbe_eth10g_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_mac_reset_export                          : out std_logic;  -- export
+      reg_tr_10gbe_mac_clk_export                            : out std_logic;  -- export
+      reg_tr_10gbe_mac_address_export                        : out std_logic_vector(14 downto 0);  -- export
+      reg_tr_10gbe_mac_write_export                          : out std_logic;  -- export
+      reg_tr_10gbe_mac_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_mac_read_export                           : out std_logic;  -- export
+      reg_tr_10gbe_mac_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_address_export                                 : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                                     : out std_logic;  -- export
+      reg_wdi_read_export                                    : out std_logic;  -- export
+      reg_wdi_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                                   : out std_logic;  -- export
+      reg_wdi_write_export                                   : out std_logic;  -- export
+      reg_wdi_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_wg_address_export                                  : out std_logic_vector(5 downto 0);  -- export
+      reg_wg_clk_export                                      : out std_logic;  -- export
+      reg_wg_read_export                                     : out std_logic;  -- export
+      reg_wg_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wg_reset_export                                    : out std_logic;  -- export
+      reg_wg_write_export                                    : out std_logic;  -- export
+      reg_wg_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      rom_system_info_address_export                         : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_clk_export                             : out std_logic;  -- export
+      rom_system_info_read_export                            : out std_logic;  -- export
+      rom_system_info_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                           : out std_logic;  -- export
+      rom_system_info_write_export                           : out std_logic;  -- export
+      rom_system_info_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_cross_reset_export                 : out std_logic;  -- export
+      ram_equalizer_gains_cross_clk_export                   : out std_logic;  -- export
+      ram_equalizer_gains_cross_address_export               : out std_logic_vector(13 downto 0);  -- export
+      ram_equalizer_gains_cross_write_export                 : out std_logic;  -- export
+      ram_equalizer_gains_cross_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_equalizer_gains_cross_read_export                  : out std_logic;  -- export
+      ram_equalizer_gains_cross_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_lofar2_unb2c_sdp_station;
 end qsys_lofar2_unb2c_sdp_station_pkg;
 
diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/tb/vhdl/tb_lofar2_unb2c_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/tb/vhdl/tb_lofar2_unb2c_sdp_station.vhd
index 2d86198b40..32660fe8c2 100644
--- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/tb/vhdl/tb_lofar2_unb2c_sdp_station.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/tb/vhdl/tb_lofar2_unb2c_sdp_station.vhd
@@ -31,20 +31,20 @@
 --   c_eth_check_nof_packets = 1 instead of S_pn = 12.
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, lofar2_sdp_lib, wpfb_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity tb_lofar2_unb2c_sdp_station is
 end tb_lofar2_unb2c_sdp_station;
@@ -138,44 +138,44 @@ begin
 
   -- >> DUT <<
   u_lofar_unb2c_sdp_station : entity work.lofar2_unb2c_sdp_station
-  generic map (
-    g_design_name            => "lofar2_unb2c_sdp_station_bf",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr,
-    g_wpfb                   => c_wpfb_sim,
-    g_scope_selected_subband => natural(c_subband_sp_0)
-  )
-  port map (
-    -- GENERAL
-    CLK                  => ext_clk,
-    PPS                  => pps,
-    WDI                  => WDI,
-    INTA                 => INTA,
-    INTB                 => INTB,
-
-    -- Others
-    VERSION              => c_version,
-    ID                   => c_id,
-    TESTIO               => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK              => eth_clk_slv,
-    ETH_SGIN             => eth_rxp_slv,
-    ETH_SGOUT            => eth_txp_slv,
-
-    -- LEDs
-    QSFP_LED             => open,
-
-    -- back transceivers
-    JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
-    JESD204B_REFCLK      => JESD204B_REFCLK,
-
-    -- jesd204b syncronization signals
-    JESD204B_SYSREF      => jesd204b_sysref,
-    JESD204B_SYNC_N      => jesd204b_sync_n
-  );
+    generic map (
+      g_design_name            => "lofar2_unb2c_sdp_station_bf",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr,
+      g_wpfb                   => c_wpfb_sim,
+      g_scope_selected_subband => natural(c_subband_sp_0)
+    )
+    port map (
+      -- GENERAL
+      CLK                  => ext_clk,
+      PPS                  => pps,
+      WDI                  => WDI,
+      INTA                 => INTA,
+      INTB                 => INTB,
+
+      -- Others
+      VERSION              => c_version,
+      ID                   => c_id,
+      TESTIO               => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK              => eth_clk_slv,
+      ETH_SGIN             => eth_rxp_slv,
+      ETH_SGOUT            => eth_txp_slv,
+
+      -- LEDs
+      QSFP_LED             => open,
+
+      -- back transceivers
+      JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA,
+      JESD204B_REFCLK      => JESD204B_REFCLK,
+
+      -- jesd204b syncronization signals
+      JESD204B_SYSREF      => jesd204b_sysref,
+      JESD204B_SYNC_N      => jesd204b_sync_n
+    );
 
   ---------------------------------------------------------------------------------------------------------------------
   -- Stimuli
@@ -235,13 +235,13 @@ begin
 
   -- >> Verify proper DUT output using Ethernet packet statistics <<
   u_eth_statistics : entity eth_lib.eth_statistics
-  generic map (
-    g_runtime_nof_packets => c_eth_check_nof_packets,
-    g_runtime_timeout     => c_eth_runtime_timeout
-  )
-  port map (
-    eth_serial_in => eth_txp_slv(0),
-    tb_end        => eth_done
-  );
+    generic map (
+      g_runtime_nof_packets => c_eth_check_nof_packets,
+      g_runtime_timeout     => c_eth_runtime_timeout
+    )
+    port map (
+      eth_serial_in => eth_txp_slv(0),
+      tb_end        => eth_done
+    );
 
 end tb;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/Prototype_ddrctrl_controller.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/Prototype_ddrctrl_controller.vhd
index 6e8b86e22b..c05bf03068 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/Prototype_ddrctrl_controller.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/Prototype_ddrctrl_controller.vhd
@@ -28,12 +28,12 @@
 --
 
 library IEEE, dp_lib, common_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity ddrctrl_controller is
@@ -102,31 +102,31 @@ architecture rtl of ddrctrl_controller is
 
   -- record for readability
   type t_reg is record
-  -- state of program
-  state                       : t_state;
-  started                     : std_logic;
-
-  -- stopping signals
-  filled_mem                  : std_logic;
-  ready_for_set_stop          : std_logic;
-  stop_adr                    : std_logic_vector(c_adr_w - 1 downto 0);
-  last_adr_to_write_to        : std_logic_vector(c_adr_w - 1 downto 0);
-  stop_burstsize              : natural;
-  stopped                     : std_logic;
-  rst_ddrctrl_input           : std_logic;
-
-  -- writing signals
-  wr_burst_en                 : std_logic;
-  wr_bursts_ready             : natural;
-
-  -- reading signals
-  outp_bsn                    : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0);
-  read_adr                    : natural;
-  rd_burst_en                 : std_logic;
-
-  -- output
-  dvr_mosi                    : t_mem_ctlr_mosi;
-  wr_sosi                     : t_dp_sosi;
+    -- state of program
+    state                       : t_state;
+    started                     : std_logic;
+
+    -- stopping signals
+    filled_mem                  : std_logic;
+    ready_for_set_stop          : std_logic;
+    stop_adr                    : std_logic_vector(c_adr_w - 1 downto 0);
+    last_adr_to_write_to        : std_logic_vector(c_adr_w - 1 downto 0);
+    stop_burstsize              : natural;
+    stopped                     : std_logic;
+    rst_ddrctrl_input           : std_logic;
+
+    -- writing signals
+    wr_burst_en                 : std_logic;
+    wr_bursts_ready             : natural;
+
+    -- reading signals
+    outp_bsn                    : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0);
+    read_adr                    : natural;
+    rd_burst_en                 : std_logic;
+
+    -- output
+    dvr_mosi                    : t_mem_ctlr_mosi;
+    wr_sosi                     : t_dp_sosi;
   end record;
 
   constant c_t_reg_init       : t_reg         := (RESET, '0', '0', '0', TO_UVEC(g_max_adr, c_adr_w), (others => '0'), 0, '1', '1', '0', 0, (others => '0'), 0, '1', c_mem_ctlr_mosi_rst, c_dp_sosi_init);
@@ -153,240 +153,240 @@ begin
 
 
     case q_reg.state is
-    when RESET =>
-      v                                                           := c_t_reg_init;
-      v.dvr_mosi.burstbegin                                       := '1';
-      v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0)  := (others => '0');
-      v.dvr_mosi.wr                                               := '1';
-      v.wr_sosi.valid                                             := '1';
-
-      if rst = '0' then
-        v.state := STOP_READING;
-      end if;
-
-
-    when STOP_READING =>
-      -- this is the last read burst, this make sure every data containing word in the memory has been read.
-      if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
-        v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
-        v.stopped               := '0';
-        v.wr_sosi.valid         := '0';
-        v.state                 := WAIT_FOR_SOP;
-        v.wr_burst_en           := '1';
-        v.rst_ddrctrl_input     := '1';
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '0';
-      v.dvr_mosi.rd             := '1';
-
-      if dvr_miso.done = '0' then
-        v.rd_burst_en := '1';
-      end if;
-
-
-    when WAIT_FOR_SOP =>
-      v.dvr_mosi.burstbegin := '0';
-      v.rst_ddrctrl_input := '0';
-      if q_reg.started = '0' and inp_sosi.eop = '1' then
-        v.wr_sosi.valid := '1';
-      elsif inp_sosi.sop = '1' then
-        v.state := WRITING;
-      else
-        v.wr_sosi.valid := '0';
-      end if;
-
-
-    when WRITING =>
-      -- this state generates the rest of the write bursts, it also checks if there is a stop signal or if it needs to stop writing.
-      v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
-      if q_reg.wr_bursts_ready >= 1 and dvr_miso.done = '1' and q_reg.wr_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.wr_burst_en           := '0';
-        if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
-          v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+      when RESET =>
+        v                                                           := c_t_reg_init;
+        v.dvr_mosi.burstbegin                                       := '1';
+        v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0)  := (others => '0');
+        v.dvr_mosi.wr                                               := '1';
+        v.wr_sosi.valid                                             := '1';
+
+        if rst = '0' then
+          v.state := STOP_READING;
+        end if;
+
+
+      when STOP_READING =>
+        -- this is the last read burst, this make sure every data containing word in the memory has been read.
+        if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
+          v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+          v.stopped               := '0';
+          v.wr_sosi.valid         := '0';
+          v.state                 := WAIT_FOR_SOP;
+          v.wr_burst_en           := '1';
+          v.rst_ddrctrl_input     := '1';
         else
-          v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
-          v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          v.dvr_mosi.burstbegin   := '0';
         end if;
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-      if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
-        v.wr_burst_en           := '1';
-      elsif q_reg.wr_bursts_ready = 0 then
-        v.wr_burst_en           := '0';
-      end if;
-
-      if stop_in = '1' then
-        v.ready_for_set_stop := '1';
-      end if;
-
-      if inp_adr >= c_pof_ma then
-        v.filled_mem := '1';
-      end if
-
-      if q_reg.ready_for_set_stop = '1' and inp_sosi.eop = '1' and stop_in = '0' and v.filled_mem = '1' then
-        v.state := SET_STOP;
-      elsif q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
-        v.state := STOP_WRITING;
-      end if;
-
-
-    when SET_STOP =>
-      -- this state sets a stop address dependend on the g_stop_percentage.
-      if inp_adr - c_pof_ma >= 0 then
-        v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr - c_pof_ma, c_adr_w);
-      else
-        v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr + g_max_adr - c_pof_ma, c_adr_w);
-      end if;
-      v.ready_for_set_stop                                        := '0';
-      v.last_adr_to_write_to(c_adr_w - 1 downto c_bitshift_w)       := v.stop_adr(c_adr_w - 1 downto c_bitshift_w);
-      v.last_adr_to_write_to(c_bitshift_w - 1 downto 0)             := (others => '0');
-      v.stop_burstsize                                            := TO_UINT(v.stop_adr(c_adr_w - 1 downto 0)) - TO_UINT(v.last_adr_to_write_to) + 1;
-
-      -- still a write cyle
-      -- if adr mod g_burstsize = 0
-      -- this makes sure that only ones every 64 writes a writeburst is started.
-      v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
-      if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
-        v.wr_burst_en            := '1';
-      elsif q_reg.wr_bursts_ready = 0 then
-        v.wr_burst_en           := '0';
-      end if;
-      if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.wr_burst_en            := '0';
-        if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
-          v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+        v.dvr_mosi.wr             := '0';
+        v.dvr_mosi.rd             := '1';
+
+        if dvr_miso.done = '0' then
+          v.rd_burst_en := '1';
+        end if;
+
+
+      when WAIT_FOR_SOP =>
+        v.dvr_mosi.burstbegin := '0';
+        v.rst_ddrctrl_input := '0';
+        if q_reg.started = '0' and inp_sosi.eop = '1' then
+          v.wr_sosi.valid := '1';
+        elsif inp_sosi.sop = '1' then
+          v.state := WRITING;
         else
-          v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
-          v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          v.wr_sosi.valid := '0';
         end if;
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-      if q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
-        v.state := STOP_WRITING;
-      else
-        v.state := WRITING;
-      end if;
-
-
-    when STOP_WRITING =>
-      -- this state stops the writing by generating one last whole write burst which almost empties wr_fifo.
-      v.wr_sosi.valid       := '0';
-      v.dvr_mosi.burstbegin := '0';
-      v.stopped             := '1';
-      v.stop_adr            := TO_UVEC(g_max_adr, c_adr_w);
-
-      -- still receiving write data.
-      v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
-      if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
-        v.wr_burst_en            := '1';
-      elsif q_reg.wr_bursts_ready = 0 then
-        v.wr_burst_en           := '0';
-      end if;
-      if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.wr_burst_en            := '0';
-        if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
-          v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * q_reg.wr_bursts_ready - 1), dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+
+
+      when WRITING =>
+        -- this state generates the rest of the write bursts, it also checks if there is a stop signal or if it needs to stop writing.
+        v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
+        if q_reg.wr_bursts_ready >= 1 and dvr_miso.done = '1' and q_reg.wr_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.wr_burst_en           := '0';
+          if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
+            v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
+            v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          end if;
         else
-          v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
-          v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+        if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
+          v.wr_burst_en           := '1';
+        elsif q_reg.wr_bursts_ready = 0 then
+          v.wr_burst_en           := '0';
         end if;
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-      if dvr_miso.done = '1' and q_reg.dvr_mosi.burstbegin = '0' and q_reg.wr_burst_en = '0' and q_reg.wr_bursts_ready = 0 then
-        v.state := LAST_WRITE_BURST;
-      end if;
-
-
-    when LAST_WRITE_BURST =>
-     -- this state stops the writing by generatign one last write burst which empties wr_fifo.
-     if dvr_miso.done = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
-        v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
-        v.state                 := START_READING;
-        v.rd_burst_en           := '1';
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-
-    when START_READING =>
-      -- this state generates the first read burst, the size of this burst is dependend on the size of the last write burst.
-      v.dvr_mosi.burstbegin     := '0';
-      v.outp_bsn                := TO_UVEC(TO_UINT(inp_sosi.bsn) - g_bim, c_dp_stream_bsn_w);
-
-      if dvr_miso.done = '1' and v.rd_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0) := TO_UVEC(g_burstsize - q_reg.stop_burstsize, dvr_mosi.burstsize'length);
-        v.dvr_mosi.wr           := '0';
-        v.dvr_mosi.rd           := '1';
-        v.dvr_mosi.address(c_adr_w - 1 downto 0) := TO_UVEC(TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + q_reg.stop_burstsize, c_adr_w);
-        v.rd_burst_en           := '0';
-        v.read_adr              := TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + g_burstsize;
-      end if;
-
-      -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
-      if dvr_miso.done = '0' and q_reg.rd_burst_en = '0' then
-        v.rd_burst_en := '1';
-        v.state       := READING;
-      end if;
-
-
-    when READING =>
-      -- rd_fifo needs a refil after rd_fifo_usedw <= 10 because of delays, if you wait until rd_fifo_usedw = 0 then you get an empty fifo which results in your outputs sosi.valid not being constatly valid.
-      if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
-        v.dvr_mosi.wr         := '0';
-        v.dvr_mosi.rd         := '1';
-        v.dvr_mosi.burstbegin := '1';
-        v.rd_burst_en         := '0';
-        if q_reg.read_adr > g_max_adr - g_burstsize then
-          v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
-          v.read_adr            := 0;
+
+        if stop_in = '1' then
+          v.ready_for_set_stop := '1';
+        end if;
+
+        if inp_adr >= c_pof_ma then
+          v.filled_mem := '1';
+          end if
+
+          if q_reg.ready_for_set_stop = '1' and inp_sosi.eop = '1' and stop_in = '0' and v.filled_mem = '1' then
+          v.state := SET_STOP;
+        elsif q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
+          v.state := STOP_WRITING;
+        end if;
+
+
+      when SET_STOP =>
+        -- this state sets a stop address dependend on the g_stop_percentage.
+        if inp_adr - c_pof_ma >= 0 then
+          v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr - c_pof_ma, c_adr_w);
         else
-          v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
-          v.read_adr            := q_reg.read_adr + g_burstsize;
+          v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr + g_max_adr - c_pof_ma, c_adr_w);
+        end if;
+        v.ready_for_set_stop                                        := '0';
+        v.last_adr_to_write_to(c_adr_w - 1 downto c_bitshift_w)       := v.stop_adr(c_adr_w - 1 downto c_bitshift_w);
+        v.last_adr_to_write_to(c_bitshift_w - 1 downto 0)             := (others => '0');
+        v.stop_burstsize                                            := TO_UINT(v.stop_adr(c_adr_w - 1 downto 0)) - TO_UINT(v.last_adr_to_write_to) + 1;
+
+        -- still a write cyle
+        -- if adr mod g_burstsize = 0
+        -- this makes sure that only ones every 64 writes a writeburst is started.
+        v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
+        if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
+          v.wr_burst_en            := '1';
+        elsif q_reg.wr_bursts_ready = 0 then
+          v.wr_burst_en           := '0';
         end if;
-      else
+        if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.wr_burst_en            := '0';
+          if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
+            v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
+            v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          end if;
+        else
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+        if q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
+          v.state := STOP_WRITING;
+        else
+          v.state := WRITING;
+        end if;
+
+
+      when STOP_WRITING =>
+        -- this state stops the writing by generating one last whole write burst which almost empties wr_fifo.
+        v.wr_sosi.valid       := '0';
         v.dvr_mosi.burstbegin := '0';
-      end if;
+        v.stopped             := '1';
+        v.stop_adr            := TO_UVEC(g_max_adr, c_adr_w);
+
+        -- still receiving write data.
+        v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
+        if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
+          v.wr_burst_en            := '1';
+        elsif q_reg.wr_bursts_ready = 0 then
+          v.wr_burst_en           := '0';
+        end if;
+        if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.wr_burst_en            := '0';
+          if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
+            v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * q_reg.wr_bursts_ready - 1), dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
+            v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          end if;
+        else
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+        if dvr_miso.done = '1' and q_reg.dvr_mosi.burstbegin = '0' and q_reg.wr_burst_en = '0' and q_reg.wr_bursts_ready = 0 then
+          v.state := LAST_WRITE_BURST;
+        end if;
+
+
+      when LAST_WRITE_BURST =>
+        -- this state stops the writing by generatign one last write burst which empties wr_fifo.
+        if dvr_miso.done = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
+          v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+          v.state                 := START_READING;
+          v.rd_burst_en           := '1';
+        else
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+
+      when START_READING =>
+        -- this state generates the first read burst, the size of this burst is dependend on the size of the last write burst.
+        v.dvr_mosi.burstbegin     := '0';
+        v.outp_bsn                := TO_UVEC(TO_UINT(inp_sosi.bsn) - g_bim, c_dp_stream_bsn_w);
+
+        if dvr_miso.done = '1' and v.rd_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0) := TO_UVEC(g_burstsize - q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+          v.dvr_mosi.wr           := '0';
+          v.dvr_mosi.rd           := '1';
+          v.dvr_mosi.address(c_adr_w - 1 downto 0) := TO_UVEC(TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + q_reg.stop_burstsize, c_adr_w);
+          v.rd_burst_en           := '0';
+          v.read_adr              := TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + g_burstsize;
+        end if;
+
+        -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
+        if dvr_miso.done = '0' and q_reg.rd_burst_en = '0' then
+          v.rd_burst_en := '1';
+          v.state       := READING;
+        end if;
 
-      -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
-      if dvr_miso.done = '0' then
-        v.rd_burst_en := '1';
-      end if;
 
-      -- goes to STOP_reading when this read burst was from the burstblock before q_reg.stop_adr
-      if q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0) = TO_UVEC(q_reg.read_adr, c_adr_w) then
-        v.state := STOP_READING;
-      end if;
+      when READING =>
+        -- rd_fifo needs a refil after rd_fifo_usedw <= 10 because of delays, if you wait until rd_fifo_usedw = 0 then you get an empty fifo which results in your outputs sosi.valid not being constatly valid.
+        if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
+          v.dvr_mosi.wr         := '0';
+          v.dvr_mosi.rd         := '1';
+          v.dvr_mosi.burstbegin := '1';
+          v.rd_burst_en         := '0';
+          if q_reg.read_adr > g_max_adr - g_burstsize then
+            v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+            v.read_adr            := 0;
+          else
+            v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+            v.read_adr            := q_reg.read_adr + g_burstsize;
+          end if;
+        else
+          v.dvr_mosi.burstbegin := '0';
+        end if;
+
+        -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
+        if dvr_miso.done = '0' then
+          v.rd_burst_en := '1';
+        end if;
+
+        -- goes to STOP_reading when this read burst was from the burstblock before q_reg.stop_adr
+        if q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0) = TO_UVEC(q_reg.read_adr, c_adr_w) then
+          v.state := STOP_READING;
+        end if;
 
 
     end case;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl.vhd
index f9e02cedd9..6f922e3aeb 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl.vhd
@@ -33,16 +33,16 @@
 --  The maximum value of the address is determend by g_tech_ddr.
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use io_ddr_lib.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use io_ddr_lib.all;
 
 
 entity ddrctrl is
@@ -153,175 +153,175 @@ begin
 
   -- input to io_ddr
   u_ddrctrl_input : entity work.ddrctrl_input
-  generic map(
-    g_tech_ddr                => g_tech_ddr,
-    g_nof_streams             => g_nof_streams,
-    g_data_w                  => g_data_w,
-    g_max_adr                 => c_nof_adr,
-    g_bim                     => c_bim,
-    g_of_pb                   => c_of_pb,
-    g_block_size              => g_block_size
-  )
-  port map(
-    clk                       => clk,
-    rst                       => rst,
-    rst_ddrctrl_input_ac      => rst_ddrctrl_input_ac,
-    in_sosi_arr               => in_sosi_arr,
-    in_stop                   => stop,
-    out_sosi                  => out_sosi,
-    out_adr                   => out_adr,
-    out_bsn_adr               => inp_bsn_adr,
-    out_data_stopped          => data_stopped
-  );
+    generic map(
+      g_tech_ddr                => g_tech_ddr,
+      g_nof_streams             => g_nof_streams,
+      g_data_w                  => g_data_w,
+      g_max_adr                 => c_nof_adr,
+      g_bim                     => c_bim,
+      g_of_pb                   => c_of_pb,
+      g_block_size              => g_block_size
+    )
+    port map(
+      clk                       => clk,
+      rst                       => rst,
+      rst_ddrctrl_input_ac      => rst_ddrctrl_input_ac,
+      in_sosi_arr               => in_sosi_arr,
+      in_stop                   => stop,
+      out_sosi                  => out_sosi,
+      out_adr                   => out_adr,
+      out_bsn_adr               => inp_bsn_adr,
+      out_data_stopped          => data_stopped
+    );
 
   -- functions as a fifo buffer for input data into the sdram stick. also manages input to sdram stick.
   u_io_ddr : entity io_ddr_lib.io_ddr
-  generic map(
-    g_sim_model               => g_sim_model,
-    g_technology              => g_technology,
-    g_tech_ddr                => g_tech_ddr,
-    g_cross_domain_dvr_ctlr   => false,
-    g_wr_data_w               => c_io_ddr_data_w,
-    g_wr_fifo_depth           => c_wr_fifo_depth,
-    g_rd_fifo_depth           => c_rd_fifo_depth,
-    g_rd_data_w               => c_io_ddr_data_w,
-    g_wr_flush_mode           => "VAL",
-    g_wr_flush_use_channel    => false,
-    g_wr_flush_start_channel  => 0,
-    g_wr_flush_nof_channels   => 1
+    generic map(
+      g_sim_model               => g_sim_model,
+      g_technology              => g_technology,
+      g_tech_ddr                => g_tech_ddr,
+      g_cross_domain_dvr_ctlr   => false,
+      g_wr_data_w               => c_io_ddr_data_w,
+      g_wr_fifo_depth           => c_wr_fifo_depth,
+      g_rd_fifo_depth           => c_rd_fifo_depth,
+      g_rd_data_w               => c_io_ddr_data_w,
+      g_wr_flush_mode           => "VAL",
+      g_wr_flush_use_channel    => false,
+      g_wr_flush_start_channel  => 0,
+      g_wr_flush_nof_channels   => 1
     )
     port map(
 
-    -- DDR reference clock
-    ctlr_ref_clk              => ctlr_ref_clk,
-    ctlr_ref_rst              => ctlr_ref_rst,
+      -- DDR reference clock
+      ctlr_ref_clk              => ctlr_ref_clk,
+      ctlr_ref_rst              => ctlr_ref_rst,
 
-    -- DDR controller clock domain
-    ctlr_clk_out              => ctrl_clk,
-    ctlr_rst_out              => ctrl_rst,
+      -- DDR controller clock domain
+      ctlr_clk_out              => ctrl_clk,
+      ctlr_rst_out              => ctrl_rst,
 
-    ctlr_clk_in               => ctrl_clk,
-    ctlr_rst_in               => ctrl_rst,
+      ctlr_clk_in               => ctrl_clk,
+      ctlr_rst_in               => ctrl_rst,
 
-    -- MM clock + reset
-    mm_rst                    => mm_rst,
-    mm_clk                    => mm_clk,
+      -- MM clock + reset
+      mm_rst                    => mm_rst,
+      mm_clk                    => mm_clk,
 
-    -- MM interface
-    reg_io_ddr_mosi           => reg_io_ddr_mosi,
-    reg_io_ddr_miso           => reg_io_ddr_miso,
-    state_vec                 => state_vec,
-    ctlr_wr_flush_en_o        => ctlr_wr_flush_en,
+      -- MM interface
+      reg_io_ddr_mosi           => reg_io_ddr_mosi,
+      reg_io_ddr_miso           => reg_io_ddr_miso,
+      state_vec                 => state_vec,
+      ctlr_wr_flush_en_o        => ctlr_wr_flush_en,
 
-    -- Driver clock domain
-    dvr_clk                   => clk,
-    dvr_rst                   => rst,
+      -- Driver clock domain
+      dvr_clk                   => clk,
+      dvr_rst                   => rst,
 
-    dvr_miso                  => dvr_miso,
-    dvr_mosi                  => dvr_mosi,
+      dvr_miso                  => dvr_miso,
+      dvr_mosi                  => dvr_mosi,
 
-    -- Write FIFO clock domain
-    wr_clk                    => clk,
-    wr_rst                    => rst,
+      -- Write FIFO clock domain
+      wr_clk                    => clk,
+      wr_rst                    => rst,
 
-    wr_fifo_usedw             => wr_fifo_usedw,
-    wr_sosi                   => wr_sosi,
-    wr_siso                   => open,
+      wr_fifo_usedw             => wr_fifo_usedw,
+      wr_sosi                   => wr_sosi,
+      wr_siso                   => open,
 
-    -- Read FIFO clock domain
-    rd_clk                    => clk,
-    rd_rst                    => rst,
+      -- Read FIFO clock domain
+      rd_clk                    => clk,
+      rd_rst                    => rst,
 
-    rd_fifo_usedw             => rd_fifo_usedw,
-    rd_sosi                   => rd_sosi,
-    rd_siso                   => rd_siso,
+      rd_fifo_usedw             => rd_fifo_usedw,
+      rd_sosi                   => rd_sosi,
+      rd_siso                   => rd_siso,
 
-    term_ctrl_out             => term_ctrl_out,
-    term_ctrl_in              => term_ctrl_in,
+      term_ctrl_out             => term_ctrl_out,
+      term_ctrl_in              => term_ctrl_in,
 
-    -- DDR3 PHY external interface
-    phy3_in                   => phy3_in,
-    phy3_io                   => phy3_io,
-    phy3_ou                   => phy3_ou,
+      -- DDR3 PHY external interface
+      phy3_in                   => phy3_in,
+      phy3_io                   => phy3_io,
+      phy3_ou                   => phy3_ou,
 
-    -- DDR4 PHY external interface
-    phy4_in                   => phy4_in,
-    phy4_io                   => phy4_io,
-    phy4_ou                   => phy4_ou
-  );
+      -- DDR4 PHY external interface
+      phy4_in                   => phy4_in,
+      phy4_io                   => phy4_io,
+      phy4_ou                   => phy4_ou
+    );
 
   -- reading ddr memory
   u_ddrctrl_output : entity work.ddrctrl_output
-  generic map(
-    g_technology              => g_technology,
-    g_tech_ddr                => g_tech_ddr,
-    g_sim_model               => g_sim_model,
-    g_in_data_w               => c_io_ddr_data_w,
-    g_nof_streams             => g_nof_streams,
-    g_data_w                  => g_data_w,
-    g_block_size              => g_block_size,
-    g_bim                     => c_bim
-  )
-  port map(
-    clk                       => clk,
-    rst                       => rst,
-
-    in_sosi                   => rd_sosi,
-    in_bsn                    => bsn_co,
-
-    out_sosi_arr              => out_sosi_arr,
-    out_siso                  => out_siso,
-    out_ready                 => rd_ready
-  );
+    generic map(
+      g_technology              => g_technology,
+      g_tech_ddr                => g_tech_ddr,
+      g_sim_model               => g_sim_model,
+      g_in_data_w               => c_io_ddr_data_w,
+      g_nof_streams             => g_nof_streams,
+      g_data_w                  => g_data_w,
+      g_block_size              => g_block_size,
+      g_bim                     => c_bim
+    )
+    port map(
+      clk                       => clk,
+      rst                       => rst,
+
+      in_sosi                   => rd_sosi,
+      in_bsn                    => bsn_co,
+
+      out_sosi_arr              => out_sosi_arr,
+      out_siso                  => out_siso,
+      out_ready                 => rd_ready
+    );
 
   -- controller of ddrctrl
   u_ddrctrl_controller : entity work.ddrctrl_controller
-  generic map(
-    g_tech_ddr                => g_tech_ddr,
-    g_stop_percentage         => g_stop_percentage,
-    g_nof_streams             => g_nof_streams,
-    g_out_data_w              => g_data_w,
-    g_wr_data_w               => c_io_ddr_data_w,
-    g_rd_fifo_depth           => c_rd_fifo_depth,
-    g_rd_data_w               => c_io_ddr_data_w,
-    g_block_size              => g_block_size,
-    g_wr_fifo_uw_w            => c_wr_fifo_uw_w,
-    g_rd_fifo_uw_w            => c_rd_fifo_uw_w,
-    g_max_adr                 => c_nof_adr,
-    g_burstsize               => c_burstsize,
-    g_last_burstsize          => c_last_burstsize,
-    g_adr_per_b               => c_adr_per_b,
-    g_bim                     => c_bim
-  )
-  port map(
-    clk                       => clk,
-    rst                       => rst,
-
-    -- ddrctrl_input
-    inp_of                    => out_of,
-    inp_sosi                  => out_sosi,
-    inp_adr                   => out_adr,
-    inp_bsn_adr               => inp_bsn_adr,
-    inp_data_stopped          => data_stopped,
-    rst_ddrctrl_input_ac      => rst_ddrctrl_input_ac,
-
-    -- io_ddr
-    dvr_mosi                  => dvr_mosi,
-    dvr_miso                  => dvr_miso,
-    wr_sosi                   => wr_sosi,
-    wr_siso                   => c_dp_siso_rdy,
-    wr_fifo_usedw             => wr_fifo_usedw,
-    rd_fifo_usedw             => rd_fifo_usedw,
-    ctlr_wr_flush_en          => ctlr_wr_flush_en,
-    flush_state               => state_vec,
-
-    -- ddrctrl_output
-    outp_bsn                  => bsn_co,
-
-    -- ddrctrl_controller
-    stop_in                   => stop_in,
-    stop_out                  => stop,
-    ddrctrl_ctrl_state        => ddrctrl_ctrl_state_local
-  );
+    generic map(
+      g_tech_ddr                => g_tech_ddr,
+      g_stop_percentage         => g_stop_percentage,
+      g_nof_streams             => g_nof_streams,
+      g_out_data_w              => g_data_w,
+      g_wr_data_w               => c_io_ddr_data_w,
+      g_rd_fifo_depth           => c_rd_fifo_depth,
+      g_rd_data_w               => c_io_ddr_data_w,
+      g_block_size              => g_block_size,
+      g_wr_fifo_uw_w            => c_wr_fifo_uw_w,
+      g_rd_fifo_uw_w            => c_rd_fifo_uw_w,
+      g_max_adr                 => c_nof_adr,
+      g_burstsize               => c_burstsize,
+      g_last_burstsize          => c_last_burstsize,
+      g_adr_per_b               => c_adr_per_b,
+      g_bim                     => c_bim
+    )
+    port map(
+      clk                       => clk,
+      rst                       => rst,
+
+      -- ddrctrl_input
+      inp_of                    => out_of,
+      inp_sosi                  => out_sosi,
+      inp_adr                   => out_adr,
+      inp_bsn_adr               => inp_bsn_adr,
+      inp_data_stopped          => data_stopped,
+      rst_ddrctrl_input_ac      => rst_ddrctrl_input_ac,
+
+      -- io_ddr
+      dvr_mosi                  => dvr_mosi,
+      dvr_miso                  => dvr_miso,
+      wr_sosi                   => wr_sosi,
+      wr_siso                   => c_dp_siso_rdy,
+      wr_fifo_usedw             => wr_fifo_usedw,
+      rd_fifo_usedw             => rd_fifo_usedw,
+      ctlr_wr_flush_en          => ctlr_wr_flush_en,
+      flush_state               => state_vec,
+
+      -- ddrctrl_output
+      outp_bsn                  => bsn_co,
+
+      -- ddrctrl_controller
+      stop_in                   => stop_in,
+      stop_out                  => stop,
+      ddrctrl_ctrl_state        => ddrctrl_ctrl_state_local
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd
index 95495f5428..fe159f4946 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd
@@ -28,12 +28,12 @@
 --
 
 library IEEE, dp_lib, common_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity ddrctrl_controller is
@@ -127,34 +127,34 @@ architecture rtl of ddrctrl_controller is
 
   -- record for readability
   type t_reg is record
-  -- state of program
-  state                       : t_state;
-  started                     : std_logic;
-
-  -- stopping flush
-  timer                       : natural;
-
-  -- stopping signals
-  ready_for_set_stop          : std_logic;
-  stop_adr                    : std_logic_vector(c_adr_w - 1 downto 0);
-  last_adr_to_write_to        : std_logic_vector(c_adr_w - 1 downto 0);
-  stop_burstsize              : natural;
-  stopped                     : std_logic;
-  rst_ddrctrl_input_ac        : std_logic;
-
-  -- writing signals
-  wr_burst_en                 : std_logic;
-  wr_bursts_ready             : natural;
-
-  -- reading signals
-  outp_bsn                    : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0);
-  read_adr                    : natural;
-  rd_burst_en                 : std_logic;
-
-  -- output
-  dvr_mosi                    : t_mem_ctlr_mosi;
-  wr_sosi                     : t_dp_sosi;
-  ddrctrl_ctrl_state          : std_logic_vector(32 - 1 downto 0);
+    -- state of program
+    state                       : t_state;
+    started                     : std_logic;
+
+    -- stopping flush
+    timer                       : natural;
+
+    -- stopping signals
+    ready_for_set_stop          : std_logic;
+    stop_adr                    : std_logic_vector(c_adr_w - 1 downto 0);
+    last_adr_to_write_to        : std_logic_vector(c_adr_w - 1 downto 0);
+    stop_burstsize              : natural;
+    stopped                     : std_logic;
+    rst_ddrctrl_input_ac        : std_logic;
+
+    -- writing signals
+    wr_burst_en                 : std_logic;
+    wr_bursts_ready             : natural;
+
+    -- reading signals
+    outp_bsn                    : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0);
+    read_adr                    : natural;
+    rd_burst_en                 : std_logic;
+
+    -- output
+    dvr_mosi                    : t_mem_ctlr_mosi;
+    wr_sosi                     : t_dp_sosi;
+    ddrctrl_ctrl_state          : std_logic_vector(32 - 1 downto 0);
   end record;
 
   constant c_t_reg_init       : t_reg         := (RESET, '0', 4, '0', TO_UVEC(g_max_adr, c_adr_w), (others => '0'), 0, '1', '1', '0', 0, (others => '0'), 0, '1', c_mem_ctlr_mosi_rst, c_dp_sosi_init, (others => '0'));
@@ -182,285 +182,285 @@ begin
     --v.ddrctrl_ctrl_state(c_high_adr_ndx DOWNTO c_low_adr_ndx)       := TO_UVEC(inp_adr, 32)(c_adr_ndx_w-1 DOWNTO 0);
 
     case q_reg.state is
-    when RESET =>
-      v                                                             := c_t_reg_init;
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(0, c_state_ndx_w);
+      when RESET =>
+        v                                                             := c_t_reg_init;
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(0, c_state_ndx_w);
 
-      if rst = '0' and wr_siso.ready = '1' then
-        v.state := STOP_FLUSH;
-        v.timer := 0;
-      end if;
+        if rst = '0' and wr_siso.ready = '1' then
+          v.state := STOP_FLUSH;
+          v.timer := 0;
+        end if;
+
+
+      when STOP_FLUSH =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx)   := TO_UVEC(1, c_state_ndx_w);
+        v.wr_sosi.valid                                                 := '0';
+        if flush_state = "10" then
+          v.dvr_mosi.burstbegin                                         := '1';
+          v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0)    := (others => '0');
+          v.dvr_mosi.wr                                                 := '1';
+        elsif flush_state = "11" and q_reg.timer = 0 then
+          v.wr_sosi.valid                                               := '1';
+          v.timer := 127;
+        end if;
+
+        if q_reg.timer > 0 and rst = '0' then
+          v.timer := q_reg.timer - 1;
+        end if;
+
+        if flush_state = "01" then
+          v.state   := WAIT_FOR_SOP;
+          v.stopped := '0';
+        end if;
 
 
-    when STOP_FLUSH =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx)   := TO_UVEC(1, c_state_ndx_w);
-      v.wr_sosi.valid                                                 := '0';
-      if flush_state = "10" then
-        v.dvr_mosi.burstbegin                                         := '1';
+      when STOP_READING =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(2, c_state_ndx_w);
+        -- this is the last read burst, this make sure every data containing word in the memory has been read.
+        if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
+          v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+          v.stopped               := '0';
+          v.wr_sosi.valid         := '1';
+          v.state                 := WAIT_FOR_SOP;
+          v.wr_burst_en           := '1';
+          v.rst_ddrctrl_input_ac  := '1';
+        else
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '0';
+        v.dvr_mosi.rd             := '1';
+
+        if dvr_miso.done = '0' then
+          v.rd_burst_en := '1';
+        end if;
+
+
+      when WAIT_FOR_SOP =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(3, c_state_ndx_w);
+        v.dvr_mosi.burstbegin                                         := '0';
         v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0)    := (others => '0');
         v.dvr_mosi.wr                                                 := '1';
-      elsif flush_state = "11" and q_reg.timer = 0 then
-        v.wr_sosi.valid                                               := '1';
-        v.timer := 127;
-      end if;
-
-      if q_reg.timer > 0 and rst = '0' then
-        v.timer := q_reg.timer - 1;
-      end if;
-
-      if flush_state = "01" then
-        v.state   := WAIT_FOR_SOP;
-        v.stopped := '0';
-      end if;
-
-
-    when STOP_READING =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(2, c_state_ndx_w);
-      -- this is the last read burst, this make sure every data containing word in the memory has been read.
-      if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
-        v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
-        v.stopped               := '0';
-        v.wr_sosi.valid         := '1';
-        v.state                 := WAIT_FOR_SOP;
-        v.wr_burst_en           := '1';
-        v.rst_ddrctrl_input_ac  := '1';
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '0';
-      v.dvr_mosi.rd             := '1';
-
-      if dvr_miso.done = '0' then
-        v.rd_burst_en := '1';
-      end if;
-
-
-    when WAIT_FOR_SOP =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(3, c_state_ndx_w);
-      v.dvr_mosi.burstbegin                                         := '0';
-      v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0)    := (others => '0');
-      v.dvr_mosi.wr                                                 := '1';
-      v.dvr_mosi.rd                                                 := '0';
-      v.rst_ddrctrl_input_ac  := '0';
-      if q_reg.started = '0' and inp_sosi.eop = '1' then
-        v.wr_sosi.valid       := '0';
-      elsif inp_sosi.sop = '1' then
-        v.state               := WRITING;
-      else
-        v.wr_sosi.valid       := '0';
-      end if;
-
-
-    when WRITING =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(4, c_state_ndx_w);
-      -- this state generates the rest of the write bursts, it also checks if there is a stop signal or if it needs to stop writing.
-      v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
-      if q_reg.wr_bursts_ready >= 1 and dvr_miso.done = '1' and q_reg.wr_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.wr_burst_en           := '0';
-        if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
-          v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+        v.dvr_mosi.rd                                                 := '0';
+        v.rst_ddrctrl_input_ac  := '0';
+        if q_reg.started = '0' and inp_sosi.eop = '1' then
+          v.wr_sosi.valid       := '0';
+        elsif inp_sosi.sop = '1' then
+          v.state               := WRITING;
         else
-          v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
-          v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          v.wr_sosi.valid       := '0';
         end if;
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-      if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
-        v.wr_burst_en           := '1';
-      elsif q_reg.wr_bursts_ready = 0 then
-        v.wr_burst_en           := '0';
-      end if;
-
-      if stop_in = '1' then
-        v.ready_for_set_stop := '1';
-      end if;
-
-      if q_reg.ready_for_set_stop = '1' and inp_sosi.eop = '1' and stop_in = '0' then
-        v.state := SET_STOP;
-      elsif q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
-        v.state := STOP_WRITING;
-      end if;
-
-
-    when SET_STOP =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(5, c_state_ndx_w);
-      -- this state sets a stop address dependend on the g_stop_percentage.
-      if inp_adr - c_pof_ma >= 0 then
-        v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr - c_pof_ma, c_adr_w);
-      else
-        v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr + g_max_adr - c_pof_ma, c_adr_w);
-      end if;
-      v.ready_for_set_stop                                        := '0';
-      if v.stop_adr(c_adr_w - 1 downto 0) = c_stop_adr_zeros(c_adr_w - 1 downto 0) then
-        v.last_adr_to_write_to(c_adr_w - 1 downto 0)                := TO_UVEC(g_max_adr - g_last_burstsize, c_adr_w);
-      else
-        v.last_adr_to_write_to(c_adr_w - 1 downto c_bitshift_w)     := v.stop_adr(c_adr_w - 1 downto c_bitshift_w);
-      end if;
-      v.last_adr_to_write_to(c_bitshift_w - 1 downto 0)             := (others => '0');
-      v.stop_burstsize                                            := TO_UINT(INCR_UVEC(INCR_UVEC(v.stop_adr(c_adr_w - 1 downto 0), -1 * TO_UINT(v.last_adr_to_write_to)),1));
-
-      -- still a write cyle
-      -- if adr mod g_burstsize = 0
-      -- this makes sure that only ones every 64 writes a writeburst is started.
-      v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
-      if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
-        v.wr_burst_en            := '1';
-      elsif q_reg.wr_bursts_ready = 0 then
-        v.wr_burst_en           := '0';
-      end if;
-      if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.wr_burst_en            := '0';
-        if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
-          v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+
+
+      when WRITING =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(4, c_state_ndx_w);
+        -- this state generates the rest of the write bursts, it also checks if there is a stop signal or if it needs to stop writing.
+        v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
+        if q_reg.wr_bursts_ready >= 1 and dvr_miso.done = '1' and q_reg.wr_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.wr_burst_en           := '0';
+          if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
+            v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
+            v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          end if;
         else
-          v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
-          v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          v.dvr_mosi.burstbegin   := '0';
         end if;
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-      if q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
-        v.state := STOP_WRITING;
-      else
-        v.state := WRITING;
-      end if;
-
-
-    when STOP_WRITING =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(6, c_state_ndx_w);
-      -- this state stops the writing by generating one last whole write burst which almost empties wr_fifo.
-      v.wr_sosi.valid       := '0';
-      v.dvr_mosi.burstbegin := '0';
-      v.stopped             := '1';
-      v.stop_adr            := TO_UVEC(g_max_adr, c_adr_w);
-
-      -- still receiving write data.
-      v.wr_bursts_ready         := TO_UINT(INCR_UVEC(wr_fifo_usedw, 2)(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
-      if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
-        v.wr_burst_en            := '1';
-      elsif q_reg.wr_bursts_ready = 0 then
-        v.wr_burst_en           := '0';
-      end if;
-      if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
-        v.dvr_mosi.burstbegin   := '1';
-        v.wr_burst_en           := '0';
-        if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
-          v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * q_reg.wr_bursts_ready - 1), dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+        if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
+          v.wr_burst_en           := '1';
+        elsif q_reg.wr_bursts_ready = 0 then
+          v.wr_burst_en           := '0';
+        end if;
+
+        if stop_in = '1' then
+          v.ready_for_set_stop := '1';
+        end if;
+
+        if q_reg.ready_for_set_stop = '1' and inp_sosi.eop = '1' and stop_in = '0' then
+          v.state := SET_STOP;
+        elsif q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
+          v.state := STOP_WRITING;
+        end if;
+
+
+      when SET_STOP =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(5, c_state_ndx_w);
+        -- this state sets a stop address dependend on the g_stop_percentage.
+        if inp_adr - c_pof_ma >= 0 then
+          v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr - c_pof_ma, c_adr_w);
         else
-          v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
-          v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          v.stop_adr(c_adr_w - 1 downto 0) := TO_UVEC(inp_adr + g_max_adr - c_pof_ma, c_adr_w);
         end if;
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-      if dvr_miso.done = '1' and q_reg.dvr_mosi.burstbegin = '0' and q_reg.wr_burst_en = '0' and q_reg.wr_bursts_ready = 0 and inp_data_stopped = '1' and TO_UINT(wr_fifo_usedw) <= q_reg.stop_burstsize then
-        v.state := LAST_WRITE_BURST;
-      end if;
-
-
-    when LAST_WRITE_BURST =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(7, c_state_ndx_w);
-      -- this state stops the writing by generatign one last write burst which empties wr_fifo.
-      v.wr_sosi.valid           := '0';
-      if dvr_miso.done = '1' then
-        if TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) >= g_max_adr then
-          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := TO_UVEC(0, c_adr_w);
-          v.dvr_mosi.burstsize    :=  TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+        v.ready_for_set_stop                                        := '0';
+        if v.stop_adr(c_adr_w - 1 downto 0) = c_stop_adr_zeros(c_adr_w - 1 downto 0) then
+          v.last_adr_to_write_to(c_adr_w - 1 downto 0)                := TO_UVEC(g_max_adr - g_last_burstsize, c_adr_w);
         else
-          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
-          v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+          v.last_adr_to_write_to(c_adr_w - 1 downto c_bitshift_w)     := v.stop_adr(c_adr_w - 1 downto c_bitshift_w);
+        end if;
+        v.last_adr_to_write_to(c_bitshift_w - 1 downto 0)             := (others => '0');
+        v.stop_burstsize                                            := TO_UINT(INCR_UVEC(INCR_UVEC(v.stop_adr(c_adr_w - 1 downto 0), -1 * TO_UINT(v.last_adr_to_write_to)),1));
+
+        -- still a write cyle
+        -- if adr mod g_burstsize = 0
+        -- this makes sure that only ones every 64 writes a writeburst is started.
+        v.wr_bursts_ready         := TO_UINT(wr_fifo_usedw(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
+        if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
+          v.wr_burst_en            := '1';
+        elsif q_reg.wr_bursts_ready = 0 then
+          v.wr_burst_en           := '0';
         end if;
-        v.dvr_mosi.burstbegin   := '1';
-        v.state                 := START_READING;
-        v.rd_burst_en           := '1';
-      else
-        v.dvr_mosi.burstbegin   := '0';
-      end if;
-      v.dvr_mosi.wr             := '1';
-      v.dvr_mosi.rd             := '0';
-
-
-    when START_READING =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(8, c_state_ndx_w);
-      -- this state generates the first read burst, the size of this burst is dependend on the size of the last write burst.
-      v.dvr_mosi.burstbegin     := '0';
-      v.outp_bsn                := INCR_UVEC(inp_sosi.bsn, -1 * g_bim);
-      v.wr_sosi.valid           := '0';
-
-      if dvr_miso.done = '1' and v.rd_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
-        if TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + q_reg.stop_burstsize >= g_max_adr then
-          v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0) := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
-          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := TO_UVEC(0, c_adr_w);
-          v.read_adr            := g_burstsize;
+        if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.wr_burst_en            := '0';
+          if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
+            v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * (q_reg.wr_bursts_ready - 1)), dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
+            v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          end if;
         else
-          v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0) := TO_UVEC(g_burstsize - q_reg.stop_burstsize, dvr_mosi.burstsize'length);
-          v.dvr_mosi.address(c_adr_w - 1 downto 0)  := INCR_UVEC(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0), q_reg.stop_burstsize);
-          v.read_adr            := TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + g_burstsize;
+          v.dvr_mosi.burstbegin   := '0';
         end if;
-        v.dvr_mosi.burstbegin   := '1';
-        v.dvr_mosi.wr           := '0';
-        v.dvr_mosi.rd           := '1';
-        v.rd_burst_en           := '0';
-      end if;
-
-      -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
-      if dvr_miso.done = '0' and q_reg.rd_burst_en = '0' then
-        v.rd_burst_en := '1';
-        v.state       := READING;
-      end if;
-
-
-    when READING =>
-      v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(9, c_state_ndx_w);
-      v.wr_sosi.valid         := '0';
-      -- rd_fifo needs a refil after rd_fifo_usedw <= 10 because of delays, if you wait until rd_fifo_usedw = 0 then you get an empty fifo which results in your outputs sosi.valid not being constatly valid.
-      if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
-        v.dvr_mosi.wr         := '0';
-        v.dvr_mosi.rd         := '1';
-        v.dvr_mosi.burstbegin := '1';
-        v.rd_burst_en         := '0';
-        if q_reg.read_adr > g_max_adr - g_burstsize then
-          v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
-          v.read_adr            := 0;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+        if q_reg.stop_adr = TO_UVEC(inp_adr, c_adr_w) then
+          v.state := STOP_WRITING;
         else
-          v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
-          v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
-          v.read_adr            := q_reg.read_adr + g_burstsize;
+          v.state := WRITING;
         end if;
-      else
+
+
+      when STOP_WRITING =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(6, c_state_ndx_w);
+        -- this state stops the writing by generating one last whole write burst which almost empties wr_fifo.
+        v.wr_sosi.valid       := '0';
         v.dvr_mosi.burstbegin := '0';
-      end if;
+        v.stopped             := '1';
+        v.stop_adr            := TO_UVEC(g_max_adr, c_adr_w);
+
+        -- still receiving write data.
+        v.wr_bursts_ready         := TO_UINT(INCR_UVEC(wr_fifo_usedw, 2)(g_wr_fifo_uw_w - 1 downto c_bitshift_w));
+        if not (q_reg.wr_bursts_ready = 0) and q_reg.dvr_mosi.burstbegin = '0'THEN
+          v.wr_burst_en            := '1';
+        elsif q_reg.wr_bursts_ready = 0 then
+          v.wr_burst_en           := '0';
+        end if;
+        if dvr_miso.done = '1' and q_reg.wr_burst_en = '1' then
+          v.dvr_mosi.burstbegin   := '1';
+          v.wr_burst_en           := '0';
+          if inp_adr < (g_burstsize * q_reg.wr_bursts_ready) - 1 then
+            v.dvr_mosi.address    := TO_UVEC(g_max_adr - g_last_burstsize - (g_burstsize * q_reg.wr_bursts_ready - 1), dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address    := TO_UVEC(inp_adr - (g_burstsize * q_reg.wr_bursts_ready), dvr_mosi.address'length);
+            v.dvr_mosi.address(c_bitshift_w - 1 downto 0) := c_zeros(c_bitshift_w - 1 downto 0);  -- makes sure that a burst is only started on a multiple of g_burstsize
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          end if;
+        else
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+        if dvr_miso.done = '1' and q_reg.dvr_mosi.burstbegin = '0' and q_reg.wr_burst_en = '0' and q_reg.wr_bursts_ready = 0 and inp_data_stopped = '1' and TO_UINT(wr_fifo_usedw) <= q_reg.stop_burstsize then
+          v.state := LAST_WRITE_BURST;
+        end if;
 
-      -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
-      if dvr_miso.done = '0' then
-        v.rd_burst_en := '1';
-      end if;
 
-      -- goes to STOP_reading when this read burst was from the burstblock before q_reg.stop_adr
-      if q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0) = TO_UVEC(q_reg.read_adr, c_adr_w) then
-        v.state := STOP_READING;
-      end if;
+      when LAST_WRITE_BURST =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(7, c_state_ndx_w);
+        -- this state stops the writing by generatign one last write burst which empties wr_fifo.
+        v.wr_sosi.valid           := '0';
+        if dvr_miso.done = '1' then
+          if TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) >= g_max_adr then
+            v.dvr_mosi.address(c_adr_w - 1 downto 0)  := TO_UVEC(0, c_adr_w);
+            v.dvr_mosi.burstsize    :=  TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+          else
+            v.dvr_mosi.address(c_adr_w - 1 downto 0)  := q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0);
+            v.dvr_mosi.burstsize    := TO_UVEC(q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+          end if;
+          v.dvr_mosi.burstbegin   := '1';
+          v.state                 := START_READING;
+          v.rd_burst_en           := '1';
+        else
+          v.dvr_mosi.burstbegin   := '0';
+        end if;
+        v.dvr_mosi.wr             := '1';
+        v.dvr_mosi.rd             := '0';
+
+
+      when START_READING =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(8, c_state_ndx_w);
+        -- this state generates the first read burst, the size of this burst is dependend on the size of the last write burst.
+        v.dvr_mosi.burstbegin     := '0';
+        v.outp_bsn                := INCR_UVEC(inp_sosi.bsn, -1 * g_bim);
+        v.wr_sosi.valid           := '0';
+
+        if dvr_miso.done = '1' and v.rd_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
+          if TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + q_reg.stop_burstsize >= g_max_adr then
+            v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0) := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+            v.dvr_mosi.address(c_adr_w - 1 downto 0)  := TO_UVEC(0, c_adr_w);
+            v.read_adr            := g_burstsize;
+          else
+            v.dvr_mosi.burstsize(dvr_mosi.burstsize'length - 1 downto 0) := TO_UVEC(g_burstsize - q_reg.stop_burstsize, dvr_mosi.burstsize'length);
+            v.dvr_mosi.address(c_adr_w - 1 downto 0)  := INCR_UVEC(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0), q_reg.stop_burstsize);
+            v.read_adr            := TO_UINT(q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0)) + g_burstsize;
+          end if;
+          v.dvr_mosi.burstbegin   := '1';
+          v.dvr_mosi.wr           := '0';
+          v.dvr_mosi.rd           := '1';
+          v.rd_burst_en           := '0';
+        end if;
+
+        -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
+        if dvr_miso.done = '0' and q_reg.rd_burst_en = '0' then
+          v.rd_burst_en := '1';
+          v.state       := READING;
+        end if;
+
+
+      when READING =>
+        v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(9, c_state_ndx_w);
+        v.wr_sosi.valid         := '0';
+        -- rd_fifo needs a refil after rd_fifo_usedw <= 10 because of delays, if you wait until rd_fifo_usedw = 0 then you get an empty fifo which results in your outputs sosi.valid not being constatly valid.
+        if TO_UINT(rd_fifo_usedw) <= g_burstsize and dvr_miso.done = '1' and q_reg.rd_burst_en = '1' then
+          v.dvr_mosi.wr         := '0';
+          v.dvr_mosi.rd         := '1';
+          v.dvr_mosi.burstbegin := '1';
+          v.rd_burst_en         := '0';
+          if q_reg.read_adr > g_max_adr - g_burstsize then
+            v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_last_burstsize, dvr_mosi.burstsize'length);
+            v.read_adr            := 0;
+          else
+            v.dvr_mosi.address    := TO_UVEC(q_reg.read_adr, dvr_mosi.address'length);
+            v.dvr_mosi.burstsize  := TO_UVEC(g_burstsize, dvr_mosi.burstsize'length);
+            v.read_adr            := q_reg.read_adr + g_burstsize;
+          end if;
+        else
+          v.dvr_mosi.burstbegin := '0';
+        end if;
+
+        -- makes sure the fifo is filled before asking for another rd request. to prevent 4 rd burst to happend directly after one another.
+        if dvr_miso.done = '0' then
+          v.rd_burst_en := '1';
+        end if;
+
+        -- goes to STOP_reading when this read burst was from the burstblock before q_reg.stop_adr
+        if q_reg.last_adr_to_write_to(c_adr_w - 1 downto 0) = TO_UVEC(q_reg.read_adr, c_adr_w) then
+          v.state := STOP_READING;
+        end if;
 
 
     end case;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input.vhd
index 7947c5d3f4..4c25ce3e2d 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input.vhd
@@ -33,13 +33,13 @@
 --  The maximum value of the address is determend by g_tech_ddr.
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity ddrctrl_input is
@@ -85,48 +85,48 @@ begin
 
   -- makes one data vector out of all the data from the t_dp_sosi_arr
   u_ddrctrl_input_pack : entity work.ddrctrl_input_pack
-  generic map(
-    g_nof_streams     => g_nof_streams,  -- number of input streams
-    g_data_w          => g_data_w  -- data with of input data vectors
-  )
-  port map(
-    in_sosi_arr       => in_sosi_arr,  -- input data
-    out_sosi          => sosi_p_rp  -- output data
-  );
+    generic map(
+      g_nof_streams     => g_nof_streams,  -- number of input streams
+      g_data_w          => g_data_w  -- data with of input data vectors
+    )
+    port map(
+      in_sosi_arr       => in_sosi_arr,  -- input data
+      out_sosi          => sosi_p_rp  -- output data
+    );
 
   -- resizes the input data vector so that the output data vector can be stored into the ddr memory
   u_ddrctrl_input_repack : entity work.ddrctrl_input_repack
-  generic map(
-    g_tech_ddr          => g_tech_ddr,  -- type of memory
-    g_in_data_w         => c_out_data_w,  -- the input data with
-    g_bim               => g_bim,
-    g_of_pb             => g_of_pb,
-    g_block_size        => g_block_size
-  )
-  port map(
-    clk               => clk,
-    rst               => rst,
-    in_sosi           => sosi_p_rp,  -- input data
-    in_stop           => in_stop,
-    out_sosi          => sosi_rp_ac,  -- output data
-    out_data_stopped  => data_stopped_rp_ac
-  );
+    generic map(
+      g_tech_ddr          => g_tech_ddr,  -- type of memory
+      g_in_data_w         => c_out_data_w,  -- the input data with
+      g_bim               => g_bim,
+      g_of_pb             => g_of_pb,
+      g_block_size        => g_block_size
+    )
+    port map(
+      clk               => clk,
+      rst               => rst,
+      in_sosi           => sosi_p_rp,  -- input data
+      in_stop           => in_stop,
+      out_sosi          => sosi_rp_ac,  -- output data
+      out_data_stopped  => data_stopped_rp_ac
+    );
 
   -- creates address by counting input valids
   u_ddrctrl_input_address_counter : entity work.ddrctrl_input_address_counter
-  generic map(
-    g_tech_ddr        => g_tech_ddr,  -- type of memory
-    g_max_adr         => g_max_adr
-  )
-  port map(
-    clk               => clk,
-    rst               => rst_ddrctrl_input_ac,
-    in_sosi           => sosi_rp_ac,  -- input data
-    in_data_stopped   => data_stopped_rp_ac,
-    out_sosi          => out_sosi,  -- output data
-    out_adr           => adr,
-    out_bsn_adr       => out_bsn_adr,
-    out_data_stopped  => out_data_stopped
-  );
+    generic map(
+      g_tech_ddr        => g_tech_ddr,  -- type of memory
+      g_max_adr         => g_max_adr
+    )
+    port map(
+      clk               => clk,
+      rst               => rst_ddrctrl_input_ac,
+      in_sosi           => sosi_rp_ac,  -- input data
+      in_data_stopped   => data_stopped_rp_ac,
+      out_sosi          => out_sosi,  -- output data
+      out_adr           => adr,
+      out_bsn_adr       => out_bsn_adr,
+      out_data_stopped  => out_data_stopped
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_address_counter.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_address_counter.vhd
index bd1d65946f..617e3f5c3c 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_address_counter.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_address_counter.vhd
@@ -30,13 +30,13 @@
 --  The maximum value of the address is determend by g_tech_ddr.
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity ddrctrl_input_address_counter is
@@ -67,14 +67,14 @@ architecture rtl of ddrctrl_input_address_counter is
 
   -- record for readability
   type t_reg is record
-  state                   : t_state;
-  bsn_passed              : std_logic;
-  out_sosi                : t_dp_sosi;
-  out_bsn_adr             : natural;
-  out_data_stopped        : std_logic;
-  s_in_sosi               : t_dp_sosi;
-  s_in_data_stopped       : std_logic;
-  s_adr                   : natural;
+    state                   : t_state;
+    bsn_passed              : std_logic;
+    out_sosi                : t_dp_sosi;
+    out_bsn_adr             : natural;
+    out_data_stopped        : std_logic;
+    s_in_sosi               : t_dp_sosi;
+    s_in_data_stopped       : std_logic;
+    s_adr                   : natural;
   end record;
 
   constant  c_t_reg_init  : t_reg                                 := (RESET, '0', c_dp_sosi_init, 0, '0', c_dp_sosi_init, '0', 0);
@@ -91,7 +91,7 @@ begin
   -- Increments the address each time in_sosi.valid = '1', if address = g_max_adr the address is reset to 0.
   p_adr : process(rst, in_sosi, in_data_stopped, q_reg)
 
-  variable v              : t_reg;
+    variable v              : t_reg;
 
   begin
     v                                                             := q_reg;
@@ -104,35 +104,35 @@ begin
 
 
     case q_reg.state is
-    when RESET =>
-      v := c_t_reg_init;
+      when RESET =>
+        v := c_t_reg_init;
 
-      if q_reg.s_in_sosi.sop = '1' then
-        v.out_bsn_adr := v.s_adr;
-      end if;
+        if q_reg.s_in_sosi.sop = '1' then
+          v.out_bsn_adr := v.s_adr;
+        end if;
 
 
-    when COUNTING =>
-      v.s_adr := q_reg.s_adr + 1;
+      when COUNTING =>
+        v.s_adr := q_reg.s_adr + 1;
 
-      if q_reg.s_in_sosi.sop = '1' then
-        v.out_bsn_adr := v.s_adr;
-      end if;
+        if q_reg.s_in_sosi.sop = '1' then
+          v.out_bsn_adr := v.s_adr;
+        end if;
 
 
-    when MAX =>
-      v.s_adr := 0;
+      when MAX =>
+        v.s_adr := 0;
 
-      if q_reg.s_in_sosi.sop = '1' then
-        v.out_bsn_adr := v.s_adr;
-      end if;
+        if q_reg.s_in_sosi.sop = '1' then
+          v.out_bsn_adr := v.s_adr;
+        end if;
 
 
-    when IDLE =>
-    -- after a reset wait for a sop so the memory will be filled with whole blocks.
-    if in_sosi.sop = '1' then
-      v.bsn_passed := '1';
-    end if;
+      when IDLE =>
+        -- after a reset wait for a sop so the memory will be filled with whole blocks.
+        if in_sosi.sop = '1' then
+          v.bsn_passed := '1';
+        end if;
 
 
     end case;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_pack.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_pack.vhd
index 5990dbd818..d01d9457d8 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_pack.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_pack.vhd
@@ -28,8 +28,8 @@
 --  https://support.astron.nl/confluence/display/SBe/VHDL+design+patterns+for+RTL+coding
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity ddrctrl_input_pack is
   generic (
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_repack.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_repack.vhd
index 046f8889ff..a5b2390d81 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_repack.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_input_repack.vhd
@@ -30,9 +30,9 @@
 --  The output vector must be larger than the input vector.
 
 library IEEE, dp_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity ddrctrl_input_repack is
   generic (
@@ -65,16 +65,16 @@ architecture rtl of ddrctrl_input_repack is
 
   -- record for readability
   type t_reg is record
-  state                     : t_state;  -- the state the process is currently in;
-  c_v                       : std_logic_vector(k_c_v_w - 1 downto 0);  -- the vector that stores the input data until the data is put into the output data vector
-  c_v_count                 : natural;  -- the amount of times the c_v vector received data from the input since the last time it was filled completely
-  q_bsn                     : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0);
-  q_sop                     : std_logic;
-  s_input_cnt               : natural;
-  out_of                    : natural;
-  out_data_count            : std_logic;  -- the amount of times the output data vector has been filled since the last time c_v was filled completely
-  out_sosi                  : t_dp_sosi;  -- this is the sosi stream that contains the data
-  out_data_stopped          : std_logic;  -- this signal is '1' when there is no more data comming form ddrctrl_input_pack
+    state                     : t_state;  -- the state the process is currently in;
+    c_v                       : std_logic_vector(k_c_v_w - 1 downto 0);  -- the vector that stores the input data until the data is put into the output data vector
+    c_v_count                 : natural;  -- the amount of times the c_v vector received data from the input since the last time it was filled completely
+    q_bsn                     : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0);
+    q_sop                     : std_logic;
+    s_input_cnt               : natural;
+    out_of                    : natural;
+    out_data_count            : std_logic;  -- the amount of times the output data vector has been filled since the last time c_v was filled completely
+    out_sosi                  : t_dp_sosi;  -- this is the sosi stream that contains the data
+    out_data_stopped          : std_logic;  -- this signal is '1' when there is no more data comming form ddrctrl_input_pack
   end record;
 
   constant c_t_reg_init     : t_reg         := (RESET, (others => '0'), 0, (others => '0'), '0', 0, 0, '0', c_dp_sosi_init, '0');
@@ -98,74 +98,74 @@ begin
     v := q_reg;
 
     case q_reg.state is
-    when FILL_VECTOR =>  -- if the input data doesn't exceeds the output data vector width
-      v.c_v(g_in_data_w * (q_reg.c_v_count + 1) + q_reg.out_of - 1 downto g_in_data_w * q_reg.c_v_count + q_reg.out_of) := in_sosi.data(g_in_data_w - 1 downto 0);  -- fill c_v
-      v.c_v_count := q_reg.c_v_count + 1;  -- increase the counter of c_v with 1
-      v.out_sosi.valid := '0';  -- out_sosi.valid 0
-      v.s_input_cnt := q_reg.s_input_cnt + 1;
-      v.out_sosi.sop := '0';
-      v.out_sosi.eop := '0';
-      v.out_data_stopped := '0';
-
-
-    when FIRST_OUTPUT =>  -- if the input data exceeds output data vector width but not the c_v width
-      v.c_v(g_in_data_w * (q_reg.c_v_count + 1) + q_reg.out_of - 1 downto g_in_data_w * q_reg.c_v_count + q_reg.out_of) := in_sosi.data(g_in_data_w - 1 downto 0);  -- fill c_v
-      v.c_v_count := q_reg.c_v_count + 1;  -- increase the counter of c_v with 1
-      v.out_sosi.data(c_out_data_w - 1 downto 0) := v.c_v(c_out_data_w - 1 downto 0);  -- fill out_sosi.data with 1st part of c_v
-      v.out_sosi.valid := '1';  -- out_sosi.valid 1
-      v.out_data_count := '1';  -- increase the counter of out_sosi.data with 1
-      v.s_input_cnt := q_reg.s_input_cnt + 1;
-      v.out_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0)  := q_reg.q_bsn(c_dp_stream_bsn_w - 1 downto 0);
-      v.out_sosi.sop                                := q_reg.q_sop;
-      v.out_sosi.eop                                := '0';
-      v.out_data_stopped                            := '0';
-
-
-    when OVERFLOW_OUTPUT =>  -- if the input data exceeds the output data vector width and the c_v width
-      v.out_of := q_reg.out_of + (g_in_data_w * (q_reg.c_v_count + 1)) - (c_out_data_w * 2);  -- check how much overflow there is and safe it in out_of
-      v.c_v(k_c_v_w - 1 downto k_c_v_w - (g_in_data_w - v.out_of)) := in_sosi.data(g_in_data_w - v.out_of - 1 downto 0);  -- fill the rest of c_v untill the end
-      v.c_v(v.out_of - 1 downto 0) := in_sosi.data(g_in_data_w - 1 downto g_in_data_w - v.out_of);  -- fill the start of c_v untill the out_of
-      v.out_sosi.data(c_out_data_w - 1 downto 0) := v.c_v(k_c_v_w - 1 downto c_out_data_w);  -- fill out_sosi.data with 2nd part of c_v
-      v.out_sosi.valid := '1';  -- out_sosi.valid 1
-      v.c_v_count      := 0;  -- reset counter
-      v.out_data_count := '0';  -- reset counter
-      v.s_input_cnt := q_reg.s_input_cnt + 1;
-      v.q_sop := '0';
-      v.out_sosi.sop := '0';
-      v.out_sosi.eop := '0';
-      v.out_data_stopped := '0';
-
-
-    when BSN =>
-
-      v.c_v(k_c_v_w - 1 downto ((g_in_data_w * q_reg.c_v_count) + q_reg.out_of)) := (others => '0');
-      v.out_of            := 0;
-      if ((g_in_data_w * q_reg.c_v_count) + q_reg.out_of < c_out_data_w * 1) then
+      when FILL_VECTOR =>  -- if the input data doesn't exceeds the output data vector width
+        v.c_v(g_in_data_w * (q_reg.c_v_count + 1) + q_reg.out_of - 1 downto g_in_data_w * q_reg.c_v_count + q_reg.out_of) := in_sosi.data(g_in_data_w - 1 downto 0);  -- fill c_v
+        v.c_v_count := q_reg.c_v_count + 1;  -- increase the counter of c_v with 1
+        v.out_sosi.valid := '0';  -- out_sosi.valid 0
+        v.s_input_cnt := q_reg.s_input_cnt + 1;
+        v.out_sosi.sop := '0';
+        v.out_sosi.eop := '0';
+        v.out_data_stopped := '0';
+
+
+      when FIRST_OUTPUT =>  -- if the input data exceeds output data vector width but not the c_v width
+        v.c_v(g_in_data_w * (q_reg.c_v_count + 1) + q_reg.out_of - 1 downto g_in_data_w * q_reg.c_v_count + q_reg.out_of) := in_sosi.data(g_in_data_w - 1 downto 0);  -- fill c_v
+        v.c_v_count := q_reg.c_v_count + 1;  -- increase the counter of c_v with 1
         v.out_sosi.data(c_out_data_w - 1 downto 0) := v.c_v(c_out_data_w - 1 downto 0);  -- fill out_sosi.data with 1st part of c_v
-        v.out_sosi.valid  := '1';  -- out_sosi.valid 1
-      else
+        v.out_sosi.valid := '1';  -- out_sosi.valid 1
+        v.out_data_count := '1';  -- increase the counter of out_sosi.data with 1
+        v.s_input_cnt := q_reg.s_input_cnt + 1;
+        v.out_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0)  := q_reg.q_bsn(c_dp_stream_bsn_w - 1 downto 0);
+        v.out_sosi.sop                                := q_reg.q_sop;
+        v.out_sosi.eop                                := '0';
+        v.out_data_stopped                            := '0';
+
+
+      when OVERFLOW_OUTPUT =>  -- if the input data exceeds the output data vector width and the c_v width
+        v.out_of := q_reg.out_of + (g_in_data_w * (q_reg.c_v_count + 1)) - (c_out_data_w * 2);  -- check how much overflow there is and safe it in out_of
+        v.c_v(k_c_v_w - 1 downto k_c_v_w - (g_in_data_w - v.out_of)) := in_sosi.data(g_in_data_w - v.out_of - 1 downto 0);  -- fill the rest of c_v untill the end
+        v.c_v(v.out_of - 1 downto 0) := in_sosi.data(g_in_data_w - 1 downto g_in_data_w - v.out_of);  -- fill the start of c_v untill the out_of
         v.out_sosi.data(c_out_data_w - 1 downto 0) := v.c_v(k_c_v_w - 1 downto c_out_data_w);  -- fill out_sosi.data with 2nd part of c_v
-        v.out_sosi.valid  := '1';  -- out_sosi.valid 1
-      end if;
-
-      -- BSN_INPUT
-      v.q_bsn             := in_sosi.bsn;  -- a bsn number is saved when the bsn changes
-      v.q_sop             := '1';  -- a signal which indicates that a bsn is written in this word(576) so the address counter can save the corresponinding address. (there are delay in address counter so in_adr is not the same as the address of the word the data from the bsn is written to)
-      v.c_v(g_in_data_w - 1 downto 0) := in_sosi.data(g_in_data_w - 1 downto 0);  -- fill c_v
-      v.c_v_count         := 1;  -- increase the counter of c_v with 1
-      v.out_data_count    := '0';
-      v.out_sosi.eop      := '1';
-
-
-    when RESET =>
-      v := c_t_reg_init;
-      v.q_bsn(c_dp_stream_bsn_w - 1 downto 0) := in_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0);
-
-
-    when STOP =>
-      v.out_sosi.valid    := '0';
-      v.q_sop             := '0';
-      v.out_data_stopped  := '1';
+        v.out_sosi.valid := '1';  -- out_sosi.valid 1
+        v.c_v_count      := 0;  -- reset counter
+        v.out_data_count := '0';  -- reset counter
+        v.s_input_cnt := q_reg.s_input_cnt + 1;
+        v.q_sop := '0';
+        v.out_sosi.sop := '0';
+        v.out_sosi.eop := '0';
+        v.out_data_stopped := '0';
+
+
+      when BSN =>
+
+        v.c_v(k_c_v_w - 1 downto ((g_in_data_w * q_reg.c_v_count) + q_reg.out_of)) := (others => '0');
+        v.out_of            := 0;
+        if ((g_in_data_w * q_reg.c_v_count) + q_reg.out_of < c_out_data_w * 1) then
+          v.out_sosi.data(c_out_data_w - 1 downto 0) := v.c_v(c_out_data_w - 1 downto 0);  -- fill out_sosi.data with 1st part of c_v
+          v.out_sosi.valid  := '1';  -- out_sosi.valid 1
+        else
+          v.out_sosi.data(c_out_data_w - 1 downto 0) := v.c_v(k_c_v_w - 1 downto c_out_data_w);  -- fill out_sosi.data with 2nd part of c_v
+          v.out_sosi.valid  := '1';  -- out_sosi.valid 1
+        end if;
+
+        -- BSN_INPUT
+        v.q_bsn             := in_sosi.bsn;  -- a bsn number is saved when the bsn changes
+        v.q_sop             := '1';  -- a signal which indicates that a bsn is written in this word(576) so the address counter can save the corresponinding address. (there are delay in address counter so in_adr is not the same as the address of the word the data from the bsn is written to)
+        v.c_v(g_in_data_w - 1 downto 0) := in_sosi.data(g_in_data_w - 1 downto 0);  -- fill c_v
+        v.c_v_count         := 1;  -- increase the counter of c_v with 1
+        v.out_data_count    := '0';
+        v.out_sosi.eop      := '1';
+
+
+      when RESET =>
+        v := c_t_reg_init;
+        v.q_bsn(c_dp_stream_bsn_w - 1 downto 0) := in_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0);
+
+
+      when STOP =>
+        v.out_sosi.valid    := '0';
+        v.q_sop             := '0';
+        v.out_data_stopped  := '1';
 
 
     end case;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output.vhd
index 1a1b8efa1d..a384eceb23 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output.vhd
@@ -30,13 +30,13 @@
 --  https://support.astron.nl/confluence/display/SBe/VHDL+design+patterns+for+RTL+coding
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity ddrctrl_output is
@@ -74,8 +74,8 @@ architecture str of ddrctrl_output is
   -- signals for connecting the components
   signal    sosi              : t_dp_sosi := c_dp_sosi_init;
   signal    out_sosi          : t_dp_sosi := c_dp_sosi_init;
---  SIGNAL    out_sosi          : t_dp_sosi := c_dp_sosi_init;
---  SIGNAL    fifo_snk_in_sosi  : t_dp_sosi := c_dp_sosi_init;
+  --  SIGNAL    out_sosi          : t_dp_sosi := c_dp_sosi_init;
+  --  SIGNAL    fifo_snk_in_sosi  : t_dp_sosi := c_dp_sosi_init;
   signal    q_out_siso        : t_dp_siso := c_dp_siso_rst;
   signal    q_q_out_siso      : t_dp_siso := c_dp_siso_rst;
   signal    unpack_state_off  : std_logic := '0';
@@ -87,79 +87,79 @@ begin
 
   -- makes one data vector out of all the data from the t_dp_sosi_arr
   u_ddrctrl_output_unpack : entity work.ddrctrl_output_unpack
-  generic map(
-    g_tech_ddr        => g_tech_ddr,
-    g_in_data_w       => g_in_data_w,
-    g_out_data_w      => c_out_data_w,
-    g_block_size      => g_block_size,
-    g_bim             => g_bim
-  )
-  port map(
-    clk               => clk,
-    rst               => rst,
-    in_sosi           => in_sosi,  -- input data
-    in_bsn            => in_bsn,
-    out_siso          => out_siso,
-    out_sosi          => out_sosi,  -- output data
-    out_ready         => out_ready,
-    state_off         => unpack_state_off
-  );
+    generic map(
+      g_tech_ddr        => g_tech_ddr,
+      g_in_data_w       => g_in_data_w,
+      g_out_data_w      => c_out_data_w,
+      g_block_size      => g_block_size,
+      g_bim             => g_bim
+    )
+    port map(
+      clk               => clk,
+      rst               => rst,
+      in_sosi           => in_sosi,  -- input data
+      in_bsn            => in_bsn,
+      out_siso          => out_siso,
+      out_sosi          => out_sosi,  -- output data
+      out_ready         => out_ready,
+      state_off         => unpack_state_off
+    );
 
   -- resizes the input data vector so that the output data vector can be stored into the ddr memory
   u_ddrctrl_output_repack : entity work.ddrctrl_output_repack
-  generic map(
-  g_nof_streams       => g_nof_streams,
-  g_data_w            => g_data_w
-  )
-  port map(
-    in_sosi           => sosi,
-    out_sosi_arr      => out_sosi_arr
-  );
-
-
---  u_fifo : ENTITY dp_lib.dp_fifo_dc_mixed_widths
---  GENERIC MAP (
---    g_technology        => g_technology,
---    g_wr_data_w         => c_out_data_w,
---    g_rd_data_w         => c_out_data_w,
---    g_use_ctrl          => FALSE,
---    g_wr_fifo_size      => c_fifo_size,
---    g_wr_fifo_af_margin => 0,
---    g_rd_fifo_rl        => 0
---  )
---  PORT MAP (
---    wr_rst         => rst,
---    wr_clk         => clk,
---    rd_rst         => rst,
---    rd_clk         => clk,
---
---    snk_out        => OPEN,
---    snk_in         => fifo_snk_in_sosi,
---
---    wr_ful         => OPEN,
---    wr_usedw       => fifo_usedw,
---    rd_usedw       => OPEN,
---    rd_emp         => OPEN,
---
---    src_in         => siso,
---    src_out        => fifo_src_out_sosi
---  );
+    generic map(
+      g_nof_streams       => g_nof_streams,
+      g_data_w            => g_data_w
+    )
+    port map(
+      in_sosi           => sosi,
+      out_sosi_arr      => out_sosi_arr
+    );
+
+
+  --  u_fifo : ENTITY dp_lib.dp_fifo_dc_mixed_widths
+  --  GENERIC MAP (
+  --    g_technology        => g_technology,
+  --    g_wr_data_w         => c_out_data_w,
+  --    g_rd_data_w         => c_out_data_w,
+  --    g_use_ctrl          => FALSE,
+  --    g_wr_fifo_size      => c_fifo_size,
+  --    g_wr_fifo_af_margin => 0,
+  --    g_rd_fifo_rl        => 0
+  --  )
+  --  PORT MAP (
+  --    wr_rst         => rst,
+  --    wr_clk         => clk,
+  --    rd_rst         => rst,
+  --    rd_clk         => clk,
+  --
+  --    snk_out        => OPEN,
+  --    snk_in         => fifo_snk_in_sosi,
+  --
+  --    wr_ful         => OPEN,
+  --    wr_usedw       => fifo_usedw,
+  --    rd_usedw       => OPEN,
+  --    rd_emp         => OPEN,
+  --
+  --    src_in         => siso,
+  --    src_out        => fifo_src_out_sosi
+  --  );
 
 
   p_out_siso_ready : process(out_siso, clk, out_sosi, q_out_siso)
 
-  variable sosi_valid : std_logic := '0';
+    variable sosi_valid : std_logic := '0';
 
   begin
 
     if out_siso.ready = '0' and not (q_out_siso.ready = out_siso.ready) then
       sosi              <= out_sosi;
       sosi_valid        := '0';
-      -- assert false report "sosi.valid = '0'" severity note;
+    -- assert false report "sosi.valid = '0'" severity note;
     elsif q_out_siso.ready = '1' and not (q_q_out_siso.ready = q_out_siso.ready) and unpack_state_off = '0' then
       sosi              <= out_sosi;
       sosi_valid        := '1';
-      -- assert false report "sosi.valid = '1'" severity note;
+    -- assert false report "sosi.valid = '1'" severity note;
     else
       sosi              <= out_sosi;
       sosi_valid        := out_sosi.valid;
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_repack.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_repack.vhd
index 0996454c91..93f243129c 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_repack.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_repack.vhd
@@ -29,9 +29,9 @@
 --  The output vector must be larger than the input vector.
 
 library IEEE, dp_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity ddrctrl_output_repack is
   generic (
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_unpack.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_unpack.vhd
index 328e80cc75..8b23ce8a41 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_unpack.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_output_unpack.vhd
@@ -32,10 +32,10 @@
 --  The output vector must be larger than the input vector.
 
 library IEEE, dp_lib, tech_ddr_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity ddrctrl_output_unpack is
   generic (
@@ -67,17 +67,17 @@ architecture rtl of ddrctrl_output_unpack is
 
   -- record for readability
   type t_reg is record
-  state           : t_state;
-  a_of            : natural;
-  op_data_cnt     : natural;
-  delay_data      : std_logic_vector(g_in_data_w - 1 downto 0);
-  dd_fresh        : std_logic;
-  valid_data      : std_logic;
-  c_v             : std_logic_vector(c_v_w - 1 downto 0);
-  bsn_cnt         : natural;
-  out_sosi        : t_dp_sosi;
-  out_ready       : std_logic;
-  state_off       : std_logic;
+    state           : t_state;
+    a_of            : natural;
+    op_data_cnt     : natural;
+    delay_data      : std_logic_vector(g_in_data_w - 1 downto 0);
+    dd_fresh        : std_logic;
+    valid_data      : std_logic;
+    c_v             : std_logic_vector(c_v_w - 1 downto 0);
+    bsn_cnt         : natural;
+    out_sosi        : t_dp_sosi;
+    out_ready       : std_logic;
+    state_off       : std_logic;
   end record;
 
   constant c_t_reg_init   : t_reg     := (RESET, 0, 0, (others => '0'), '0', '0', (others => '0'), 0, c_dp_sosi_init, '0', '0');
@@ -101,98 +101,98 @@ begin
     if out_siso.ready = '1' or q_reg.state = OFF or q_reg.state = IDLE or q_reg.state = RESET or rst = '1' then
 
       case q_reg.state is
-      when READING =>
-        -- generating output from the data already present in c_v
-        v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
-        v.out_sosi.valid  := '1';
-        v.bsn_cnt         := q_reg.bsn_cnt + 1;
-        v.op_data_cnt     := q_reg.op_data_cnt + 1;
-
-        if q_reg.out_sosi.eop = '1' then
-          v.out_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0) := INCR_UVEC(q_reg.out_sosi.bsn, 1);
-          v.out_sosi.eop  := '0';
-          v.out_sosi.sop  := '1';
-          v.bsn_cnt       := 0;
-        elsif q_reg.out_sosi.sop = '1' then
-          v.out_sosi.sop  := '0';
-        end if;
-
-
-      when OVER_HALF =>
-        -- generating output data from c_v but past the halfway point of c_v so there needs to be new data added
-        if q_reg.valid_data = '1' then
-          -- generate output from the middle of c_v
+        when READING =>
+          -- generating output from the data already present in c_v
           v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
           v.out_sosi.valid  := '1';
           v.bsn_cnt         := q_reg.bsn_cnt + 1;
-          -- put the second half of c_v into the first half of c_v
-          v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
-          v.valid_data      := '0';
-          v.a_of            := ((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of) - g_in_data_w;
-          v.op_data_cnt     := 0;
-        elsif q_reg.valid_data = '0' then
+          v.op_data_cnt     := q_reg.op_data_cnt + 1;
+
+          if q_reg.out_sosi.eop = '1' then
+            v.out_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0) := INCR_UVEC(q_reg.out_sosi.bsn, 1);
+            v.out_sosi.eop  := '0';
+            v.out_sosi.sop  := '1';
+            v.bsn_cnt       := 0;
+          elsif q_reg.out_sosi.sop = '1' then
+            v.out_sosi.sop  := '0';
+          end if;
+
+
+        when OVER_HALF =>
+          -- generating output data from c_v but past the halfway point of c_v so there needs to be new data added
+          if q_reg.valid_data = '1' then
+            -- generate output from the middle of c_v
+            v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
+            v.out_sosi.valid  := '1';
+            v.bsn_cnt         := q_reg.bsn_cnt + 1;
+            -- put the second half of c_v into the first half of c_v
+            v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
+            v.valid_data      := '0';
+            v.a_of            := ((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of) - g_in_data_w;
+            v.op_data_cnt     := 0;
+          elsif q_reg.valid_data = '0' then
           -- there is no data ready.
-        end if;
-
-        if q_reg.out_sosi.sop = '1' then
-          v.out_sosi.sop  := '0';
-        end if;
-
-
-      when FIRST_READ =>
-        -- put the second half of c_v into the first half of c_v
-        v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
-        v.valid_data      := '0';
-
-        -- fills the first half of c_v and generates output from it.
-        v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
-        v.out_sosi.data(g_out_data_w - 1 downto 0) := v.c_v(g_out_data_w - 1 downto 0);
-        v.out_sosi.valid  := '1';
-        v.out_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0) := in_bsn(c_dp_stream_bsn_w - 1 downto 0);
-        v.out_sosi.sop    := '1';
-        v.out_sosi.eop    := '0';
-        v.bsn_cnt         := 0;
-        v.op_data_cnt     := 1;
-
-
-      when BSN =>
-        -- generating output data from c_v but past the halfway point of c_v so there needs to be new data added also increases the bsn output
-        v.out_sosi.valid := '0';
-        if q_reg.valid_data = '1' then
-          -- generate output from the middle of c_v
-          v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
-          v.out_sosi.valid  := '1';
-          v.bsn_cnt         := q_reg.bsn_cnt + 1;
-          -- put the second half of c_v into the first half of c_v
-          v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
-          v.valid_data      := '0';
-          v.op_data_cnt     := 0;
-        elsif (g_out_data_w * (v.op_data_cnt + 1)) + q_reg.a_of < g_in_data_w then
-          -- generate output from the middle of c_v
-          v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
-          v.out_sosi.valid  := '1';
-          v.bsn_cnt         := q_reg.bsn_cnt + 1;
-        end if;
-
-        v.out_sosi.eop      := '1';
-        v.a_of              := 0;
-        v.bsn_cnt           := q_reg.bsn_cnt + 1;
-
-
-      when RESET =>
-        v := c_t_reg_init;
+          end if;
 
+          if q_reg.out_sosi.sop = '1' then
+            v.out_sosi.sop  := '0';
+          end if;
 
-      when IDLE =>
-        -- the statemachine goes to Idle when its finished or when its waiting on other components.
-        v.out_sosi.valid  := '0';
 
+        when FIRST_READ =>
+          -- put the second half of c_v into the first half of c_v
+          v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
+          v.valid_data      := '0';
 
-      when OFF =>
-        -- the stamachine has a state off so it knows when to go to first read, it can't go to first read from IDLE
-        v.out_sosi  := c_dp_sosi_init;
-        v.bsn_cnt   := 0;
-        v.state_off := '1';
+          -- fills the first half of c_v and generates output from it.
+          v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
+          v.out_sosi.data(g_out_data_w - 1 downto 0) := v.c_v(g_out_data_w - 1 downto 0);
+          v.out_sosi.valid  := '1';
+          v.out_sosi.bsn(c_dp_stream_bsn_w - 1 downto 0) := in_bsn(c_dp_stream_bsn_w - 1 downto 0);
+          v.out_sosi.sop    := '1';
+          v.out_sosi.eop    := '0';
+          v.bsn_cnt         := 0;
+          v.op_data_cnt     := 1;
+
+
+        when BSN =>
+          -- generating output data from c_v but past the halfway point of c_v so there needs to be new data added also increases the bsn output
+          v.out_sosi.valid := '0';
+          if q_reg.valid_data = '1' then
+            -- generate output from the middle of c_v
+            v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
+            v.out_sosi.valid  := '1';
+            v.bsn_cnt         := q_reg.bsn_cnt + 1;
+            -- put the second half of c_v into the first half of c_v
+            v.c_v(g_in_data_w - 1 downto 0) := q_reg.c_v(c_v_w - 1 downto g_in_data_w);
+            v.valid_data      := '0';
+            v.op_data_cnt     := 0;
+          elsif (g_out_data_w * (v.op_data_cnt + 1)) + q_reg.a_of < g_in_data_w then
+            -- generate output from the middle of c_v
+            v.out_sosi.data(g_out_data_w - 1 downto 0) := q_reg.c_v((g_out_data_w * (q_reg.op_data_cnt + 1)) + q_reg.a_of - 1 downto (g_out_data_w * q_reg.op_data_cnt) + q_reg.a_of);
+            v.out_sosi.valid  := '1';
+            v.bsn_cnt         := q_reg.bsn_cnt + 1;
+          end if;
+
+          v.out_sosi.eop      := '1';
+          v.a_of              := 0;
+          v.bsn_cnt           := q_reg.bsn_cnt + 1;
+
+
+        when RESET =>
+          v := c_t_reg_init;
+
+
+        when IDLE =>
+          -- the statemachine goes to Idle when its finished or when its waiting on other components.
+          v.out_sosi.valid  := '0';
+
+
+        when OFF =>
+          -- the stamachine has a state off so it knows when to go to first read, it can't go to first read from IDLE
+          v.out_sosi  := c_dp_sosi_init;
+          v.bsn_cnt   := 0;
+          v.state_off := '1';
 
 
       end case;
diff --git a/applications/lofar2/libraries/ddrctrl/tb/vhdl/tb_ddrctrl.vhd b/applications/lofar2/libraries/ddrctrl/tb/vhdl/tb_ddrctrl.vhd
index 45282ae543..ce22415a6b 100644
--- a/applications/lofar2/libraries/ddrctrl/tb/vhdl/tb_ddrctrl.vhd
+++ b/applications/lofar2/libraries/ddrctrl/tb/vhdl/tb_ddrctrl.vhd
@@ -24,15 +24,15 @@
 -- > run -a
 
 library IEEE, common_lib, technology_lib, tech_ddr_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_ddrctrl is
@@ -100,7 +100,7 @@ architecture tb of tb_ddrctrl is
   constant  c_of_after_nof_adr  : natural                                               := c_of_after_nof_adr_init;
 
   -- function for making total data vector
-  function  c_total_vector_init return std_logic_vector is
+  function c_total_vector_init return std_logic_vector is
     variable temp               : std_logic_vector(g_data_w * g_nof_streams * c_bim * g_block_size-1 downto 0);
     variable conv               : std_logic_vector(32 - 1 downto 0) := (others => '0');  -- removes a warning
   begin
@@ -162,7 +162,7 @@ begin
   -- excecuting test
   p_test : process
 
-  variable  out_siso_ready  : natural := 0;
+    variable  out_siso_ready  : natural := 0;
 
   begin
 
@@ -250,9 +250,9 @@ begin
     if out_sosi_arr(0).valid = '1' then
       for I in 0 to g_nof_streams - 1 loop
         if c_output_stop_adr + output_data_cnt <= c_max_adr then
-          --ASSERT out_sosi_arr(I).data(c_in_data_w-1 DOWNTO 0) = c_total_vector(g_data_w*(I+1)+(c_output_stop_adr+output_data_cnt)*c_ctrl_data_w-1 DOWNTO g_data_w*I+(c_output_stop_adr+output_data_cnt)*c_ctrl_data_w) REPORT "wrong output data at: " & NATURAL'image(c_output_stop_adr+output_data_cnt) SEVERITY ERROR;
+        --ASSERT out_sosi_arr(I).data(c_in_data_w-1 DOWNTO 0) = c_total_vector(g_data_w*(I+1)+(c_output_stop_adr+output_data_cnt)*c_ctrl_data_w-1 DOWNTO g_data_w*I+(c_output_stop_adr+output_data_cnt)*c_ctrl_data_w) REPORT "wrong output data at: " & NATURAL'image(c_output_stop_adr+output_data_cnt) SEVERITY ERROR;
         else
-          --ASSERT out_sosi_arr(I).data(c_in_data_w-1 DOWNTO 0) = c_total_vector(g_data_w*(I+1)+(c_output_stop_adr+output_data_cnt-c_max_adr)*c_ctrl_data_w-1 DOWNTO g_data_w*I+(c_output_stop_adr+output_data_cnt-c_max_adr)*c_ctrl_data_w) REPORT "wrong output data at: " & NATURAL'image(c_output_stop_adr+output_data_cnt) SEVERITY ERROR;
+        --ASSERT out_sosi_arr(I).data(c_in_data_w-1 DOWNTO 0) = c_total_vector(g_data_w*(I+1)+(c_output_stop_adr+output_data_cnt-c_max_adr)*c_ctrl_data_w-1 DOWNTO g_data_w*I+(c_output_stop_adr+output_data_cnt-c_max_adr)*c_ctrl_data_w) REPORT "wrong output data at: " & NATURAL'image(c_output_stop_adr+output_data_cnt) SEVERITY ERROR;
         end if;
       end loop;
       output_data_cnt <= output_data_cnt + 1;
@@ -264,32 +264,32 @@ begin
 
   -- DUT
   u_ddrctrl : entity work.ddrctrl
-  generic map (
-    g_tech_ddr        => c_tech_ddr,
-    g_sim_model       => c_sim_model,
-    g_technology      => g_technology,
-    g_nof_streams     => g_nof_streams,
-    g_data_w          => g_data_w,
-    g_stop_percentage => g_stop_percentage,
-    g_block_size      => g_block_size
-  )
-  port map (
-    clk               => clk,
-    rst               => rst,
-    ctlr_ref_clk      => clk,
-    ctlr_ref_rst      => rst,
-    mm_clk            => mm_clk,
-    mm_rst            => mm_rst,
-    in_sosi_arr       => in_sosi_arr,
-    stop_in           => stop_in,
-    out_sosi_arr      => out_sosi_arr,
-    out_siso          => out_siso,
-
-    --PHY
-    phy3_io           => phy3_io,
-    phy3_ou           => phy3_ou,
-    phy4_io           => phy4_io,
-    phy4_ou           => phy4_ou
-  );
+    generic map (
+      g_tech_ddr        => c_tech_ddr,
+      g_sim_model       => c_sim_model,
+      g_technology      => g_technology,
+      g_nof_streams     => g_nof_streams,
+      g_data_w          => g_data_w,
+      g_stop_percentage => g_stop_percentage,
+      g_block_size      => g_block_size
+    )
+    port map (
+      clk               => clk,
+      rst               => rst,
+      ctlr_ref_clk      => clk,
+      ctlr_ref_rst      => rst,
+      mm_clk            => mm_clk,
+      mm_rst            => mm_rst,
+      in_sosi_arr       => in_sosi_arr,
+      stop_in           => stop_in,
+      out_sosi_arr      => out_sosi_arr,
+      out_siso          => out_siso,
+
+      --PHY
+      phy3_io           => phy3_io,
+      phy3_ou           => phy3_ou,
+      phy4_io           => phy4_io,
+      phy4_ou           => phy4_ou
+    );
 
 end tb;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_adc_input_and_timing.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_adc_input_and_timing.vhd
index ece0816c57..6ca3e2b941 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_adc_input_and_timing.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_adc_input_and_timing.vhd
@@ -27,13 +27,13 @@
 --   See https://support.astron.nl/confluence/display/STAT/L5+SDPFW+DD%3A+ADC+data+input+and+timestamp
 
 library IEEE, common_lib, diag_lib, aduh_lib, dp_lib, tech_jesd204b_lib, st_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity node_sdp_adc_input_and_timing is
   generic (
@@ -191,35 +191,35 @@ begin
     -----------------------------------------------------------------------------
 
     u_jesd204b: entity tech_jesd204b_lib.tech_jesd204b
-    generic map(
-      g_sim                => false,  -- do not use g_sim, because JESD204B IP does support mm_clk in sim
-      g_nof_streams        => c_sdp_S_pn,
-      g_nof_sync_n         => c_sdp_N_sync_jesd,
-      g_jesd_freq          => c_sdp_jesd204b_freq
-    )
-    port map(
-      jesd204b_refclk      => JESD204B_REFCLK,
-      jesd204b_sysref      => JESD204B_SYSREF,
-      jesd204b_sync_n_arr  => jesd204b_sync_n,
-
-      rx_sosi_arr          => rx_sosi_arr,
-      rx_clk               => rx_clk,
-      rx_rst               => rx_rst,
-      rx_sysref            => rx_sysref,
-
-      jesd204b_disable_arr  => jesd204b_disable_arr,
-
-      -- MM
-      mm_clk               => mm_clk,
-      mm_rst               => mm_rst_jesd,
-
-      jesd204b_mosi        => jesd204b_mosi,
-      jesd204b_miso        => jesd204b_miso,
-
-       -- Serial
-      serial_tx_arr        => open,
-      serial_rx_arr        => JESD204B_SERIAL_DATA(c_sdp_S_pn - 1 downto 0)
-    );
+      generic map(
+        g_sim                => false,  -- do not use g_sim, because JESD204B IP does support mm_clk in sim
+        g_nof_streams        => c_sdp_S_pn,
+        g_nof_sync_n         => c_sdp_N_sync_jesd,
+        g_jesd_freq          => c_sdp_jesd204b_freq
+      )
+      port map(
+        jesd204b_refclk      => JESD204B_REFCLK,
+        jesd204b_sysref      => JESD204B_SYSREF,
+        jesd204b_sync_n_arr  => jesd204b_sync_n,
+
+        rx_sosi_arr          => rx_sosi_arr,
+        rx_clk               => rx_clk,
+        rx_rst               => rx_rst,
+        rx_sysref            => rx_sysref,
+
+        jesd204b_disable_arr  => jesd204b_disable_arr,
+
+        -- MM
+        mm_clk               => mm_clk,
+        mm_rst               => mm_rst_jesd,
+
+        jesd204b_mosi        => jesd204b_mosi,
+        jesd204b_miso        => jesd204b_miso,
+
+        -- Serial
+        serial_tx_arr        => open,
+        serial_rx_arr        => JESD204B_SERIAL_DATA(c_sdp_S_pn - 1 downto 0)
+      );
 
     -----------------------------------------------------------------------------
     -- Time delay: dp_shiftram
@@ -240,80 +240,80 @@ begin
     end process;
 
     u_dp_shiftram : entity dp_lib.dp_shiftram
-    generic map (
-      g_nof_streams => c_sdp_S_pn,
-      g_nof_words   => c_sdp_V_sample_delay,
-      g_data_w      => c_sdp_W_adc,
-      g_use_sync_in => true
-    )
-    port map (
-      dp_rst   => rx_rst,
-      dp_clk   => rx_clk,
+      generic map (
+        g_nof_streams => c_sdp_S_pn,
+        g_nof_words   => c_sdp_V_sample_delay,
+        g_data_w      => c_sdp_W_adc,
+        g_use_sync_in => true
+      )
+      port map (
+        dp_rst   => rx_rst,
+        dp_clk   => rx_clk,
 
-      mm_rst   => mm_rst,
-      mm_clk   => mm_clk,
+        mm_rst   => mm_rst,
+        mm_clk   => mm_clk,
 
-      sync_in  => bs_sosi.sync,
+        sync_in  => bs_sosi.sync,
 
-      reg_mosi => reg_dp_shiftram_mosi,
-      reg_miso => reg_dp_shiftram_miso,
+        reg_mosi => reg_dp_shiftram_mosi,
+        reg_miso => reg_dp_shiftram_miso,
 
-      snk_in_arr => dp_shiftram_snk_in_arr,
+        snk_in_arr => dp_shiftram_snk_in_arr,
 
-      src_out_arr => ant_sosi_arr
-    );
+        src_out_arr => ant_sosi_arr
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- Timestamp
   -----------------------------------------------------------------------------
   u_bsn_source_v2 : entity dp_lib.mms_dp_bsn_source_v2
-  generic map (
-    g_cross_clock_domain     => true,
-    g_block_size             => c_bs_block_size,
-    g_nof_clk_per_sync       => g_bsn_nof_clk_per_sync,
-    g_bsn_w                  => c_bs_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-    dp_pps            => rx_sysref,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => reg_bsn_source_v2_mosi,
-    reg_miso          => reg_bsn_source_v2_miso,
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi,
-
-    bs_restart        => rx_bsn_source_restart,
-    bs_new_interval   => rx_bsn_source_new_interval,
-    bs_nof_clk_per_sync => rx_bsn_source_nof_clk_per_sync
-  );
+    generic map (
+      g_cross_clock_domain     => true,
+      g_block_size             => c_bs_block_size,
+      g_nof_clk_per_sync       => g_bsn_nof_clk_per_sync,
+      g_bsn_w                  => c_bs_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+      dp_pps            => rx_sysref,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => reg_bsn_source_v2_mosi,
+      reg_miso          => reg_bsn_source_v2_miso,
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi,
+
+      bs_restart        => rx_bsn_source_restart,
+      bs_new_interval   => rx_bsn_source_new_interval,
+      bs_nof_clk_per_sync => rx_bsn_source_nof_clk_per_sync
+    );
 
   u_bsn_trigger_wg : entity dp_lib.mms_dp_bsn_scheduler
-  generic map (
-    g_cross_clock_domain => true,
-    g_bsn_w              => c_bs_bsn_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_bsn_scheduler_wg_mosi,
-    reg_miso    => reg_bsn_scheduler_wg_miso,
-
-    -- Streaming clock domain
-    dp_rst      => rx_rst,
-    dp_clk      => rx_clk,
-
-    snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
-    trigger_out => trigger_wg
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_bsn_w              => c_bs_bsn_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_bsn_scheduler_wg_mosi,
+      reg_miso    => reg_bsn_scheduler_wg_miso,
+
+      -- Streaming clock domain
+      dp_rst      => rx_rst,
+      dp_clk      => rx_clk,
+
+      snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
+      trigger_out => trigger_wg
+    );
 
 
   -----------------------------------------------------------------------------
@@ -321,39 +321,39 @@ begin
   -----------------------------------------------------------------------------
 
   u_wg_arr : entity diag_lib.mms_diag_wg_wideband_arr
-  generic map (
-    g_nof_streams        => c_sdp_S_pn,
-    g_cross_clock_domain => true,
-    g_buf_dir            => c_wg_buf_directory,
-
-    -- Wideband parameters
-    g_wideband_factor    => 1,
-
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w          => c_wg_buf_dat_w,
-    g_buf_addr_w         => c_wg_buf_addr_w,
-    g_calc_support       => true,
-    g_calc_gain_w        => 1,
-    g_calc_dat_w         => c_sdp_W_adc
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-
-    reg_mosi            => reg_wg_mosi,
-    reg_miso            => reg_wg_miso,
-
-    buf_mosi            => ram_wg_mosi,
-    buf_miso            => ram_wg_miso,
-
-    -- Streaming clock domain
-    st_rst              => rx_rst,
-    st_clk              => rx_clk,
-    st_restart          => trigger_wg,
-
-    out_sosi_arr        => wg_sosi_arr
-  );
+    generic map (
+      g_nof_streams        => c_sdp_S_pn,
+      g_cross_clock_domain => true,
+      g_buf_dir            => c_wg_buf_directory,
+
+      -- Wideband parameters
+      g_wideband_factor    => 1,
+
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w          => c_wg_buf_dat_w,
+      g_buf_addr_w         => c_wg_buf_addr_w,
+      g_calc_support       => true,
+      g_calc_gain_w        => 1,
+      g_calc_dat_w         => c_sdp_W_adc
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+
+      reg_mosi            => reg_wg_mosi,
+      reg_miso            => reg_wg_miso,
+
+      buf_mosi            => ram_wg_mosi,
+      buf_miso            => ram_wg_miso,
+
+      -- Streaming clock domain
+      st_rst              => rx_rst,
+      st_clk              => rx_clk,
+      st_restart          => trigger_wg,
+
+      out_sosi_arr        => wg_sosi_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -399,53 +399,53 @@ begin
   -- BSN monitor (Block Checker)
   ---------------------------------------------------------------------------------------
   u_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => 1,  -- They're all the same
-    g_sync_timeout       => c_bs_sync_timeout,
-    g_bsn_w              => c_bs_bsn_w,
-    g_log_first_bsn      => false
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_input_mosi,
-    reg_miso    => reg_bsn_monitor_input_miso,
-
-    -- Streaming clock domain
-    dp_rst      => rx_rst,
-    dp_clk      => rx_clk,
-    in_sosi_arr => st_sosi_arr(0 downto 0)
-  );
+    generic map (
+      g_nof_streams        => 1,  -- They're all the same
+      g_sync_timeout       => c_bs_sync_timeout,
+      g_bsn_w              => c_bs_bsn_w,
+      g_log_first_bsn      => false
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_input_mosi,
+      reg_miso    => reg_bsn_monitor_input_miso,
+
+      -- Streaming clock domain
+      dp_rst      => rx_rst,
+      dp_clk      => rx_clk,
+      in_sosi_arr => st_sosi_arr(0 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- Monitor ADU/WG output
   -----------------------------------------------------------------------------
   u_aduh_monitor : entity aduh_lib.mms_aduh_monitor_arr
-  generic map (
-    g_cross_clock_domain   => true,
-    g_nof_streams          => c_sdp_S_pn,
-    g_symbol_w             => c_sdp_W_adc,
-    g_nof_symbols_per_data => 1,  -- Wideband factor is 1
-    g_nof_accumulations    => g_bsn_nof_clk_per_sync
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-
-    reg_mosi       => reg_aduh_monitor_mosi,  -- read only access to the signal path data mean sum and power sum registers
-    reg_miso       => reg_aduh_monitor_miso,
-    buf_mosi       => c_mem_mosi_rst,  -- Unused
-    buf_miso       => OPEN,
-
-    -- Streaming clock domain
-    st_rst         => rx_rst,
-    st_clk         => rx_clk,
-
-    in_sosi_arr    => st_sosi_arr
-  );
+    generic map (
+      g_cross_clock_domain   => true,
+      g_nof_streams          => c_sdp_S_pn,
+      g_symbol_w             => c_sdp_W_adc,
+      g_nof_symbols_per_data => 1,  -- Wideband factor is 1
+      g_nof_accumulations    => g_bsn_nof_clk_per_sync
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+
+      reg_mosi       => reg_aduh_monitor_mosi,  -- read only access to the signal path data mean sum and power sum registers
+      reg_miso       => reg_aduh_monitor_miso,
+      buf_mosi       => c_mem_mosi_rst,  -- Unused
+      buf_miso       => OPEN,
+
+      -- Streaming clock domain
+      st_rst         => rx_rst,
+      st_clk         => rx_clk,
+
+      in_sosi_arr    => st_sosi_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -453,49 +453,49 @@ begin
   -----------------------------------------------------------------------------
 
   u_diag_data_buffer_bsn : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_sdp_S_pn,
-    g_data_w       => c_sdp_W_adc,
-    g_buf_nof_data => g_buf_nof_data,
-    g_buf_use_sync => true  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_bsn_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_bsn_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_bsn_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_bsn_miso,
-
-    in_sosi_arr       => st_sosi_arr,
-    in_sync           => st_sosi_arr(0).sync
-  );
+    generic map (
+      g_nof_streams  => c_sdp_S_pn,
+      g_data_w       => c_sdp_W_adc,
+      g_buf_nof_data => g_buf_nof_data,
+      g_buf_use_sync => true  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_bsn_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_bsn_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_bsn_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_bsn_miso,
+
+      in_sosi_arr       => st_sosi_arr,
+      in_sync           => st_sosi_arr(0).sync
+    );
 
   -----------------------------------------------------------------------------
   -- ST Histogram
   -----------------------------------------------------------------------------
   u_st_histogram : entity st_lib.mmp_st_histogram
-  generic map (
-    g_nof_instances          => c_sdp_S_pn,
-    g_data_w                 => c_sdp_W_adc,
-    g_nof_bins               => c_sdp_V_si_histogram,
-    g_nof_data_per_sync      => g_bsn_nof_clk_per_sync,
-    g_nof_data_per_sync_diff => c_sdp_N_fft / 2
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-
-    ram_copi          => ram_st_histogram_mosi,
-    ram_cipo          => ram_st_histogram_miso,
-
-    snk_in_arr        => st_sosi_arr
-  );
+    generic map (
+      g_nof_instances          => c_sdp_S_pn,
+      g_data_w                 => c_sdp_W_adc,
+      g_nof_bins               => c_sdp_V_si_histogram,
+      g_nof_data_per_sync      => g_bsn_nof_clk_per_sync,
+      g_nof_data_per_sync_diff => c_sdp_N_fft / 2
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+
+      ram_copi          => ram_st_histogram_mosi,
+      ram_cipo          => ram_st_histogram_miso,
+
+      snk_in_arr        => st_sosi_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -541,37 +541,37 @@ begin
   -- in mms_dp_bsn_monitor, and from rx_clk to dp_clk here. No need to go via
   -- u_dp_fifo_dc_arr, use common_reg_cross_domain instead to save logic and/or RAM.
   u_dp_nof_block_per_sync : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst      => rx_rst,
-    in_clk      => rx_clk,
-    in_dat      => rx_bsn_source_nof_clk_per_sync,
-    out_rst     => dp_rst,
-    out_clk     => dp_clk,
-    out_dat     => dp_bsn_source_nof_clk_per_sync
-  );
+    port map (
+      in_rst      => rx_rst,
+      in_clk      => rx_clk,
+      in_dat      => rx_bsn_source_nof_clk_per_sync,
+      out_rst     => dp_rst,
+      out_clk     => dp_clk,
+      out_dat     => dp_bsn_source_nof_clk_per_sync
+    );
 
   -----------------------------------------------------------------------------
   -- JESD Control register
   -----------------------------------------------------------------------------
   u_mm_jesd_ctrl_reg : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_sdp_mm_jesd_ctrl_reg,
-    g_init_reg  => (others => '0')
-  )
-  port map (
-    rst       => mm_rst,
-    clk       => mm_clk,
-    -- control side
-    wr_en     => jesd_ctrl_mosi.wr,
-    wr_adr    => jesd_ctrl_mosi.address(c_sdp_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
-    wr_dat    => jesd_ctrl_mosi.wrdata(c_sdp_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
-    rd_en     => jesd_ctrl_mosi.rd,
-    rd_adr    => jesd_ctrl_mosi.address(c_sdp_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
-    rd_dat    => jesd_ctrl_miso.rddata(c_sdp_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
-    rd_val    => OPEN,
-    -- data side
-    out_reg   => mm_jesd_ctrl_reg_wr,
-    in_reg    => mm_jesd_ctrl_reg_rd
-  );
+    generic map (
+      g_reg       => c_sdp_mm_jesd_ctrl_reg,
+      g_init_reg  => (others => '0')
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+      -- control side
+      wr_en     => jesd_ctrl_mosi.wr,
+      wr_adr    => jesd_ctrl_mosi.address(c_sdp_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
+      wr_dat    => jesd_ctrl_mosi.wrdata(c_sdp_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
+      rd_en     => jesd_ctrl_mosi.rd,
+      rd_adr    => jesd_ctrl_mosi.address(c_sdp_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
+      rd_dat    => jesd_ctrl_miso.rddata(c_sdp_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
+      rd_val    => OPEN,
+      -- data side
+      out_reg   => mm_jesd_ctrl_reg_wr,
+      in_reg    => mm_jesd_ctrl_reg_rd
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_beamformer.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_beamformer.vhd
index ccca16990b..0b9c4e6712 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_beamformer.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_beamformer.vhd
@@ -30,13 +30,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, reorder_lib, st_lib, mm_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ring_lib.ring_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ring_lib.ring_pkg.all;
+  use work.sdp_pkg.all;
 
 entity node_sdp_beamformer is
   generic (
@@ -150,74 +150,74 @@ begin
   -- Beamlet Subband Select
   ---------------------------------------------------------------
   u_reorder_col_wide : entity reorder_lib.reorder_col_wide
-  generic map (
-    g_wb_factor          => c_sdp_P_pfb,  -- g_wb_factor is only used for number of parallel streams
-    g_dsp_data_w         => g_subband_raw_dat_w,
-    g_nof_ch_in          => c_sdp_N_sub * c_sdp_Q_fft,
-    g_nof_ch_sel         => c_sdp_S_sub_bf * c_sdp_Q_fft,
-    g_select_file_prefix => c_bf_select_file_prefix,
-    g_use_complex        => true
-  )
-  port map(
-    input_sosi_arr  => in_sosi_arr,
-    output_sosi_arr => bsel_sosi_arr,
-
-    ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso,
-
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-    dp_clk       => dp_clk,
-    dp_rst       => dp_rst
-  );
+    generic map (
+      g_wb_factor          => c_sdp_P_pfb,  -- g_wb_factor is only used for number of parallel streams
+      g_dsp_data_w         => g_subband_raw_dat_w,
+      g_nof_ch_in          => c_sdp_N_sub * c_sdp_Q_fft,
+      g_nof_ch_sel         => c_sdp_S_sub_bf * c_sdp_Q_fft,
+      g_select_file_prefix => c_bf_select_file_prefix,
+      g_use_complex        => true
+    )
+    port map(
+      input_sosi_arr  => in_sosi_arr,
+      output_sosi_arr => bsel_sosi_arr,
+
+      ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso,
+
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+      dp_clk       => dp_clk,
+      dp_rst       => dp_rst
+    );
 
   ---------------------------------------------------------------
   -- Local BF
   ---------------------------------------------------------------
   u_sdp_beamformer_local : entity work.sdp_beamformer_local
-  generic map (
-    g_bf_weights_file_name => c_bf_weights_file_name,
-    g_raw_dat_w            => g_subband_raw_dat_w,
-    g_raw_fraction_w       => g_subband_raw_fraction_w
-  )
-  port map (
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    ram_bf_weights_mosi => ram_bf_weights_mosi,
-    ram_bf_weights_miso => ram_bf_weights_miso,
-
-    in_sosi_arr        => bsel_sosi_arr,
-    out_sosi           => local_bf_sosi
-  );
+    generic map (
+      g_bf_weights_file_name => c_bf_weights_file_name,
+      g_raw_dat_w            => g_subband_raw_dat_w,
+      g_raw_fraction_w       => g_subband_raw_fraction_w
+    )
+    port map (
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      ram_bf_weights_mosi => ram_bf_weights_mosi,
+      ram_bf_weights_miso => ram_bf_weights_miso,
+
+      in_sosi_arr        => bsel_sosi_arr,
+      out_sosi           => local_bf_sosi
+    );
 
   ---------------------------------------------------------------
   -- Remote BF
   ---------------------------------------------------------------
   u_sdp_beamformer_remote : entity work.sdp_beamformer_remote
-  port map (
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    rn_index           => rn_index,
-    local_bf_sosi      => local_bf_sosi,
-    from_ri_sosi       => from_ri_sosi,
-    to_ri_sosi         => to_ri_sosi,
-    bf_sum_sosi        => bf_sum_sosi,
-
-    reg_bsn_align_copi => reg_bsn_align_copi,
-    reg_bsn_align_cipo => reg_bsn_align_cipo,
-
-    reg_bsn_monitor_v2_bsn_align_input_copi  => reg_bsn_monitor_v2_bsn_align_input_copi,
-    reg_bsn_monitor_v2_bsn_align_input_cipo  => reg_bsn_monitor_v2_bsn_align_input_cipo,
-
-    reg_bsn_monitor_v2_bsn_align_output_copi => reg_bsn_monitor_v2_bsn_align_output_copi,
-    reg_bsn_monitor_v2_bsn_align_output_cipo => reg_bsn_monitor_v2_bsn_align_output_cipo
-  );
+    port map (
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      rn_index           => rn_index,
+      local_bf_sosi      => local_bf_sosi,
+      from_ri_sosi       => from_ri_sosi,
+      to_ri_sosi         => to_ri_sosi,
+      bf_sum_sosi        => bf_sum_sosi,
+
+      reg_bsn_align_copi => reg_bsn_align_copi,
+      reg_bsn_align_cipo => reg_bsn_align_cipo,
+
+      reg_bsn_monitor_v2_bsn_align_input_copi  => reg_bsn_monitor_v2_bsn_align_input_copi,
+      reg_bsn_monitor_v2_bsn_align_input_cipo  => reg_bsn_monitor_v2_bsn_align_input_cipo,
+
+      reg_bsn_monitor_v2_bsn_align_output_copi => reg_bsn_monitor_v2_bsn_align_output_copi,
+      reg_bsn_monitor_v2_bsn_align_output_cipo => reg_bsn_monitor_v2_bsn_align_output_cipo
+    );
 
   ---------------------------------------------------------------
   -- Scale Beamlets
@@ -256,82 +256,82 @@ begin
   -- Beamlet Data Output (BDO)
   ---------------------------------------------------------------
   u_sdp_beamformer_output : entity work.sdp_beamformer_output
-  generic map(
-    g_beamset_id  => g_beamset_id
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    in_sosi            => bf_out_sosi,
-    out_sosi           => mon_bf_udp_sosi,
-    out_siso           => bf_udp_siso,
-
-    beamlet_scale      => beamlet_scale,
-    sdp_info           => sdp_info,
-    gn_id              => gn_id,
-
-    eth_src_mac        => bdo_eth_src_mac,
-    ip_src_addr        => bdo_ip_src_addr,
-    udp_src_port       => bdo_udp_src_port,
-
-    hdr_fields_out     => bdo_hdr_fields_out,
-
-    reg_hdr_dat_mosi   => reg_hdr_dat_mosi,
-    reg_hdr_dat_miso   => reg_hdr_dat_miso,
-    reg_dp_xonoff_mosi => reg_dp_xonoff_mosi,
-    reg_dp_xonoff_miso => reg_dp_xonoff_miso
-  );
+    generic map(
+      g_beamset_id  => g_beamset_id
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      in_sosi            => bf_out_sosi,
+      out_sosi           => mon_bf_udp_sosi,
+      out_siso           => bf_udp_siso,
+
+      beamlet_scale      => beamlet_scale,
+      sdp_info           => sdp_info,
+      gn_id              => gn_id,
+
+      eth_src_mac        => bdo_eth_src_mac,
+      ip_src_addr        => bdo_ip_src_addr,
+      udp_src_port       => bdo_udp_src_port,
+
+      hdr_fields_out     => bdo_hdr_fields_out,
+
+      reg_hdr_dat_mosi   => reg_hdr_dat_mosi,
+      reg_hdr_dat_miso   => reg_hdr_dat_miso,
+      reg_dp_xonoff_mosi => reg_dp_xonoff_mosi,
+      reg_dp_xonoff_miso => reg_dp_xonoff_miso
+    );
   bf_udp_sosi <= mon_bf_udp_sosi;
 
   u_bsn_mon_udp : entity dp_lib.mms_dp_bsn_monitor_v2
-  generic map (
-    g_nof_streams        => 1,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => c_sdp_N_clk_sync_timeout,
-    g_bsn_w              => c_dp_stream_bsn_w,
-    g_error_bi           => 0,
-    g_cnt_sop_w          => c_word_w,
-    g_cnt_valid_w        => c_word_w,
-    g_cnt_latency_w      => c_word_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    reg_mosi       => reg_bsn_monitor_v2_beamlet_output_copi,
-    reg_miso       => reg_bsn_monitor_v2_beamlet_output_cipo,
-
-    -- Streaming clock domain
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-    ref_sync       => ref_sync,
-
-    in_sosi_arr(0) => mon_bf_udp_sosi
-  );
+    generic map (
+      g_nof_streams        => 1,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => c_sdp_N_clk_sync_timeout,
+      g_bsn_w              => c_dp_stream_bsn_w,
+      g_error_bi           => 0,
+      g_cnt_sop_w          => c_word_w,
+      g_cnt_valid_w        => c_word_w,
+      g_cnt_latency_w      => c_word_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      reg_mosi       => reg_bsn_monitor_v2_beamlet_output_copi,
+      reg_miso       => reg_bsn_monitor_v2_beamlet_output_cipo,
+
+      -- Streaming clock domain
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+      ref_sync       => ref_sync,
+
+      in_sosi_arr(0) => mon_bf_udp_sosi
+    );
 
   ---------------------------------------------------------------
   -- Beamlet Statistics (BST)
   ---------------------------------------------------------------
   u_beamlet_stats : entity st_lib.st_sst
-  generic map(
-    g_nof_stat      => c_sdp_S_sub_bf * c_sdp_N_pol_bf,
-    g_in_data_w     => c_sdp_W_beamlet_sum,
-    g_stat_data_w   => c_longword_w,
-    g_stat_data_sz  => c_longword_sz / c_word_sz,
-    g_stat_multiplex => c_sdp_N_pol_bf
-  )
-  port map (
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
-    dp_rst          => dp_rst,
-    dp_clk          => dp_clk,
-    in_complex      => bf_sum_sosi,
-    ram_st_sst_mosi => master_mem_mux_mosi,
-    ram_st_sst_miso => master_mem_mux_miso
-  );
+    generic map(
+      g_nof_stat      => c_sdp_S_sub_bf * c_sdp_N_pol_bf,
+      g_in_data_w     => c_sdp_W_beamlet_sum,
+      g_stat_data_w   => c_longword_w,
+      g_stat_data_sz  => c_longword_sz / c_word_sz,
+      g_stat_multiplex => c_sdp_N_pol_bf
+    )
+    port map (
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
+      dp_rst          => dp_rst,
+      dp_clk          => dp_clk,
+      in_complex      => bf_sum_sosi,
+      ram_st_sst_mosi => master_mem_mux_mosi,
+      ram_st_sst_miso => master_mem_mux_miso
+    );
 
   ---------------------------------------------------------------
   -- MM master multiplexer
@@ -343,62 +343,62 @@ begin
   ram_st_offload_miso <= master_miso_arr(1);
 
   u_mem_master_mux : entity mm_lib.mm_master_mux
-  generic map (
-    g_nof_masters    => c_nof_masters,
-    g_rd_latency_min => 1  -- read latency of statistics RAM is 1
-  )
-  port map (
-    mm_clk => mm_clk,
-
-    master_mosi_arr => master_mosi_arr,
-    master_miso_arr => master_miso_arr,
-    mux_mosi        => master_mem_mux_mosi,
-    mux_miso        => master_mem_mux_miso
-  );
+    generic map (
+      g_nof_masters    => c_nof_masters,
+      g_rd_latency_min => 1  -- read latency of statistics RAM is 1
+    )
+    port map (
+      mm_clk => mm_clk,
+
+      master_mosi_arr => master_mosi_arr,
+      master_miso_arr => master_miso_arr,
+      mux_mosi        => master_mem_mux_mosi,
+      mux_miso        => master_mem_mux_miso
+    );
 
   ---------------------------------------------------------------
   -- BST UDP offload
   ---------------------------------------------------------------
   u_sdp_bst_udp_offload: entity work.sdp_statistics_offload
-  generic map (
-    g_statistics_type => "BST",
-    g_offload_time    => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time),
-    g_beamset_id      => g_beamset_id
-  )
-  port map (
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+    generic map (
+      g_statistics_type => "BST",
+      g_offload_time    => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time),
+      g_beamset_id      => g_beamset_id
+    )
+    port map (
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    master_mosi => ram_st_offload_mosi,
-    master_miso => ram_st_offload_miso,
+      master_mosi => ram_st_offload_mosi,
+      master_miso => ram_st_offload_miso,
 
-    reg_enable_mosi  => reg_stat_enable_mosi,
-    reg_enable_miso  => reg_stat_enable_miso,
+      reg_enable_mosi  => reg_stat_enable_mosi,
+      reg_enable_miso  => reg_stat_enable_miso,
 
-    reg_hdr_dat_mosi => reg_stat_hdr_dat_mosi,
-    reg_hdr_dat_miso => reg_stat_hdr_dat_miso,
+      reg_hdr_dat_mosi => reg_stat_hdr_dat_mosi,
+      reg_hdr_dat_miso => reg_stat_hdr_dat_miso,
 
-    reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_bst_offload_copi,
-    reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_bst_offload_cipo,
+      reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_bst_offload_copi,
+      reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_bst_offload_cipo,
 
-    in_sosi      => bf_sum_sosi,
-    new_interval => dp_bsn_source_new_interval,
+      in_sosi      => bf_sum_sosi,
+      new_interval => dp_bsn_source_new_interval,
 
-    out_sosi  => bst_udp_sosi,
-    out_siso  => bst_udp_siso,
+      out_sosi  => bst_udp_sosi,
+      out_siso  => bst_udp_siso,
 
-    eth_src_mac  => stat_eth_src_mac,
-    udp_src_port => stat_udp_src_port,
-    ip_src_addr  => stat_ip_src_addr,
+      eth_src_mac  => stat_eth_src_mac,
+      udp_src_port => stat_udp_src_port,
+      ip_src_addr  => stat_ip_src_addr,
 
-    gn_index     => TO_UINT(gn_id),
-    ring_info    => ring_info,
-    sdp_info     => sdp_info,
-    weighted_subbands_flag => '1'  -- because BF uses in_sosi_arr = fsub_sosi_arr, so weighted subbands
-  );
+      gn_index     => TO_UINT(gn_id),
+      ring_info    => ring_info,
+      sdp_info     => sdp_info,
+      weighted_subbands_flag => '1'  -- because BF uses in_sosi_arr = fsub_sosi_arr, so weighted subbands
+    );
 
   ---------------------------------------------------------------
   -- SIGNAL SCOPES
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_correlator.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_correlator.vhd
index 0a1c4695c8..d7b35706b6 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_correlator.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_correlator.vhd
@@ -32,13 +32,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, reorder_lib, st_lib, mm_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ring_lib.ring_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ring_lib.ring_pkg.all;
+  use work.sdp_pkg.all;
 
 entity node_sdp_correlator is
   generic (
@@ -99,16 +99,16 @@ architecture str of node_sdp_correlator is
   constant c_block_size_longwords : natural  := ceil_div(c_block_size, 2);  -- 32b -> 64b
   constant c_data_w               : natural  := c_sdp_W_crosslet * c_nof_complex;
 
--- The size for 1 block is probably already enough as the number of blocks received
--- on the remote input of the mux probably have enough gap time in between. Just
--- to be sure to not run into issues in the future, the fifo size is increased to
--- buffer the maximum nof blocks per block period.
+  -- The size for 1 block is probably already enough as the number of blocks received
+  -- on the remote input of the mux probably have enough gap time in between. Just
+  -- to be sure to not run into issues in the future, the fifo size is increased to
+  -- buffer the maximum nof blocks per block period.
   constant c_mux_fifo_size   : natural  := 2**ceil_log2(g_P_sq * c_block_size_longwords);
--- c_fifo_fill_size should be at least 2 * c_block_size_longwords as dp_repack_data
--- repacks from 64bit to 32bit. Chosing 3x to have some room.
+  -- c_fifo_fill_size should be at least 2 * c_block_size_longwords as dp_repack_data
+  -- repacks from 64bit to 32bit. Chosing 3x to have some room.
   constant c_fifo_fill_size  : natural  := 2**ceil_log2(3 * c_block_size_longwords);
 
--- crosslet statistics offload
+  -- crosslet statistics offload
   signal ram_st_offload_copi           : t_mem_copi := c_mem_copi_rst;
   signal ram_st_offload_cipo           : t_mem_cipo := c_mem_cipo_rst;
 
@@ -149,57 +149,57 @@ begin
   ---------------------------------------------------------------
   gen_requantize : for I in 0 to c_sdp_P_pfb - 1 generate
     u_dp_requantize : entity dp_lib.dp_requantize
-    generic map (
-      g_complex             => true,
-      g_representation      => "SIGNED",
-      g_lsb_w               => g_subband_raw_fraction_w,
-      g_lsb_round           => true,  -- round subband fraction
-      g_lsb_round_clip      => false,
-      g_msb_clip            => true,  -- clip subband overflow
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => 1,
-      g_pipeline_remove_msb => 1,
-      g_in_dat_w            => g_subband_raw_dat_w,
-      g_out_dat_w           => c_sdp_W_crosslet
-    )
-    port map(
-      rst => dp_rst,
-      clk => dp_clk,
-
-      snk_in  => in_sosi_arr(I),
-      src_out => quant_sosi_arr(I)
-    );
+      generic map (
+        g_complex             => true,
+        g_representation      => "SIGNED",
+        g_lsb_w               => g_subband_raw_fraction_w,
+        g_lsb_round           => true,  -- round subband fraction
+        g_lsb_round_clip      => false,
+        g_msb_clip            => true,  -- clip subband overflow
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => 1,
+        g_pipeline_remove_msb => 1,
+        g_in_dat_w            => g_subband_raw_dat_w,
+        g_out_dat_w           => c_sdp_W_crosslet
+      )
+      port map(
+        rst => dp_rst,
+        clk => dp_clk,
+
+        snk_in  => in_sosi_arr(I),
+        src_out => quant_sosi_arr(I)
+      );
   end generate;
 
   ---------------------------------------------------------------
   -- Crosslet Subband Select
   ---------------------------------------------------------------
   u_crosslets_subband_select : entity work.sdp_crosslets_subband_select
-  generic map (
-    g_N_crosslets            => c_sdp_N_crosslets_max,
-    g_ctrl_interval_size_min => sel_a_b(g_sim, g_sim_sdp.xst_nof_clk_per_sync_min, c_sdp_xst_nof_clk_per_sync_min)
-  )
-  port map(
-    dp_clk         => dp_clk,
-    dp_rst         => dp_rst,
+    generic map (
+      g_N_crosslets            => c_sdp_N_crosslets_max,
+      g_ctrl_interval_size_min => sel_a_b(g_sim, g_sim_sdp.xst_nof_clk_per_sync_min, c_sdp_xst_nof_clk_per_sync_min)
+    )
+    port map(
+      dp_clk         => dp_clk,
+      dp_rst         => dp_rst,
 
-    in_sosi_arr    => quant_sosi_arr,
-    out_sosi       => xsel_sosi,
+      in_sosi_arr    => quant_sosi_arr,
+      out_sosi       => xsel_sosi,
 
-    new_interval   => new_interval,
+      new_interval   => new_interval,
 
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
 
-    reg_crosslets_info_mosi => reg_crosslets_info_copi,
-    reg_crosslets_info_miso => reg_crosslets_info_cipo,
+      reg_crosslets_info_mosi => reg_crosslets_info_copi,
+      reg_crosslets_info_miso => reg_crosslets_info_cipo,
 
-    reg_bsn_sync_scheduler_xsub_mosi => reg_bsn_sync_scheduler_xsub_copi,
-    reg_bsn_sync_scheduler_xsub_miso => reg_bsn_sync_scheduler_xsub_cipo,
+      reg_bsn_sync_scheduler_xsub_mosi => reg_bsn_sync_scheduler_xsub_copi,
+      reg_bsn_sync_scheduler_xsub_miso => reg_bsn_sync_scheduler_xsub_cipo,
 
-    cur_crosslets_info_rec => OPEN,
-    prev_crosslets_info_rec => prev_crosslets_info_rec
-  );
+      cur_crosslets_info_rec => OPEN,
+      prev_crosslets_info_rec => prev_crosslets_info_rec
+    );
 
   -- Use xsel_sosi as local bsn and sync reference since the sync
   -- is generated by the bsn_sync_scheduler in sdp_crosslets_subband_select.
@@ -217,110 +217,110 @@ begin
   end process;
 
   u_dp_repack_data_local : entity dp_lib.dp_repack_data
-  generic map (
-    g_in_dat_w       => c_data_w,
-    g_in_nof_words   => c_longword_w / c_data_w,
-    g_out_dat_w      => c_longword_w,
-    g_out_nof_words  => 1,
-    g_pipeline_ready => true  -- Needed for src_in.ready to snk_out.ready.
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in  => xsel_data_sosi,
-    src_out => local_sosi
-  );
+    generic map (
+      g_in_dat_w       => c_data_w,
+      g_in_nof_words   => c_longword_w / c_data_w,
+      g_out_dat_w      => c_longword_w,
+      g_out_nof_words  => 1,
+      g_pipeline_ready => true  -- Needed for src_in.ready to snk_out.ready.
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in  => xsel_data_sosi,
+      src_out => local_sosi
+    );
 
   ---------------------------------------------------------------
   -- ring_mux
   ---------------------------------------------------------------
   u_ring_mux : entity ring_lib.ring_mux
-  generic map (
-    g_bsn_w        => c_dp_stream_bsn_w,
-    g_data_w       => c_longword_w,
-    g_channel_w    => c_word_w,
-    g_use_error    => false,
-    g_fifo_size    => array_init(c_mux_fifo_size, 2)
-  )
-  port map (
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
-
-    remote_sosi => from_ri_sosi,
-    local_sosi  => local_sosi,
-    mux_sosi    => ring_mux_sosi,
-    mux_siso    => ring_mux_siso
-  );
+    generic map (
+      g_bsn_w        => c_dp_stream_bsn_w,
+      g_data_w       => c_longword_w,
+      g_channel_w    => c_word_w,
+      g_use_error    => false,
+      g_fifo_size    => array_init(c_mux_fifo_size, 2)
+    )
+    port map (
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
+
+      remote_sosi => from_ri_sosi,
+      local_sosi  => local_sosi,
+      mux_sosi    => ring_mux_sosi,
+      mux_siso    => ring_mux_siso
+    );
 
   to_ri_sosi <= ring_mux_sosi;
 
   -- fill fifo to remove gaps
   u_dp_fifo_fill_eop : entity dp_lib.dp_fifo_fill_eop
-  generic map (
-    g_data_w         => c_longword_w,
-    g_bsn_w          => c_dp_stream_bsn_w,
-    g_empty_w        => c_dp_stream_empty_w,
-    g_channel_w      => c_dp_stream_channel_w,
-    g_error_w        => c_dp_stream_error_w,
-    g_use_bsn        => true,
-    g_use_empty      => true,
-    g_use_channel    => true,
-    g_use_error      => true,
-    g_use_sync       => true,
-    g_fifo_fill      => c_block_size_longwords,
-    g_fifo_size      => c_fifo_fill_size
-  )
-  port map (
-    wr_rst      => dp_rst,
-    wr_clk      => dp_clk,
-    rd_rst      => dp_rst,
-    rd_clk      => dp_clk,
-
-    snk_out     => ring_mux_siso,
-    snk_in      => ring_mux_sosi,
-
-    src_in      => dp_fifo_fill_siso,
-    src_out     => dp_fifo_fill_sosi
-  );
+    generic map (
+      g_data_w         => c_longword_w,
+      g_bsn_w          => c_dp_stream_bsn_w,
+      g_empty_w        => c_dp_stream_empty_w,
+      g_channel_w      => c_dp_stream_channel_w,
+      g_error_w        => c_dp_stream_error_w,
+      g_use_bsn        => true,
+      g_use_empty      => true,
+      g_use_channel    => true,
+      g_use_error      => true,
+      g_use_sync       => true,
+      g_fifo_fill      => c_block_size_longwords,
+      g_fifo_size      => c_fifo_fill_size
+    )
+    port map (
+      wr_rst      => dp_rst,
+      wr_clk      => dp_clk,
+      rd_rst      => dp_rst,
+      rd_clk      => dp_clk,
+
+      snk_out     => ring_mux_siso,
+      snk_in      => ring_mux_sosi,
+
+      src_in      => dp_fifo_fill_siso,
+      src_out     => dp_fifo_fill_sosi
+    );
 
   ---------------------------------------------------------------
   -- Repack 64b to 32b
   ---------------------------------------------------------------
   u_dp_repack_data_rx : entity dp_lib.dp_repack_data
-  generic map (
-    g_in_dat_w       => c_longword_w,
-    g_in_nof_words   => 1,
-    g_out_dat_w      => c_data_w,
-    g_out_nof_words  => c_longword_w / c_data_w,
-    g_pipeline_ready => true  -- Needed for src_in.ready to snk_out.ready.
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in  => dp_fifo_fill_sosi,
-    snk_out => dp_fifo_fill_siso,
-    src_out => rx_sosi
-  );
+    generic map (
+      g_in_dat_w       => c_longword_w,
+      g_in_nof_words   => 1,
+      g_out_dat_w      => c_data_w,
+      g_out_nof_words  => c_longword_w / c_data_w,
+      g_pipeline_ready => true  -- Needed for src_in.ready to snk_out.ready.
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in  => dp_fifo_fill_sosi,
+      snk_out => dp_fifo_fill_siso,
+      src_out => rx_sosi
+    );
 
   ---------------------------------------------------------------
   -- dp_demux
   ---------------------------------------------------------------
   u_dp_demux : entity dp_lib.dp_demux
-  generic map (
-    g_mode              => 0,
-    g_nof_output        => g_P_sq,
-    g_remove_channel_lo => false,
-    g_sel_ctrl_invert   => true  -- TRUE when indexed (g_nof_input-1 DOWNTO 0)
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in      => rx_sosi,
-    src_out_arr => dispatch_invert_sosi_arr
-  );
+    generic map (
+      g_mode              => 0,
+      g_nof_output        => g_P_sq,
+      g_remove_channel_lo => false,
+      g_sel_ctrl_invert   => true  -- TRUE when indexed (g_nof_input-1 DOWNTO 0)
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in      => rx_sosi,
+      src_out_arr => dispatch_invert_sosi_arr
+    );
 
   dispatch_sosi_arr <= func_dp_stream_arr_reverse_range(dispatch_invert_sosi_arr);
 
@@ -329,71 +329,71 @@ begin
   -- dp_bsn_aligner_v2
   ---------------------------------------------------------------
   u_mmp_dp_bsn_align_v2 : entity dp_lib.mmp_dp_bsn_align_v2
-  generic map(
-    -- for dp_bsn_align_v2
-    g_nof_streams             => g_P_sq,
-    g_bsn_latency_max         => 2,
-    g_nof_aligners_max        => 1,  -- 1 for Access scheme 3.
-    g_block_size              => c_block_size,
-    g_data_w                  => c_data_w,
-    g_use_mm_output           => true,
-    g_rd_latency              => 1,  -- Required for st_xst
-    -- for mms_dp_bsn_monitor_v2
-    g_nof_clk_per_sync        => c_sdp_N_clk_sync_timeout_xsub,  -- Using c_sdp_N_clk_sync_timeout_xsub as g_nof_clk_per_sync is used for BSN monitor timeout.
-    g_nof_input_bsn_monitors  => g_P_sq,
-    g_use_bsn_output_monitor  => true
+    generic map(
+      -- for dp_bsn_align_v2
+      g_nof_streams             => g_P_sq,
+      g_bsn_latency_max         => 2,
+      g_nof_aligners_max        => 1,  -- 1 for Access scheme 3.
+      g_block_size              => c_block_size,
+      g_data_w                  => c_data_w,
+      g_use_mm_output           => true,
+      g_rd_latency              => 1,  -- Required for st_xst
+      -- for mms_dp_bsn_monitor_v2
+      g_nof_clk_per_sync        => c_sdp_N_clk_sync_timeout_xsub,  -- Using c_sdp_N_clk_sync_timeout_xsub as g_nof_clk_per_sync is used for BSN monitor timeout.
+      g_nof_input_bsn_monitors  => g_P_sq,
+      g_use_bsn_output_monitor  => true
     )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst                  => mm_rst,
-    mm_clk                  => mm_clk,
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst                  => mm_rst,
+      mm_clk                  => mm_clk,
 
-    reg_bsn_align_copi      => reg_bsn_align_copi,
-    reg_bsn_align_cipo      => reg_bsn_align_cipo,
+      reg_bsn_align_copi      => reg_bsn_align_copi,
+      reg_bsn_align_cipo      => reg_bsn_align_cipo,
 
-    reg_input_monitor_copi  => reg_bsn_monitor_v2_bsn_align_input_copi,
-    reg_input_monitor_cipo  => reg_bsn_monitor_v2_bsn_align_input_cipo,
+      reg_input_monitor_copi  => reg_bsn_monitor_v2_bsn_align_input_copi,
+      reg_input_monitor_cipo  => reg_bsn_monitor_v2_bsn_align_input_cipo,
 
-    reg_output_monitor_copi => reg_bsn_monitor_v2_bsn_align_output_copi,
-    reg_output_monitor_cipo => reg_bsn_monitor_v2_bsn_align_output_cipo,
+      reg_output_monitor_copi => reg_bsn_monitor_v2_bsn_align_output_copi,
+      reg_output_monitor_cipo => reg_bsn_monitor_v2_bsn_align_output_cipo,
 
-    -- Streaming clock domain
-    dp_rst     => dp_rst,
-    dp_clk     => dp_clk,
+      -- Streaming clock domain
+      dp_rst     => dp_rst,
+      dp_clk     => dp_clk,
 
-    -- Streaming input
-    in_sosi_arr => dispatch_sosi_arr,
+      -- Streaming input
+      in_sosi_arr => dispatch_sosi_arr,
 
-    -- Output via local MM interface in dp_clk domain, when g_use_mm_output = TRUE.
-    mm_sosi     => crosslets_sosi,
-    mm_copi     => crosslets_copi,
-    mm_cipo_arr => crosslets_cipo_arr
-  );
+      -- Output via local MM interface in dp_clk domain, when g_use_mm_output = TRUE.
+      mm_sosi     => crosslets_sosi,
+      mm_copi     => crosslets_copi,
+      mm_cipo_arr => crosslets_cipo_arr
+    );
 
   ---------------------------------------------------------------
   -- Crosslets Statistics (XST)
   ---------------------------------------------------------------
   u_crosslets_stats : entity st_lib.st_xst
-  generic map(
-    g_nof_streams       => g_P_sq,
-    g_nof_crosslets     => c_sdp_N_crosslets_max,
-    g_nof_signal_inputs => c_sdp_S_pn,
-    g_in_data_w         => c_sdp_W_crosslet,
-    g_stat_data_w       => c_longword_w,
-    g_stat_data_sz      => c_longword_sz / c_word_sz
-  )
-  port map (
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
-    dp_rst          => dp_rst,
-    dp_clk          => dp_clk,
-    in_sosi         => crosslets_sosi,
-    mm_mosi         => crosslets_copi,
-    mm_miso_arr     => crosslets_cipo_arr,
-
-    ram_st_xsq_mosi => controller_mem_mux_copi,
-    ram_st_xsq_miso => controller_mem_mux_cipo
-  );
+    generic map(
+      g_nof_streams       => g_P_sq,
+      g_nof_crosslets     => c_sdp_N_crosslets_max,
+      g_nof_signal_inputs => c_sdp_S_pn,
+      g_in_data_w         => c_sdp_W_crosslet,
+      g_stat_data_w       => c_longword_w,
+      g_stat_data_sz      => c_longword_sz / c_word_sz
+    )
+    port map (
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
+      dp_rst          => dp_rst,
+      dp_clk          => dp_clk,
+      in_sosi         => crosslets_sosi,
+      mm_mosi         => crosslets_copi,
+      mm_miso_arr     => crosslets_cipo_arr,
+
+      ram_st_xsq_mosi => controller_mem_mux_copi,
+      ram_st_xsq_miso => controller_mem_mux_cipo
+    );
 
   ---------------------------------------------------------------
   -- MM controller multiplexer
@@ -405,40 +405,40 @@ begin
   ram_st_offload_cipo <= controller_cipo_arr(1);
 
   u_mem_controller_mux : entity mm_lib.mm_master_mux
-  generic map (
-    g_nof_masters    => c_nof_controllers,
-    g_rd_latency_min => 1  -- read latency of statistics RAM is 1
-  )
-  port map (
-    mm_clk => mm_clk,
-
-    master_mosi_arr => controller_copi_arr,
-    master_miso_arr => controller_cipo_arr,
-    mux_mosi        => controller_mem_mux_copi,
-    mux_miso        => controller_mem_mux_cipo
-  );
+    generic map (
+      g_nof_masters    => c_nof_controllers,
+      g_rd_latency_min => 1  -- read latency of statistics RAM is 1
+    )
+    port map (
+      mm_clk => mm_clk,
+
+      master_mosi_arr => controller_copi_arr,
+      master_miso_arr => controller_cipo_arr,
+      mux_mosi        => controller_mem_mux_copi,
+      mux_miso        => controller_mem_mux_cipo
+    );
 
   ---------------------------------------------------------------
   -- REG_NOF_CROSSLETS
   ---------------------------------------------------------------
   u_nof_crosslets : entity common_lib.mms_common_reg
-  generic map(
-    g_mm_reg => c_sdp_mm_reg_nof_crosslets
-  )
-  port map(
-    -- Clocks and reset
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    st_rst => dp_rst,
-    st_clk => dp_clk,
-
-    -- MM bus access in memory-mapped clock domain
-    reg_mosi => reg_nof_crosslets_copi,
-    reg_miso => reg_nof_crosslets_cipo,
-
-    in_reg   => nof_crosslets,
-    out_reg  => nof_crosslets_reg
-  );
+    generic map(
+      g_mm_reg => c_sdp_mm_reg_nof_crosslets
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      st_rst => dp_rst,
+      st_clk => dp_clk,
+
+      -- MM bus access in memory-mapped clock domain
+      reg_mosi => reg_nof_crosslets_copi,
+      reg_miso => reg_nof_crosslets_cipo,
+
+      in_reg   => nof_crosslets,
+      out_reg  => nof_crosslets_reg
+    );
 
   -- Force nof crosslets to max nof crosslets if a higher value is written or to 1 if a lower value is written via MM.
   nof_crosslets <= TO_UVEC(1, c_sdp_nof_crosslets_reg_w) when TO_UINT(nof_crosslets_reg) < 1 else
@@ -451,49 +451,49 @@ begin
   xst_udp_sosi <= mon_xst_udp_sosi_arr(0);
 
   u_sdp_xst_udp_offload: entity work.sdp_statistics_offload
-  generic map (
-    g_statistics_type          => "XST",
-    g_offload_time             => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time),
-    g_P_sq                     => g_P_sq,
-    g_crosslets_direction      => 1,  -- = lane direction
-    g_bsn_monitor_sync_timeout => c_sdp_N_clk_sync_timeout_xsub
-  )
-  port map (
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+    generic map (
+      g_statistics_type          => "XST",
+      g_offload_time             => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time),
+      g_P_sq                     => g_P_sq,
+      g_crosslets_direction      => 1,  -- = lane direction
+      g_bsn_monitor_sync_timeout => c_sdp_N_clk_sync_timeout_xsub
+    )
+    port map (
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    master_mosi => ram_st_offload_copi,
-    master_miso => ram_st_offload_cipo,
+      master_mosi => ram_st_offload_copi,
+      master_miso => ram_st_offload_cipo,
 
-    reg_enable_mosi  => reg_stat_enable_copi,
-    reg_enable_miso  => reg_stat_enable_cipo,
+      reg_enable_mosi  => reg_stat_enable_copi,
+      reg_enable_miso  => reg_stat_enable_cipo,
 
-    reg_hdr_dat_mosi => reg_stat_hdr_dat_copi,
-    reg_hdr_dat_miso => reg_stat_hdr_dat_cipo,
+      reg_hdr_dat_mosi => reg_stat_hdr_dat_copi,
+      reg_hdr_dat_miso => reg_stat_hdr_dat_cipo,
 
-    reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_xst_offload_copi,
-    reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_xst_offload_cipo,
+      reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_xst_offload_copi,
+      reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_xst_offload_cipo,
 
-    in_sosi      => crosslets_sosi,
-    new_interval => new_interval,
+      in_sosi      => crosslets_sosi,
+      new_interval => new_interval,
 
-    out_sosi  => mon_xst_udp_sosi_arr(0),
-    out_siso  => xst_udp_siso,
+      out_sosi  => mon_xst_udp_sosi_arr(0),
+      out_siso  => xst_udp_siso,
 
-    eth_src_mac    => stat_eth_src_mac,
-    udp_src_port   => stat_udp_src_port,
-    ip_src_addr    => stat_ip_src_addr,
+      eth_src_mac    => stat_eth_src_mac,
+      udp_src_port   => stat_udp_src_port,
+      ip_src_addr    => stat_ip_src_addr,
 
-    gn_index       => TO_UINT(gn_id),
-    ring_info      => ring_info,
-    sdp_info       => sdp_info,
-    weighted_subbands_flag => '1',  -- because XSub uses in_sosi_arr = fsub_sosi_arr, so weighted subbands
+      gn_index       => TO_UINT(gn_id),
+      ring_info      => ring_info,
+      sdp_info       => sdp_info,
+      weighted_subbands_flag => '1',  -- because XSub uses in_sosi_arr = fsub_sosi_arr, so weighted subbands
 
-    nof_crosslets           => nof_crosslets,  -- from MM
-    prev_crosslets_info_rec => prev_crosslets_info_rec
-  );
+      nof_crosslets           => nof_crosslets,  -- from MM
+      prev_crosslets_info_rec => prev_crosslets_info_rec
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd
index ca4473fed7..ccf83dbd6a 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd
@@ -53,16 +53,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, rTwoSDF_lib, fft_lib, wpfb_lib, filter_lib, si_lib, st_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use filter_lib.fil_pkg.all;
-use fft_lib.fft_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use filter_lib.fil_pkg.all;
+  use fft_lib.fft_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use work.sdp_pkg.all;
 
 entity node_sdp_filterbank is
   generic (
@@ -181,23 +181,23 @@ begin
   -- SPECTRAL INVERSION
   ---------------------------------------------------------------
   u_si_arr : entity si_lib.si_arr
-  generic map (
-    g_nof_streams => c_sdp_S_pn,
-    g_pipeline    => c_si_pipeline,
-    g_dat_w       => c_sdp_W_adc
-  )
-  port map(
-    in_sosi_arr  => in_sosi_arr,
-    out_sosi_arr => si_sosi_arr,
-
-    reg_si_mosi  => reg_si_mosi,
-    reg_si_miso  => reg_si_miso,
-
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-    dp_clk       => dp_clk,
-    dp_rst       => dp_rst
-  );
+    generic map (
+      g_nof_streams => c_sdp_S_pn,
+      g_pipeline    => c_si_pipeline,
+      g_dat_w       => c_sdp_W_adc
+    )
+    port map(
+      in_sosi_arr  => in_sosi_arr,
+      out_sosi_arr => si_sosi_arr,
+
+      reg_si_mosi  => reg_si_mosi,
+      reg_si_miso  => reg_si_miso,
+
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+      dp_clk       => dp_clk,
+      dp_rst       => dp_rst
+    );
 
   ---------------------------------------------------------------
   -- POLY-PHASE FILTERBANK
@@ -214,42 +214,42 @@ begin
 
   -- pipeline bsn restart signal to keep dp_bsn_source_restart aligned with si_sosi_arr
   u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_si_pipeline
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_dat     => dp_bsn_source_restart,
-    out_dat    => dp_bsn_source_restart_pipe
-  );
+    generic map (
+      g_pipeline  => c_si_pipeline
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_dat     => dp_bsn_source_restart,
+      out_dat    => dp_bsn_source_restart_pipe
+    );
 
   -- PFB
   u_wpfb_unit_dev : entity wpfb_lib.wpfb_unit_dev
-  generic map (
-    g_wpfb                   => g_wpfb,
-    g_use_prefilter          => true,
-    g_stats_ena              => false,
-    g_use_bg                 => false,
-    g_coefs_file_prefix      => c_coefs_file_prefix,
-    g_restart_on_valid       => false
-  )
-  port map (
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso => ram_fil_coefs_miso,
-
-    in_sosi_arr        => wpfb_unit_in_sosi_arr,
-    fil_sosi_arr       => wpfb_unit_fil_sosi_arr,
-    out_quant_sosi_arr => wpfb_unit_out_quant_sosi_arr,
-    out_raw_sosi_arr   => wpfb_unit_out_raw_sosi_arr,
-
-    dp_bsn_source_restart => dp_bsn_source_restart_pipe
-  );
+    generic map (
+      g_wpfb                   => g_wpfb,
+      g_use_prefilter          => true,
+      g_stats_ena              => false,
+      g_use_bg                 => false,
+      g_coefs_file_prefix      => c_coefs_file_prefix,
+      g_restart_on_valid       => false
+    )
+    port map (
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso => ram_fil_coefs_miso,
+
+      in_sosi_arr        => wpfb_unit_in_sosi_arr,
+      fil_sosi_arr       => wpfb_unit_fil_sosi_arr,
+      out_quant_sosi_arr => wpfb_unit_out_quant_sosi_arr,
+      out_raw_sosi_arr   => wpfb_unit_out_raw_sosi_arr,
+
+      dp_bsn_source_restart => dp_bsn_source_restart_pipe
+    );
 
   ---------------------------------------------------------------
   -- SUBBAND EQUALIZER
@@ -344,7 +344,7 @@ begin
   -- SUBBAND STATISTICS
   ---------------------------------------------------------------
   gen_stats_streams: for I in 0 to c_sdp_P_pfb - 1 generate
-      u_subband_stats : entity st_lib.st_sst
+    u_subband_stats : entity st_lib.st_sst
       generic map(
         g_nof_stat      => c_sdp_N_sub * c_sdp_Q_fft,
         g_in_data_w     => c_sdp_W_subband,
@@ -369,16 +369,16 @@ begin
   -- Combine the internal array of mm interfaces for the subband
   -- statistics to one array.
   u_mem_mux_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_P_pfb,
-    g_mult_addr_w => ceil_log2(c_sdp_N_sub * c_sdp_Q_fft * g_wpfb.stat_data_sz)
-  )
-  port map (
-    mosi     => master_mem_mux_mosi,
-    miso     => master_mem_mux_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_P_pfb,
+      g_mult_addr_w => ceil_log2(c_sdp_N_sub * c_sdp_Q_fft * g_wpfb.stat_data_sz)
+    )
+    port map (
+      mosi     => master_mem_mux_mosi,
+      miso     => master_mem_mux_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   -- Connect 2 mm_masters to the common_mem_mux output
   master_mosi_arr(0)  <= ram_st_sst_mosi;  -- MM access via QSYS MM bus
@@ -387,18 +387,18 @@ begin
   ram_st_offload_miso <= master_miso_arr(1);
 
   u_mem_master_mux : entity mm_lib.mm_master_mux
-  generic map (
-    g_nof_masters    => c_nof_masters,
-    g_rd_latency_min => 1  -- read latency of statistics RAM is 1
-  )
-  port map (
-    mm_clk => mm_clk,
-
-    master_mosi_arr => master_mosi_arr,
-    master_miso_arr => master_miso_arr,
-    mux_mosi        => master_mem_mux_mosi,
-    mux_miso        => master_mem_mux_miso
-  );
+    generic map (
+      g_nof_masters    => c_nof_masters,
+      g_rd_latency_min => 1  -- read latency of statistics RAM is 1
+    )
+    port map (
+      mm_clk => mm_clk,
+
+      master_mosi_arr => master_mosi_arr,
+      master_miso_arr => master_miso_arr,
+      mux_mosi        => master_mem_mux_mosi,
+      mux_miso        => master_mem_mux_miso
+    );
 
   ---------------------------------------------------------------
   -- STATISTICS OFFLOAD
@@ -406,42 +406,42 @@ begin
   weighted_subbands_flag <= not selector_en when rising_edge(dp_clk);
 
   u_sdp_sst_udp_offload: entity work.sdp_statistics_offload
-  generic map (
-    g_statistics_type => "SST",
-    g_offload_time    => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time)
-  )
-  port map (
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+    generic map (
+      g_statistics_type => "SST",
+      g_offload_time    => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time)
+    )
+    port map (
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    master_mosi => ram_st_offload_mosi,
-    master_miso => ram_st_offload_miso,
+      master_mosi => ram_st_offload_mosi,
+      master_miso => ram_st_offload_miso,
 
-    reg_enable_mosi  => reg_enable_mosi,
-    reg_enable_miso  => reg_enable_miso,
+      reg_enable_mosi  => reg_enable_mosi,
+      reg_enable_miso  => reg_enable_miso,
 
-    reg_hdr_dat_mosi  => reg_hdr_dat_mosi,
-    reg_hdr_dat_miso  => reg_hdr_dat_miso,
+      reg_hdr_dat_mosi  => reg_hdr_dat_mosi,
+      reg_hdr_dat_miso  => reg_hdr_dat_miso,
 
-    reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
-    reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
+      reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
+      reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
 
-    in_sosi      => dp_selector_quant_sosi_arr(0),
-    new_interval => dp_bsn_source_new_interval,
+      in_sosi      => dp_selector_quant_sosi_arr(0),
+      new_interval => dp_bsn_source_new_interval,
 
-    out_sosi  => sst_udp_sosi,
-    out_siso  => sst_udp_siso,
+      out_sosi  => sst_udp_sosi,
+      out_siso  => sst_udp_siso,
 
-    eth_src_mac  => eth_src_mac,
-    udp_src_port => udp_src_port,
-    ip_src_addr  => ip_src_addr,
+      eth_src_mac  => eth_src_mac,
+      udp_src_port => udp_src_port,
+      ip_src_addr  => ip_src_addr,
 
-    gn_index                => TO_UINT(gn_id),
-    sdp_info                => sdp_info,
-    weighted_subbands_flag  => weighted_subbands_flag
-  );
+      gn_index                => TO_UINT(gn_id),
+      sdp_info                => sdp_info,
+      weighted_subbands_flag  => weighted_subbands_flag
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd
index 93d3d21baf..541b361069 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd
@@ -37,17 +37,17 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib, rTwoSDF_lib, common_mult_lib, fft_lib, wpfb_lib, filter_lib, si_lib, st_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use filter_lib.fil_pkg.all;
-use fft_lib.fft_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use filter_lib.fil_pkg.all;
+  use fft_lib.fft_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.sdp_pkg.all;
 
 entity node_sdp_oversampled_filterbank is
   generic (
@@ -127,19 +127,27 @@ architecture str of node_sdp_oversampled_filterbank is
   -- Use WG as local oscillator, buf contains 16b sin and 16b cos
   -- . c_sdp_W_local_oscillator = 16b
   -- . c_sdp_W_local_oscillator_fraction = 16b - 1 sign bit = 15b
-  constant c_buf            : t_c_mem := (latency  => 1,
-                                          adr_w    => ceil_log2(2 * c_sdp_N_fft),
-                                          dat_w    => c_nof_complex * c_sdp_W_local_oscillator,
-                                          nof_dat  => c_sdp_R_os * c_sdp_N_fft,
-                                          init_sl  => '0');
+  constant c_buf            : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(2 * c_sdp_N_fft),
+    dat_w    => c_nof_complex * c_sdp_W_local_oscillator,
+    nof_dat  => c_sdp_R_os * c_sdp_N_fft,
+    init_sl  => '0'
+  );
 
   constant c_buf_file       : string := "data/freq_shift_half_subband_2048x16_im_re.hex";
 
-  constant c_wg_ctrl : t_diag_wg := (TO_UVEC(c_diag_wg_mode_repeat, c_diag_wg_mode_w),
-                                     TO_UVEC(c_buf.nof_dat, c_diag_wg_nofsamples_w),
-                                     (others => '0'),
-                                     (others => '0'),
-                                     (others => '0'));
+  constant c_wg_ctrl : t_diag_wg := (
+    TO_UVEC(
+             c_diag_wg_mode_repeat,
+             c_diag_wg_mode_w),
+    TO_UVEC(
+             c_buf.nof_dat,
+             c_diag_wg_nofsamples_w),
+    (others => '0'),
+    (others => '0'),
+    (others => '0')
+  );
   constant c_wg_phase_offset : natural := 6;  -- Compensate for WG start latency. In nof samples.
 
   constant c_fil_coefs_mem_addr_w : natural := ceil_log2(c_sdp_N_fft * c_sdp_N_taps);
@@ -220,23 +228,23 @@ begin
   -- SPECTRAL INVERSION
   ---------------------------------------------------------------
   u_si_arr : entity si_lib.si_arr
-  generic map (
-    g_nof_streams => c_sdp_S_pn,
-    g_pipeline    => c_si_pipeline,
-    g_dat_w       => c_sdp_W_adc
-  )
-  port map(
-    in_sosi_arr  => in_sosi_arr,
-    out_sosi_arr => si_sosi_arr,
-
-    reg_si_mosi  => reg_si_mosi,
-    reg_si_miso  => reg_si_miso,
-
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-    dp_clk       => dp_clk,
-    dp_rst       => dp_rst
-  );
+    generic map (
+      g_nof_streams => c_sdp_S_pn,
+      g_pipeline    => c_si_pipeline,
+      g_dat_w       => c_sdp_W_adc
+    )
+    port map(
+      in_sosi_arr  => in_sosi_arr,
+      out_sosi_arr => si_sosi_arr,
+
+      reg_si_mosi  => reg_si_mosi,
+      reg_si_miso  => reg_si_miso,
+
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+      dp_clk       => dp_clk,
+      dp_rst       => dp_rst
+    );
 
   ---------------------------------------------------------------
   -- POLY-PHASE FILTERBANK
@@ -254,42 +262,42 @@ begin
 
   -- pipeline bsn restart signal to keep dp_bsn_source_restart aligned with si_sosi_arr
   u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_si_pipeline
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_dat     => dp_bsn_source_restart,
-    out_dat    => dp_bsn_source_restart_pipe
-  );
+    generic map (
+      g_pipeline  => c_si_pipeline
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_dat     => dp_bsn_source_restart,
+      out_dat    => dp_bsn_source_restart_pipe
+    );
 
   -- PFB
   u_wpfb_unit_dev : entity wpfb_lib.wpfb_unit_dev
-  generic map (
-    g_wpfb                   => g_wpfb,
-    g_use_prefilter          => true,
-    g_stats_ena              => false,
-    g_use_bg                 => false,
-    g_coefs_file_prefix      => c_coefs_file_prefix,
-    g_restart_on_valid       => false
-  )
-  port map (
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi_arr(0),
-    ram_fil_coefs_miso => ram_fil_coefs_miso_arr(0),
-
-    in_sosi_arr        => wpfb_unit_in_sosi_arr,
-    fil_sosi_arr       => wpfb_unit_fil_sosi_arr,
-    out_quant_sosi_arr => wpfb_unit_out_quant_sosi_arr,
-    out_raw_sosi_arr   => wpfb_unit_out_raw_sosi_arr,
-
-    dp_bsn_source_restart => dp_bsn_source_restart_pipe
-  );
+    generic map (
+      g_wpfb                   => g_wpfb,
+      g_use_prefilter          => true,
+      g_stats_ena              => false,
+      g_use_bg                 => false,
+      g_coefs_file_prefix      => c_coefs_file_prefix,
+      g_restart_on_valid       => false
+    )
+    port map (
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi_arr(0),
+      ram_fil_coefs_miso => ram_fil_coefs_miso_arr(0),
+
+      in_sosi_arr        => wpfb_unit_in_sosi_arr,
+      fil_sosi_arr       => wpfb_unit_fil_sosi_arr,
+      out_quant_sosi_arr => wpfb_unit_out_quant_sosi_arr,
+      out_raw_sosi_arr   => wpfb_unit_out_raw_sosi_arr,
+
+      dp_bsn_source_restart => dp_bsn_source_restart_pipe
+    );
 
 
   ---------------------------------------------------------------
@@ -299,18 +307,18 @@ begin
   -- real part is in LSB and imaginary part in MSB.
   -- Waveform buffer
   u_buf : entity common_lib.common_rom
-  generic map (
-    g_ram       => c_buf,
-    g_init_file => c_buf_file
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    rd_adr    => wg_address,
-    rd_en     => wg_rd,
-    rd_val    => wg_rdval,
-    rd_dat    => wg_rddata
-  );
+    generic map (
+      g_ram       => c_buf,
+      g_init_file => c_buf_file
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      rd_adr    => wg_address,
+      rd_en     => wg_rd,
+      rd_val    => wg_rdval,
+      rd_dat    => wg_rddata
+    );
 
   -- Waveform generator as local oscillator.
   p_lo_restart : process(dp_clk, dp_rst)
@@ -331,101 +339,101 @@ begin
   end process;
 
   u_lo_wg : entity diag_lib.diag_wg
-  generic map (
-    g_buf_dat_w    => c_buf.dat_w,
-    g_buf_addr_w   => c_buf.adr_w,
-    g_rate_offset  => c_wg_phase_offset,
-    g_calc_support => false
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-    restart        => dp_bsn_source_restart_wg,
-
-    buf_rddat      => wg_rddata,
-    buf_rdval      => wg_rdval,
-    buf_addr       => wg_address,
-    buf_rden       => wg_rd,
-
-    ctrl           => c_wg_ctrl,
-
-    out_dat        => wg_out_dat,
-    out_val        => open
-  );
-
-  -- Complex mult
-  gen_complex_mult: for I in 0 to c_sdp_S_pn - 1 generate
-    u_common_complex_mult : entity common_mult_lib.common_complex_mult
     generic map (
-      g_in_a_w           => c_sdp_W_local_oscillator,  -- = 16
-      g_in_b_w           => c_sdp_W_adc,  -- = 14
-      g_out_p_w          => c_sdp_W_local_oscillator + c_sdp_W_adc,  -- = 16 + 14 = 30
-      g_conjugate_b      => false
+      g_buf_dat_w    => c_buf.dat_w,
+      g_buf_addr_w   => c_buf.adr_w,
+      g_rate_offset  => c_wg_phase_offset,
+      g_calc_support => false
     )
     port map (
-      clk        => dp_clk,
-      clken      => '1',
-      rst        => dp_rst,
-      in_ar      => wg_out_dat(c_sdp_W_local_oscillator - 1 downto 0),
-      in_ai      => wg_out_dat(2 * c_sdp_W_local_oscillator - 1 downto c_sdp_W_local_oscillator),
-      in_br      => si_sosi_arr(I).data(c_sdp_W_adc - 1 downto 0),
-      in_bi      => (others => '0'),
-      in_val     => si_sosi_arr(I).valid,
-      out_pr     => mixer_complex_mult_src_out_arr(I).re(c_sdp_W_local_oscillator + c_sdp_W_adc - 1 downto 0),
-      out_pi     => mixer_complex_mult_src_out_arr(I).im(c_sdp_W_local_oscillator + c_sdp_W_adc - 1 downto 0),
-      out_val    => mixer_complex_mult_src_out_arr(I).valid
+      rst            => dp_rst,
+      clk            => dp_clk,
+      restart        => dp_bsn_source_restart_wg,
+
+      buf_rddat      => wg_rddata,
+      buf_rdval      => wg_rdval,
+      buf_addr       => wg_address,
+      buf_rden       => wg_rd,
+
+      ctrl           => c_wg_ctrl,
+
+      out_dat        => wg_out_dat,
+      out_val        => open
     );
 
+  -- Complex mult
+  gen_complex_mult: for I in 0 to c_sdp_S_pn - 1 generate
+    u_common_complex_mult : entity common_mult_lib.common_complex_mult
+      generic map (
+        g_in_a_w           => c_sdp_W_local_oscillator,  -- = 16
+        g_in_b_w           => c_sdp_W_adc,  -- = 14
+        g_out_p_w          => c_sdp_W_local_oscillator + c_sdp_W_adc,  -- = 16 + 14 = 30
+        g_conjugate_b      => false
+      )
+      port map (
+        clk        => dp_clk,
+        clken      => '1',
+        rst        => dp_rst,
+        in_ar      => wg_out_dat(c_sdp_W_local_oscillator - 1 downto 0),
+        in_ai      => wg_out_dat(2 * c_sdp_W_local_oscillator - 1 downto c_sdp_W_local_oscillator),
+        in_br      => si_sosi_arr(I).data(c_sdp_W_adc - 1 downto 0),
+        in_bi      => (others => '0'),
+        in_val     => si_sosi_arr(I).valid,
+        out_pr     => mixer_complex_mult_src_out_arr(I).re(c_sdp_W_local_oscillator + c_sdp_W_adc - 1 downto 0),
+        out_pi     => mixer_complex_mult_src_out_arr(I).im(c_sdp_W_local_oscillator + c_sdp_W_adc - 1 downto 0),
+        out_val    => mixer_complex_mult_src_out_arr(I).valid
+      );
+
     --requantize
     u_dp_requantize : entity dp_lib.dp_requantize
+      generic map (
+        g_complex             => true,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_sdp_W_local_oscillator_fraction,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => true,
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => 0,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_sdp_W_local_oscillator + c_sdp_W_adc,
+        g_out_dat_w           => c_sdp_W_adc
+      )
+      port map (
+        rst          => dp_rst,
+        clk          => dp_clk,
+        -- ST sink
+        snk_in       => mixer_complex_mult_src_out_arr(I),
+        -- ST source
+        src_out      => mixer_complex_requantize_src_out_arr(I)
+      );
+  end generate;
+
+  -- Pipeline to compensate for complex mult and dp_requantize.
+  u_dp_pipeline : entity dp_lib.dp_pipeline
     generic map (
-      g_complex             => true,
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_sdp_W_local_oscillator_fraction,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_msb_clip            => true,
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => 0,
-      g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_sdp_W_local_oscillator + c_sdp_W_adc,
-      g_out_dat_w           => c_sdp_W_adc
+      g_pipeline   => c_complex_mult_pipeline
     )
     port map (
       rst          => dp_rst,
       clk          => dp_clk,
       -- ST sink
-      snk_in       => mixer_complex_mult_src_out_arr(I),
+      snk_in       => si_sosi_arr(0),
       -- ST source
-      src_out      => mixer_complex_requantize_src_out_arr(I)
+      src_out      => si_sosi_0_piped
     );
-  end generate;
-
-  -- Pipeline to compensate for complex mult and dp_requantize.
-  u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline   => c_complex_mult_pipeline
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => si_sosi_arr(0),
-    -- ST source
-    src_out      => si_sosi_0_piped
-  );
 
   -- pipeline bsn restart signal to keep dp_bsn_source_restart aligned with si_sosi_arr
   u_common_pipeline_sl_cplx : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_complex_mult_pipeline
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_dat     => dp_bsn_source_restart_pipe,
-    out_dat    => dp_bsn_source_restart_pipe_complex
-  );
+    generic map (
+      g_pipeline  => c_complex_mult_pipeline
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_dat     => dp_bsn_source_restart_pipe,
+      out_dat    => dp_bsn_source_restart_pipe_complex
+    );
   process(mixer_complex_requantize_src_out_arr, si_sosi_0_piped)
   begin
     for I in 0 to c_sdp_S_pn - 1 loop
@@ -437,30 +445,30 @@ begin
 
   -- PFB complex
   u_wpfb_unit_dev_complex : entity wpfb_lib.wpfb_unit_dev
-  generic map (
-    g_wpfb                   => g_wpfb_complex,
-    g_use_prefilter          => true,
-    g_stats_ena              => false,
-    g_use_bg                 => false,
-    g_coefs_file_prefix      => c_coefs_file_prefix,
-    g_restart_on_valid       => false
-  )
-  port map (
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi_arr(1),
-    ram_fil_coefs_miso => ram_fil_coefs_miso_arr(1),
-
-    in_sosi_arr        => wpfb_unit_complex_in_sosi_arr,
-    fil_sosi_arr       => wpfb_unit_complex_fil_sosi_arr,
-    out_quant_sosi_arr => wpfb_unit_complex_out_quant_sosi_arr,
-    out_raw_sosi_arr   => wpfb_unit_complex_out_raw_sosi_arr,
-
-    dp_bsn_source_restart => dp_bsn_source_restart_pipe_complex
-  );
+    generic map (
+      g_wpfb                   => g_wpfb_complex,
+      g_use_prefilter          => true,
+      g_stats_ena              => false,
+      g_use_bg                 => false,
+      g_coefs_file_prefix      => c_coefs_file_prefix,
+      g_restart_on_valid       => false
+    )
+    port map (
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi_arr(1),
+      ram_fil_coefs_miso => ram_fil_coefs_miso_arr(1),
+
+      in_sosi_arr        => wpfb_unit_complex_in_sosi_arr,
+      fil_sosi_arr       => wpfb_unit_complex_fil_sosi_arr,
+      out_quant_sosi_arr => wpfb_unit_complex_out_quant_sosi_arr,
+      out_raw_sosi_arr   => wpfb_unit_complex_out_raw_sosi_arr,
+
+      dp_bsn_source_restart => dp_bsn_source_restart_pipe_complex
+    );
 
   ---------------------------------------------------------------
   -- Interleave for PFB complex
@@ -497,7 +505,7 @@ begin
         snk_in       => wpfb_complex_out_resized_sosi_arr(I),
         src_out      => wpfb_complex_out_fifo_sosi_arr(I),
         src_in       => wpfb_complex_out_fifo_siso_arr(I)
-    );
+      );
   end generate;
 
   -- rewire 1d array of 1 X S_pn to 2d array of 2 X P_pfb
@@ -520,7 +528,7 @@ begin
         snk_in_arr   => wpfb_complex_out_resized_sosi_2arr(I),
         snk_out_arr  => wpfb_complex_out_resized_siso_2arr(I),
         src_out      => wpfb_complex_out_interleaved_sosi_arr(I)
-    );
+      );
 
     -- Align data width of wpfb_complex output with wpfb_real output as the real wpfb
     -- has an extra bit that is used for the FFT seperate function which the complex FFT
@@ -554,16 +562,16 @@ begin
   -- COMBINE MEMORY MAPPED INTERFACES OF RAM_FIL_COEFS
   ---------------------------------------------------------------
   u_mem_mux_coef : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_R_os,
-    g_mult_addr_w => c_fil_coefs_mem_addr_w
-  )
-  port map (
-    mosi     => ram_fil_coefs_mosi,
-    miso     => ram_fil_coefs_miso,
-    mosi_arr => ram_fil_coefs_mosi_arr,
-    miso_arr => ram_fil_coefs_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_R_os,
+      g_mult_addr_w => c_fil_coefs_mem_addr_w
+    )
+    port map (
+      mosi     => ram_fil_coefs_mosi,
+      miso     => ram_fil_coefs_miso,
+      mosi_arr => ram_fil_coefs_mosi_arr,
+      miso_arr => ram_fil_coefs_miso_arr
+    );
 
   ---------------------------------------------------------------
   -- SUBBAND EQUALIZER
@@ -706,16 +714,16 @@ begin
   -- Combine the internal array of mm interfaces for the subband
   -- statistics to one array.
   u_mem_mux_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_sdp_R_os * c_sdp_P_pfb,
-    g_mult_addr_w => ceil_log2(c_sdp_N_sub * c_sdp_Q_fft * g_wpfb.stat_data_sz)
-  )
-  port map (
-    mosi     => master_mem_mux_mosi,
-    miso     => master_mem_mux_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_sdp_R_os * c_sdp_P_pfb,
+      g_mult_addr_w => ceil_log2(c_sdp_N_sub * c_sdp_Q_fft * g_wpfb.stat_data_sz)
+    )
+    port map (
+      mosi     => master_mem_mux_mosi,
+      miso     => master_mem_mux_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   -- Connect 2 mm_masters to the common_mem_mux output
   master_mosi_arr(0)  <= ram_st_sst_mosi;  -- MM access via QSYS MM bus
@@ -724,18 +732,18 @@ begin
   ram_st_offload_miso <= master_miso_arr(1);
 
   u_mem_master_mux : entity mm_lib.mm_master_mux
-  generic map (
-    g_nof_masters    => c_nof_masters,
-    g_rd_latency_min => 1  -- read latency of statistics RAM is 1
-  )
-  port map (
-    mm_clk => mm_clk,
-
-    master_mosi_arr => master_mosi_arr,
-    master_miso_arr => master_miso_arr,
-    mux_mosi        => master_mem_mux_mosi,
-    mux_miso        => master_mem_mux_miso
-  );
+    generic map (
+      g_nof_masters    => c_nof_masters,
+      g_rd_latency_min => 1  -- read latency of statistics RAM is 1
+    )
+    port map (
+      mm_clk => mm_clk,
+
+      master_mosi_arr => master_mosi_arr,
+      master_miso_arr => master_miso_arr,
+      mux_mosi        => master_mem_mux_mosi,
+      mux_miso        => master_mem_mux_miso
+    );
 
   ---------------------------------------------------------------
   -- STATISTICS OFFLOAD
@@ -743,42 +751,42 @@ begin
   weighted_subbands_flag <= not selector_en when rising_edge(dp_clk);
 
   u_sdp_sst_udp_offload: entity work.sdp_statistics_offload
-  generic map (
-    g_statistics_type => "SST_OS",
-    g_offload_time    => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time)
-  )
-  port map (
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+    generic map (
+      g_statistics_type => "SST_OS",
+      g_offload_time    => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time)
+    )
+    port map (
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    master_mosi => ram_st_offload_mosi,
-    master_miso => ram_st_offload_miso,
+      master_mosi => ram_st_offload_mosi,
+      master_miso => ram_st_offload_miso,
 
-    reg_enable_mosi  => reg_enable_mosi,
-    reg_enable_miso  => reg_enable_miso,
+      reg_enable_mosi  => reg_enable_mosi,
+      reg_enable_miso  => reg_enable_miso,
 
-    reg_hdr_dat_mosi  => reg_hdr_dat_mosi,
-    reg_hdr_dat_miso  => reg_hdr_dat_miso,
+      reg_hdr_dat_mosi  => reg_hdr_dat_mosi,
+      reg_hdr_dat_miso  => reg_hdr_dat_miso,
 
-    reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
-    reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
+      reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
+      reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
 
-    in_sosi      => dp_selector_out_sosi_arr(0),
-    new_interval => dp_bsn_source_new_interval,
+      in_sosi      => dp_selector_out_sosi_arr(0),
+      new_interval => dp_bsn_source_new_interval,
 
-    out_sosi  => sst_udp_sosi,
-    out_siso  => sst_udp_siso,
+      out_sosi  => sst_udp_sosi,
+      out_siso  => sst_udp_siso,
 
-    eth_src_mac  => eth_src_mac,
-    udp_src_port => udp_src_port,
-    ip_src_addr  => ip_src_addr,
+      eth_src_mac  => eth_src_mac,
+      udp_src_port => udp_src_port,
+      ip_src_addr  => ip_src_addr,
 
-    gn_index                => TO_UINT(gn_id),
-    sdp_info                => sdp_info,
-    weighted_subbands_flag  => weighted_subbands_flag
-  );
+      gn_index                => TO_UINT(gn_id),
+      sdp_info                => sdp_info,
+      weighted_subbands_flag  => weighted_subbands_flag
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd
index aabbc80077..06d358883e 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd
@@ -31,11 +31,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_beamformer_local is
   generic (
@@ -137,17 +137,17 @@ begin
   ---------------------------------------------------------------
   gen_deinterleave_x_pol : for I in 0 to c_sdp_P_pfb - 1 generate
     u_dp_deinterleave_x_pol : entity dp_lib.dp_deinterleave_one_to_n
-    generic map(
-      g_nof_outputs => c_sdp_Q_fft
-    )
-    port map(
-      rst   => dp_rst,
-      clk   => dp_clk,
-
-      snk_in => bf_weights_x_sosi_arr(I),
-      src_out_arr(0) => deinterleaved_x_sosi_arr(c_sdp_Q_fft * I),
-      src_out_arr(1) => deinterleaved_x_sosi_arr(c_sdp_Q_fft * I + 1)
-    );
+      generic map(
+        g_nof_outputs => c_sdp_Q_fft
+      )
+      port map(
+        rst   => dp_rst,
+        clk   => dp_clk,
+
+        snk_in => bf_weights_x_sosi_arr(I),
+        src_out_arr(0) => deinterleaved_x_sosi_arr(c_sdp_Q_fft * I),
+        src_out_arr(1) => deinterleaved_x_sosi_arr(c_sdp_Q_fft * I + 1)
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -155,17 +155,17 @@ begin
   ---------------------------------------------------------------
   gen_deinterleave_y_pol : for I in 0 to c_sdp_P_pfb - 1 generate
     u_dp_deinterleave_y_pol : entity dp_lib.dp_deinterleave_one_to_n
-    generic map(
-      g_nof_outputs => c_sdp_Q_fft
-    )
-    port map(
-      rst   => dp_rst,
-      clk   => dp_clk,
-
-      snk_in => bf_weights_y_sosi_arr(I),
-      src_out_arr(0) => deinterleaved_y_sosi_arr(c_sdp_Q_fft * I),
-      src_out_arr(1) => deinterleaved_y_sosi_arr(c_sdp_Q_fft * I + 1)
-    );
+      generic map(
+        g_nof_outputs => c_sdp_Q_fft
+      )
+      port map(
+        rst   => dp_rst,
+        clk   => dp_clk,
+
+        snk_in => bf_weights_y_sosi_arr(I),
+        src_out_arr(0) => deinterleaved_y_sosi_arr(c_sdp_Q_fft * I),
+        src_out_arr(1) => deinterleaved_y_sosi_arr(c_sdp_Q_fft * I + 1)
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -173,48 +173,48 @@ begin
   ---------------------------------------------------------------
   gen_interleave : for I in 0 to c_sdp_S_pn - 1 generate
     u_dp_interleave : entity dp_lib.dp_interleave_n_to_one
+      generic map(
+        g_nof_inputs => c_sdp_N_pol_bf
+      )
+      port map(
+        rst   => dp_rst,
+        clk   => dp_clk,
+
+        snk_in_arr(0) => deinterleaved_x_sosi_arr(I),
+        snk_in_arr(1) => deinterleaved_y_sosi_arr(I),
+        src_out => interleave_out_sosi_arr(I)
+      );
+  end generate;
+
+  ---------------------------------------------------------------
+  -- ADD
+  ---------------------------------------------------------------
+  u_dp_complex_add : entity dp_lib.dp_complex_add
     generic map(
-      g_nof_inputs => c_sdp_N_pol_bf
+      g_nof_inputs => c_sdp_S_pn,
+      g_data_w => c_product_w
     )
     port map(
       rst   => dp_rst,
       clk   => dp_clk,
 
-      snk_in_arr(0) => deinterleaved_x_sosi_arr(I),
-      snk_in_arr(1) => deinterleaved_y_sosi_arr(I),
-      src_out => interleave_out_sosi_arr(I)
+      snk_in_arr => interleave_out_sosi_arr,
+      src_out => complex_add_out_sosi
     );
-  end generate;
-
-  ---------------------------------------------------------------
-  -- ADD
-  ---------------------------------------------------------------
-  u_dp_complex_add : entity dp_lib.dp_complex_add
-  generic map(
-    g_nof_inputs => c_sdp_S_pn,
-    g_data_w => c_product_w
-  )
-  port map(
-    rst   => dp_rst,
-    clk   => dp_clk,
-
-    snk_in_arr => interleave_out_sosi_arr,
-    src_out => complex_add_out_sosi
-  );
 
   ---------------------------------------------------------------
   -- DP PIPELINE IN_SOSI FIELDS
   ---------------------------------------------------------------
   u_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline    => c_total_latency
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    snk_in  => in_sosi_arr(0),
-    src_out => pipelined_in_sosi
-  );
+    generic map (
+      g_pipeline    => c_total_latency
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      snk_in  => in_sosi_arr(0),
+      src_out => pipelined_in_sosi
+    );
 
   ---------------------------------------------------------------
   -- COMBINE OUTPUT WITH PIPELINED IN_SOSI
@@ -230,26 +230,26 @@ begin
   -- REQUANTIZE
   ---------------------------------------------------------------
   u_dp_requantize : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => true,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_sdp_W_bf_weight_fraction + g_raw_fraction_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,  -- wrap beamlet overflow
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,  -- no msb clipping, so no need for pipeline
-    g_in_dat_w            => c_complex_adder_sum_w,
-    g_out_dat_w           => c_sdp_W_beamlet_sum
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => dp_requantize_in_sosi,
-    -- ST source
-    src_out      => out_sosi
-  );
+    generic map (
+      g_complex             => true,
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_sdp_W_bf_weight_fraction + g_raw_fraction_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,  -- wrap beamlet overflow
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,  -- no msb clipping, so no need for pipeline
+      g_in_dat_w            => c_complex_adder_sum_w,
+      g_out_dat_w           => c_sdp_W_beamlet_sum
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => dp_requantize_in_sosi,
+      -- ST source
+      src_out      => out_sosi
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd
index 2ba6c2fb7a..031a1c2477 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd
@@ -31,20 +31,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_beamformer_output is
   generic (
     g_beamset_id : natural := 0
 
-   );
+  );
   port (
     dp_clk   : in  std_logic;
     dp_rst   : in  std_logic;
@@ -151,7 +151,7 @@ begin
       dbg_bsn_offset <= '1';
       snk_in_concat.bsn <= INCR_UVEC(in_sosi.bsn, 1);
     end if;
-    -- synthesis translate_on
+  -- synthesis translate_on
   end process;
 
   -------------------------------------------------------------------------------
@@ -160,64 +160,64 @@ begin
   -- . We don't need to flow control the source because we're going from 16b->64b
   -------------------------------------------------------------------------------
   u_dp_repack_data : entity dp_lib.dp_repack_data
-  generic map (
-    g_in_dat_w      => c_data_w,
-    g_in_nof_words  => 4,
-    g_out_dat_w     => c_longword_w,
-    g_out_nof_words => 1
-  )
-  port map (
-    clk     => dp_clk,
-    rst     => dp_rst,
-
-    snk_in  => snk_in_concat,
-    snk_out => OPEN,
-
-    src_out => dp_repack_data_src_out,
-    src_in  => c_dp_siso_rdy
-  );
+    generic map (
+      g_in_dat_w      => c_data_w,
+      g_in_nof_words  => 4,
+      g_out_dat_w     => c_longword_w,
+      g_out_nof_words => 1
+    )
+    port map (
+      clk     => dp_clk,
+      rst     => dp_rst,
+
+      snk_in  => snk_in_concat,
+      snk_out => OPEN,
+
+      src_out => dp_repack_data_src_out,
+      src_in  => c_dp_siso_rdy
+    );
 
   -------------------------------------------------------------------------------
   -- dp_packet_merge
   -------------------------------------------------------------------------------
   u_dp_packet_merge : entity dp_lib.dp_packet_merge
-  generic map(
-    g_nof_pkt       => c_sdp_cep_nof_blocks_per_packet,
-    g_bsn_increment => 1
-  )
-  port map(
-    rst     => dp_rst,
-    clk     => dp_clk,
-
-    snk_out => OPEN,
-    snk_in  => dp_repack_data_src_out,
-
-    src_in  => c_dp_siso_rdy,
-    src_out => dp_packet_merge_src_out
-  );
+    generic map(
+      g_nof_pkt       => c_sdp_cep_nof_blocks_per_packet,
+      g_bsn_increment => 1
+    )
+    port map(
+      rst     => dp_rst,
+      clk     => dp_clk,
+
+      snk_out => OPEN,
+      snk_in  => dp_repack_data_src_out,
+
+      src_in  => c_dp_siso_rdy,
+      src_out => dp_packet_merge_src_out
+    );
 
   -------------------------------------------------------------------------------
   -- FIFO
   -------------------------------------------------------------------------------
   u_dp_fifo_fill_eop_sc : entity dp_lib.dp_fifo_fill_eop_sc
-  generic map (  -- pass on dp_packet_merge_src_out.err via u_common_fifo_sc_err
-    g_data_w         => c_longword_w,
-    g_empty_w        => c_byte_w,
-    g_use_empty      => true,
-    g_use_bsn        => true,
-    g_bsn_w          => 64,
-    g_use_sync       => true,
-    g_fifo_size      => c_fifo_size,
-    g_fifo_fill      => c_fifo_fill,
-    g_fifo_rl        => 1
-  )
-  port map (
-    clk     => dp_clk,
-    rst     => dp_rst,
-    snk_in  => dp_packet_merge_src_out,
-    src_out => dp_fifo_merge_src_out,
-    src_in  => dp_fifo_merge_src_in
-  );
+    generic map (  -- pass on dp_packet_merge_src_out.err via u_common_fifo_sc_err
+      g_data_w         => c_longword_w,
+      g_empty_w        => c_byte_w,
+      g_use_empty      => true,
+      g_use_bsn        => true,
+      g_bsn_w          => 64,
+      g_use_sync       => true,
+      g_fifo_size      => c_fifo_size,
+      g_fifo_fill      => c_fifo_fill,
+      g_fifo_rl        => 1
+    )
+    port map (
+      clk     => dp_clk,
+      rst     => dp_rst,
+      snk_in  => dp_packet_merge_src_out,
+      src_out => dp_fifo_merge_src_out,
+      src_in  => dp_fifo_merge_src_in
+    );
 
   -- Simple fifo to store the payload error bit at eop of FIFO input to be used at sop of FIFO
   -- output, so that payload_err can then be used in the packet header.
@@ -225,34 +225,34 @@ begin
   -- Choose g_nof_words > c_sdp_N_beamsets to have some margin compared to c_fifo_size of the
   -- data FIFO.
   u_common_fifo_sc_err : entity common_lib.common_fifo_sc
-  generic map (
-    g_dat_w => 1,
-    g_nof_words => c_sdp_N_beamsets + 2
-  )
-  port map (
-    rst    => dp_rst,
-    clk    => dp_clk,
-    wr_dat => dp_packet_merge_src_out.err(0 downto 0),
-    wr_req => dp_packet_merge_src_out.eop,
-    rd_dat => payload_err,
-    rd_req => dp_fifo_merge_src_out.sop
-  );
+    generic map (
+      g_dat_w => 1,
+      g_nof_words => c_sdp_N_beamsets + 2
+    )
+    port map (
+      rst    => dp_rst,
+      clk    => dp_clk,
+      wr_dat => dp_packet_merge_src_out.err(0 downto 0),
+      wr_req => dp_packet_merge_src_out.eop,
+      rd_dat => payload_err,
+      rd_req => dp_fifo_merge_src_out.sop
+    );
 
   -- Pipeline FIFO output to align payload_err at dp_pipeline_src_out.sop
   u_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline => 1
-  )
-  port map  (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    -- ST sink
-    snk_out    => dp_fifo_merge_src_in,
-    snk_in     => dp_fifo_merge_src_out,
-    -- ST source
-    src_in     => dp_pipeline_src_in,
-    src_out    => dp_pipeline_src_out
-  );
+    generic map (
+      g_pipeline => 1
+    )
+    port map  (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      -- ST sink
+      snk_out    => dp_fifo_merge_src_in,
+      snk_in     => dp_fifo_merge_src_out,
+      -- ST source
+      src_in     => dp_pipeline_src_in,
+      src_out    => dp_pipeline_src_out
+    );
 
   -------------------------------------------------------------------------------
   -- Assemble offload info
@@ -344,88 +344,88 @@ begin
   -- dp_offload_tx_v3
   -------------------------------------------------------------------------------
   u_dp_offload_tx_v3 : entity dp_lib.dp_offload_tx_v3
-  generic map (
-    g_nof_streams   => 1,
-    g_data_w        => c_longword_w,
-    g_symbol_w      => c_byte_w,
-    g_hdr_field_arr => c_sdp_cep_hdr_field_arr,
-    g_hdr_field_sel => c_sdp_cep_hdr_field_sel,
-    g_pipeline_ready => true
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_hdr_dat_miso,
-
-    snk_in_arr(0)         => dp_pipeline_src_out,
-    snk_out_arr(0)        => dp_pipeline_src_in,
-
-    src_out_arr(0)        => dp_offload_tx_src_out,
-    src_in_arr(0)         => dp_offload_tx_src_in,
-
-    hdr_fields_in_arr(0)  => dp_offload_tx_hdr_fields,
-    hdr_fields_out_arr(0) => hdr_fields_out
-  );
+    generic map (
+      g_nof_streams   => 1,
+      g_data_w        => c_longword_w,
+      g_symbol_w      => c_byte_w,
+      g_hdr_field_arr => c_sdp_cep_hdr_field_arr,
+      g_hdr_field_sel => c_sdp_cep_hdr_field_sel,
+      g_pipeline_ready => true
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_hdr_dat_miso,
+
+      snk_in_arr(0)         => dp_pipeline_src_out,
+      snk_out_arr(0)        => dp_pipeline_src_in,
+
+      src_out_arr(0)        => dp_offload_tx_src_out,
+      src_in_arr(0)         => dp_offload_tx_src_in,
+
+      hdr_fields_in_arr(0)  => dp_offload_tx_hdr_fields,
+      hdr_fields_out_arr(0) => hdr_fields_out
+    );
 
   -------------------------------------------------------------------------------
   -- tr_10GbE_ip_checksum
   -------------------------------------------------------------------------------
   u_tr_10GbE_ip_checksum : entity tr_10GbE_lib.tr_10GbE_ip_checksum
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
 
-    snk_in  => dp_offload_tx_src_out,
-    snk_out => dp_offload_tx_src_in,
+      snk_in  => dp_offload_tx_src_out,
+      snk_out => dp_offload_tx_src_in,
 
-    src_out => ip_checksum_src_out,
-    src_in  => ip_checksum_src_in
-  );
+      src_out => ip_checksum_src_out,
+      src_in  => ip_checksum_src_in
+    );
 
   -------------------------------------------------------------------------------
   -- dp_pipeline_ready to ease timing closure
   -------------------------------------------------------------------------------
   u_dp_pipeline_ready : entity dp_lib.dp_pipeline_ready
-  port map(
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_out => ip_checksum_src_in,
-    snk_in  => ip_checksum_src_out,
-    src_in  => dp_pipeline_ready_src_in,
-    src_out => dp_pipeline_ready_src_out
-  );
+    port map(
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_out => ip_checksum_src_in,
+      snk_in  => ip_checksum_src_out,
+      src_in  => dp_pipeline_ready_src_in,
+      src_out => dp_pipeline_ready_src_out
+    );
 
   -------------------------------------------------------------------------------
   -- mms_dp_xonoff
   -------------------------------------------------------------------------------
   u_mms_dp_xonoff : entity dp_lib.mms_dp_xonoff
-  generic map(
-    g_default_value => '0'
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_dp_xonoff_mosi,
-    reg_miso    => reg_dp_xonoff_miso,
-
-    -- Streaming clock domain
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    -- ST sinks
-    snk_out_arr(0) => dp_pipeline_ready_src_in,
-    snk_in_arr(0)  => dp_pipeline_ready_src_out,
-    -- ST source
-    src_in_arr(0)  => out_siso,
-    src_out_arr(0) => out_sosi
-  );
+    generic map(
+      g_default_value => '0'
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_dp_xonoff_mosi,
+      reg_miso    => reg_dp_xonoff_miso,
+
+      -- Streaming clock domain
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      -- ST sinks
+      snk_out_arr(0) => dp_pipeline_ready_src_in,
+      snk_in_arr(0)  => dp_pipeline_ready_src_out,
+      -- ST source
+      src_in_arr(0)  => out_siso,
+      src_out_arr(0) => out_sosi
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_remote.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_remote.vhd
index 4d917a2a77..4f386530e5 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_remote.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_remote.vhd
@@ -30,11 +30,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_beamformer_remote is
   port (
@@ -90,84 +90,84 @@ begin
   -- FIFO
   ---------------------------------------------------------------
   u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_data_w     => c_longword_w,
-    g_bsn_w      => c_dp_stream_bsn_w,
-    g_use_bsn    => true,
-    g_use_sync   => true,
-    g_fifo_size  => c_fifo_size
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-
-    snk_in  => from_ri_sosi,
-    src_in  => dp_fifo_siso,
-    src_out => dp_fifo_sosi
-  );
+    generic map (
+      g_data_w     => c_longword_w,
+      g_bsn_w      => c_dp_stream_bsn_w,
+      g_use_bsn    => true,
+      g_use_sync   => true,
+      g_fifo_size  => c_fifo_size
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+
+      snk_in  => from_ri_sosi,
+      src_in  => dp_fifo_siso,
+      src_out => dp_fifo_sosi
+    );
 
   ---------------------------------------------------------------
   -- Repack 64b to 36b
   ---------------------------------------------------------------
   u_dp_repack_data_rx : entity dp_lib.dp_repack_data
-  generic map (
-    g_in_dat_w       => c_longword_w,
-    g_in_nof_words   => 9,  -- 9/16 = 36/64
-    g_out_dat_w      => c_data_w,
-    g_out_nof_words  => 16,  -- 9/16 = 36/64
-    g_pipeline_ready => true
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in  => dp_fifo_sosi,
-    snk_out => dp_fifo_siso,
-    src_out => dispatch_sosi_arr(1)
-  );
+    generic map (
+      g_in_dat_w       => c_longword_w,
+      g_in_nof_words   => 9,  -- 9/16 = 36/64
+      g_out_dat_w      => c_data_w,
+      g_out_nof_words  => 16,  -- 9/16 = 36/64
+      g_pipeline_ready => true
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in  => dp_fifo_sosi,
+      snk_out => dp_fifo_siso,
+      src_out => dispatch_sosi_arr(1)
+    );
 
   ---------------------------------------------------------------
   -- dp_bsn_aligner_v2
   ---------------------------------------------------------------
   u_mmp_dp_bsn_align_v2 : entity dp_lib.mmp_dp_bsn_align_v2
-  generic map(
-    -- for dp_bsn_align_v2
-    g_nof_streams             => c_dual,
-    g_bsn_latency_max         => 2,  -- max 2 blocks latency
-    g_nof_aligners_max        => c_sdp_N_pn_max,
-    g_block_size              => c_block_size,
-    g_data_w                  => c_data_w,
-    g_use_mm_output           => false,
-    g_rd_latency              => 1,
-    -- for mms_dp_bsn_monitor_v2
-    g_nof_clk_per_sync        => c_sdp_N_clk_sync_timeout,  -- Using c_sdp_N_clk_sync_timeout as g_nof_clk_per_sync is used for BSN monitor timeout.
-    g_nof_input_bsn_monitors  => c_dual,
-    g_use_bsn_output_monitor  => true
+    generic map(
+      -- for dp_bsn_align_v2
+      g_nof_streams             => c_dual,
+      g_bsn_latency_max         => 2,  -- max 2 blocks latency
+      g_nof_aligners_max        => c_sdp_N_pn_max,
+      g_block_size              => c_block_size,
+      g_data_w                  => c_data_w,
+      g_use_mm_output           => false,
+      g_rd_latency              => 1,
+      -- for mms_dp_bsn_monitor_v2
+      g_nof_clk_per_sync        => c_sdp_N_clk_sync_timeout,  -- Using c_sdp_N_clk_sync_timeout as g_nof_clk_per_sync is used for BSN monitor timeout.
+      g_nof_input_bsn_monitors  => c_dual,
+      g_use_bsn_output_monitor  => true
     )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst                  => mm_rst,
-    mm_clk                  => mm_clk,
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst                  => mm_rst,
+      mm_clk                  => mm_clk,
 
-    reg_bsn_align_copi      => reg_bsn_align_copi,
-    reg_bsn_align_cipo      => reg_bsn_align_cipo,
+      reg_bsn_align_copi      => reg_bsn_align_copi,
+      reg_bsn_align_cipo      => reg_bsn_align_cipo,
 
-    reg_input_monitor_copi  => reg_bsn_monitor_v2_bsn_align_input_copi,
-    reg_input_monitor_cipo  => reg_bsn_monitor_v2_bsn_align_input_cipo,
+      reg_input_monitor_copi  => reg_bsn_monitor_v2_bsn_align_input_copi,
+      reg_input_monitor_cipo  => reg_bsn_monitor_v2_bsn_align_input_cipo,
 
-    reg_output_monitor_copi => reg_bsn_monitor_v2_bsn_align_output_copi,
-    reg_output_monitor_cipo => reg_bsn_monitor_v2_bsn_align_output_cipo,
+      reg_output_monitor_copi => reg_bsn_monitor_v2_bsn_align_output_copi,
+      reg_output_monitor_cipo => reg_bsn_monitor_v2_bsn_align_output_cipo,
 
-    -- Streaming clock domain
-    dp_rst     => dp_rst,
-    dp_clk     => dp_clk,
+      -- Streaming clock domain
+      dp_rst     => dp_rst,
+      dp_clk     => dp_clk,
 
-    node_index => rn_index,
+      node_index => rn_index,
 
-    -- Streaming input
-    in_sosi_arr  => dispatch_sosi_arr,
-    out_sosi_arr => beamlets_data_sosi_arr
-  );
+      -- Streaming input
+      in_sosi_arr  => dispatch_sosi_arr,
+      out_sosi_arr => beamlets_data_sosi_arr
+    );
 
   -- repacking beamlets data to re/im field.
   p_wire_beamlets_sosi : process(beamlets_data_sosi_arr)
@@ -185,17 +185,17 @@ begin
   -- ADD local + remote
   ---------------------------------------------------------------
   u_dp_complex_add : entity dp_lib.dp_complex_add
-  generic map(
-    g_nof_inputs => c_dual,
-    g_data_w => c_sdp_W_beamlet_sum
-  )
-  port map(
-    rst   => dp_rst,
-    clk   => dp_clk,
-
-    snk_in_arr => beamlets_sosi_arr,
-    src_out    => i_bf_sum_sosi
-  );
+    generic map(
+      g_nof_inputs => c_dual,
+      g_data_w => c_sdp_W_beamlet_sum
+    )
+    port map(
+      rst   => dp_rst,
+      clk   => dp_clk,
+
+      snk_in_arr => beamlets_sosi_arr,
+      src_out    => i_bf_sum_sosi
+    );
 
 
   ---------------------------------------------------------------
@@ -216,19 +216,19 @@ begin
   end process;
 
   u_dp_repack_data_local : entity dp_lib.dp_repack_data
-  generic map (
-    g_in_dat_w       => c_data_w,
-    g_in_nof_words   => 16,  -- 16/9 = 64/36
-    g_out_dat_w      => c_longword_w,
-    g_out_nof_words  => 9,  -- 16/9 = 64/36
-    g_pipeline_ready => true
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in  => bf_sum_data_sosi,
-    src_out => to_ri_sosi
-  );
+    generic map (
+      g_in_dat_w       => c_data_w,
+      g_in_nof_words   => 16,  -- 16/9 = 64/36
+      g_out_dat_w      => c_longword_w,
+      g_out_nof_words  => 9,  -- 16/9 = 64/36
+      g_pipeline_ready => true
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in  => bf_sum_data_sosi,
+      src_out => to_ri_sosi
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_bf_weights.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_bf_weights.vhd
index 471c8c4f09..5034b0effa 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_bf_weights.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_bf_weights.vhd
@@ -35,11 +35,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_bf_weights is
   generic (
@@ -121,32 +121,32 @@ begin
   -- Gain
   ---------------------------------------------------------------
   u_mms_dp_gain_serial_arr : entity dp_lib.mms_dp_gain_serial_arr
-  generic map (
-    g_nof_streams     => c_sdp_N_pol_bf * c_sdp_P_pfb,
-    g_nof_gains       => c_sdp_Q_fft * c_sdp_S_sub_bf,
-    g_complex_data    => true,
-    g_complex_gain    => true,
-    g_gain_w          => c_sdp_W_bf_weight,
-    g_in_dat_w        => g_raw_dat_w,
-    g_out_dat_w       => c_gain_out_dat_w,
-    g_gains_file_name => g_gains_file_name
-  )
-  port map (
-    -- System
-    mm_rst            =>  mm_rst,
-    mm_clk            =>  mm_clk,
-    dp_rst            =>  dp_rst,
-    dp_clk            =>  dp_clk,
-
-    -- MM interface
-    ram_gains_mosi    =>  ram_gains_mosi,
-    ram_gains_miso    =>  ram_gains_miso,
-
-    -- ST interface
-    gains_rd_address  =>  gains_rd_address,
-
-    in_sosi_arr       =>  in_sosi_arr,
-    out_sosi_arr      =>  out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_sdp_N_pol_bf * c_sdp_P_pfb,
+      g_nof_gains       => c_sdp_Q_fft * c_sdp_S_sub_bf,
+      g_complex_data    => true,
+      g_complex_gain    => true,
+      g_gain_w          => c_sdp_W_bf_weight,
+      g_in_dat_w        => g_raw_dat_w,
+      g_out_dat_w       => c_gain_out_dat_w,
+      g_gains_file_name => g_gains_file_name
+    )
+    port map (
+      -- System
+      mm_rst            =>  mm_rst,
+      mm_clk            =>  mm_clk,
+      dp_rst            =>  dp_rst,
+      dp_clk            =>  dp_clk,
+
+      -- MM interface
+      ram_gains_mosi    =>  ram_gains_mosi,
+      ram_gains_miso    =>  ram_gains_miso,
+
+      -- ST interface
+      gains_rd_address  =>  gains_rd_address,
+
+      in_sosi_arr       =>  in_sosi_arr,
+      out_sosi_arr      =>  out_sosi_arr
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_crosslets_subband_select.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_crosslets_subband_select.vhd
index 9482e28ea7..0a55e0a16c 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_crosslets_subband_select.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_crosslets_subband_select.vhd
@@ -45,12 +45,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, reorder_lib, st_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_crosslets_subband_select is
   generic (
@@ -133,48 +133,48 @@ begin
   -- BSN sync scheduler
   ---------------------------------------------------------------
   u_mmp_dp_bsn_sync_scheduler_arr : entity dp_lib.mmp_dp_bsn_sync_scheduler_arr
-  generic map (
-    g_nof_streams            => c_sdp_P_pfb,
-    g_block_size             => c_sdp_N_fft,
-    g_ctrl_interval_size_min => g_ctrl_interval_size_min
-  )
-  port map (
-    dp_rst   => dp_rst,
-    dp_clk   => dp_clk,
-    mm_rst   => mm_rst,
-    mm_clk   => mm_clk,
-
-    reg_mosi => reg_bsn_sync_scheduler_xsub_mosi,
-    reg_miso => reg_bsn_sync_scheduler_xsub_miso,
-
-    in_sosi_arr  => in_sosi_arr,
-    out_sosi_arr => dp_bsn_sync_scheduler_src_out_arr,
-
-    out_start => start_trigger,
-    out_start_interval => new_interval
-  );
+    generic map (
+      g_nof_streams            => c_sdp_P_pfb,
+      g_block_size             => c_sdp_N_fft,
+      g_ctrl_interval_size_min => g_ctrl_interval_size_min
+    )
+    port map (
+      dp_rst   => dp_rst,
+      dp_clk   => dp_clk,
+      mm_rst   => mm_rst,
+      mm_clk   => mm_clk,
+
+      reg_mosi => reg_bsn_sync_scheduler_xsub_mosi,
+      reg_miso => reg_bsn_sync_scheduler_xsub_miso,
+
+      in_sosi_arr  => in_sosi_arr,
+      out_sosi_arr => dp_bsn_sync_scheduler_src_out_arr,
+
+      out_start => start_trigger,
+      out_start_interval => new_interval
+    );
 
   ---------------------------------------------------------------
   -- Crosslets info
   ---------------------------------------------------------------
   u_crosslets_info : entity common_lib.mms_common_reg
-  generic map(
-    g_mm_reg => c_sdp_mm_reg_crosslets_info
-  )
-  port map(
-    -- Clocks and reset
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    st_rst => dp_rst,
-    st_clk => dp_clk,
-
-    -- MM bus access in memory-mapped clock domain
-    reg_mosi => reg_crosslets_info_mosi,
-    reg_miso => reg_crosslets_info_miso,
-
-    in_reg   => crosslets_info_reg_in,
-    out_reg  => crosslets_info_reg
-  );
+    generic map(
+      g_mm_reg => c_sdp_mm_reg_crosslets_info
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      st_rst => dp_rst,
+      st_clk => dp_clk,
+
+      -- MM bus access in memory-mapped clock domain
+      reg_mosi => reg_crosslets_info_mosi,
+      reg_miso => reg_crosslets_info_miso,
+
+      in_reg   => crosslets_info_reg_in,
+      out_reg  => crosslets_info_reg
+    );
 
   p_set_unused_crosslets : process(cur_crosslets_info)
   begin
@@ -269,62 +269,62 @@ begin
   col_select_mosi <= r.col_select_mosi;
   -- pipeline to time row select
   u_pipe_row_select : entity common_lib.common_pipeline
-  generic map(
-    g_pipeline => c_row_select_pipeline,
-    g_in_dat_w => c_row_select_slv_w,
-    g_out_dat_w => c_row_select_slv_w
-  )
-  port map(
-    rst => dp_rst,
-    clk => dp_clk,
-    in_dat => r.row_select_slv,
-    out_dat => row_select_slv
-  );
+    generic map(
+      g_pipeline => c_row_select_pipeline,
+      g_in_dat_w => c_row_select_slv_w,
+      g_out_dat_w => c_row_select_slv_w
+    )
+    port map(
+      rst => dp_rst,
+      clk => dp_clk,
+      in_dat => r.row_select_slv,
+      out_dat => row_select_slv
+    );
 
   ---------------------------------------------------------------
   -- Crosslet Select
   ---------------------------------------------------------------
   u_reorder_col_wide_select : entity reorder_lib.reorder_col_wide_select
-  generic map (
-    g_nof_inputs         => c_sdp_P_pfb,
-    g_dsp_data_w         => c_sdp_W_crosslet,
-    g_nof_ch_in          => c_sdp_N_sub * c_sdp_Q_fft,
-    g_nof_ch_sel         => g_N_crosslets * c_sdp_S_pn
-  )
-  port map (
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-
-    -- Memory Mapped
-    col_select_mosi    => col_select_mosi,
-    col_select_miso    => col_select_miso,
-
-    -- Streaming
-    input_sosi_arr     => dp_bsn_sync_scheduler_src_out_arr,
-
-    output_sosi_arr    => col_sosi_arr
-  );
+    generic map (
+      g_nof_inputs         => c_sdp_P_pfb,
+      g_dsp_data_w         => c_sdp_W_crosslet,
+      g_nof_ch_in          => c_sdp_N_sub * c_sdp_Q_fft,
+      g_nof_ch_sel         => g_N_crosslets * c_sdp_S_pn
+    )
+    port map (
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+
+      -- Memory Mapped
+      col_select_mosi    => col_select_mosi,
+      col_select_miso    => col_select_miso,
+
+      -- Streaming
+      input_sosi_arr     => dp_bsn_sync_scheduler_src_out_arr,
+
+      output_sosi_arr    => col_sosi_arr
+    );
 
   u_reorder_row_select : entity reorder_lib.reorder_row_select
-  generic map (
-    g_dsp_data_w         => c_sdp_W_crosslet,
-    g_nof_inputs         => c_sdp_P_pfb,
-    g_nof_outputs        => 1,
-    g_pipeline_in        => 0,
-    g_pipeline_in_m      => 1,
-    g_pipeline_out       => 1
-  )
-  port map (
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-
-    in_select      => row_select_slv,
-
-    -- Streaming
-    input_sosi_arr     => col_sosi_arr,
-
-    output_sosi_arr(0) => row_sosi
-  );
+    generic map (
+      g_dsp_data_w         => c_sdp_W_crosslet,
+      g_nof_inputs         => c_sdp_P_pfb,
+      g_nof_outputs        => 1,
+      g_pipeline_in        => 0,
+      g_pipeline_in_m      => 1,
+      g_pipeline_out       => 1
+    )
+    port map (
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+
+      in_select      => row_select_slv,
+
+      -- Streaming
+      input_sosi_arr     => col_sosi_arr,
+
+      output_sosi_arr(0) => row_sosi
+    );
 
   ---------------------------------------------------------------
   -- Out Crosslet info pipeline
@@ -336,48 +336,48 @@ begin
 
   -- pipeline for alignment with sync
   u_common_pipeline_cur : entity common_lib.common_pipeline
-  generic map(
-    g_pipeline  => c_crosslets_info_dly,
-    g_in_dat_w  => c_sdp_crosslets_info_reg_w,
-    g_out_dat_w => c_sdp_crosslets_info_reg_w
-  )
-  port map(
-    rst => dp_rst,
-    clk => dp_clk,
-    in_en => row_sosi.sync,
-    in_dat => active_crosslets_info,
-    out_dat => cur_crosslets_info
-  );
+    generic map(
+      g_pipeline  => c_crosslets_info_dly,
+      g_in_dat_w  => c_sdp_crosslets_info_reg_w,
+      g_out_dat_w => c_sdp_crosslets_info_reg_w
+    )
+    port map(
+      rst => dp_rst,
+      clk => dp_clk,
+      in_en => row_sosi.sync,
+      in_dat => active_crosslets_info,
+      out_dat => cur_crosslets_info
+    );
 
   u_common_pipeline_prev : entity common_lib.common_pipeline
-  generic map(
-    g_pipeline  => c_crosslets_info_dly,
-    g_in_dat_w  => c_sdp_crosslets_info_reg_w,
-    g_out_dat_w => c_sdp_crosslets_info_reg_w
-  )
-  port map(
-    rst => dp_rst,
-    clk => dp_clk,
-    in_en => row_sosi.sync,
-    in_dat => cur_crosslets_info,
-    out_dat => prev_crosslets_info
-  );
+    generic map(
+      g_pipeline  => c_crosslets_info_dly,
+      g_in_dat_w  => c_sdp_crosslets_info_reg_w,
+      g_out_dat_w => c_sdp_crosslets_info_reg_w
+    )
+    port map(
+      rst => dp_rst,
+      clk => dp_clk,
+      in_en => row_sosi.sync,
+      in_dat => cur_crosslets_info,
+      out_dat => prev_crosslets_info
+    );
 
   ---------------------------------------------------------------
   -- Out sosi pipeline
   ---------------------------------------------------------------
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline => c_out_sosi_pipeline
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => row_sosi,
-    -- ST source
-    src_out      => out_sosi
-  );
+    generic map (
+      g_pipeline => c_out_sosi_pipeline
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => row_sosi,
+      -- ST source
+      src_out      => out_sosi
+    );
 
   -- Map crosslets_info slv to record for easier view in Wave window
   crosslets_info_rec        <= func_sdp_map_crosslets_info(crosslets_info_reg);
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_info.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_info.vhd
index ef69b79c2b..f236eb0aee 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_info.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_info.vhd
@@ -33,11 +33,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_info is
   port (
@@ -69,21 +69,21 @@ architecture str of sdp_info is
 begin
 
   u_mm_fields: entity work.sdp_info_reg
-  port map (
+    port map (
 
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    reg_mosi  => reg_mosi,
-    reg_miso  => reg_miso,
+      reg_mosi  => reg_mosi,
+      reg_miso  => reg_miso,
 
-    -- sdp info
-    sdp_info_ro => sdp_info_ro,
-    sdp_info    => sdp_info
-  );
+      -- sdp info
+      sdp_info_ro => sdp_info_ro,
+      sdp_info    => sdp_info
+    );
 
 
   -- f_adc    : '0' => 160M, '1' => 200M
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd
index dfd62b3ff6..f5027800c3 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd
@@ -33,11 +33,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_info_reg is
   port (
@@ -61,15 +61,15 @@ end sdp_info_reg;
 architecture str of sdp_info_reg is
 
   constant c_field_arr : t_common_field_arr(8 downto 0) :=
-      ( (field_name_pad("antenna_field_index"),     "RW",  6, field_default(0)),  -- = station_info[15:10]
-        (field_name_pad("station_id"),              "RW", 10, field_default(0)),  -- = station_info[9:0]
-        (field_name_pad("antenna_band_index"),      "RW",  1, field_default(0)),
-        (field_name_pad("observation_id"),          "RW", 32, field_default(0)),
-        (field_name_pad("nyquist_zone_index"),      "RW",  2, field_default(0)),
-        (field_name_pad("f_adc"),                   "RO",  1, field_default(0)),
-        (field_name_pad("fsub_type"),               "RO",  1, field_default(0)),
-        (field_name_pad("beam_repositioning_flag"), "RW",  1, field_default(0)),
-        (field_name_pad("block_period"),            "RO", 16, field_default(0)) );
+  ( (field_name_pad("antenna_field_index"),     "RW",  6, field_default(0)),  -- = station_info[15:10]
+    (field_name_pad("station_id"),              "RW", 10, field_default(0)),  -- = station_info[9:0]
+    (field_name_pad("antenna_band_index"),      "RW",  1, field_default(0)),
+    (field_name_pad("observation_id"),          "RW", 32, field_default(0)),
+    (field_name_pad("nyquist_zone_index"),      "RW",  2, field_default(0)),
+    (field_name_pad("f_adc"),                   "RO",  1, field_default(0)),
+    (field_name_pad("fsub_type"),               "RO",  1, field_default(0)),
+    (field_name_pad("beam_repositioning_flag"), "RW",  1, field_default(0)),
+    (field_name_pad("block_period"),            "RO", 16, field_default(0)) );
 
   signal mm_fields_in  : std_logic_vector(field_slv_in_len(c_field_arr) - 1 downto 0);
   signal mm_fields_out : std_logic_vector(field_slv_out_len(c_field_arr) - 1 downto 0);
@@ -94,25 +94,25 @@ begin
 
 
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
-    g_field_arr       => c_field_arr
-  )
-  port map (
-    mm_clk     => mm_clk,
-    mm_rst     => mm_rst,
+    generic map(
+      g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
+      g_field_arr       => c_field_arr
+    )
+    port map (
+      mm_clk     => mm_clk,
+      mm_rst     => mm_rst,
 
-    mm_mosi    => reg_mosi,
-    mm_miso    => reg_miso,
+      mm_mosi    => reg_mosi,
+      mm_miso    => reg_miso,
 
-    slv_clk    => dp_clk,
-    slv_rst    => dp_rst,
+      slv_clk    => dp_clk,
+      slv_rst    => dp_rst,
 
-    slv_in     => mm_fields_in,
-    slv_in_val => '1',
+      slv_in     => mm_fields_in,
+      slv_in_val => '1',
 
-    slv_out    => mm_fields_out
-  );
+      slv_out    => mm_fields_out
+    );
 
   -- add "RO" fields to mm_fields
   mm_fields_in(field_hi(c_field_arr, "f_adc") downto field_lo(c_field_arr, "f_adc"))               <= slv(sdp_info_rd.f_adc);
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd
index cae9604c18..6c45b848a9 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd
@@ -28,18 +28,18 @@
 -- . [1] https://support.astron.nl/confluence/display/L2M/L3+SDP+Decision%3A+SDP+Parameter+definitions
 -------------------------------------------------------------------------------
 library IEEE, common_lib, rTwoSDF_lib, fft_lib, filter_lib, wpfb_lib, diag_lib, tech_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use diag_lib.diag_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.fft_pkg.all;
-use filter_lib.fil_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use tech_jesd204b_lib.tech_jesd204b_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.fft_pkg.all;
+  use filter_lib.fil_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use tech_jesd204b_lib.tech_jesd204b_pkg.all;
 
 package sdp_pkg is
   -------------------------------------------------
@@ -58,10 +58,17 @@ package sdp_pkg is
     block_period            : std_logic_vector(15 downto 0);
   end record;
 
-  constant c_sdp_info_rst : t_sdp_info :=
-      ( (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'),
-        '0', '0', '0',
-        (others => '0') );
+  constant c_sdp_info_rst : t_sdp_info := (
+     (others => '0'),
+    (others => '0'),
+    '0',
+    (others => '0'),
+    (others => '0'),
+    '0',
+    '0',
+    '0',
+    (others => '0') 
+  );
 
   -------------------------------------------------
   -- SDP specific parameters as defined in [1]
@@ -217,13 +224,32 @@ package sdp_pkg is
   constant c_sdp_W_fft_out_gain            : natural := 2;
   constant c_sdp_W_stat_data               : natural := c_sdp_W_subband * 2 + ceil_log2(c_sdp_N_int_sub_hi);  -- = 54
 
-  constant c_sdp_wpfb_subbands : t_wpfb :=
-    (1, c_sdp_N_fft, 0, c_sdp_P_pfb,
-     c_sdp_N_taps, c_sdp_W_fil_backoff, c_sdp_W_adc, c_sdp_W_fft_in_dat, c_sdp_W_fir_coef,
-     true, false, true,
-     c_sdp_W_fft_in_dat, c_sdp_W_subband, c_sdp_W_fft_out_gain, c_sdp_W_fft_stage_dat, c_sdp_W_fft_guard, true,
-     c_sdp_W_stat_data, c_sdp_W_statistic_sz, c_sdp_N_int_sub_hi,
-     c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);  -- = c_wpfb_lofar2_subbands_l2ts_18b
+  constant c_sdp_wpfb_subbands : t_wpfb := (
+    1,
+    c_sdp_N_fft,
+    0,
+    c_sdp_P_pfb,
+    c_sdp_N_taps,
+    c_sdp_W_fil_backoff,
+    c_sdp_W_adc,
+    c_sdp_W_fft_in_dat,
+    c_sdp_W_fir_coef,
+    true,
+    false,
+    true,
+    c_sdp_W_fft_in_dat,
+    c_sdp_W_subband,
+    c_sdp_W_fft_out_gain,
+    c_sdp_W_fft_stage_dat,
+    c_sdp_W_fft_guard,
+    true,
+    c_sdp_W_stat_data,
+    c_sdp_W_statistic_sz,
+    c_sdp_N_int_sub_hi,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );  -- = c_wpfb_lofar2_subbands_l2ts_18b
 
   constant c_sdp_wpfb_complex_subbands : t_wpfb := func_wpfb_map_real_input_wpfb_parameters_to_complex_input(c_sdp_wpfb_subbands);
 
@@ -286,58 +312,58 @@ package sdp_pkg is
   --   and default hdr_fields_in_arr = 0 or via MM controlled and field_default(0).
   --                                                                                                     eth   ip             udp    app
   constant c_sdp_stat_hdr_field_sel     : std_logic_vector(c_sdp_stat_nof_hdr_fields - 1 downto 0) := "1" & "101" & "111011111001" & "0100" & "0100" & "00000000" & "1000000" & "0";  -- current
---CONSTANT c_sdp_stat_hdr_field_sel     : STD_LOGIC_VECTOR(c_sdp_stat_nof_hdr_fields-1 DOWNTO 0) := "1"&"101"&"111011111001"&"0101"&"0100"&"00000000"&"0000100"&"0";  -- previous 26 nov 2021
---CONSTANT c_sdp_stat_hdr_field_sel     : STD_LOGIC_VECTOR(c_sdp_stat_nof_hdr_fields-1 DOWNTO 0) := "0"&"100"&"000000010001"&"0100"&"0100"&"00000000"&"1000000"&"0";  -- initial
+  --CONSTANT c_sdp_stat_hdr_field_sel     : STD_LOGIC_VECTOR(c_sdp_stat_nof_hdr_fields-1 DOWNTO 0) := "1"&"101"&"111011111001"&"0101"&"0100"&"00000000"&"0000100"&"0";  -- previous 26 nov 2021
+  --CONSTANT c_sdp_stat_hdr_field_sel     : STD_LOGIC_VECTOR(c_sdp_stat_nof_hdr_fields-1 DOWNTO 0) := "0"&"100"&"000000010001"&"0100"&"0100"&"00000000"&"1000000"&"0";  -- initial
 
   -- Default use destination MAC/IP/UDP = 0, so these have to be MM programmed before
   -- statistics offload packets can be send.
   constant c_sdp_stat_hdr_field_arr : t_common_field_arr(c_sdp_stat_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("word_align"                              ), "RW", 16, field_default(0) ),  -- Tx TSE IP will strip these 2 padding bytes
-      ( field_name_pad("eth_dst_mac"                             ), "RW", 48, field_default(0) ),  -- c_sdp_stat_eth_dst_mac
-      ( field_name_pad("eth_src_mac"                             ), "RW", 48, field_default(0) ),
-      ( field_name_pad("eth_type"                                ), "RW", 16, field_default(x"0800") ),
-
-      ( field_name_pad("ip_version"                              ), "RW",  4, field_default(4) ),
-      ( field_name_pad("ip_header_length"                        ), "RW",  4, field_default(5) ),
-      ( field_name_pad("ip_services"                             ), "RW",  8, field_default(0) ),
-      ( field_name_pad("ip_total_length"                         ), "RW", 16, field_default(0) ),  -- differs for SST, BST, XST so set by data path
-      ( field_name_pad("ip_identification"                       ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_flags"                                ), "RW",  3, field_default(2) ),
-      ( field_name_pad("ip_fragment_offset"                      ), "RW", 13, field_default(0) ),
-      ( field_name_pad("ip_time_to_live"                         ), "RW",  8, field_default(127) ),
-      ( field_name_pad("ip_protocol"                             ), "RW",  8, field_default(17) ),
-      ( field_name_pad("ip_header_checksum"                      ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_src_addr"                             ), "RW", 32, field_default(0) ),
-      ( field_name_pad("ip_dst_addr"                             ), "RW", 32, field_default(0) ),  -- c_sdp_stat_ip_dst_addr
-
-      ( field_name_pad("udp_src_port"                            ), "RW", 16, field_default(0) ),
-      ( field_name_pad("udp_dst_port"                            ), "RW", 16, field_default(0) ),  -- c_sdp_stat_udp_dst_port
-      ( field_name_pad("udp_total_length"                        ), "RW", 16, field_default(0) ),  -- differs for SST, BST, XST so set by data path
-      ( field_name_pad("udp_checksum"                            ), "RW", 16, field_default(0) ),
-
-      ( field_name_pad("sdp_marker"                              ), "RW",  8, field_default(0) ),  -- differs for SST, BST, XST so set by data path
-      ( field_name_pad("sdp_version_id"                          ), "RW",  8, field_default(c_sdp_stat_version_id) ),
-      ( field_name_pad("sdp_observation_id"                      ), "RW", 32, field_default(0) ),
-      ( field_name_pad("sdp_station_info"                        ), "RW", 16, field_default(0) ),
-
-      ( field_name_pad("sdp_source_info_antenna_band_id"         ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_nyquist_zone_id"         ), "RW",  2, field_default(0) ),
-      ( field_name_pad("sdp_source_info_f_adc"                   ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_fsub_type"               ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_payload_error"           ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_beam_repositioning_flag" ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_weighted_subbands_flag"  ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_gn_id"                   ), "RW",  8, field_default(0) ),
-
-      ( field_name_pad("sdp_reserved"                            ), "RW",  8, field_default(0) ),
-      ( field_name_pad("sdp_integration_interval"                ), "RW", 24, field_default(0) ),
-      ( field_name_pad("sdp_data_id"                             ), "RW", 32, field_default(0) ),
-      ( field_name_pad("sdp_nof_signal_inputs"                   ), "RW",  8, field_default(0) ),
-      ( field_name_pad("sdp_nof_bytes_per_statistic"             ), "RW",  8, field_default(c_sdp_nof_bytes_per_statistic) ),
-      ( field_name_pad("sdp_nof_statistics_per_packet"           ), "RW", 16, field_default(0) ),
-      ( field_name_pad("sdp_block_period"                        ), "RW", 16, field_default(c_sdp_block_period) ),
-
-      ( field_name_pad("dp_bsn"                                  ), "RW", 64, field_default(0) )
+    ( field_name_pad("word_align"                              ), "RW", 16, field_default(0) ),  -- Tx TSE IP will strip these 2 padding bytes
+    ( field_name_pad("eth_dst_mac"                             ), "RW", 48, field_default(0) ),  -- c_sdp_stat_eth_dst_mac
+    ( field_name_pad("eth_src_mac"                             ), "RW", 48, field_default(0) ),
+    ( field_name_pad("eth_type"                                ), "RW", 16, field_default(x"0800") ),
+
+    ( field_name_pad("ip_version"                              ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"                        ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"                             ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"                         ), "RW", 16, field_default(0) ),  -- differs for SST, BST, XST so set by data path
+    ( field_name_pad("ip_identification"                       ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"                                ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"                      ), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"                         ), "RW",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"                             ), "RW",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum"                      ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"                             ), "RW", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"                             ), "RW", 32, field_default(0) ),  -- c_sdp_stat_ip_dst_addr
+
+    ( field_name_pad("udp_src_port"                            ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"                            ), "RW", 16, field_default(0) ),  -- c_sdp_stat_udp_dst_port
+    ( field_name_pad("udp_total_length"                        ), "RW", 16, field_default(0) ),  -- differs for SST, BST, XST so set by data path
+    ( field_name_pad("udp_checksum"                            ), "RW", 16, field_default(0) ),
+
+    ( field_name_pad("sdp_marker"                              ), "RW",  8, field_default(0) ),  -- differs for SST, BST, XST so set by data path
+    ( field_name_pad("sdp_version_id"                          ), "RW",  8, field_default(c_sdp_stat_version_id) ),
+    ( field_name_pad("sdp_observation_id"                      ), "RW", 32, field_default(0) ),
+    ( field_name_pad("sdp_station_info"                        ), "RW", 16, field_default(0) ),
+
+    ( field_name_pad("sdp_source_info_antenna_band_id"         ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_nyquist_zone_id"         ), "RW",  2, field_default(0) ),
+    ( field_name_pad("sdp_source_info_f_adc"                   ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_fsub_type"               ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_payload_error"           ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_beam_repositioning_flag" ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_weighted_subbands_flag"  ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_gn_id"                   ), "RW",  8, field_default(0) ),
+
+    ( field_name_pad("sdp_reserved"                            ), "RW",  8, field_default(0) ),
+    ( field_name_pad("sdp_integration_interval"                ), "RW", 24, field_default(0) ),
+    ( field_name_pad("sdp_data_id"                             ), "RW", 32, field_default(0) ),
+    ( field_name_pad("sdp_nof_signal_inputs"                   ), "RW",  8, field_default(0) ),
+    ( field_name_pad("sdp_nof_bytes_per_statistic"             ), "RW",  8, field_default(c_sdp_nof_bytes_per_statistic) ),
+    ( field_name_pad("sdp_nof_statistics_per_packet"           ), "RW", 16, field_default(0) ),
+    ( field_name_pad("sdp_block_period"                        ), "RW", 16, field_default(c_sdp_block_period) ),
+
+    ( field_name_pad("dp_bsn"                                  ), "RW", 64, field_default(0) )
   );
   constant c_sdp_reg_stat_hdr_dat_addr_w : natural := ceil_log2(field_nof_words(c_sdp_stat_hdr_field_arr, c_word_w));
 
@@ -419,57 +445,57 @@ package sdp_pkg is
   -- Remarks: see remarks at c_sdp_stat_nof_hdr_fields.
   --                                                                                            eth   ip             udp    app
   constant c_sdp_cep_hdr_field_sel  : std_logic_vector(c_sdp_cep_nof_hdr_fields - 1 downto 0) := "111" & "111111111011" & "1110" & "1100" & "100000010" & "100110" & "0";  -- current
---CONSTANT c_sdp_cep_hdr_field_sel  : STD_LOGIC_VECTOR(c_sdp_cep_nof_hdr_fields-1 DOWNTO 0) := "101"&"111111111001"&"0111"&"1100"&"100000010"&"000110"&"0";  -- previous 27 sep 2022
---CONSTANT c_sdp_cep_hdr_field_sel  : STD_LOGIC_VECTOR(c_sdp_cep_nof_hdr_fields-1 DOWNTO 0) := "100"&"000000010001"&"0100"&"0100"&"100000000"&"101000"&"0";  -- initial
+  --CONSTANT c_sdp_cep_hdr_field_sel  : STD_LOGIC_VECTOR(c_sdp_cep_nof_hdr_fields-1 DOWNTO 0) := "101"&"111111111001"&"0111"&"1100"&"100000010"&"000110"&"0";  -- previous 27 sep 2022
+  --CONSTANT c_sdp_cep_hdr_field_sel  : STD_LOGIC_VECTOR(c_sdp_cep_nof_hdr_fields-1 DOWNTO 0) := "100"&"000000010001"&"0100"&"0100"&"100000000"&"101000"&"0";  -- initial
 
   -- Default use source MAC/IP/UDP = 0 and destination MAC/IP/UDP = 0, so these have to be MM programmed
   -- before beamlet output packets can be send.
   constant c_sdp_cep_hdr_field_arr : t_common_field_arr(c_sdp_cep_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("eth_dst_mac"                        ), "RW", 48, field_default(0) ),  -- c_sdp_cep_eth_dst_mac
-      ( field_name_pad("eth_src_mac"                        ), "RW", 48, field_default(0) ),
-      ( field_name_pad("eth_type"                           ), "RW", 16, field_default(x"0800") ),
-
-      ( field_name_pad("ip_version"                         ), "RW",  4, field_default(4) ),
-      ( field_name_pad("ip_header_length"                   ), "RW",  4, field_default(5) ),
-      ( field_name_pad("ip_services"                        ), "RW",  8, field_default(0) ),
-      ( field_name_pad("ip_total_length"                    ), "RW", 16, field_default(c_sdp_cep_ip_total_length) ),
-      ( field_name_pad("ip_identification"                  ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_flags"                           ), "RW",  3, field_default(2) ),
-      ( field_name_pad("ip_fragment_offset"                 ), "RW", 13, field_default(0) ),
-      ( field_name_pad("ip_time_to_live"                    ), "RW",  8, field_default(127) ),
-      ( field_name_pad("ip_protocol"                        ), "RW",  8, field_default(17) ),
-      ( field_name_pad("ip_header_checksum"                 ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_src_addr"                        ), "RW", 32, field_default(0) ),
-      ( field_name_pad("ip_dst_addr"                        ), "RW", 32, field_default(0) ),  -- c_sdp_cep_ip_dst_addr
-
-      ( field_name_pad("udp_src_port"                       ), "RW", 16, field_default(0) ),
-      ( field_name_pad("udp_dst_port"                       ), "RW", 16, field_default(0) ),  -- c_sdp_cep_udp_dst_port
-      ( field_name_pad("udp_total_length"                   ), "RW", 16, field_default(c_sdp_cep_udp_total_length) ),
-      ( field_name_pad("udp_checksum"                       ), "RW", 16, field_default(0) ),
-
-      ( field_name_pad("sdp_marker"                         ), "RW",  8, field_default(c_sdp_marker_beamlets) ),
-      ( field_name_pad("sdp_version_id"                     ), "RW",  8, field_default(c_sdp_cep_version_id) ),
-      ( field_name_pad("sdp_observation_id"                 ), "RW", 32, field_default(0) ),
-      ( field_name_pad("sdp_station_info"                   ), "RW", 16, field_default(0) ),
-
-      ( field_name_pad("sdp_source_info_reserved"               ), "RW",  5, field_default(0) ),
-      ( field_name_pad("sdp_source_info_antenna_band_id"        ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_nyquist_zone_id"        ), "RW",  2, field_default(0) ),
-      ( field_name_pad("sdp_source_info_f_adc"                  ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_fsub_type"              ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_payload_error"          ), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_beam_repositioning_flag"), "RW",  1, field_default(0) ),
-      ( field_name_pad("sdp_source_info_beamlet_width"          ), "RW",  4, field_default(c_sdp_W_beamlet) ),
-      ( field_name_pad("sdp_source_info_gn_id"                  ), "RW",  8, field_default(0) ),
-
-      ( field_name_pad("sdp_reserved"                       ), "RW", 32, field_default(0) ),
-      ( field_name_pad("sdp_beamlet_scale"                  ), "RW", 16, field_default(c_sdp_unit_beamlet_scale) ),
-      ( field_name_pad("sdp_beamlet_index"                  ), "RW", 16, field_default(0) ),
-      ( field_name_pad("sdp_nof_blocks_per_packet"          ), "RW",  8, field_default(c_sdp_cep_nof_blocks_per_packet) ),
-      ( field_name_pad("sdp_nof_beamlets_per_block"         ), "RW", 16, field_default(c_sdp_cep_nof_beamlets_per_block) ),
-      ( field_name_pad("sdp_block_period"                   ), "RW", 16, field_default(c_sdp_block_period) ),
-
-      ( field_name_pad("dp_bsn"                             ), "RW", 64, field_default(0) )
+    ( field_name_pad("eth_dst_mac"                        ), "RW", 48, field_default(0) ),  -- c_sdp_cep_eth_dst_mac
+    ( field_name_pad("eth_src_mac"                        ), "RW", 48, field_default(0) ),
+    ( field_name_pad("eth_type"                           ), "RW", 16, field_default(x"0800") ),
+
+    ( field_name_pad("ip_version"                         ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"                   ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"                        ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"                    ), "RW", 16, field_default(c_sdp_cep_ip_total_length) ),
+    ( field_name_pad("ip_identification"                  ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"                           ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"                 ), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"                    ), "RW",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"                        ), "RW",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum"                 ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"                        ), "RW", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"                        ), "RW", 32, field_default(0) ),  -- c_sdp_cep_ip_dst_addr
+
+    ( field_name_pad("udp_src_port"                       ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"                       ), "RW", 16, field_default(0) ),  -- c_sdp_cep_udp_dst_port
+    ( field_name_pad("udp_total_length"                   ), "RW", 16, field_default(c_sdp_cep_udp_total_length) ),
+    ( field_name_pad("udp_checksum"                       ), "RW", 16, field_default(0) ),
+
+    ( field_name_pad("sdp_marker"                         ), "RW",  8, field_default(c_sdp_marker_beamlets) ),
+    ( field_name_pad("sdp_version_id"                     ), "RW",  8, field_default(c_sdp_cep_version_id) ),
+    ( field_name_pad("sdp_observation_id"                 ), "RW", 32, field_default(0) ),
+    ( field_name_pad("sdp_station_info"                   ), "RW", 16, field_default(0) ),
+
+    ( field_name_pad("sdp_source_info_reserved"               ), "RW",  5, field_default(0) ),
+    ( field_name_pad("sdp_source_info_antenna_band_id"        ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_nyquist_zone_id"        ), "RW",  2, field_default(0) ),
+    ( field_name_pad("sdp_source_info_f_adc"                  ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_fsub_type"              ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_payload_error"          ), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_beam_repositioning_flag"), "RW",  1, field_default(0) ),
+    ( field_name_pad("sdp_source_info_beamlet_width"          ), "RW",  4, field_default(c_sdp_W_beamlet) ),
+    ( field_name_pad("sdp_source_info_gn_id"                  ), "RW",  8, field_default(0) ),
+
+    ( field_name_pad("sdp_reserved"                       ), "RW", 32, field_default(0) ),
+    ( field_name_pad("sdp_beamlet_scale"                  ), "RW", 16, field_default(c_sdp_unit_beamlet_scale) ),
+    ( field_name_pad("sdp_beamlet_index"                  ), "RW", 16, field_default(0) ),
+    ( field_name_pad("sdp_nof_blocks_per_packet"          ), "RW",  8, field_default(c_sdp_cep_nof_blocks_per_packet) ),
+    ( field_name_pad("sdp_nof_beamlets_per_block"         ), "RW", 16, field_default(c_sdp_cep_nof_beamlets_per_block) ),
+    ( field_name_pad("sdp_block_period"                   ), "RW", 16, field_default(c_sdp_block_period) ),
+
+    ( field_name_pad("dp_bsn"                             ), "RW", 64, field_default(0) )
   );
   constant c_sdp_reg_cep_hdr_dat_addr_w : natural := ceil_log2(field_nof_words(c_sdp_cep_hdr_field_arr, c_word_w));
 
@@ -520,11 +546,13 @@ package sdp_pkg is
 
   -- JESD204B
   constant c_sdp_jesd204b_freq             : string := "200MHz";
-  constant c_sdp_mm_jesd_ctrl_reg          : t_c_mem := (latency  => 1,
-                                                         adr_w    => 1,
-                                                         dat_w    => c_word_w,
-                                                         nof_dat  => 1,
-                                                         init_sl  => '0');  -- PIO_JESD_CTRL
+  constant c_sdp_mm_jesd_ctrl_reg          : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => '0'
+  );  -- PIO_JESD_CTRL
 
   -- AIT MM address widths
   constant c_sdp_jesd204b_addr_w               : natural := ceil_log2(c_sdp_S_pn) + tech_jesd204b_port_span_w;  -- = 4 + 8
@@ -575,11 +603,13 @@ package sdp_pkg is
 
   -- XSUB
   constant c_sdp_crosslets_index_w          : natural := ceil_log2(c_sdp_N_sub);
-  constant c_sdp_mm_reg_crosslets_info : t_c_mem := (latency  => 1,
-                                                     adr_w    => 4,
-                                                     dat_w    => c_sdp_crosslets_index_w,
-                                                     nof_dat  => 16,  -- 15 offsets + 1 step
-                                                     init_sl  => '0');
+  constant c_sdp_mm_reg_crosslets_info : t_c_mem := (
+    latency  => 1,
+    adr_w    => 4,
+    dat_w    => c_sdp_crosslets_index_w,
+    nof_dat  => 16,  -- 15 offsets + 1 step
+    init_sl  => '0'
+  );
   constant c_sdp_crosslets_info_reg_w       : natural := c_sdp_mm_reg_crosslets_info.nof_dat * c_sdp_mm_reg_crosslets_info.dat_w;
   constant c_sdp_crosslets_info_nof_offsets : natural := c_sdp_mm_reg_crosslets_info.nof_dat - 1;
 
@@ -590,11 +620,13 @@ package sdp_pkg is
 
   constant c_sdp_crosslets_info_rst : t_sdp_crosslets_info := (offset_arr => (others => 0), step => 0);
 
-  constant c_sdp_mm_reg_nof_crosslets  : t_c_mem := (latency  => 1,
-                                                     adr_w    => 1,
-                                                     dat_w    => ceil_log2(c_sdp_N_crosslets_max + 1),
-                                                     nof_dat  => 1,
-                                                     init_sl  => '0');  -- Default = 1
+  constant c_sdp_mm_reg_nof_crosslets  : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => ceil_log2(c_sdp_N_crosslets_max + 1),
+    nof_dat  => 1,
+    init_sl  => '0'
+  );  -- Default = 1
   constant c_sdp_nof_crosslets_reg_w : natural := c_sdp_mm_reg_nof_crosslets.nof_dat * c_sdp_mm_reg_nof_crosslets.dat_w;
 
   constant c_sdp_xst_nof_clk_per_sync_min : natural := c_sdp_N_clk_per_sync / 10;  -- 0.1 second
@@ -647,41 +679,41 @@ package sdp_pkg is
   -- SDP functions
   -------------------------------------------------
 
-  function func_sdp_gn_index_to_pn_index(gn_index : natural) return natural;
-  function func_sdp_modulo_N_sub(sub_index : natural) return natural;
+  function func_sdp_gn_index_to_pn_index (gn_index : natural) return natural;
+  function func_sdp_modulo_N_sub (sub_index : natural) return natural;
 
-  function func_sdp_get_stat_marker(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_nof_signal_inputs(g_statistics_type : string) return natural;
+  function func_sdp_get_stat_marker (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_nof_signal_inputs (g_statistics_type : string) return natural;
 
   -- nof_statistics_per_packet = mm_nof_data * mm_data_size / c_sdp_W_statistic_sz
-  function func_sdp_get_stat_from_mm_user_size(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_from_mm_data_size(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_from_mm_step_size(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_from_mm_nof_data(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_nof_statistics_per_packet(g_statistics_type : string) return natural;
+  function func_sdp_get_stat_from_mm_user_size (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_from_mm_data_size (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_from_mm_step_size (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_from_mm_nof_data (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_nof_statistics_per_packet (g_statistics_type : string) return natural;
 
-  function func_sdp_get_stat_app_total_length(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_udp_total_length(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_ip_total_length(g_statistics_type : string) return natural;
-  function func_sdp_get_stat_udp_src_port(g_statistics_type : string; gn_index : natural) return std_logic_vector;
-  function func_sdp_get_stat_nof_packets(g_statistics_type : string; S_pn, P_sq, N_crosslets : natural) return natural;
-  function func_sdp_get_stat_nof_packets(g_statistics_type : string) return natural;  -- use c_sdp_S_pn, c_sdp_P_sq, c_sdp_N_crosslets_max
+  function func_sdp_get_stat_app_total_length (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_udp_total_length (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_ip_total_length (g_statistics_type : string) return natural;
+  function func_sdp_get_stat_udp_src_port (g_statistics_type : string; gn_index : natural) return std_logic_vector;
+  function func_sdp_get_stat_nof_packets (g_statistics_type : string; S_pn, P_sq, N_crosslets : natural) return natural;
+  function func_sdp_get_stat_nof_packets (g_statistics_type : string) return natural;  -- use c_sdp_S_pn, c_sdp_P_sq, c_sdp_N_crosslets_max
 
-  function func_sdp_map_stat_header(hdr_fields_raw : std_logic_vector) return t_sdp_stat_header;
-  function func_sdp_map_cep_header(hdr_fields_raw : std_logic_vector) return t_sdp_cep_header;
+  function func_sdp_map_stat_header (hdr_fields_raw : std_logic_vector) return t_sdp_stat_header;
+  function func_sdp_map_cep_header (hdr_fields_raw : std_logic_vector) return t_sdp_cep_header;
 
-  function func_sdp_map_stat_data_id(g_statistics_type : string; data_id_slv : std_logic_vector) return t_sdp_stat_data_id;
-  function func_sdp_map_stat_data_id(g_statistics_type : string; data_id_rec : t_sdp_stat_data_id) return std_logic_vector;
+  function func_sdp_map_stat_data_id (g_statistics_type : string; data_id_slv : std_logic_vector) return t_sdp_stat_data_id;
+  function func_sdp_map_stat_data_id (g_statistics_type : string; data_id_rec : t_sdp_stat_data_id) return std_logic_vector;
 
-  function func_sdp_map_crosslets_info(info_slv : std_logic_vector) return t_sdp_crosslets_info;  -- map all c_sdp_N_crosslets_max offsets
-  function func_sdp_map_crosslets_info(info_rec : t_sdp_crosslets_info) return std_logic_vector;  -- map all c_sdp_N_crosslets_max offsets
-  function func_sdp_step_crosslets_info(info_rec : t_sdp_crosslets_info) return t_sdp_crosslets_info;  -- step all c_sdp_N_crosslets_max offsets
+  function func_sdp_map_crosslets_info (info_slv : std_logic_vector) return t_sdp_crosslets_info;  -- map all c_sdp_N_crosslets_max offsets
+  function func_sdp_map_crosslets_info (info_rec : t_sdp_crosslets_info) return std_logic_vector;  -- map all c_sdp_N_crosslets_max offsets
+  function func_sdp_step_crosslets_info (info_rec : t_sdp_crosslets_info) return t_sdp_crosslets_info;  -- step all c_sdp_N_crosslets_max offsets
 
 end package sdp_pkg;
 
 package body sdp_pkg is
 
-  function func_sdp_gn_index_to_pn_index(gn_index : natural) return natural is
+  function func_sdp_gn_index_to_pn_index (gn_index : natural) return natural is
     -- Determine PN index that starts at 0 per antenna band. For LOFAR2 SDP
     -- each antenna_band has c_sdp_N_pn_max = 16 PN. The pn_index defines the
     -- PN index within an antenna_band:
@@ -704,7 +736,7 @@ package body sdp_pkg is
     return TO_UINT(v_index(c_w - 1 downto 0));
   end func_sdp_gn_index_to_pn_index;
 
-  function func_sdp_modulo_N_sub(sub_index : natural) return natural is
+  function func_sdp_modulo_N_sub (sub_index : natural) return natural is
   begin
     assert sub_index < 2 * c_sdp_N_sub report "func_sdp_modulo_N_sub: sub_index too large" severity FAILURE;
     if sub_index < c_sdp_N_sub - 1 then
@@ -714,7 +746,7 @@ package body sdp_pkg is
     end if;
   end func_sdp_modulo_N_sub;
 
-  function func_sdp_get_stat_marker(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_marker (g_statistics_type : string) return natural is
     constant c_marker_sst : natural := 83;  -- = 0x53 = 'S'
     constant c_marker_bst : natural := 66;  -- = 0x42 = 'B'
     constant c_marker_xst : natural := 88;  -- = 0x58 = 'X'
@@ -724,14 +756,14 @@ package body sdp_pkg is
                                             c_marker_sst));  -- SST, SST_OS
   end func_sdp_get_stat_marker;
 
-  function func_sdp_get_stat_nof_signal_inputs(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_nof_signal_inputs (g_statistics_type : string) return natural is
   begin
     return sel_a_b(g_statistics_type = "BST", 0,  -- not applicable for BST, so use 0,
            sel_a_b(g_statistics_type = "XST", c_sdp_S_pn,
                                             1));  -- SST, SST_OS
   end func_sdp_get_stat_nof_signal_inputs;
 
-  function func_sdp_get_stat_from_mm_user_size(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_from_mm_user_size (g_statistics_type : string) return natural is
   -- see sdp_statistics_offload.vhd for description
   begin
     return sel_a_b(g_statistics_type = "BST", c_sdp_W_statistic_sz,  -- = 2, so preserve X, Y order
@@ -739,14 +771,14 @@ package body sdp_pkg is
                                             c_sdp_W_statistic_sz));  -- = 2, SST, SST_OS
   end func_sdp_get_stat_from_mm_user_size;
 
-  function func_sdp_get_stat_from_mm_data_size(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_from_mm_data_size (g_statistics_type : string) return natural is
   begin
     return sel_a_b(g_statistics_type = "BST", c_sdp_N_pol_bf * c_sdp_W_statistic_sz,  -- = 4
            sel_a_b(g_statistics_type = "XST", c_nof_complex  * c_sdp_W_statistic_sz,  -- = 4
                                                              c_sdp_W_statistic_sz));  -- = 2, SST, SST_OS
   end func_sdp_get_stat_from_mm_data_size;
 
-  function func_sdp_get_stat_from_mm_step_size(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_from_mm_step_size (g_statistics_type : string) return natural is
     constant c_data_size : natural := func_sdp_get_stat_from_mm_data_size(g_statistics_type);
   begin
     return sel_a_b(g_statistics_type = "BST", c_data_size,  -- = 4
@@ -754,7 +786,7 @@ package body sdp_pkg is
                                             c_data_size * c_sdp_Q_fft));  -- = 4, SST, SST_OS
   end func_sdp_get_stat_from_mm_step_size;
 
-  function func_sdp_get_stat_from_mm_nof_data(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_from_mm_nof_data (g_statistics_type : string) return natural is
   begin
     return sel_a_b(g_statistics_type = "BST", c_sdp_S_sub_bf,  -- = 488
            sel_a_b(g_statistics_type = "XST", c_sdp_X_sq,  -- = 144
@@ -762,14 +794,14 @@ package body sdp_pkg is
   end func_sdp_get_stat_from_mm_nof_data;
 
   -- nof_statistics_per_packet = mm_nof_data * mm_data_size / c_sdp_W_statistic_sz
-  function func_sdp_get_stat_nof_statistics_per_packet(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_nof_statistics_per_packet (g_statistics_type : string) return natural is
   begin
     return sel_a_b(g_statistics_type = "BST", c_sdp_S_sub_bf * c_sdp_N_pol_bf,  -- = 976
            sel_a_b(g_statistics_type = "XST", c_sdp_X_sq * c_nof_complex,  -- = 288
                                             c_sdp_N_sub));  -- = 512, SST, SST_OS
   end func_sdp_get_stat_nof_statistics_per_packet;
 
-  function func_sdp_get_stat_app_total_length(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_app_total_length (g_statistics_type : string) return natural is
     constant c_nof_statistics_per_packet : natural := func_sdp_get_stat_nof_statistics_per_packet(g_statistics_type);
   begin
     -- RETURN:
@@ -779,7 +811,7 @@ package body sdp_pkg is
     return c_nof_statistics_per_packet * c_sdp_nof_bytes_per_statistic + c_sdp_stat_app_header_len;
   end func_sdp_get_stat_app_total_length;
 
-  function func_sdp_get_stat_udp_total_length(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_udp_total_length (g_statistics_type : string) return natural is
     constant c_sdp_app_total_length : natural := func_sdp_get_stat_app_total_length(g_statistics_type);
   begin
     -- RETURN:
@@ -789,7 +821,7 @@ package body sdp_pkg is
     return c_sdp_app_total_length + c_network_udp_header_len;
   end func_sdp_get_stat_udp_total_length;
 
-  function func_sdp_get_stat_ip_total_length(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_ip_total_length (g_statistics_type : string) return natural is
     constant c_sdp_udp_total_length : natural := func_sdp_get_stat_udp_total_length(g_statistics_type);
   begin
     -- RETURN:
@@ -799,7 +831,7 @@ package body sdp_pkg is
     return c_sdp_udp_total_length + c_network_ip_header_len;
   end func_sdp_get_stat_ip_total_length;
 
-  function func_sdp_get_stat_udp_src_port(g_statistics_type : string; gn_index : natural) return std_logic_vector is
+  function func_sdp_get_stat_udp_src_port (g_statistics_type : string; gn_index : natural) return std_logic_vector is
     constant c_gn_index : std_logic_vector(7 downto 0) := TO_UVEC(gn_index, 8);
   begin
     return sel_a_b(g_statistics_type = "BST", c_sdp_bst_udp_src_port_15_8 & c_gn_index,  -- BST = 0xD1 & gn_index
@@ -807,7 +839,7 @@ package body sdp_pkg is
                                             c_sdp_sst_udp_src_port_15_8 & c_gn_index));  -- SST = 0xD0 & gn_index, SST_OS
   end func_sdp_get_stat_udp_src_port;
 
-  function func_sdp_get_stat_nof_packets(g_statistics_type : string; S_pn, P_sq, N_crosslets : natural) return natural is
+  function func_sdp_get_stat_nof_packets (g_statistics_type : string; S_pn, P_sq, N_crosslets : natural) return natural is
   begin
     return sel_a_b(g_statistics_type = "BST", 1,
            sel_a_b(g_statistics_type = "XST", P_sq * N_crosslets,
@@ -815,13 +847,13 @@ package body sdp_pkg is
                                             c_sdp_R_os * S_pn)));  -- SST_OS
   end func_sdp_get_stat_nof_packets;
 
-  function func_sdp_get_stat_nof_packets(g_statistics_type : string) return natural is
+  function func_sdp_get_stat_nof_packets (g_statistics_type : string) return natural is
   begin
     return func_sdp_get_stat_nof_packets(g_statistics_type, c_sdp_S_pn, c_sdp_P_sq, c_sdp_N_crosslets_max);
   end func_sdp_get_stat_nof_packets;
 
 
-  function func_sdp_map_stat_header(hdr_fields_raw : std_logic_vector) return t_sdp_stat_header is
+  function func_sdp_map_stat_header (hdr_fields_raw : std_logic_vector) return t_sdp_stat_header is
     variable v : t_sdp_stat_header;
   begin
     -- eth header
@@ -882,7 +914,7 @@ package body sdp_pkg is
   end func_sdp_map_stat_header;
 
 
-  function func_sdp_map_cep_header(hdr_fields_raw : std_logic_vector) return t_sdp_cep_header is
+  function func_sdp_map_cep_header (hdr_fields_raw : std_logic_vector) return t_sdp_cep_header is
     variable v : t_sdp_cep_header;
   begin
     -- eth header
@@ -938,7 +970,7 @@ package body sdp_pkg is
   end func_sdp_map_cep_header;
 
 
-  function func_sdp_map_stat_data_id(g_statistics_type : string; data_id_slv : std_logic_vector) return t_sdp_stat_data_id is
+  function func_sdp_map_stat_data_id (g_statistics_type : string; data_id_slv : std_logic_vector) return t_sdp_stat_data_id is
     variable v_rec : t_sdp_stat_data_id;
   begin
     if g_statistics_type = "BST" then
@@ -953,7 +985,7 @@ package body sdp_pkg is
     return v_rec;
   end func_sdp_map_stat_data_id;
 
-  function func_sdp_map_stat_data_id(g_statistics_type : string; data_id_rec : t_sdp_stat_data_id) return std_logic_vector is
+  function func_sdp_map_stat_data_id (g_statistics_type : string; data_id_rec : t_sdp_stat_data_id) return std_logic_vector is
     variable v_slv : std_logic_vector(31 downto 0) := x"00000000";
   begin
     if g_statistics_type = "BST" then
@@ -969,7 +1001,7 @@ package body sdp_pkg is
   end func_sdp_map_stat_data_id;
 
 
-  function func_sdp_map_crosslets_info(info_slv : std_logic_vector) return t_sdp_crosslets_info is
+  function func_sdp_map_crosslets_info (info_slv : std_logic_vector) return t_sdp_crosslets_info is
     variable v_info : t_sdp_crosslets_info;
   begin
     for I in 0 to c_sdp_crosslets_info_nof_offsets - 1 loop  -- map al offsets
@@ -979,7 +1011,7 @@ package body sdp_pkg is
     return v_info;
   end func_sdp_map_crosslets_info;
 
-  function func_sdp_map_crosslets_info(info_rec : t_sdp_crosslets_info) return std_logic_vector is
+  function func_sdp_map_crosslets_info (info_rec : t_sdp_crosslets_info) return std_logic_vector is
     variable v_info : std_logic_vector(c_sdp_crosslets_info_reg_w - 1 downto 0);
   begin
     for I in 0 to c_sdp_crosslets_info_nof_offsets - 1 loop  -- map all offsets
@@ -990,7 +1022,7 @@ package body sdp_pkg is
   end func_sdp_map_crosslets_info;
 
 
-  function func_sdp_step_crosslets_info(info_rec : t_sdp_crosslets_info) return t_sdp_crosslets_info is
+  function func_sdp_step_crosslets_info (info_rec : t_sdp_crosslets_info) return t_sdp_crosslets_info is
     variable v_info : t_sdp_crosslets_info := info_rec;
   begin
     for I in 0 to c_sdp_crosslets_info_nof_offsets - 1 loop  -- step all offsets
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_scope.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_scope.vhd
index 1028933de6..e368548bc9 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_scope.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_scope.vhd
@@ -32,10 +32,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_scope is
   generic (
@@ -73,17 +73,17 @@ begin
 
     gen_deinterleave : for I in 0 to g_nof_input - 1 generate
       u_dp_deinterleave : entity dp_lib.dp_deinterleave_one_to_n
-      generic map(
-        g_pipeline => 0,
-        g_nof_outputs => g_n_deinterleave
-      )
-      port map(
-        rst   => rst,
-        clk   => clk,
-
-        snk_in => sp_sosi_arr(I),
-        src_out_arr => deinterleaved_sosi_2arr_n(I)
-      );
+        generic map(
+          g_pipeline => 0,
+          g_nof_outputs => g_n_deinterleave
+        )
+        port map(
+          rst   => rst,
+          clk   => clk,
+
+          snk_in => sp_sosi_arr(I),
+          src_out_arr => deinterleaved_sosi_2arr_n(I)
+        );
 
       gen_flat : for J in 0 to g_n_deinterleave-1 generate
         deinterleaved_sosi_arr(g_n_deinterleave * I + J) <= deinterleaved_sosi_2arr_n(I)(J);
@@ -112,18 +112,18 @@ begin
     -- SIGNAL SCOPE
     ---------------------------------------------------------------
     u_dp_wideband_sp_arr_scope : entity dp_lib.dp_wideband_sp_arr_scope
-    generic map (
-      g_sim             => g_sim,
-      g_use_sclk        => false,
-      g_complex         => true,
-      g_nof_streams     => g_nof_input * g_n_deinterleave,
-      g_wideband_factor => 1,
-      g_dat_w           => g_dat_w
-    )
-    port map (
-      DCLK           => clk,
-      sp_sosi_arr    => selected_sosi_arr,
-      scope_sosi_arr => scope_sosi_arr
-    );
+      generic map (
+        g_sim             => g_sim,
+        g_use_sclk        => false,
+        g_complex         => true,
+        g_nof_streams     => g_nof_input * g_n_deinterleave,
+        g_wideband_factor => 1,
+        g_dat_w           => g_dat_w
+      )
+      port map (
+        DCLK           => clk,
+        sp_sosi_arr    => selected_sosi_arr,
+        scope_sosi_arr => scope_sosi_arr
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd
index cf4189c6ce..d88ab5d2e9 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd
@@ -38,19 +38,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, diag_lib, dp_lib, tech_jesd204b_lib, fft_lib, wpfb_lib, tech_pll_lib, tr_10GbE_lib, nw_10GbE_lib, eth_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use fft_lib.fft_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
-use work.sdp_pkg.all;
-use eth_lib.eth_pkg.all;
-use ring_lib.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use fft_lib.fft_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  use work.sdp_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use ring_lib.ring_pkg.all;
 
 entity sdp_station is
   generic (
@@ -86,9 +86,9 @@ entity sdp_station is
     -- Transceiver clocks
     SA_CLK        : in    std_logic := '0';  -- Clock 10GbE front (qsfp) and ring lines
 
-     -- back transceivers (Note: numbered from 0)
+    -- back transceivers (Note: numbered from 0)
     JESD204B_SERIAL_DATA       : in    std_logic_vector(c_sdp_S_pn - 1 downto 0);
-                                                  -- Connect to the BCK_RX pins in the top wrapper
+    -- Connect to the BCK_RX pins in the top wrapper
     JESD204B_REFCLK            : in    std_logic;  -- Connect to BCK_REF_CLK pin in the top level wrapper
 
     -- jesd204b syncronization signals
@@ -621,41 +621,41 @@ begin
   xst_udp_src_port <= c_sdp_xst_udp_src_port_15_8 & gn_id;
 
   u_sdp_info : entity work.sdp_info
-  port map(
-    -- Clocks and reset
-    mm_rst    => mm_rst,  -- reset synchronous with mm_clk
-    mm_clk    => mm_clk,  -- memory-mapped bus clock
+    port map(
+      -- Clocks and reset
+      mm_rst    => mm_rst,  -- reset synchronous with mm_clk
+      mm_clk    => mm_clk,  -- memory-mapped bus clock
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    reg_mosi  => reg_sdp_info_copi,
-    reg_miso  => reg_sdp_info_cipo,
+      reg_mosi  => reg_sdp_info_copi,
+      reg_miso  => reg_sdp_info_cipo,
 
-    -- inputs from other blocks
-    f_adc     => c_f_adc,
-    fsub_type => c_fsub_type,
+      -- inputs from other blocks
+      f_adc     => c_f_adc,
+      fsub_type => c_fsub_type,
 
-    -- sdp info
-    sdp_info => sdp_info
-  );
+      -- sdp info
+      sdp_info => sdp_info
+    );
 
   -----------------------------------------------------------------------------
   -- Ring info
   -----------------------------------------------------------------------------
   u_ring_info : entity ring_lib.ring_info
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
 
-    reg_copi => reg_ring_info_copi,
-    reg_cipo => reg_ring_info_cipo,
+      reg_copi => reg_ring_info_copi,
+      reg_cipo => reg_ring_info_cipo,
 
-    ring_info => ring_info
-  );
+      ring_info => ring_info
+    );
 
   this_rn <= TO_UVEC(gn_index - TO_UINT(ring_info.O_rn), c_byte_w) when rising_edge(dp_clk);  -- Using register to ease timing closure.
 
@@ -664,56 +664,56 @@ begin
   --   .Contains JESD receiver, bsn source and associated data buffers, diagnostics and statistics
   -----------------------------------------------------------------------------
   u_ait: entity work.node_sdp_adc_input_and_timing
-  generic map(
-    g_sim                       => g_sim,
-    g_no_jesd                   => g_no_jesd,
-    g_bsn_nof_clk_per_sync      => g_bsn_nof_clk_per_sync
-  )
-  port map(
-    -- clocks and resets
-    mm_clk                      => mm_clk,
-    mm_rst                      => mm_rst,
-    dp_clk                      => dp_clk,
-    dp_rst                      => dp_rst,
-    dp_pps                      => dp_pps,
-
-    -- mm control buses
-    jesd_ctrl_mosi              => jesd_ctrl_copi,
-    jesd_ctrl_miso              => jesd_ctrl_cipo,
-    jesd204b_mosi               => jesd204b_copi,
-    jesd204b_miso               => jesd204b_cipo,
-    reg_dp_shiftram_mosi        => reg_dp_shiftram_copi,
-    reg_dp_shiftram_miso        => reg_dp_shiftram_cipo,
-    reg_bsn_source_v2_mosi      => reg_bsn_source_v2_copi,
-    reg_bsn_source_v2_miso      => reg_bsn_source_v2_cipo,
-    reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_copi,
-    reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_cipo,
-    reg_wg_mosi                 => reg_wg_copi,
-    reg_wg_miso                 => reg_wg_cipo,
-    ram_wg_mosi                 => ram_wg_copi,
-    ram_wg_miso                 => ram_wg_cipo,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_copi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_cipo,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_copi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_cipo,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_copi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_cipo,
-    ram_st_histogram_mosi       => ram_st_histogram_copi,
-    ram_st_histogram_miso       => ram_st_histogram_cipo,
-    reg_aduh_monitor_mosi       => reg_aduh_monitor_copi,
-    reg_aduh_monitor_miso       => reg_aduh_monitor_cipo,
-
-     -- Jesd external IOs
-    jesd204b_serial_data       => JESD204B_SERIAL_DATA,
-    jesd204b_refclk            => JESD204B_REFCLK,
-    jesd204b_sysref            => JESD204B_SYSREF,
-    jesd204b_sync_n            => JESD204B_SYNC_N,
-
-    -- Streaming data output
-    out_sosi_arr               => ait_sosi_arr,
-    dp_bsn_source_restart      => dp_bsn_source_restart,
-    dp_bsn_source_new_interval => dp_bsn_source_new_interval
-  );
+    generic map(
+      g_sim                       => g_sim,
+      g_no_jesd                   => g_no_jesd,
+      g_bsn_nof_clk_per_sync      => g_bsn_nof_clk_per_sync
+    )
+    port map(
+      -- clocks and resets
+      mm_clk                      => mm_clk,
+      mm_rst                      => mm_rst,
+      dp_clk                      => dp_clk,
+      dp_rst                      => dp_rst,
+      dp_pps                      => dp_pps,
+
+      -- mm control buses
+      jesd_ctrl_mosi              => jesd_ctrl_copi,
+      jesd_ctrl_miso              => jesd_ctrl_cipo,
+      jesd204b_mosi               => jesd204b_copi,
+      jesd204b_miso               => jesd204b_cipo,
+      reg_dp_shiftram_mosi        => reg_dp_shiftram_copi,
+      reg_dp_shiftram_miso        => reg_dp_shiftram_cipo,
+      reg_bsn_source_v2_mosi      => reg_bsn_source_v2_copi,
+      reg_bsn_source_v2_miso      => reg_bsn_source_v2_cipo,
+      reg_bsn_scheduler_wg_mosi   => reg_bsn_scheduler_wg_copi,
+      reg_bsn_scheduler_wg_miso   => reg_bsn_scheduler_wg_cipo,
+      reg_wg_mosi                 => reg_wg_copi,
+      reg_wg_miso                 => reg_wg_cipo,
+      ram_wg_mosi                 => ram_wg_copi,
+      ram_wg_miso                 => ram_wg_cipo,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_copi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_cipo,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_copi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_cipo,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_copi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_cipo,
+      ram_st_histogram_mosi       => ram_st_histogram_copi,
+      ram_st_histogram_miso       => ram_st_histogram_cipo,
+      reg_aduh_monitor_mosi       => reg_aduh_monitor_copi,
+      reg_aduh_monitor_miso       => reg_aduh_monitor_cipo,
+
+      -- Jesd external IOs
+      jesd204b_serial_data       => JESD204B_SERIAL_DATA,
+      jesd204b_refclk            => JESD204B_REFCLK,
+      jesd204b_sysref            => JESD204B_SYSREF,
+      jesd204b_sync_n            => JESD204B_SYNC_N,
+
+      -- Streaming data output
+      out_sosi_arr               => ait_sosi_arr,
+      dp_bsn_source_restart      => dp_bsn_source_restart,
+      dp_bsn_source_new_interval => dp_bsn_source_new_interval
+    );
 
   -----------------------------------------------------------------------------
   -- node_sdp_filterbank (FSUB)
@@ -721,54 +721,54 @@ begin
   gen_use_fsub : if g_use_fsub generate
     gen_use_no_oversample : if not g_use_oversample generate  -- Use normal filterbank
       u_fsub : entity work.node_sdp_filterbank
-      generic map(
-        g_sim                    => g_sim,
-        g_sim_sdp                => g_sim_sdp,
-        g_wpfb                   => g_wpfb,
-        g_scope_selected_subband => g_scope_selected_subband
-      )
-      port map(
-        dp_clk                              => dp_clk,
-        dp_rst                              => dp_rst,
-
-        in_sosi_arr                         => ait_sosi_arr,
-        fsub_raw_sosi_arr                   => fsub_raw_sosi_arr,
-        dp_bsn_source_restart               => dp_bsn_source_restart,
-        dp_bsn_source_new_interval          => dp_bsn_source_new_interval,
-
-        sst_udp_sosi                        => udp_tx_sosi_arr(0),
-        sst_udp_siso                        => udp_tx_siso_arr(0),
-
-        mm_rst                              => mm_rst,
-        mm_clk                              => mm_clk,
-
-        reg_si_mosi                         => reg_si_copi,
-        reg_si_miso                         => reg_si_cipo,
-        ram_st_sst_mosi                     => ram_st_sst_copi,
-        ram_st_sst_miso                     => ram_st_sst_cipo,
-        ram_fil_coefs_mosi                  => ram_fil_coefs_copi,
-        ram_fil_coefs_miso                  => ram_fil_coefs_cipo,
-        ram_gains_mosi                      => ram_equalizer_gains_copi,
-        ram_gains_miso                      => ram_equalizer_gains_cipo,
-        ram_gains_cross_mosi                => ram_equalizer_gains_cross_copi,
-        ram_gains_cross_miso                => ram_equalizer_gains_cross_cipo,
-        reg_selector_mosi                   => reg_dp_selector_copi,
-        reg_selector_miso                   => reg_dp_selector_cipo,
-
-        reg_enable_mosi                     => reg_stat_enable_sst_copi,
-        reg_enable_miso                     => reg_stat_enable_sst_cipo,
-        reg_hdr_dat_mosi                    => reg_stat_hdr_dat_sst_copi,
-        reg_hdr_dat_miso                    => reg_stat_hdr_dat_sst_cipo,
-
-        reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
-        reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
-
-        sdp_info                            => sdp_info,
-        gn_id                               => gn_id,
-        eth_src_mac                         => stat_eth_src_mac,
-        ip_src_addr                         => stat_ip_src_addr,
-        udp_src_port                        => sst_udp_src_port
-      );
+        generic map(
+          g_sim                    => g_sim,
+          g_sim_sdp                => g_sim_sdp,
+          g_wpfb                   => g_wpfb,
+          g_scope_selected_subband => g_scope_selected_subband
+        )
+        port map(
+          dp_clk                              => dp_clk,
+          dp_rst                              => dp_rst,
+
+          in_sosi_arr                         => ait_sosi_arr,
+          fsub_raw_sosi_arr                   => fsub_raw_sosi_arr,
+          dp_bsn_source_restart               => dp_bsn_source_restart,
+          dp_bsn_source_new_interval          => dp_bsn_source_new_interval,
+
+          sst_udp_sosi                        => udp_tx_sosi_arr(0),
+          sst_udp_siso                        => udp_tx_siso_arr(0),
+
+          mm_rst                              => mm_rst,
+          mm_clk                              => mm_clk,
+
+          reg_si_mosi                         => reg_si_copi,
+          reg_si_miso                         => reg_si_cipo,
+          ram_st_sst_mosi                     => ram_st_sst_copi,
+          ram_st_sst_miso                     => ram_st_sst_cipo,
+          ram_fil_coefs_mosi                  => ram_fil_coefs_copi,
+          ram_fil_coefs_miso                  => ram_fil_coefs_cipo,
+          ram_gains_mosi                      => ram_equalizer_gains_copi,
+          ram_gains_miso                      => ram_equalizer_gains_cipo,
+          ram_gains_cross_mosi                => ram_equalizer_gains_cross_copi,
+          ram_gains_cross_miso                => ram_equalizer_gains_cross_cipo,
+          reg_selector_mosi                   => reg_dp_selector_copi,
+          reg_selector_miso                   => reg_dp_selector_cipo,
+
+          reg_enable_mosi                     => reg_stat_enable_sst_copi,
+          reg_enable_miso                     => reg_stat_enable_sst_cipo,
+          reg_hdr_dat_mosi                    => reg_stat_hdr_dat_sst_copi,
+          reg_hdr_dat_miso                    => reg_stat_hdr_dat_sst_cipo,
+
+          reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
+          reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
+
+          sdp_info                            => sdp_info,
+          gn_id                               => gn_id,
+          eth_src_mac                         => stat_eth_src_mac,
+          ip_src_addr                         => stat_ip_src_addr,
+          udp_src_port                        => sst_udp_src_port
+        );
 
       gen_bf_sosi : for I in 0 to c_sdp_N_beamsets - 1 generate
         -- Wire same subbands to all beamsets
@@ -781,55 +781,55 @@ begin
     -----------------------------------------------------------------------------
     gen_use_oversample : if g_use_oversample generate  -- use oversampled filterbank instead of normal filterbank
       u_fsub : entity work.node_sdp_oversampled_filterbank
-      generic map(
-        g_sim                    => g_sim,
-        g_sim_sdp                => g_sim_sdp,
-        g_wpfb                   => g_wpfb,
-        g_wpfb_complex           => g_wpfb_complex,
-        g_scope_selected_subband => g_scope_selected_subband
-      )
-      port map(
-        dp_clk                              => dp_clk,
-        dp_rst                              => dp_rst,
-
-        in_sosi_arr                         => ait_sosi_arr,
-        fsub_raw_sosi_arr                   => fsub_oversampled_raw_sosi_arr,
-        dp_bsn_source_restart               => dp_bsn_source_restart,
-        dp_bsn_source_new_interval          => dp_bsn_source_new_interval,
-
-        sst_udp_sosi                        => udp_tx_sosi_arr(0),
-        sst_udp_siso                        => udp_tx_siso_arr(0),
-
-        mm_rst                              => mm_rst,
-        mm_clk                              => mm_clk,
-
-        reg_si_mosi                         => reg_si_copi,
-        reg_si_miso                         => reg_si_cipo,
-        ram_st_sst_mosi                     => ram_st_sst_copi,
-        ram_st_sst_miso                     => ram_st_sst_cipo,
-        ram_fil_coefs_mosi                  => ram_fil_coefs_copi,
-        ram_fil_coefs_miso                  => ram_fil_coefs_cipo,
-        ram_gains_mosi                      => ram_equalizer_gains_copi,
-        ram_gains_miso                      => ram_equalizer_gains_cipo,
-        ram_gains_cross_mosi                => ram_equalizer_gains_cross_copi,
-        ram_gains_cross_miso                => ram_equalizer_gains_cross_cipo,
-        reg_selector_mosi                   => reg_dp_selector_copi,
-        reg_selector_miso                   => reg_dp_selector_cipo,
-
-        reg_enable_mosi                     => reg_stat_enable_sst_copi,
-        reg_enable_miso                     => reg_stat_enable_sst_cipo,
-        reg_hdr_dat_mosi                    => reg_stat_hdr_dat_sst_copi,
-        reg_hdr_dat_miso                    => reg_stat_hdr_dat_sst_cipo,
-
-        reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
-        reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
-
-        sdp_info                            => sdp_info,
-        gn_id                               => gn_id,
-        eth_src_mac                         => stat_eth_src_mac,
-        ip_src_addr                         => stat_ip_src_addr,
-        udp_src_port                        => sst_udp_src_port
-      );
+        generic map(
+          g_sim                    => g_sim,
+          g_sim_sdp                => g_sim_sdp,
+          g_wpfb                   => g_wpfb,
+          g_wpfb_complex           => g_wpfb_complex,
+          g_scope_selected_subband => g_scope_selected_subband
+        )
+        port map(
+          dp_clk                              => dp_clk,
+          dp_rst                              => dp_rst,
+
+          in_sosi_arr                         => ait_sosi_arr,
+          fsub_raw_sosi_arr                   => fsub_oversampled_raw_sosi_arr,
+          dp_bsn_source_restart               => dp_bsn_source_restart,
+          dp_bsn_source_new_interval          => dp_bsn_source_new_interval,
+
+          sst_udp_sosi                        => udp_tx_sosi_arr(0),
+          sst_udp_siso                        => udp_tx_siso_arr(0),
+
+          mm_rst                              => mm_rst,
+          mm_clk                              => mm_clk,
+
+          reg_si_mosi                         => reg_si_copi,
+          reg_si_miso                         => reg_si_cipo,
+          ram_st_sst_mosi                     => ram_st_sst_copi,
+          ram_st_sst_miso                     => ram_st_sst_cipo,
+          ram_fil_coefs_mosi                  => ram_fil_coefs_copi,
+          ram_fil_coefs_miso                  => ram_fil_coefs_cipo,
+          ram_gains_mosi                      => ram_equalizer_gains_copi,
+          ram_gains_miso                      => ram_equalizer_gains_cipo,
+          ram_gains_cross_mosi                => ram_equalizer_gains_cross_copi,
+          ram_gains_cross_miso                => ram_equalizer_gains_cross_cipo,
+          reg_selector_mosi                   => reg_dp_selector_copi,
+          reg_selector_miso                   => reg_dp_selector_cipo,
+
+          reg_enable_mosi                     => reg_stat_enable_sst_copi,
+          reg_enable_miso                     => reg_stat_enable_sst_cipo,
+          reg_hdr_dat_mosi                    => reg_stat_hdr_dat_sst_copi,
+          reg_hdr_dat_miso                    => reg_stat_hdr_dat_sst_cipo,
+
+          reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi,
+          reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo,
+
+          sdp_info                            => sdp_info,
+          gn_id                               => gn_id,
+          eth_src_mac                         => stat_eth_src_mac,
+          ip_src_addr                         => stat_ip_src_addr,
+          udp_src_port                        => sst_udp_src_port
+        );
 
       -- Lower part contains normal subbands, higher part contains shifted subbands.
       -- . Use normal subbands for subband correlator
@@ -848,60 +848,60 @@ begin
   -----------------------------------------------------------------------------
   gen_use_xsub : if g_use_xsub generate
     u_xsub : entity work.node_sdp_correlator
-    generic map(
-      g_sim                    => g_sim,
-      g_sim_sdp                => g_sim_sdp,
-      g_P_sq                   => g_P_sq,
-      g_subband_raw_dat_w      => c_subband_raw_dat_w,
-      g_subband_raw_fraction_w => c_subband_raw_fraction_w
-    )
-    port map(
-      dp_clk                                   => dp_clk,
-      dp_rst                                   => dp_rst,
-
-      in_sosi_arr                              => fsub_raw_sosi_arr,
-
-      xst_udp_sosi                             => udp_tx_sosi_arr(1),
-      xst_udp_siso                             => udp_tx_siso_arr(1),
-
-      from_ri_sosi                             => xst_from_ri_sosi,
-      to_ri_sosi                               => xst_to_ri_sosi,
-
-      xst_bs_sosi                              => xst_bs_sosi,
-
-      mm_rst                                   => mm_rst,
-      mm_clk                                   => mm_clk,
-
-      reg_crosslets_info_copi                  => reg_crosslets_info_copi,
-      reg_crosslets_info_cipo                  => reg_crosslets_info_cipo,
-      reg_nof_crosslets_copi                   => reg_nof_crosslets_copi,
-      reg_nof_crosslets_cipo                   => reg_nof_crosslets_cipo,
-      reg_bsn_sync_scheduler_xsub_copi         => reg_bsn_sync_scheduler_xsub_copi,
-      reg_bsn_sync_scheduler_xsub_cipo         => reg_bsn_sync_scheduler_xsub_cipo,
-      ram_st_xsq_copi                          => ram_st_xsq_copi,
-      ram_st_xsq_cipo                          => ram_st_xsq_cipo,
-
-      reg_stat_enable_copi                     => reg_stat_enable_xst_copi,
-      reg_stat_enable_cipo                     => reg_stat_enable_xst_cipo,
-      reg_stat_hdr_dat_copi                    => reg_stat_hdr_dat_xst_copi,
-      reg_stat_hdr_dat_cipo                    => reg_stat_hdr_dat_xst_cipo,
-
-      reg_bsn_align_copi                       => reg_bsn_align_v2_xsub_copi,
-      reg_bsn_align_cipo                       => reg_bsn_align_v2_xsub_cipo,
-      reg_bsn_monitor_v2_bsn_align_input_copi  => reg_bsn_monitor_v2_rx_align_xsub_copi,
-      reg_bsn_monitor_v2_bsn_align_input_cipo  => reg_bsn_monitor_v2_rx_align_xsub_cipo,
-      reg_bsn_monitor_v2_bsn_align_output_copi => reg_bsn_monitor_v2_aligned_xsub_copi,
-      reg_bsn_monitor_v2_bsn_align_output_cipo => reg_bsn_monitor_v2_aligned_xsub_cipo,
-      reg_bsn_monitor_v2_xst_offload_copi      => reg_bsn_monitor_v2_xst_offload_copi,
-      reg_bsn_monitor_v2_xst_offload_cipo      => reg_bsn_monitor_v2_xst_offload_cipo,
-
-      sdp_info                                 => sdp_info,
-      ring_info                                => ring_info,
-      gn_id                                    => gn_id,
-      stat_eth_src_mac                         => stat_eth_src_mac,
-      stat_ip_src_addr                         => stat_ip_src_addr,
-      stat_udp_src_port                        => xst_udp_src_port
-    );
+      generic map(
+        g_sim                    => g_sim,
+        g_sim_sdp                => g_sim_sdp,
+        g_P_sq                   => g_P_sq,
+        g_subband_raw_dat_w      => c_subband_raw_dat_w,
+        g_subband_raw_fraction_w => c_subband_raw_fraction_w
+      )
+      port map(
+        dp_clk                                   => dp_clk,
+        dp_rst                                   => dp_rst,
+
+        in_sosi_arr                              => fsub_raw_sosi_arr,
+
+        xst_udp_sosi                             => udp_tx_sosi_arr(1),
+        xst_udp_siso                             => udp_tx_siso_arr(1),
+
+        from_ri_sosi                             => xst_from_ri_sosi,
+        to_ri_sosi                               => xst_to_ri_sosi,
+
+        xst_bs_sosi                              => xst_bs_sosi,
+
+        mm_rst                                   => mm_rst,
+        mm_clk                                   => mm_clk,
+
+        reg_crosslets_info_copi                  => reg_crosslets_info_copi,
+        reg_crosslets_info_cipo                  => reg_crosslets_info_cipo,
+        reg_nof_crosslets_copi                   => reg_nof_crosslets_copi,
+        reg_nof_crosslets_cipo                   => reg_nof_crosslets_cipo,
+        reg_bsn_sync_scheduler_xsub_copi         => reg_bsn_sync_scheduler_xsub_copi,
+        reg_bsn_sync_scheduler_xsub_cipo         => reg_bsn_sync_scheduler_xsub_cipo,
+        ram_st_xsq_copi                          => ram_st_xsq_copi,
+        ram_st_xsq_cipo                          => ram_st_xsq_cipo,
+
+        reg_stat_enable_copi                     => reg_stat_enable_xst_copi,
+        reg_stat_enable_cipo                     => reg_stat_enable_xst_cipo,
+        reg_stat_hdr_dat_copi                    => reg_stat_hdr_dat_xst_copi,
+        reg_stat_hdr_dat_cipo                    => reg_stat_hdr_dat_xst_cipo,
+
+        reg_bsn_align_copi                       => reg_bsn_align_v2_xsub_copi,
+        reg_bsn_align_cipo                       => reg_bsn_align_v2_xsub_cipo,
+        reg_bsn_monitor_v2_bsn_align_input_copi  => reg_bsn_monitor_v2_rx_align_xsub_copi,
+        reg_bsn_monitor_v2_bsn_align_input_cipo  => reg_bsn_monitor_v2_rx_align_xsub_cipo,
+        reg_bsn_monitor_v2_bsn_align_output_copi => reg_bsn_monitor_v2_aligned_xsub_copi,
+        reg_bsn_monitor_v2_bsn_align_output_cipo => reg_bsn_monitor_v2_aligned_xsub_cipo,
+        reg_bsn_monitor_v2_xst_offload_copi      => reg_bsn_monitor_v2_xst_offload_copi,
+        reg_bsn_monitor_v2_xst_offload_cipo      => reg_bsn_monitor_v2_xst_offload_cipo,
+
+        sdp_info                                 => sdp_info,
+        ring_info                                => ring_info,
+        gn_id                                    => gn_id,
+        stat_eth_src_mac                         => stat_eth_src_mac,
+        stat_ip_src_addr                         => stat_ip_src_addr,
+        stat_udp_src_port                        => xst_udp_src_port
+      );
 
   end generate;
 
@@ -912,230 +912,230 @@ begin
     -- Beamformers
     gen_bf : for beamset_id in 0 to c_sdp_N_beamsets - 1 generate
       u_bf : entity work.node_sdp_beamformer
-      generic map(
-        g_sim                    => g_sim,
-        g_sim_sdp                => g_sim_sdp,
-        g_beamset_id             => beamset_id,
-        g_scope_selected_beamlet => g_scope_selected_subband,
-        g_subband_raw_dat_w      => c_subband_raw_dat_w,
-        g_subband_raw_fraction_w => c_subband_raw_fraction_w
-      )
-      port map(
-        dp_clk                   => dp_clk,
-        dp_rst                   => dp_rst,
-
-        in_sosi_arr              => fsub_raw_sosi_2arr(beamset_id),
-        from_ri_sosi             => bf_from_ri_sosi_arr(beamset_id),
-        to_ri_sosi               => bf_to_ri_sosi_arr(beamset_id),
-        bf_udp_sosi              => bf_udp_sosi_arr(beamset_id),
-        bf_udp_siso              => bf_udp_siso_arr(beamset_id),
-        bst_udp_sosi             => udp_tx_sosi_arr(2 + beamset_id),
-        bst_udp_siso             => udp_tx_siso_arr(2 + beamset_id),
-
-        dp_bsn_source_new_interval => dp_bsn_source_new_interval,
-
-        mm_rst                   => mm_rst,
-        mm_clk                   => mm_clk,
-
-        ram_ss_ss_wide_mosi      => ram_ss_ss_wide_copi_arr(beamset_id),
-        ram_ss_ss_wide_miso      => ram_ss_ss_wide_cipo_arr(beamset_id),
-        ram_bf_weights_mosi      => ram_bf_weights_copi_arr(beamset_id),
-        ram_bf_weights_miso      => ram_bf_weights_cipo_arr(beamset_id),
-        reg_bf_scale_mosi        => reg_bf_scale_copi_arr(beamset_id),
-        reg_bf_scale_miso        => reg_bf_scale_cipo_arr(beamset_id),
-        reg_hdr_dat_mosi         => reg_hdr_dat_copi_arr(beamset_id),
-        reg_hdr_dat_miso         => reg_hdr_dat_cipo_arr(beamset_id),
-        reg_dp_xonoff_mosi       => reg_dp_xonoff_copi_arr(beamset_id),
-        reg_dp_xonoff_miso       => reg_dp_xonoff_cipo_arr(beamset_id),
-        ram_st_bst_mosi          => ram_st_bst_copi_arr(beamset_id),
-        ram_st_bst_miso          => ram_st_bst_cipo_arr(beamset_id),
-        reg_stat_enable_mosi     => reg_stat_enable_bst_copi_arr(beamset_id),
-        reg_stat_enable_miso     => reg_stat_enable_bst_cipo_arr(beamset_id),
-        reg_stat_hdr_dat_mosi    => reg_stat_hdr_dat_bst_copi_arr(beamset_id),
-        reg_stat_hdr_dat_miso    => reg_stat_hdr_dat_bst_cipo_arr(beamset_id),
-        reg_bsn_align_copi       => reg_bsn_align_v2_bf_copi_arr(beamset_id),
-        reg_bsn_align_cipo       => reg_bsn_align_v2_bf_cipo_arr(beamset_id),
-        reg_bsn_monitor_v2_bsn_align_input_copi  => reg_bsn_monitor_v2_rx_align_bf_copi_arr(beamset_id),
-        reg_bsn_monitor_v2_bsn_align_input_cipo  => reg_bsn_monitor_v2_rx_align_bf_cipo_arr(beamset_id),
-        reg_bsn_monitor_v2_bsn_align_output_copi => reg_bsn_monitor_v2_aligned_bf_copi_arr(beamset_id),
-        reg_bsn_monitor_v2_bsn_align_output_cipo => reg_bsn_monitor_v2_aligned_bf_cipo_arr(beamset_id),
-        reg_bsn_monitor_v2_bst_offload_copi      => reg_bsn_monitor_v2_bst_offload_copi_arr(beamset_id),
-        reg_bsn_monitor_v2_bst_offload_cipo      => reg_bsn_monitor_v2_bst_offload_cipo_arr(beamset_id),
-        reg_bsn_monitor_v2_beamlet_output_copi   => reg_bsn_monitor_v2_beamlet_output_copi_arr(beamset_id),
-        reg_bsn_monitor_v2_beamlet_output_cipo   => reg_bsn_monitor_v2_beamlet_output_cipo_arr(beamset_id),
-
-        sdp_info                 => sdp_info,
-        ring_info                => ring_info,
-        gn_id                    => gn_id,
-
-        bdo_eth_src_mac          => cep_eth_src_mac,
-        bdo_ip_src_addr          => cep_ip_src_addr,
-        bdo_udp_src_port         => cep_udp_src_port,
-        bdo_hdr_fields_out       => bf_10GbE_hdr_fields_out_arr(beamset_id),
-
-        stat_eth_src_mac         => stat_eth_src_mac,
-        stat_ip_src_addr         => stat_ip_src_addr,
-        stat_udp_src_port        => bst_udp_src_port
-      );
+        generic map(
+          g_sim                    => g_sim,
+          g_sim_sdp                => g_sim_sdp,
+          g_beamset_id             => beamset_id,
+          g_scope_selected_beamlet => g_scope_selected_subband,
+          g_subband_raw_dat_w      => c_subband_raw_dat_w,
+          g_subband_raw_fraction_w => c_subband_raw_fraction_w
+        )
+        port map(
+          dp_clk                   => dp_clk,
+          dp_rst                   => dp_rst,
+
+          in_sosi_arr              => fsub_raw_sosi_2arr(beamset_id),
+          from_ri_sosi             => bf_from_ri_sosi_arr(beamset_id),
+          to_ri_sosi               => bf_to_ri_sosi_arr(beamset_id),
+          bf_udp_sosi              => bf_udp_sosi_arr(beamset_id),
+          bf_udp_siso              => bf_udp_siso_arr(beamset_id),
+          bst_udp_sosi             => udp_tx_sosi_arr(2 + beamset_id),
+          bst_udp_siso             => udp_tx_siso_arr(2 + beamset_id),
+
+          dp_bsn_source_new_interval => dp_bsn_source_new_interval,
+
+          mm_rst                   => mm_rst,
+          mm_clk                   => mm_clk,
+
+          ram_ss_ss_wide_mosi      => ram_ss_ss_wide_copi_arr(beamset_id),
+          ram_ss_ss_wide_miso      => ram_ss_ss_wide_cipo_arr(beamset_id),
+          ram_bf_weights_mosi      => ram_bf_weights_copi_arr(beamset_id),
+          ram_bf_weights_miso      => ram_bf_weights_cipo_arr(beamset_id),
+          reg_bf_scale_mosi        => reg_bf_scale_copi_arr(beamset_id),
+          reg_bf_scale_miso        => reg_bf_scale_cipo_arr(beamset_id),
+          reg_hdr_dat_mosi         => reg_hdr_dat_copi_arr(beamset_id),
+          reg_hdr_dat_miso         => reg_hdr_dat_cipo_arr(beamset_id),
+          reg_dp_xonoff_mosi       => reg_dp_xonoff_copi_arr(beamset_id),
+          reg_dp_xonoff_miso       => reg_dp_xonoff_cipo_arr(beamset_id),
+          ram_st_bst_mosi          => ram_st_bst_copi_arr(beamset_id),
+          ram_st_bst_miso          => ram_st_bst_cipo_arr(beamset_id),
+          reg_stat_enable_mosi     => reg_stat_enable_bst_copi_arr(beamset_id),
+          reg_stat_enable_miso     => reg_stat_enable_bst_cipo_arr(beamset_id),
+          reg_stat_hdr_dat_mosi    => reg_stat_hdr_dat_bst_copi_arr(beamset_id),
+          reg_stat_hdr_dat_miso    => reg_stat_hdr_dat_bst_cipo_arr(beamset_id),
+          reg_bsn_align_copi       => reg_bsn_align_v2_bf_copi_arr(beamset_id),
+          reg_bsn_align_cipo       => reg_bsn_align_v2_bf_cipo_arr(beamset_id),
+          reg_bsn_monitor_v2_bsn_align_input_copi  => reg_bsn_monitor_v2_rx_align_bf_copi_arr(beamset_id),
+          reg_bsn_monitor_v2_bsn_align_input_cipo  => reg_bsn_monitor_v2_rx_align_bf_cipo_arr(beamset_id),
+          reg_bsn_monitor_v2_bsn_align_output_copi => reg_bsn_monitor_v2_aligned_bf_copi_arr(beamset_id),
+          reg_bsn_monitor_v2_bsn_align_output_cipo => reg_bsn_monitor_v2_aligned_bf_cipo_arr(beamset_id),
+          reg_bsn_monitor_v2_bst_offload_copi      => reg_bsn_monitor_v2_bst_offload_copi_arr(beamset_id),
+          reg_bsn_monitor_v2_bst_offload_cipo      => reg_bsn_monitor_v2_bst_offload_cipo_arr(beamset_id),
+          reg_bsn_monitor_v2_beamlet_output_copi   => reg_bsn_monitor_v2_beamlet_output_copi_arr(beamset_id),
+          reg_bsn_monitor_v2_beamlet_output_cipo   => reg_bsn_monitor_v2_beamlet_output_cipo_arr(beamset_id),
+
+          sdp_info                 => sdp_info,
+          ring_info                => ring_info,
+          gn_id                    => gn_id,
+
+          bdo_eth_src_mac          => cep_eth_src_mac,
+          bdo_ip_src_addr          => cep_ip_src_addr,
+          bdo_udp_src_port         => cep_udp_src_port,
+          bdo_hdr_fields_out       => bf_10GbE_hdr_fields_out_arr(beamset_id),
+
+          stat_eth_src_mac         => stat_eth_src_mac,
+          stat_ip_src_addr         => stat_ip_src_addr,
+          stat_udp_src_port        => bst_udp_src_port
+        );
 
     end generate;
 
     -- MM multiplexing
     u_mem_mux_ram_ss_ss_wide : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_ram_ss_ss_wide
-    )
-    port map (
-      mosi     => ram_ss_ss_wide_copi,
-      miso     => ram_ss_ss_wide_cipo,
-      mosi_arr => ram_ss_ss_wide_copi_arr,
-      miso_arr => ram_ss_ss_wide_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_ram_ss_ss_wide
+      )
+      port map (
+        mosi     => ram_ss_ss_wide_copi,
+        miso     => ram_ss_ss_wide_cipo,
+        mosi_arr => ram_ss_ss_wide_copi_arr,
+        miso_arr => ram_ss_ss_wide_cipo_arr
+      );
 
     u_mem_mux_ram_bf_weights : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_ram_bf_weights
-    )
-    port map (
-      mosi     => ram_bf_weights_copi,
-      miso     => ram_bf_weights_cipo,
-      mosi_arr => ram_bf_weights_copi_arr,
-      miso_arr => ram_bf_weights_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_ram_bf_weights
+      )
+      port map (
+        mosi     => ram_bf_weights_copi,
+        miso     => ram_bf_weights_cipo,
+        mosi_arr => ram_bf_weights_copi_arr,
+        miso_arr => ram_bf_weights_cipo_arr
+      );
 
     u_mem_mux_reg_bsn_align_v2_bf : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_reg_bsn_align_v2_bf
-    )
-    port map (
-      mosi     => reg_bsn_align_v2_bf_copi,
-      miso     => reg_bsn_align_v2_bf_cipo,
-      mosi_arr => reg_bsn_align_v2_bf_copi_arr,
-      miso_arr => reg_bsn_align_v2_bf_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_reg_bsn_align_v2_bf
+      )
+      port map (
+        mosi     => reg_bsn_align_v2_bf_copi,
+        miso     => reg_bsn_align_v2_bf_cipo,
+        mosi_arr => reg_bsn_align_v2_bf_copi_arr,
+        miso_arr => reg_bsn_align_v2_bf_cipo_arr
+      );
 
     u_mem_mux_reg_bf_scale : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_reg_bf_scale
-    )
-    port map (
-      mosi     => reg_bf_scale_copi,
-      miso     => reg_bf_scale_cipo,
-      mosi_arr => reg_bf_scale_copi_arr,
-      miso_arr => reg_bf_scale_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_reg_bf_scale
+      )
+      port map (
+        mosi     => reg_bf_scale_copi,
+        miso     => reg_bf_scale_cipo,
+        mosi_arr => reg_bf_scale_copi_arr,
+        miso_arr => reg_bf_scale_cipo_arr
+      );
 
     u_mem_mux_reg_hdr_dat : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_reg_hdr_dat
-    )
-    port map (
-      mosi     => reg_hdr_dat_copi,
-      miso     => reg_hdr_dat_cipo,
-      mosi_arr => reg_hdr_dat_copi_arr,
-      miso_arr => reg_hdr_dat_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_reg_hdr_dat
+      )
+      port map (
+        mosi     => reg_hdr_dat_copi,
+        miso     => reg_hdr_dat_cipo,
+        mosi_arr => reg_hdr_dat_copi_arr,
+        miso_arr => reg_hdr_dat_cipo_arr
+      );
 
     u_mem_mux_reg_dp_xonoff : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_reg_dp_xonoff
-    )
-    port map (
-      mosi     => reg_dp_xonoff_copi,
-      miso     => reg_dp_xonoff_cipo,
-      mosi_arr => reg_dp_xonoff_copi_arr,
-      miso_arr => reg_dp_xonoff_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_reg_dp_xonoff
+      )
+      port map (
+        mosi     => reg_dp_xonoff_copi,
+        miso     => reg_dp_xonoff_cipo,
+        mosi_arr => reg_dp_xonoff_copi_arr,
+        miso_arr => reg_dp_xonoff_cipo_arr
+      );
 
     u_mem_mux_ram_st_bst : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_ram_st_bst
-    )
-    port map (
-      mosi     => ram_st_bst_copi,
-      miso     => ram_st_bst_cipo,
-      mosi_arr => ram_st_bst_copi_arr,
-      miso_arr => ram_st_bst_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_ram_st_bst
+      )
+      port map (
+        mosi     => ram_st_bst_copi,
+        miso     => ram_st_bst_cipo,
+        mosi_arr => ram_st_bst_copi_arr,
+        miso_arr => ram_st_bst_cipo_arr
+      );
 
     u_mem_mux_reg_stat_enable_bst : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_sdp_reg_stat_enable_addr_w
-    )
-    port map (
-      mosi     => reg_stat_enable_bst_copi,
-      miso     => reg_stat_enable_bst_cipo,
-      mosi_arr => reg_stat_enable_bst_copi_arr,
-      miso_arr => reg_stat_enable_bst_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_sdp_reg_stat_enable_addr_w
+      )
+      port map (
+        mosi     => reg_stat_enable_bst_copi,
+        miso     => reg_stat_enable_bst_cipo,
+        mosi_arr => reg_stat_enable_bst_copi_arr,
+        miso_arr => reg_stat_enable_bst_cipo_arr
+      );
 
     u_mem_mux_reg_stat_hdr_dat_bst : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_sdp_reg_stat_hdr_dat_addr_w
-    )
-    port map (
-      mosi     => reg_stat_hdr_dat_bst_copi,
-      miso     => reg_stat_hdr_dat_bst_cipo,
-      mosi_arr => reg_stat_hdr_dat_bst_copi_arr,
-      miso_arr => reg_stat_hdr_dat_bst_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_sdp_reg_stat_hdr_dat_addr_w
+      )
+      port map (
+        mosi     => reg_stat_hdr_dat_bst_copi,
+        miso     => reg_stat_hdr_dat_bst_cipo,
+        mosi_arr => reg_stat_hdr_dat_bst_copi_arr,
+        miso_arr => reg_stat_hdr_dat_bst_cipo_arr
+      );
 
     u_mem_mux_reg_bsn_monitor_v2_rx_align_bf : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_rx_align_bf
-    )
-    port map (
-      mosi     => reg_bsn_monitor_v2_rx_align_bf_copi,
-      miso     => reg_bsn_monitor_v2_rx_align_bf_cipo,
-      mosi_arr => reg_bsn_monitor_v2_rx_align_bf_copi_arr,
-      miso_arr => reg_bsn_monitor_v2_rx_align_bf_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_rx_align_bf
+      )
+      port map (
+        mosi     => reg_bsn_monitor_v2_rx_align_bf_copi,
+        miso     => reg_bsn_monitor_v2_rx_align_bf_cipo,
+        mosi_arr => reg_bsn_monitor_v2_rx_align_bf_copi_arr,
+        miso_arr => reg_bsn_monitor_v2_rx_align_bf_cipo_arr
+      );
 
     u_mem_mux_reg_bsn_monitor_v2_aligned_bf : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
-    )
-    port map (
-      mosi     => reg_bsn_monitor_v2_aligned_bf_copi,
-      miso     => reg_bsn_monitor_v2_aligned_bf_cipo,
-      mosi_arr => reg_bsn_monitor_v2_aligned_bf_copi_arr,
-      miso_arr => reg_bsn_monitor_v2_aligned_bf_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
+      )
+      port map (
+        mosi     => reg_bsn_monitor_v2_aligned_bf_copi,
+        miso     => reg_bsn_monitor_v2_aligned_bf_cipo,
+        mosi_arr => reg_bsn_monitor_v2_aligned_bf_copi_arr,
+        miso_arr => reg_bsn_monitor_v2_aligned_bf_cipo_arr
+      );
 
     u_mem_mux_reg_bsn_monitor_v2_bst_offload : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
-    )
-    port map (
-      mosi     => reg_bsn_monitor_v2_bst_offload_copi,
-      miso     => reg_bsn_monitor_v2_bst_offload_cipo,
-      mosi_arr => reg_bsn_monitor_v2_bst_offload_copi_arr,
-      miso_arr => reg_bsn_monitor_v2_bst_offload_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
+      )
+      port map (
+        mosi     => reg_bsn_monitor_v2_bst_offload_copi,
+        miso     => reg_bsn_monitor_v2_bst_offload_cipo,
+        mosi_arr => reg_bsn_monitor_v2_bst_offload_copi_arr,
+        miso_arr => reg_bsn_monitor_v2_bst_offload_cipo_arr
+      );
 
     u_mem_mux_reg_bsn_monitor_v2_beamlet_output : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_sdp_N_beamsets,
-      g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
-    )
-    port map (
-      mosi     => reg_bsn_monitor_v2_beamlet_output_copi,
-      miso     => reg_bsn_monitor_v2_beamlet_output_cipo,
-      mosi_arr => reg_bsn_monitor_v2_beamlet_output_copi_arr,
-      miso_arr => reg_bsn_monitor_v2_beamlet_output_cipo_arr
-    );
+      generic map (
+        g_nof_mosi    => c_sdp_N_beamsets,
+        g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
+      )
+      port map (
+        mosi     => reg_bsn_monitor_v2_beamlet_output_copi,
+        miso     => reg_bsn_monitor_v2_beamlet_output_cipo,
+        mosi_arr => reg_bsn_monitor_v2_beamlet_output_copi_arr,
+        miso_arr => reg_bsn_monitor_v2_beamlet_output_cipo_arr
+      );
 
     -----------------------------------------------------------------------------
     -- DP MUX to multiplex the c_sdp_N_beamsets via one beamlet output 10GbE link
@@ -1146,137 +1146,85 @@ begin
     nw_10GbE_hdr_fields_in_arr(0) <= bf_10GbE_hdr_fields_out_arr(0);
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      g_nof_input => c_sdp_N_beamsets,
-      g_sel_ctrl_invert => true,
-      g_fifo_size => array_init(0,c_sdp_N_beamsets),  -- no FIFO used but must match g_nof_input
-      g_fifo_fill => array_init(0,c_sdp_N_beamsets)  -- no FIFO used but must match g_nof_input
-    )
-    port map (
-      clk => dp_clk,
-      rst => dp_rst,
+      generic map (
+        g_nof_input => c_sdp_N_beamsets,
+        g_sel_ctrl_invert => true,
+        g_fifo_size => array_init(0,c_sdp_N_beamsets),  -- no FIFO used but must match g_nof_input
+        g_fifo_fill => array_init(0,c_sdp_N_beamsets)  -- no FIFO used but must match g_nof_input
+      )
+      port map (
+        clk => dp_clk,
+        rst => dp_rst,
 
-      snk_in_arr  => bf_udp_sosi_arr,
-      snk_out_arr => bf_udp_siso_arr,
+        snk_in_arr  => bf_udp_sosi_arr,
+        snk_out_arr => bf_udp_siso_arr,
 
-      src_out => nw_10gbe_beamlet_output_snk_in_arr(0),
-      src_in  => nw_10gbe_beamlet_output_snk_out_arr(0)
-    );
+        src_out => nw_10gbe_beamlet_output_snk_in_arr(0),
+        src_in  => nw_10gbe_beamlet_output_snk_out_arr(0)
+      );
 
     ---------------
     -- nw_10GbE beamlet output via front_io QSFP[1]
     ---------------
     u_nw_10GbE_beamlet_output: entity nw_10GbE_lib.nw_10GbE
-    generic map (
-      g_sim              => g_sim,
-      g_sim_level        => 1,
-      g_nof_macs         => c_nof_10GbE_beamlet_output,
-      g_direction        => "TX_RX",
-      g_tx_fifo_fill     => c_fifo_tx_fill_beamlet_output,
-      g_tx_fifo_size     => c_fifo_tx_size_beamlet_output,
-      g_ip_hdr_field_arr => c_sdp_cep_hdr_field_arr,
-      g_xon_backpressure => true
+      generic map (
+        g_sim              => g_sim,
+        g_sim_level        => 1,
+        g_nof_macs         => c_nof_10GbE_beamlet_output,
+        g_direction        => "TX_RX",
+        g_tx_fifo_fill     => c_fifo_tx_fill_beamlet_output,
+        g_tx_fifo_size     => c_fifo_tx_size_beamlet_output,
+        g_ip_hdr_field_arr => c_sdp_cep_hdr_field_arr,
+        g_xon_backpressure => true
 
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644        => SA_CLK,
-      tr_ref_clk_312        => tr_ref_clk_312,
-      tr_ref_clk_156        => tr_ref_clk_156,
-      tr_ref_rst_156        => tr_ref_rst_156,
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644        => SA_CLK,
+        tr_ref_clk_312        => tr_ref_clk_312,
+        tr_ref_clk_156        => tr_ref_clk_156,
+        tr_ref_rst_156        => tr_ref_rst_156,
 
-      -- MM interface
-      mm_rst                => mm_rst,
-      mm_clk                => mm_clk,
+        -- MM interface
+        mm_rst                => mm_rst,
+        mm_clk                => mm_clk,
 
-      reg_mac_mosi          => reg_nw_10GbE_mac_copi,
-      reg_mac_miso          => reg_nw_10GbE_mac_cipo,
+        reg_mac_mosi          => reg_nw_10GbE_mac_copi,
+        reg_mac_miso          => reg_nw_10GbE_mac_cipo,
 
-      reg_eth10g_mosi       => reg_nw_10GbE_eth10g_copi,
-      reg_eth10g_miso       => reg_nw_10GbE_eth10g_cipo,
+        reg_eth10g_mosi       => reg_nw_10GbE_eth10g_copi,
+        reg_eth10g_miso       => reg_nw_10GbE_eth10g_cipo,
 
-      -- DP interface
-      dp_rst                => dp_rst,
-      dp_clk                => dp_clk,
-      dp_pps                => dp_pps,
+        -- DP interface
+        dp_rst                => dp_rst,
+        dp_clk                => dp_clk,
+        dp_pps                => dp_pps,
 
-      snk_out_arr           => nw_10gbe_beamlet_output_snk_out_arr,
-      snk_in_arr            => nw_10gbe_beamlet_output_snk_in_arr,
+        snk_out_arr           => nw_10gbe_beamlet_output_snk_out_arr,
+        snk_in_arr            => nw_10gbe_beamlet_output_snk_in_arr,
 
-      src_out_arr           => nw_10gbe_beamlet_output_src_out_arr,
-      src_in_arr            => nw_10gbe_beamlet_output_src_in_arr,
+        src_out_arr           => nw_10gbe_beamlet_output_src_out_arr,
+        src_in_arr            => nw_10gbe_beamlet_output_src_in_arr,
 
-      -- Serial IO
-      serial_tx_arr         => unb2_board_front_io_serial_tx_arr(c_nof_10GbE_beamlet_output + c_quad - 1 downto c_quad),
-      serial_rx_arr         => unb2_board_front_io_serial_rx_arr(c_nof_10GbE_beamlet_output + c_quad - 1 downto c_quad),
+        -- Serial IO
+        serial_tx_arr         => unb2_board_front_io_serial_tx_arr(c_nof_10GbE_beamlet_output + c_quad - 1 downto c_quad),
+        serial_rx_arr         => unb2_board_front_io_serial_rx_arr(c_nof_10GbE_beamlet_output + c_quad - 1 downto c_quad),
 
-      hdr_fields_in_arr     => nw_10GbE_hdr_fields_in_arr
-    );
+        hdr_fields_in_arr     => nw_10GbE_hdr_fields_in_arr
+      );
   end generate;
 
   gen_use_ring : if g_use_ring generate
     gen_xst_ring : if g_use_xsub generate
       u_ring_lane_xst : entity ring_lib.ring_lane
-      generic map (
-        g_lane_direction            => 1,  -- transport in positive direction.
-        g_lane_data_w               => c_longword_w,
-        g_lane_packet_length        => c_lane_payload_nof_longwords_xst,
-        g_lane_total_nof_packets_w  => c_lane_total_nof_packets_w,
-        g_use_dp_layer              => true,
-        g_nof_rx_monitors           => c_sdp_N_pn_max,
-        g_nof_tx_monitors           => c_sdp_N_pn_max,
-        g_err_bi                    => c_err_bi,
-        g_nof_err_counts            => c_nof_err_counts,
-        g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
-        g_validate_channel          => c_validate_channel,
-        g_validate_channel_mode     => c_validate_channel_mode,
-        g_sync_timeout              => c_sync_timeout
-      )
-      port map (
-        mm_rst => mm_rst,
-        mm_clk => mm_clk,
-        dp_clk => dp_clk,
-        dp_rst => dp_rst,
-
-        from_lane_sosi     => xst_from_ri_sosi,
-        to_lane_sosi       => xst_to_ri_sosi,
-        lane_rx_cable_sosi => lane_rx_cable_sosi_arr(0),
-        lane_rx_board_sosi => lane_rx_board_sosi_arr(0),
-        lane_tx_cable_sosi => lane_tx_cable_sosi_arr(0),
-        lane_tx_board_sosi => lane_tx_board_sosi_arr(0),
-        bs_sosi            => xst_bs_sosi,
-
-        reg_ring_lane_info_copi                => reg_ring_lane_info_xst_copi,
-        reg_ring_lane_info_cipo                => reg_ring_lane_info_xst_cipo,
-        reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_xst_copi,
-        reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_xst_cipo,
-        reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_xst_copi,
-        reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_xst_cipo,
-        reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_xst_copi,
-        reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_xst_cipo,
-        reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_xst_copi,
-        reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo,
-
-        this_rn   => this_rn,
-        N_rn      => ring_info.N_rn,
-        rx_select => ring_info.use_cable_to_previous_rn,
-        tx_select => ring_info.use_cable_to_next_rn
-      );
-    end generate;
-
-    gen_bf_ring : if g_use_bf generate
-      bf_bs_sosi <= fsub_raw_sosi_arr(0);
-
-      gen_beamset_ring : for beamset_id in 0 to c_sdp_N_beamsets - 1 generate
-        u_ring_lane_bf : entity ring_lib.ring_lane
         generic map (
           g_lane_direction            => 1,  -- transport in positive direction.
           g_lane_data_w               => c_longword_w,
-          g_lane_packet_length        => c_lane_payload_nof_longwords_bf,
+          g_lane_packet_length        => c_lane_payload_nof_longwords_xst,
           g_lane_total_nof_packets_w  => c_lane_total_nof_packets_w,
           g_use_dp_layer              => true,
-          g_nof_rx_monitors           => 1,
-          g_nof_tx_monitors           => 1,
+          g_nof_rx_monitors           => c_sdp_N_pn_max,
+          g_nof_tx_monitors           => c_sdp_N_pn_max,
           g_err_bi                    => c_err_bi,
           g_nof_err_counts            => c_nof_err_counts,
           g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
@@ -1290,92 +1238,144 @@ begin
           dp_clk => dp_clk,
           dp_rst => dp_rst,
 
-          from_lane_sosi     => bf_from_ri_sosi_arr(beamset_id),
-          to_lane_sosi       => bf_to_ri_sosi_arr(beamset_id),
-          lane_rx_cable_sosi => lane_rx_cable_sosi_arr(1 + beamset_id),
-          lane_rx_board_sosi => lane_rx_board_sosi_arr(1 + beamset_id),
-          lane_tx_cable_sosi => lane_tx_cable_sosi_arr(1 + beamset_id),
-          lane_tx_board_sosi => lane_tx_board_sosi_arr(1 + beamset_id),
-          bs_sosi            => bf_bs_sosi,  -- used for bsn and sync
-
-          reg_ring_lane_info_copi                => reg_ring_lane_info_bf_copi_arr(beamset_id),
-          reg_ring_lane_info_cipo                => reg_ring_lane_info_bf_cipo_arr(beamset_id),
-          reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_bf_copi_arr(beamset_id),
-          reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_bf_cipo_arr(beamset_id),
-          reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_bf_copi_arr(beamset_id),
-          reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_bf_cipo_arr(beamset_id),
-          reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_bf_copi_arr(beamset_id),
-          reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_bf_cipo_arr(beamset_id),
-          reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_bf_copi_arr(beamset_id),
-          reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo_arr(beamset_id),
+          from_lane_sosi     => xst_from_ri_sosi,
+          to_lane_sosi       => xst_to_ri_sosi,
+          lane_rx_cable_sosi => lane_rx_cable_sosi_arr(0),
+          lane_rx_board_sosi => lane_rx_board_sosi_arr(0),
+          lane_tx_cable_sosi => lane_tx_cable_sosi_arr(0),
+          lane_tx_board_sosi => lane_tx_board_sosi_arr(0),
+          bs_sosi            => xst_bs_sosi,
+
+          reg_ring_lane_info_copi                => reg_ring_lane_info_xst_copi,
+          reg_ring_lane_info_cipo                => reg_ring_lane_info_xst_cipo,
+          reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_xst_copi,
+          reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_xst_cipo,
+          reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_xst_copi,
+          reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_xst_cipo,
+          reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_xst_copi,
+          reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_xst_cipo,
+          reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_xst_copi,
+          reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo,
 
           this_rn   => this_rn,
           N_rn      => ring_info.N_rn,
           rx_select => ring_info.use_cable_to_previous_rn,
           tx_select => ring_info.use_cable_to_next_rn
         );
+    end generate;
+
+    gen_bf_ring : if g_use_bf generate
+      bf_bs_sosi <= fsub_raw_sosi_arr(0);
+
+      gen_beamset_ring : for beamset_id in 0 to c_sdp_N_beamsets - 1 generate
+        u_ring_lane_bf : entity ring_lib.ring_lane
+          generic map (
+            g_lane_direction            => 1,  -- transport in positive direction.
+            g_lane_data_w               => c_longword_w,
+            g_lane_packet_length        => c_lane_payload_nof_longwords_bf,
+            g_lane_total_nof_packets_w  => c_lane_total_nof_packets_w,
+            g_use_dp_layer              => true,
+            g_nof_rx_monitors           => 1,
+            g_nof_tx_monitors           => 1,
+            g_err_bi                    => c_err_bi,
+            g_nof_err_counts            => c_nof_err_counts,
+            g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel,
+            g_validate_channel          => c_validate_channel,
+            g_validate_channel_mode     => c_validate_channel_mode,
+            g_sync_timeout              => c_sync_timeout
+          )
+          port map (
+            mm_rst => mm_rst,
+            mm_clk => mm_clk,
+            dp_clk => dp_clk,
+            dp_rst => dp_rst,
+
+            from_lane_sosi     => bf_from_ri_sosi_arr(beamset_id),
+            to_lane_sosi       => bf_to_ri_sosi_arr(beamset_id),
+            lane_rx_cable_sosi => lane_rx_cable_sosi_arr(1 + beamset_id),
+            lane_rx_board_sosi => lane_rx_board_sosi_arr(1 + beamset_id),
+            lane_tx_cable_sosi => lane_tx_cable_sosi_arr(1 + beamset_id),
+            lane_tx_board_sosi => lane_tx_board_sosi_arr(1 + beamset_id),
+            bs_sosi            => bf_bs_sosi,  -- used for bsn and sync
+
+            reg_ring_lane_info_copi                => reg_ring_lane_info_bf_copi_arr(beamset_id),
+            reg_ring_lane_info_cipo                => reg_ring_lane_info_bf_cipo_arr(beamset_id),
+            reg_bsn_monitor_v2_ring_rx_copi        => reg_bsn_monitor_v2_ring_rx_bf_copi_arr(beamset_id),
+            reg_bsn_monitor_v2_ring_rx_cipo        => reg_bsn_monitor_v2_ring_rx_bf_cipo_arr(beamset_id),
+            reg_bsn_monitor_v2_ring_tx_copi        => reg_bsn_monitor_v2_ring_tx_bf_copi_arr(beamset_id),
+            reg_bsn_monitor_v2_ring_tx_cipo        => reg_bsn_monitor_v2_ring_tx_bf_cipo_arr(beamset_id),
+            reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_bf_copi_arr(beamset_id),
+            reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_bf_cipo_arr(beamset_id),
+            reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_bf_copi_arr(beamset_id),
+            reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo_arr(beamset_id),
+
+            this_rn   => this_rn,
+            N_rn      => ring_info.N_rn,
+            rx_select => ring_info.use_cable_to_previous_rn,
+            tx_select => ring_info.use_cable_to_next_rn
+          );
       end generate;
 
 
       u_mem_mux_reg_ring_lane_info_bf : entity common_lib.common_mem_mux
-      generic map (
-        g_nof_mosi    => c_sdp_N_beamsets,
-        g_mult_addr_w => c_addr_w_reg_ring_lane_info_bf
-      )
-      port map (
-        mosi     => reg_ring_lane_info_bf_copi,
-        miso     => reg_ring_lane_info_bf_cipo,
-        mosi_arr => reg_ring_lane_info_bf_copi_arr,
-        miso_arr => reg_ring_lane_info_bf_cipo_arr
-      );
+        generic map (
+          g_nof_mosi    => c_sdp_N_beamsets,
+          g_mult_addr_w => c_addr_w_reg_ring_lane_info_bf
+        )
+        port map (
+          mosi     => reg_ring_lane_info_bf_copi,
+          miso     => reg_ring_lane_info_bf_cipo,
+          mosi_arr => reg_ring_lane_info_bf_copi_arr,
+          miso_arr => reg_ring_lane_info_bf_cipo_arr
+        );
 
       u_mem_mux_reg_bsn_monitor_v2_ring_rx_bf : entity common_lib.common_mem_mux
-      generic map (
-        g_nof_mosi    => c_sdp_N_beamsets,
-        g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
-      )
-      port map (
-        mosi     => reg_bsn_monitor_v2_ring_rx_bf_copi,
-        miso     => reg_bsn_monitor_v2_ring_rx_bf_cipo,
-        mosi_arr => reg_bsn_monitor_v2_ring_rx_bf_copi_arr,
-        miso_arr => reg_bsn_monitor_v2_ring_rx_bf_cipo_arr
-      );
+        generic map (
+          g_nof_mosi    => c_sdp_N_beamsets,
+          g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
+        )
+        port map (
+          mosi     => reg_bsn_monitor_v2_ring_rx_bf_copi,
+          miso     => reg_bsn_monitor_v2_ring_rx_bf_cipo,
+          mosi_arr => reg_bsn_monitor_v2_ring_rx_bf_copi_arr,
+          miso_arr => reg_bsn_monitor_v2_ring_rx_bf_cipo_arr
+        );
 
       u_mem_mux_reg_bsn_monitor_v2_ring_tx_bf : entity common_lib.common_mem_mux
-      generic map (
-        g_nof_mosi    => c_sdp_N_beamsets,
-        g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
-      )
-      port map (
-        mosi     => reg_bsn_monitor_v2_ring_tx_bf_copi,
-        miso     => reg_bsn_monitor_v2_ring_tx_bf_cipo,
-        mosi_arr => reg_bsn_monitor_v2_ring_tx_bf_copi_arr,
-        miso_arr => reg_bsn_monitor_v2_ring_tx_bf_cipo_arr
-      );
+        generic map (
+          g_nof_mosi    => c_sdp_N_beamsets,
+          g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w
+        )
+        port map (
+          mosi     => reg_bsn_monitor_v2_ring_tx_bf_copi,
+          miso     => reg_bsn_monitor_v2_ring_tx_bf_cipo,
+          mosi_arr => reg_bsn_monitor_v2_ring_tx_bf_copi_arr,
+          miso_arr => reg_bsn_monitor_v2_ring_tx_bf_cipo_arr
+        );
 
       u_mem_mux_reg_dp_block_validate_err_bf : entity common_lib.common_mem_mux
-      generic map (
-        g_nof_mosi    => c_sdp_N_beamsets,
-        g_mult_addr_w => c_sdp_reg_dp_block_validate_err_addr_w
-      )
-      port map (
-        mosi     => reg_dp_block_validate_err_bf_copi,
-        miso     => reg_dp_block_validate_err_bf_cipo,
-        mosi_arr => reg_dp_block_validate_err_bf_copi_arr,
-        miso_arr => reg_dp_block_validate_err_bf_cipo_arr
-      );
+        generic map (
+          g_nof_mosi    => c_sdp_N_beamsets,
+          g_mult_addr_w => c_sdp_reg_dp_block_validate_err_addr_w
+        )
+        port map (
+          mosi     => reg_dp_block_validate_err_bf_copi,
+          miso     => reg_dp_block_validate_err_bf_cipo,
+          mosi_arr => reg_dp_block_validate_err_bf_copi_arr,
+          miso_arr => reg_dp_block_validate_err_bf_cipo_arr
+        );
 
       u_mem_mux_reg_dp_block_validate_bsn_at_sync_bf : entity common_lib.common_mem_mux
-      generic map (
-        g_nof_mosi    => c_sdp_N_beamsets,
-        g_mult_addr_w => c_sdp_reg_dp_block_validate_bsn_at_sync_addr_w
-      )
-      port map (
-        mosi     => reg_dp_block_validate_bsn_at_sync_bf_copi,
-        miso     => reg_dp_block_validate_bsn_at_sync_bf_cipo,
-        mosi_arr => reg_dp_block_validate_bsn_at_sync_bf_copi_arr,
-        miso_arr => reg_dp_block_validate_bsn_at_sync_bf_cipo_arr
-      );
+        generic map (
+          g_nof_mosi    => c_sdp_N_beamsets,
+          g_mult_addr_w => c_sdp_reg_dp_block_validate_bsn_at_sync_addr_w
+        )
+        port map (
+          mosi     => reg_dp_block_validate_bsn_at_sync_bf_copi,
+          miso     => reg_dp_block_validate_bsn_at_sync_bf_cipo,
+          mosi_arr => reg_dp_block_validate_bsn_at_sync_bf_copi_arr,
+          miso_arr => reg_dp_block_validate_bsn_at_sync_bf_cipo_arr
+        );
     end generate;
 
     -----------------------------------------------------------------------------
@@ -1399,45 +1399,45 @@ begin
     -- tr_10GbE ring via front_io QSFP[0]
     -----------------------------------------------------------------------------
     u_tr_10GbE_ring: entity tr_10GbE_lib.tr_10GbE
-    generic map (
-      g_sim           => g_sim,
-      g_sim_level     => 1,
-      g_nof_macs      => c_ring_nof_mac_ip,
-      g_direction     => "TX_RX",
-      g_tx_fifo_fill  => c_fifo_tx_fill_ring,
-      g_tx_fifo_size  => c_fifo_tx_size_ring
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644        => SA_CLK,
-      tr_ref_clk_312        => tr_ref_clk_312,
-      tr_ref_clk_156        => tr_ref_clk_156,
-      tr_ref_rst_156        => tr_ref_rst_156,
+      generic map (
+        g_sim           => g_sim,
+        g_sim_level     => 1,
+        g_nof_macs      => c_ring_nof_mac_ip,
+        g_direction     => "TX_RX",
+        g_tx_fifo_fill  => c_fifo_tx_fill_ring,
+        g_tx_fifo_size  => c_fifo_tx_size_ring
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644        => SA_CLK,
+        tr_ref_clk_312        => tr_ref_clk_312,
+        tr_ref_clk_156        => tr_ref_clk_156,
+        tr_ref_rst_156        => tr_ref_rst_156,
 
-      -- MM interface
-      mm_rst                => mm_rst,
-      mm_clk                => mm_clk,
+        -- MM interface
+        mm_rst                => mm_rst,
+        mm_clk                => mm_clk,
 
-      reg_mac_mosi          => reg_tr_10GbE_mac_copi,
-      reg_mac_miso          => reg_tr_10GbE_mac_cipo,
+        reg_mac_mosi          => reg_tr_10GbE_mac_copi,
+        reg_mac_miso          => reg_tr_10GbE_mac_cipo,
 
-      reg_eth10g_mosi       => reg_tr_10GbE_eth10g_copi,
-      reg_eth10g_miso       => reg_tr_10GbE_eth10g_cipo,
+        reg_eth10g_mosi       => reg_tr_10GbE_eth10g_copi,
+        reg_eth10g_miso       => reg_tr_10GbE_eth10g_cipo,
 
-      -- DP interface
-      dp_rst                => dp_rst,
-      dp_clk                => dp_clk,
+        -- DP interface
+        dp_rst                => dp_rst,
+        dp_clk                => dp_clk,
 
-      src_out_arr           => tr_10gbe_ring_src_out_arr,
-      src_in_arr            => tr_10gbe_ring_src_in_arr,
+        src_out_arr           => tr_10gbe_ring_src_out_arr,
+        src_in_arr            => tr_10gbe_ring_src_in_arr,
 
-      snk_out_arr           => tr_10gbe_ring_snk_out_arr,
-      snk_in_arr            => tr_10gbe_ring_snk_in_arr,
+        snk_out_arr           => tr_10gbe_ring_snk_out_arr,
+        snk_in_arr            => tr_10gbe_ring_snk_in_arr,
 
-      -- Serial IO
-      serial_tx_arr         => tr_10gbe_ring_serial_tx_arr,
-      serial_rx_arr         => tr_10gbe_ring_serial_rx_arr
-    );
+        -- Serial IO
+        serial_tx_arr         => tr_10gbe_ring_serial_tx_arr,
+        serial_rx_arr         => tr_10gbe_ring_serial_rx_arr
+      );
 
     -----------------------------------------------------------------------------
     -- Seperate serial tx/rx array
@@ -1466,14 +1466,14 @@ begin
   -- PLL
   ---------
   u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
   ------------
   -- LEDs
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd
index d362ef6006..39abb369e1 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd
@@ -98,14 +98,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, dp_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ring_lib.ring_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ring_lib.ring_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_statistics_offload is
   generic (
@@ -593,48 +593,48 @@ begin
   in_trigger <= in_sosi.sync and not reg_new_interval;
 
   u_mms_common_variable_delay : entity common_lib.mms_common_variable_delay
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    -- MM interface
-    reg_enable_mosi => reg_enable_mosi,
-    reg_enable_miso => reg_enable_miso,
-
-    delay           => p.nof_cycles_dly,
-    trigger         => in_trigger,
-    trigger_en      => trigger_en,
-    trigger_dly     => trigger_offload
-  );
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      -- MM interface
+      reg_enable_mosi => reg_enable_mosi,
+      reg_enable_miso => reg_enable_miso,
+
+      delay           => p.nof_cycles_dly,
+      trigger         => in_trigger,
+      trigger_en      => trigger_en,
+      trigger_dly     => trigger_offload
+    );
 
   u_dp_block_from_mm_dc : entity dp_lib.dp_block_from_mm_dc
-  generic map (
-    g_user_size          => c_mm_user_size,
-    g_data_size          => c_mm_data_size,
-    g_step_size          => c_mm_step_size,
-    g_nof_data           => c_mm_nof_data,
-    g_word_w             => c_word_w,
-    g_reverse_word_order => g_reverse_word_order,
-    g_bsn_w              => c_dp_stream_bsn_w,
-    g_bsn_incr_enable    => false  -- all offload block have same bsn_at_sync
-  )
-  port map(
-    dp_rst        => dp_rst,
-    dp_clk        => dp_clk,
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-    start_pulse   => r.start_pulse,
-    sync_in       => r.start_sync,
-    bsn_at_sync   => hdr_input.bsn_at_sync,
-    start_address => r.start_address,
-    mm_mosi       => master_mosi,
-    mm_miso       => master_miso,
-    out_sop       => dp_sop,  -- = dp_block_from_mm_src_out.sop
-    out_sosi      => dp_block_from_mm_src_out,
-    out_siso      => dp_block_from_mm_src_in
-  );
+    generic map (
+      g_user_size          => c_mm_user_size,
+      g_data_size          => c_mm_data_size,
+      g_step_size          => c_mm_step_size,
+      g_nof_data           => c_mm_nof_data,
+      g_word_w             => c_word_w,
+      g_reverse_word_order => g_reverse_word_order,
+      g_bsn_w              => c_dp_stream_bsn_w,
+      g_bsn_incr_enable    => false  -- all offload block have same bsn_at_sync
+    )
+    port map(
+      dp_rst        => dp_rst,
+      dp_clk        => dp_clk,
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+      start_pulse   => r.start_pulse,
+      sync_in       => r.start_sync,
+      bsn_at_sync   => hdr_input.bsn_at_sync,
+      start_address => r.start_address,
+      mm_mosi       => master_mosi,
+      mm_miso       => master_miso,
+      out_sop       => dp_sop,  -- = dp_block_from_mm_src_out.sop
+      out_sosi      => dp_block_from_mm_src_out,
+      out_siso      => dp_block_from_mm_src_in
+    );
 
   -- The dp_sop is the sop of the packet that is about to be offloaded by
   -- u_dp_offload_tx_v3. The r.dp_header_info must be available at the
@@ -645,16 +645,16 @@ begin
   --   u_dp_pipeline_ready.
 
   u_dp_pipeline_ready : entity dp_lib.dp_pipeline_ready
-  port map(
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => dp_block_from_mm_src_in,
-    snk_in       => dp_block_from_mm_src_out,
-    -- ST source
-    src_in       => dp_offload_snk_out,
-    src_out      => dp_offload_snk_in
-  );
+    port map(
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => dp_block_from_mm_src_in,
+      snk_in       => dp_block_from_mm_src_out,
+      -- ST source
+      src_in       => dp_offload_snk_out,
+      src_out      => dp_offload_snk_in
+    );
 
   -- The hdr_input.bsn_at_sync is passed on via r.dp_header_info so that
   -- u_dp_offload_tx_v3 can put it in the udp_sosi header.
@@ -664,27 +664,27 @@ begin
   -- is in fact not used, but useful to have in udp_sosi.sync (e.g. for the
   -- tb).
   u_dp_offload_tx_v3: entity dp_lib.dp_offload_tx_v3
-  generic map (
-    g_nof_streams    => c_nof_streams,
-    g_data_w         => c_word_w,
-    g_symbol_w       => c_word_w,
-    g_hdr_field_arr  => c_sdp_stat_hdr_field_arr,
-    g_hdr_field_sel  => c_sdp_stat_hdr_field_sel,
-    g_pipeline_ready => true
-  )
-  port map(
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    reg_hdr_dat_mosi     => reg_hdr_dat_mosi,
-    reg_hdr_dat_miso     => reg_hdr_dat_miso,
-    snk_in_arr(0)        => dp_offload_snk_in,
-    snk_out_arr(0)       => dp_offload_snk_out,
-    src_out_arr(0)       => udp_sosi,
-    src_in_arr(0)        => out_siso,
-    hdr_fields_in_arr(0) => r.dp_header_info
-  );
+    generic map (
+      g_nof_streams    => c_nof_streams,
+      g_data_w         => c_word_w,
+      g_symbol_w       => c_word_w,
+      g_hdr_field_arr  => c_sdp_stat_hdr_field_arr,
+      g_hdr_field_sel  => c_sdp_stat_hdr_field_sel,
+      g_pipeline_ready => true
+    )
+    port map(
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      reg_hdr_dat_mosi     => reg_hdr_dat_mosi,
+      reg_hdr_dat_miso     => reg_hdr_dat_miso,
+      snk_in_arr(0)        => dp_offload_snk_in,
+      snk_out_arr(0)       => dp_offload_snk_out,
+      src_out_arr(0)       => udp_sosi,
+      src_in_arr(0)        => out_siso,
+      hdr_fields_in_arr(0) => r.dp_header_info
+    );
 
   -- Debug signal, r_dp_header_rec must be available at the r_dp_header_sop
   r_dp_header_sop <= dp_offload_snk_in.sop;
@@ -693,29 +693,29 @@ begin
   out_sosi <= udp_sosi;
 
   u_bsn_mon_udp : entity dp_lib.mms_dp_bsn_monitor_v2
-  generic map (
-    g_nof_streams        => 1,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => g_bsn_monitor_sync_timeout,
-    g_bsn_w              => c_dp_stream_bsn_w,
-    g_error_bi           => 0,
-    g_cnt_sop_w          => c_word_w,
-    g_cnt_valid_w        => c_word_w,
-    g_cnt_latency_w      => c_word_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    reg_mosi       => reg_bsn_monitor_v2_offload_copi,
-    reg_miso       => reg_bsn_monitor_v2_offload_cipo,
-
-    -- Streaming clock domain
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-    ref_sync       => in_sosi.sync,
-
-    in_sosi_arr(0) => udp_sosi
-  );
+    generic map (
+      g_nof_streams        => 1,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => g_bsn_monitor_sync_timeout,
+      g_bsn_w              => c_dp_stream_bsn_w,
+      g_error_bi           => 0,
+      g_cnt_sop_w          => c_word_w,
+      g_cnt_valid_w        => c_word_w,
+      g_cnt_latency_w      => c_word_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      reg_mosi       => reg_bsn_monitor_v2_offload_copi,
+      reg_miso       => reg_bsn_monitor_v2_offload_cipo,
+
+      -- Streaming clock domain
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+      ref_sync       => in_sosi.sync,
+
+      in_sosi_arr(0) => udp_sosi
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd
index edb7f9964f..7e8498e58b 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd
@@ -49,11 +49,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_subband_equalizer is
   generic (
@@ -115,18 +115,18 @@ begin
   --   g_reverse_len - 1 +
   --   g_pipeline_mux_in + g_pipeline_mux_out = 1 + 0 + 2-1 + 0 + 1 = 3
   u_pipeline_co_pol : entity dp_lib.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => 3
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    -- ST sink
-    snk_in_arr  => in_raw_sosi_arr,
-    -- ST source
-    src_out_arr => in_pipe_raw_sosi_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => 3
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      -- ST sink
+      snk_in_arr  => in_raw_sosi_arr,
+      -- ST source
+      src_out_arr => in_pipe_raw_sosi_arr
+    );
 
   -- The input subband data order is fsub[S_pn/Q_fft]_[N_sub][Q_fft] and
   -- the [Q_fft] = [N_pol] index contains the X and Y polarizations.
@@ -135,23 +135,23 @@ begin
   -- in_pipeline_raw_sosi_arr.
   gen_cross_pol : for I in 0 to g_nof_streams - 1 generate
     u_cross_pol : entity dp_lib.dp_reverse_n_data
-    generic map (
-      g_pipeline_demux_in  => 1,  -- serial to parallel section
-      g_pipeline_demux_out => 0,
-      g_pipeline_mux_in    => 0,  -- parallel to serial section
-      g_pipeline_mux_out   => 1,
-      g_reverse_len        => c_sdp_N_pol,  -- = 2
-      g_data_w             => g_raw_dat_w * c_nof_complex,
-      g_use_complex        => true,
-      g_signed             => true
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      snk_in      => in_raw_sosi_arr(I),
-      src_out     => in_cross_raw_sosi_arr(I)
-    );
+      generic map (
+        g_pipeline_demux_in  => 1,  -- serial to parallel section
+        g_pipeline_demux_out => 0,
+        g_pipeline_mux_in    => 0,  -- parallel to serial section
+        g_pipeline_mux_out   => 1,
+        g_reverse_len        => c_sdp_N_pol,  -- = 2
+        g_data_w             => g_raw_dat_w * c_nof_complex,
+        g_use_complex        => true,
+        g_signed             => true
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_in      => in_raw_sosi_arr(I),
+        src_out     => in_cross_raw_sosi_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -159,30 +159,30 @@ begin
   -----------------------------------------------------------------------------
   -- Total pipeline of sdp_subband_weights is: 5
   u_sdp_subband_weigths : entity work.sdp_subband_weights
-  generic map (
-    g_gains_file_name => g_gains_file_name,  -- for co polarization
-    g_nof_streams     => g_nof_streams,
-    g_raw_dat_w       => g_raw_dat_w
-  )
-  port map (
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
+    generic map (
+      g_gains_file_name => g_gains_file_name,  -- for co polarization
+      g_nof_streams     => g_nof_streams,
+      g_raw_dat_w       => g_raw_dat_w
+    )
+    port map (
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
 
-    in_raw_sosi_arr       => in_pipe_raw_sosi_arr,
-    in_cross_raw_sosi_arr => in_cross_raw_sosi_arr,
+      in_raw_sosi_arr       => in_pipe_raw_sosi_arr,
+      in_cross_raw_sosi_arr => in_cross_raw_sosi_arr,
 
-    weighted_raw_sosi_arr       => weighted_raw_sosi_arr,
-    weighted_cross_raw_sosi_arr => weighted_cross_raw_sosi_arr,
+      weighted_raw_sosi_arr       => weighted_raw_sosi_arr,
+      weighted_cross_raw_sosi_arr => weighted_cross_raw_sosi_arr,
 
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    ram_gains_mosi => ram_gains_mosi,
-    ram_gains_miso => ram_gains_miso,
+      ram_gains_mosi => ram_gains_mosi,
+      ram_gains_miso => ram_gains_miso,
 
-    ram_gains_cross_mosi => ram_gains_cross_mosi,
-    ram_gains_cross_miso => ram_gains_cross_miso
-  );
+      ram_gains_cross_mosi => ram_gains_cross_mosi,
+      ram_gains_cross_miso => ram_gains_cross_miso
+    );
 
   -----------------------------------------------------------------------------
   -- Sum co + cross
@@ -194,17 +194,17 @@ begin
     in_raw_sosi_2arr_2(I)(1) <= weighted_cross_raw_sosi_arr(I);
 
     u_dp_complex_add : entity dp_lib.dp_complex_add
-    generic map(
-      g_nof_inputs => c_sdp_N_pol,
-      g_data_w => c_gain_out_dat_w
-    )
-    port map(
-      rst   => dp_rst,
-      clk   => dp_clk,
-
-      snk_in_arr => in_raw_sosi_2arr_2(I),
-      src_out => sum_raw_sosi_arr(I)
-    );
+      generic map(
+        g_nof_inputs => c_sdp_N_pol,
+        g_data_w => c_gain_out_dat_w
+      )
+      port map(
+        rst   => dp_rst,
+        clk   => dp_clk,
+
+        snk_in_arr => in_raw_sosi_2arr_2(I),
+        src_out => sum_raw_sosi_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -219,51 +219,51 @@ begin
     -- g_raw_fraction_w, so that the output width remains the same as the input
     -- width g_raw_dat_w.
     u_dp_requantize_out_raw : entity dp_lib.dp_requantize
-    generic map (
-      g_complex             => true,
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_sdp_W_sub_weight_fraction,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_msb_clip            => true,  -- clip subband overflow
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-      g_pipeline_remove_msb => c_pipeline_remove_msb,
-      g_in_dat_w            => c_gain_out_dat_w,
-      g_out_dat_w           => g_raw_dat_w
-    )
-    port map (
-      rst          => dp_rst,
-      clk          => dp_clk,
-      -- ST sink
-      snk_in       => sum_raw_sosi_arr(I),
-      -- ST source
-      src_out      => out_raw_sosi_arr(I)
-    );
+      generic map (
+        g_complex             => true,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_sdp_W_sub_weight_fraction,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => true,  -- clip subband overflow
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+        g_pipeline_remove_msb => c_pipeline_remove_msb,
+        g_in_dat_w            => c_gain_out_dat_w,
+        g_out_dat_w           => g_raw_dat_w
+      )
+      port map (
+        rst          => dp_rst,
+        clk          => dp_clk,
+        -- ST sink
+        snk_in       => sum_raw_sosi_arr(I),
+        -- ST source
+        src_out      => out_raw_sosi_arr(I)
+      );
 
     -- For quant output round the entire fraction, so that the output width
     -- becomes c_quant_dat_w.
     u_dp_requantize_out_quant : entity dp_lib.dp_requantize
-    generic map (
-      g_complex             => true,
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_sdp_W_sub_weight_fraction + g_raw_fraction_w,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_msb_clip            => true,  -- clip subband overflow
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-      g_pipeline_remove_msb => c_pipeline_remove_msb,
-      g_in_dat_w            => c_gain_out_dat_w,
-      g_out_dat_w           => c_quant_dat_w
-    )
-    port map (
-      rst          => dp_rst,
-      clk          => dp_clk,
-      -- ST sink
-      snk_in       => sum_raw_sosi_arr(I),
-      -- ST source
-      src_out      => out_quant_sosi_arr(I)
-    );
+      generic map (
+        g_complex             => true,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_sdp_W_sub_weight_fraction + g_raw_fraction_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => true,  -- clip subband overflow
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+        g_pipeline_remove_msb => c_pipeline_remove_msb,
+        g_in_dat_w            => c_gain_out_dat_w,
+        g_out_dat_w           => c_quant_dat_w
+      )
+      port map (
+        rst          => dp_rst,
+        clk          => dp_clk,
+        -- ST sink
+        snk_in       => sum_raw_sosi_arr(I),
+        -- ST source
+        src_out      => out_quant_sosi_arr(I)
+      );
   end generate;
 end str;
diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_weights.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_weights.vhd
index 8b2c4e4eb3..f44c2ef594 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_weights.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_weights.vhd
@@ -39,11 +39,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.sdp_pkg.all;
 
 entity sdp_subband_weights is
   generic (
@@ -132,61 +132,61 @@ begin
   -- Gain
   -----------------------------------------------------------------------------
   u_gains_co : entity dp_lib.mms_dp_gain_serial_arr
-  generic map (
-    g_nof_streams     => g_nof_streams,
-    g_nof_gains       => c_sdp_Q_fft * c_sdp_N_sub,
-    g_complex_data    => true,
-    g_complex_gain    => true,
-    g_gain_w          => c_sdp_W_sub_weight,
-    g_in_dat_w        => g_raw_dat_w,
-    g_out_dat_w       => c_gain_out_dat_w,
-    g_gains_file_name => g_gains_file_name
-  )
-  port map (
-    -- System
-    mm_rst            =>  mm_rst,
-    mm_clk            =>  mm_clk,
-    dp_rst            =>  dp_rst,
-    dp_clk            =>  dp_clk,
-
-    -- MM interface
-    ram_gains_mosi    =>  ram_gains_mosi,
-    ram_gains_miso    =>  ram_gains_miso,
-
-    -- ST interface
-    gains_rd_address  =>  gains_rd_address,
-
-    in_sosi_arr       =>  in_raw_sosi_arr,
-    out_sosi_arr      =>  weighted_raw_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => g_nof_streams,
+      g_nof_gains       => c_sdp_Q_fft * c_sdp_N_sub,
+      g_complex_data    => true,
+      g_complex_gain    => true,
+      g_gain_w          => c_sdp_W_sub_weight,
+      g_in_dat_w        => g_raw_dat_w,
+      g_out_dat_w       => c_gain_out_dat_w,
+      g_gains_file_name => g_gains_file_name
+    )
+    port map (
+      -- System
+      mm_rst            =>  mm_rst,
+      mm_clk            =>  mm_clk,
+      dp_rst            =>  dp_rst,
+      dp_clk            =>  dp_clk,
+
+      -- MM interface
+      ram_gains_mosi    =>  ram_gains_mosi,
+      ram_gains_miso    =>  ram_gains_miso,
+
+      -- ST interface
+      gains_rd_address  =>  gains_rd_address,
+
+      in_sosi_arr       =>  in_raw_sosi_arr,
+      out_sosi_arr      =>  weighted_raw_sosi_arr
+    );
 
   u_gains_cross : entity dp_lib.mms_dp_gain_serial_arr
-  generic map (
-    g_nof_streams     => g_nof_streams,
-    g_nof_gains       => c_sdp_Q_fft * c_sdp_N_sub,
-    g_complex_data    => true,
-    g_complex_gain    => true,
-    g_gain_w          => c_sdp_W_sub_weight,
-    g_in_dat_w        => g_raw_dat_w,
-    g_out_dat_w       => c_gain_out_dat_w,
-    g_gains_file_name => "UNUSED"
-  )
-  port map (
-    -- System
-    mm_rst            =>  mm_rst,
-    mm_clk            =>  mm_clk,
-    dp_rst            =>  dp_rst,
-    dp_clk            =>  dp_clk,
-
-    -- MM interface
-    ram_gains_mosi    =>  ram_gains_cross_mosi,
-    ram_gains_miso    =>  ram_gains_cross_miso,
-
-    -- ST interface
-    gains_rd_address  =>  gains_rd_address,
-
-    in_sosi_arr       =>  in_cross_raw_sosi_arr,
-    out_sosi_arr      =>  weighted_cross_raw_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => g_nof_streams,
+      g_nof_gains       => c_sdp_Q_fft * c_sdp_N_sub,
+      g_complex_data    => true,
+      g_complex_gain    => true,
+      g_gain_w          => c_sdp_W_sub_weight,
+      g_in_dat_w        => g_raw_dat_w,
+      g_out_dat_w       => c_gain_out_dat_w,
+      g_gains_file_name => "UNUSED"
+    )
+    port map (
+      -- System
+      mm_rst            =>  mm_rst,
+      mm_clk            =>  mm_clk,
+      dp_rst            =>  dp_rst,
+      dp_clk            =>  dp_clk,
+
+      -- MM interface
+      ram_gains_mosi    =>  ram_gains_cross_mosi,
+      ram_gains_miso    =>  ram_gains_cross_miso,
+
+      -- ST interface
+      gains_rd_address  =>  gains_rd_address,
+
+      in_sosi_arr       =>  in_cross_raw_sosi_arr,
+      out_sosi_arr      =>  weighted_cross_raw_sosi_arr
+    );
 
 end str;
diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_subband_select.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_subband_select.vhd
index d677643371..fd0b876540 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_subband_select.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_subband_select.vhd
@@ -33,15 +33,15 @@
 -- and cur_crosslets_info of the dut by comparing it to the expected output.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.sdp_pkg.all;
 
 entity tb_sdp_crosslets_subband_select is
 
@@ -283,29 +283,29 @@ begin
   end process;
 
   u_dut : entity work.sdp_crosslets_subband_select
-  generic map (
-    g_N_crosslets  => c_N_crosslets,
-    g_ctrl_interval_size_min => 1
-  )
-  port map (
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    mm_rst         => rst,
-    mm_clk         => mm_clk,
-
-    reg_crosslets_info_mosi => mm_mosi,
-    reg_crosslets_info_miso => mm_miso,
-
-    reg_bsn_sync_scheduler_xsub_mosi  => mm_trigger_mosi,
-    reg_bsn_sync_scheduler_xsub_miso  => mm_trigger_miso,
-
-    -- Streaming
-    in_sosi_arr => in_sosi_arr,
-    out_sosi    => out_sosi,
-
-    cur_crosslets_info_rec  => cur_crosslets_info_rec,
-    prev_crosslets_info_rec => prev_crosslets_info_rec
-  );
+    generic map (
+      g_N_crosslets  => c_N_crosslets,
+      g_ctrl_interval_size_min => 1
+    )
+    port map (
+      dp_rst         => rst,
+      dp_clk         => clk,
+
+      mm_rst         => rst,
+      mm_clk         => mm_clk,
+
+      reg_crosslets_info_mosi => mm_mosi,
+      reg_crosslets_info_miso => mm_miso,
+
+      reg_bsn_sync_scheduler_xsub_mosi  => mm_trigger_mosi,
+      reg_bsn_sync_scheduler_xsub_miso  => mm_trigger_miso,
+
+      -- Streaming
+      in_sosi_arr => in_sosi_arr,
+      out_sosi    => out_sosi,
+
+      cur_crosslets_info_rec  => cur_crosslets_info_rec,
+      prev_crosslets_info_rec => prev_crosslets_info_rec
+    );
 
 end tb;
diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd
index cc7d5ac3fb..0c02e6ebec 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd
@@ -34,12 +34,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.sdp_pkg.all;
 
 
 entity tb_sdp_info is
diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_pkg.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_pkg.vhd
index d84f73d36b..922f221c93 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_pkg.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_pkg.vhd
@@ -26,58 +26,61 @@
 -- Description:
 -------------------------------------------------------------------------------
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use work.sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use work.sdp_pkg.all;
 
 package tb_sdp_pkg is
 
   -----------------------------------------------------------------------------
   -- Derive low part of MAC, IP from global node (GN) index
   -----------------------------------------------------------------------------
-  function func_sdp_gn_index_to_mac_15_0(gn_index : natural) return std_logic_vector;
-  function func_sdp_gn_index_to_ip_15_0(gn_index : natural) return std_logic_vector;
+  function func_sdp_gn_index_to_mac_15_0 (gn_index : natural) return std_logic_vector;
+  function func_sdp_gn_index_to_ip_15_0 (gn_index : natural) return std_logic_vector;
 
   -----------------------------------------------------------------------------
   -- Statistics offload
   -----------------------------------------------------------------------------
-  function func_sdp_compose_stat_header(ip_header_checksum     : natural;
-                                        sdp_info               : t_sdp_info;  -- app header
-                                        g_statistics_type      : string;
-                                        weighted_subbands_flag : std_logic;
-                                        gn_index               : natural;
-                                        nof_block_per_sync     : natural;
-                                        sst_signal_input       : natural;
-                                        beamlet_index          : natural;
-                                        subband_index          : natural;
-                                        xst_signal_input_A     : natural;
-                                        xst_signal_input_B     : natural;
-                                        dp_bsn                 : natural) return t_sdp_stat_header;
-
-  function func_sdp_verify_stat_header(g_statistics_type : string; in_hdr, exp_hdr : t_sdp_stat_header) return boolean;
+  function func_sdp_compose_stat_header (
+    ip_header_checksum     : natural;
+    sdp_info               : t_sdp_info;  -- app header
+    g_statistics_type      : string;
+    weighted_subbands_flag : std_logic;
+    gn_index               : natural;
+    nof_block_per_sync     : natural;
+    sst_signal_input       : natural;
+    beamlet_index          : natural;
+    subband_index          : natural;
+    xst_signal_input_A     : natural;
+    xst_signal_input_B     : natural;
+    dp_bsn                 : natural) return t_sdp_stat_header;
+
+  function func_sdp_verify_stat_header (g_statistics_type : string; in_hdr, exp_hdr : t_sdp_stat_header) return boolean;
 
   -----------------------------------------------------------------------------
   -- Beamlet output via 10GbE to CEP (= central processor)
   -----------------------------------------------------------------------------
-  function func_sdp_compose_cep_header(ip_src_addr        : std_logic_vector;
-                                       ip_header_checksum : natural;
-                                       sdp_info           : t_sdp_info;  -- app header
-                                       gn_index           : natural;
-                                       payload_error      : std_logic;
-                                       beamlet_scale      : natural;
-                                       beamlet_index      : natural;
-                                       dp_bsn             : natural) return t_sdp_cep_header;
-
-  function func_sdp_compose_cep_header(ip_header_checksum : natural;
-                                       sdp_info           : t_sdp_info;  -- app header
-                                       gn_index           : natural;
-                                       payload_error      : std_logic;
-                                       beamlet_scale      : natural;
-                                       beamlet_index      : natural;
-                                       dp_bsn             : natural) return t_sdp_cep_header;
-
-  function func_sdp_verify_cep_header(in_hdr, exp_hdr : t_sdp_cep_header) return boolean;
+  function func_sdp_compose_cep_header (
+    ip_src_addr        : std_logic_vector;
+    ip_header_checksum : natural;
+    sdp_info           : t_sdp_info;  -- app header
+    gn_index           : natural;
+    payload_error      : std_logic;
+    beamlet_scale      : natural;
+    beamlet_index      : natural;
+    dp_bsn             : natural) return t_sdp_cep_header;
+
+  function func_sdp_compose_cep_header (
+    ip_header_checksum : natural;
+    sdp_info           : t_sdp_info;  -- app header
+    gn_index           : natural;
+    payload_error      : std_logic;
+    beamlet_scale      : natural;
+    beamlet_index      : natural;
+    dp_bsn             : natural) return t_sdp_cep_header;
+
+  function func_sdp_verify_cep_header (in_hdr, exp_hdr : t_sdp_cep_header) return boolean;
 
   -----------------------------------------------------------------------------
   -- Subband equalizer (ESub)
@@ -89,8 +92,9 @@ package tb_sdp_pkg is
   --   . sp_weight = (sp_esub_gain, sp_esub_phase)
   --   . cross_phasor = (cross_subband_ampl, cross_subband_phase)
   --   . cross_weight = (cross_esub_gain, cross_esub_phase)
-  function func_sdp_subband_equalizer(sp_subband_ampl, sp_subband_phase, sp_esub_gain, sp_esub_phase,
-                                      cross_subband_ampl, cross_subband_phase, cross_esub_gain, cross_esub_phase : real)
+  function func_sdp_subband_equalizer (
+    sp_subband_ampl, sp_subband_phase, sp_esub_gain, sp_esub_phase,
+    cross_subband_ampl, cross_subband_phase, cross_esub_gain, cross_esub_phase : real)
                                       return t_real_arr;  -- 0:3 = ampl, phase, re, im
 
 
@@ -100,16 +104,17 @@ package tb_sdp_pkg is
   -- Model the SDP beamformer for one signal input (sp) and nof_rem remnant signal inputs (rem)
   -- . for local beamformer on one node use nof_rem = S_pn - 1
   -- . for remote beamformer with nof_rn ring nodes use nof_rem = nof_rn * S_pn - 1
-  function func_sdp_beamformer(sp_subband_ampl, sp_subband_phase, sp_bf_gain, sp_bf_phase,
-                               rem_subband_ampl, rem_subband_phase, rem_bf_gain, rem_bf_phase : real;
-                               nof_rem : natural)
+  function func_sdp_beamformer (
+    sp_subband_ampl, sp_subband_phase, sp_bf_gain, sp_bf_phase,
+    rem_subband_ampl, rem_subband_phase, rem_bf_gain, rem_bf_phase : real;
+    nof_rem : natural)
                                return t_real_arr;  -- 0:3 = ampl, phase, re, im
 
 end package tb_sdp_pkg;
 
 package body tb_sdp_pkg is
 
-  function func_sdp_gn_index_to_mac_15_0(gn_index : natural) return std_logic_vector is
+  function func_sdp_gn_index_to_mac_15_0 (gn_index : natural) return std_logic_vector is
     constant c_unb_nr    : natural := gn_index / 4;  -- 4 PN per Uniboard2
     constant c_node_nr   : natural := gn_index mod 4;
     constant c_mac_15_0  : std_logic_vector(15 downto 0) := TO_UVEC(c_unb_nr, 8) & TO_UVEC(c_node_nr, 8);
@@ -117,7 +122,7 @@ package body tb_sdp_pkg is
     return c_mac_15_0;
   end func_sdp_gn_index_to_mac_15_0;
 
-  function func_sdp_gn_index_to_ip_15_0(gn_index : natural) return std_logic_vector is
+  function func_sdp_gn_index_to_ip_15_0 (gn_index : natural) return std_logic_vector is
     constant c_unb_nr    : natural := gn_index / 4;  -- 4 PN per Uniboard2
     constant c_node_nr   : natural := gn_index mod 4;
     constant c_ip_15_0   : std_logic_vector(15 downto 0) := TO_UVEC(c_unb_nr, 8) & TO_UVEC(c_node_nr + 1, 8);  -- +1 to avoid IP = *.*.*.0
@@ -125,18 +130,19 @@ package body tb_sdp_pkg is
     return c_ip_15_0;
   end func_sdp_gn_index_to_ip_15_0;
 
-  function func_sdp_compose_stat_header(ip_header_checksum     : natural;
-                                        sdp_info               : t_sdp_info;  -- app header
-                                        g_statistics_type      : string;
-                                        weighted_subbands_flag : std_logic;
-                                        gn_index               : natural;
-                                        nof_block_per_sync     : natural;
-                                        sst_signal_input       : natural;
-                                        beamlet_index          : natural;
-                                        subband_index          : natural;
-                                        xst_signal_input_A     : natural;
-                                        xst_signal_input_B     : natural;
-                                        dp_bsn                 : natural) return t_sdp_stat_header is
+  function func_sdp_compose_stat_header (
+    ip_header_checksum     : natural;
+    sdp_info               : t_sdp_info;  -- app header
+    g_statistics_type      : string;
+    weighted_subbands_flag : std_logic;
+    gn_index               : natural;
+    nof_block_per_sync     : natural;
+    sst_signal_input       : natural;
+    beamlet_index          : natural;
+    subband_index          : natural;
+    xst_signal_input_A     : natural;
+    xst_signal_input_B     : natural;
+    dp_bsn                 : natural) return t_sdp_stat_header is
     -- Use sim default dst and src MAC, IP, UDP port from sdp_pkg.vhd and based on gn_index
     constant c_mac_15_0                  : std_logic_vector(15 downto 0) := func_sdp_gn_index_to_mac_15_0(gn_index);
     constant c_ip_15_0                   : std_logic_vector(15 downto 0) := func_sdp_gn_index_to_ip_15_0(gn_index);
@@ -213,7 +219,7 @@ package body tb_sdp_pkg is
     return v_hdr;
   end func_sdp_compose_stat_header;
 
-  function func_sdp_verify_stat_header(g_statistics_type : string; in_hdr, exp_hdr : t_sdp_stat_header) return boolean is
+  function func_sdp_verify_stat_header (g_statistics_type : string; in_hdr, exp_hdr : t_sdp_stat_header) return boolean is
   begin
     -- eth header
     assert in_hdr.eth.dst_mac        = exp_hdr.eth.dst_mac  report "Wrong " & g_statistics_type & " eth.dst_mac"  severity ERROR;
@@ -281,14 +287,15 @@ package body tb_sdp_pkg is
     return true;
   end func_sdp_verify_stat_header;
 
-  function func_sdp_compose_cep_header(ip_src_addr        : std_logic_vector;
-                                       ip_header_checksum : natural;
-                                       sdp_info           : t_sdp_info;  -- app header
-                                       gn_index           : natural;
-                                       payload_error      : std_logic;
-                                       beamlet_scale      : natural;
-                                       beamlet_index      : natural;
-                                       dp_bsn             : natural) return t_sdp_cep_header is
+  function func_sdp_compose_cep_header (
+    ip_src_addr        : std_logic_vector;
+    ip_header_checksum : natural;
+    sdp_info           : t_sdp_info;  -- app header
+    gn_index           : natural;
+    payload_error      : std_logic;
+    beamlet_scale      : natural;
+    beamlet_index      : natural;
+    dp_bsn             : natural) return t_sdp_cep_header is
     -- Use sim default dst and src MAC, IP, UDP port from sdp_pkg.vhd and based on gn_index
     constant c_mac_15_0 : std_logic_vector(15 downto 0) := func_sdp_gn_index_to_mac_15_0(gn_index);
     variable v_hdr : t_sdp_cep_header;
@@ -345,13 +352,14 @@ package body tb_sdp_pkg is
     return v_hdr;
   end func_sdp_compose_cep_header;
 
-  function func_sdp_compose_cep_header(ip_header_checksum : natural;
-                                       sdp_info           : t_sdp_info;  -- app header
-                                       gn_index           : natural;
-                                       payload_error      : std_logic;
-                                       beamlet_scale      : natural;
-                                       beamlet_index      : natural;
-                                       dp_bsn             : natural) return t_sdp_cep_header is
+  function func_sdp_compose_cep_header (
+    ip_header_checksum : natural;
+    sdp_info           : t_sdp_info;  -- app header
+    gn_index           : natural;
+    payload_error      : std_logic;
+    beamlet_scale      : natural;
+    beamlet_index      : natural;
+    dp_bsn             : natural) return t_sdp_cep_header is
     -- Use sim default dst and src MAC, IP, UDP port from sdp_pkg.vhd and based on gn_index
     constant c_ip_15_0     : std_logic_vector(15 downto 0) := func_sdp_gn_index_to_ip_15_0(gn_index);
     constant c_ip_src_addr : std_logic_vector(31 downto 0) := c_sdp_cep_ip_src_addr_31_16 & c_ip_15_0;
@@ -366,7 +374,7 @@ package body tb_sdp_pkg is
                                        dp_bsn);
   end func_sdp_compose_cep_header;
 
-  function func_sdp_verify_cep_header(in_hdr, exp_hdr : t_sdp_cep_header) return boolean is
+  function func_sdp_verify_cep_header (in_hdr, exp_hdr : t_sdp_cep_header) return boolean is
     variable v_beamlet_index : natural;
   begin
     -- eth header
@@ -424,8 +432,9 @@ package body tb_sdp_pkg is
   end func_sdp_verify_cep_header;
 
 
-  function func_sdp_subband_equalizer(sp_subband_ampl, sp_subband_phase, sp_esub_gain, sp_esub_phase,
-                                      cross_subband_ampl, cross_subband_phase, cross_esub_gain, cross_esub_phase : real)
+  function func_sdp_subband_equalizer (
+    sp_subband_ampl, sp_subband_phase, sp_esub_gain, sp_esub_phase,
+    cross_subband_ampl, cross_subband_phase, cross_esub_gain, cross_esub_phase : real)
                                       return t_real_arr is  -- 0:3 = ampl, phase, re, im
     variable v_sp_ampl, v_sp_phase, v_sp_re, v_sp_im : real;
     variable v_cross_ampl, v_cross_phase, v_cross_re, v_cross_im : real;
@@ -449,9 +458,10 @@ package body tb_sdp_pkg is
   end;
 
 
-  function func_sdp_beamformer(sp_subband_ampl, sp_subband_phase, sp_bf_gain, sp_bf_phase,
-                               rem_subband_ampl, rem_subband_phase, rem_bf_gain, rem_bf_phase : real;
-                               nof_rem : natural)
+  function func_sdp_beamformer (
+    sp_subband_ampl, sp_subband_phase, sp_bf_gain, sp_bf_phase,
+    rem_subband_ampl, rem_subband_phase, rem_bf_gain, rem_bf_phase : real;
+    nof_rem : natural)
                                return t_real_arr is  -- 0:3 = ampl, phase, re, im
     variable v_nof_rem : real := real(nof_rem);  -- BF for one sp and nof_rem remnant signal inputs
     variable v_sp_ampl, v_sp_phase, v_sp_re, v_sp_im     : real;
diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd
index 5dccef4959..ea8935e4f0 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd
@@ -37,25 +37,25 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, ring_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_str_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ring_lib.ring_pkg.all;
-use work.sdp_pkg.all;
-use work.tb_sdp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ring_lib.ring_pkg.all;
+  use work.sdp_pkg.all;
+  use work.tb_sdp_pkg.all;
 
 
 entity tb_sdp_statistics_offload is
   generic (
     -- All
     g_fast_mm_clk              : boolean := true;  -- When TRUE use 1 GHz mm_clk  to speed up simulation, else use 100 MHz mm_clk
-                                                   -- for real speed of u_dp_block_from_mm_dc in sdp_statistics_offload
+    -- for real speed of u_dp_block_from_mm_dc in sdp_statistics_offload
     g_statistics_type          : string := "XST";
     g_offload_time             : natural := 50;
     g_reverse_word_order       : boolean := true;  -- when TRUE then stream LSB word after MSB word.
@@ -100,22 +100,32 @@ architecture tb of tb_sdp_statistics_offload is
 
   constant c_exp_ip_header_checksum    : natural := 0;  -- 0 in this local tb, calculated by IO eth when used in design
 
-  constant c_exp_sdp_info  :  t_sdp_info := (TO_UVEC(7, 6),  -- antenna_field_index
-                                             TO_UVEC(601, 10),  -- station_id
-                                              '0',  -- antenna_band_index
-                                              x"FFFFFFFF",  -- observation_id
-                                              b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
-                                              '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
-                                              '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
-                                              '0',  -- beam_repositioning_flag
-                                              x"1400"  -- block_period = 5120
-                                            );
-
-  constant c_exp_ring_info  :  t_ring_info := (TO_UVEC(g_O_rn, 8),  -- GN index of first GN in ring
-                                               TO_UVEC(g_N_rn, 8),  -- number of GN in ring
-                                              '0',  -- use_cable_to_next_rn
-                                              '0'  -- use_cable_to_previous_rn
-                                              );
+  constant c_exp_sdp_info  :  t_sdp_info := (
+    TO_UVEC(
+             7,
+             6),  -- antenna_field_index
+    TO_UVEC(
+             601,
+             10),  -- station_id
+    '0',  -- antenna_band_index
+    x"FFFFFFFF",  -- observation_id
+    b"01",  -- nyquist_zone_index, 0 = first, 1 = second, 2 = third
+    '1',  -- f_adc, 0 = 160 MHz, 1 = 200 MHz
+    '0',  -- fsub_type, 0 = critically sampled, 1 = oversampled
+    '0',  -- beam_repositioning_flag
+    x"1400"  -- block_period = 5120
+  );
+
+  constant c_exp_ring_info  :  t_ring_info := (
+    TO_UVEC(
+             g_O_rn,
+             8),  -- GN index of first GN in ring
+    TO_UVEC(
+             g_N_rn,
+             8),  -- number of GN in ring
+    '0',  -- use_cable_to_next_rn
+    '0'  -- use_cable_to_previous_rn
+  );
 
   constant c_beamlet_index             : natural := g_beamset_id * c_sdp_S_sub_bf;
 
@@ -490,7 +500,7 @@ begin
           W := rx_valid_cnt;  -- range c_packet_size = 1024 32bit Words
           S := W / c_sdp_W_statistic_sz;  -- range c_nof_statistics_per_packet = 512 Statistic values
           D := S;  -- range c_mm_nof_data = 512 Data values, because
-                                            -- c_mm_data_size / c_sdp_W_statistic_sz = 1
+          -- c_mm_data_size / c_sdp_W_statistic_sz = 1
           U := S;  -- range c_sdp_N_sub = 512 SST values
           I := W mod c_mm_user_size;  -- range c_mm_user_size = c_sdp_W_statistic_sz = 2 words
           P := rx_packet_cnt mod c_rx_nof_packets;  -- range c_nof_packets_max = 12 = c_sdp_S_pn packets
@@ -522,7 +532,7 @@ begin
           W := rx_valid_cnt;  -- range c_packet_size = 1952
           S := W / c_sdp_W_statistic_sz;  -- range c_nof_statistics_per_packet = 976 Statistic values
           D := S / c_sdp_N_pol_bf;  -- range c_mm_nof_data = 488 Data values, because
-                                            -- c_mm_data_size / c_sdp_W_statistic_sz = 2 = c_sdp_N_pol_bf
+          -- c_mm_data_size / c_sdp_W_statistic_sz = 2 = c_sdp_N_pol_bf
           B := D;  -- range c_sdp_S_sub_bf = 488 dual polarization BST values
           I := W mod c_mm_user_size;  -- range c_mm_user_size = c_sdp_W_statistic_sz = 2 words
           P := rx_packet_cnt mod c_rx_nof_packets;  -- range c_nof_packets_max = 1 packet
@@ -563,7 +573,7 @@ begin
           W := rx_valid_cnt;  -- range c_packet_size = 576
           S := W / c_sdp_W_statistic_sz;  -- range c_nof_statistics_per_packet = 288 Statistic values
           D := S / c_nof_complex;  -- range c_mm_nof_data = 144 Data values, because
-                                            -- c_mm_data_size / c_sdp_W_statistic_sz = 2 = c_nof_complex
+          -- c_mm_data_size / c_sdp_W_statistic_sz = 2 = c_nof_complex
           X := D;  -- range c_sdp_X_sq = 144 complex XST values
           I := W mod c_mm_user_size;  -- range c_mm_user_size = c_sdp_W_statistic_sz = 2 words
           P := rx_packet_cnt mod c_rx_nof_packets;  -- range c_nof_packets_max = c_nof_used_P_sq * g_nof_crosslets packets
@@ -598,100 +608,100 @@ begin
   end process;
 
   u_ram: entity common_lib.common_ram_crw_crw
-  generic map (
-    g_ram => c_ram_buf
-  )
-  port map (
-    -- MM write port clock domain.
-    rst_a    => mm_rst,
-    clk_a    => mm_clk,
-    wr_en_a  => ram_wr_en,
-    wr_dat_a => ram_wr_data,
-    adr_a    => ram_wr_addr,
-
-    -- DP read only port clock domain.
-    rst_b    => mm_rst,
-    clk_b    => mm_clk,
-    adr_b    => master_mosi.address(c_ram_buf.adr_w - 1 downto 0),
-    rd_en_b  => master_mosi.rd,
-    rd_dat_b => master_miso.rddata(c_ram_buf.dat_w - 1 downto 0),
-    rd_val_b => master_miso.rdval
-  );
+    generic map (
+      g_ram => c_ram_buf
+    )
+    port map (
+      -- MM write port clock domain.
+      rst_a    => mm_rst,
+      clk_a    => mm_clk,
+      wr_en_a  => ram_wr_en,
+      wr_dat_a => ram_wr_data,
+      adr_a    => ram_wr_addr,
+
+      -- DP read only port clock domain.
+      rst_b    => mm_rst,
+      clk_b    => mm_clk,
+      adr_b    => master_mosi.address(c_ram_buf.adr_w - 1 downto 0),
+      rd_en_b  => master_mosi.rd,
+      rd_dat_b => master_miso.rddata(c_ram_buf.dat_w - 1 downto 0),
+      rd_val_b => master_miso.rdval
+    );
 
   u_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => c_word_w,
-    g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => offload_rx_hdr_dat_miso,
-
-    snk_in_arr(0)         => sdp_offload_sosi,
-    snk_out_arr(0)        => sdp_offload_siso,
-
-    src_out_arr(0)        => rx_offload_sosi,
-
-    hdr_fields_out_arr(0) => rx_hdr_fields_out,
-    hdr_fields_raw_arr(0) => rx_hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => c_word_w,
+      g_hdr_field_arr       => c_sdp_stat_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => offload_rx_hdr_dat_miso,
+
+      snk_in_arr(0)         => sdp_offload_sosi,
+      snk_out_arr(0)        => sdp_offload_siso,
+
+      src_out_arr(0)        => rx_offload_sosi,
+
+      hdr_fields_out_arr(0) => rx_hdr_fields_out,
+      hdr_fields_raw_arr(0) => rx_hdr_fields_raw
+    );
 
   -- SDP info
   u_dut: entity work.sdp_statistics_offload
-  generic map (
-    g_statistics_type     => g_statistics_type,
-    g_offload_time        => g_offload_time,
-    g_reverse_word_order  => g_reverse_word_order,
-    g_beamset_id          => g_beamset_id,
-    g_P_sq                => g_P_sq,
-    g_crosslets_direction => g_crosslets_direction
-  )
-  port map (
-    mm_clk => mm_clk,
-    mm_rst => mm_rst,
-
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
-
-    -- MM
-    master_mosi      => master_mosi,
-    master_miso      => master_miso,
-
-    reg_enable_mosi  => enable_mosi,
-    reg_enable_miso  => enable_miso,
-
-    reg_hdr_dat_mosi => hdr_dat_mosi,
-    reg_hdr_dat_miso => hdr_dat_miso,
-
-    -- ST
-    in_sosi          => in_sosi,
-    new_interval     => new_interval,
-
-    out_sosi         => sdp_offload_sosi,
-    out_siso         => sdp_offload_siso,
-
-    -- Inputs from other blocks
-    eth_src_mac             => c_node_eth_src_mac,
-    udp_src_port            => c_node_udp_src_port,
-    ip_src_addr             => c_node_ip_src_addr,
-
-    gn_index                => gn_index,
-    ring_info               => c_exp_ring_info,
-    sdp_info                => c_exp_sdp_info,
-    weighted_subbands_flag  => weighted_subbands_flag,
-
-    nof_crosslets           => c_mm_nof_crosslets,
-    prev_crosslets_info_rec => in_crosslets_info_rec
-  );
+    generic map (
+      g_statistics_type     => g_statistics_type,
+      g_offload_time        => g_offload_time,
+      g_reverse_word_order  => g_reverse_word_order,
+      g_beamset_id          => g_beamset_id,
+      g_P_sq                => g_P_sq,
+      g_crosslets_direction => g_crosslets_direction
+    )
+    port map (
+      mm_clk => mm_clk,
+      mm_rst => mm_rst,
+
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
+
+      -- MM
+      master_mosi      => master_mosi,
+      master_miso      => master_miso,
+
+      reg_enable_mosi  => enable_mosi,
+      reg_enable_miso  => enable_miso,
+
+      reg_hdr_dat_mosi => hdr_dat_mosi,
+      reg_hdr_dat_miso => hdr_dat_miso,
+
+      -- ST
+      in_sosi          => in_sosi,
+      new_interval     => new_interval,
+
+      out_sosi         => sdp_offload_sosi,
+      out_siso         => sdp_offload_siso,
+
+      -- Inputs from other blocks
+      eth_src_mac             => c_node_eth_src_mac,
+      udp_src_port            => c_node_udp_src_port,
+      ip_src_addr             => c_node_ip_src_addr,
+
+      gn_index                => gn_index,
+      ring_info               => c_exp_ring_info,
+      sdp_info                => c_exp_sdp_info,
+      weighted_subbands_flag  => weighted_subbands_flag,
+
+      nof_crosslets           => c_mm_nof_crosslets,
+      prev_crosslets_info_rec => in_crosslets_info_rec
+    );
 
   -- Verify crosslets_info functions
   assert c_crosslets_info_rec = func_sdp_map_crosslets_info(c_crosslets_info_slv) report "Error in func_sdp_map_crosslets_info()" severity FAILURE;
diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_tb_sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_tb_sdp_statistics_offload.vhd
index 50b2e2ec7a..7424d9fc11 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_tb_sdp_statistics_offload.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_tb_sdp_statistics_offload.vhd
@@ -28,7 +28,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_sdp_statistics_offload is
 end tb_tb_sdp_statistics_offload;
@@ -37,22 +37,22 @@ architecture tb of tb_tb_sdp_statistics_offload is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
---    -- All
---    g_fast_mm_clk              : BOOLEAN := TRUE;  -- When TRUE use 1 GHz mm_clk  to speed up simulation, else use 100 MHz mm_clk
---                                                   -- for real speed of u_dp_block_from_mm_dc in sdp_statistics_offload
---    g_statistics_type          : STRING := "SST";
---    g_offload_time             : NATURAL := 500;
---    g_reverse_word_order       : BOOLEAN := TRUE  -- when TRUE then stream LSB word after MSB word.
---    g_gn_index                 : NATURAL := 1;  -- global node (GN) index, use > 0 to see effect of g_offload_time
---    g_nof_sync                 : NATURAL := 3;
---    -- BST
---    g_beamset_id               : NATURAL := 0;
---    -- XST
---    g_O_rn                     : NATURAL := 0;  -- GN index of first ring node (RN)
---    g_N_rn                     : NATURAL := 16; -- <= c_sdp_N_rn_max = 16, number of nodes in ring
---    g_P_sq                     : NATURAL := c_sdp_P_sq
---    g_nof_crosslets            : NATURAL := 1;
---    g_crosslets_direction      : INTEGER := 1;  -- +1 or -1
+  --    -- All
+  --    g_fast_mm_clk              : BOOLEAN := TRUE;  -- When TRUE use 1 GHz mm_clk  to speed up simulation, else use 100 MHz mm_clk
+  --                                                   -- for real speed of u_dp_block_from_mm_dc in sdp_statistics_offload
+  --    g_statistics_type          : STRING := "SST";
+  --    g_offload_time             : NATURAL := 500;
+  --    g_reverse_word_order       : BOOLEAN := TRUE  -- when TRUE then stream LSB word after MSB word.
+  --    g_gn_index                 : NATURAL := 1;  -- global node (GN) index, use > 0 to see effect of g_offload_time
+  --    g_nof_sync                 : NATURAL := 3;
+  --    -- BST
+  --    g_beamset_id               : NATURAL := 0;
+  --    -- XST
+  --    g_O_rn                     : NATURAL := 0;  -- GN index of first ring node (RN)
+  --    g_N_rn                     : NATURAL := 16; -- <= c_sdp_N_rn_max = 16, number of nodes in ring
+  --    g_P_sq                     : NATURAL := c_sdp_P_sq
+  --    g_nof_crosslets            : NATURAL := 1;
+  --    g_crosslets_direction      : INTEGER := 1;  -- +1 or -1
 
   u_sst                     : entity work.tb_sdp_statistics_offload generic map( true, "SST", 50,  true, 3, 3);
   u_sst_no_reverse          : entity work.tb_sdp_statistics_offload generic map( true, "SST", 50, false, 3, 3);
diff --git a/applications/rdma_demo/src/vhdl/rdma_demo_eth_tester_wrapper.vhd b/applications/rdma_demo/src/vhdl/rdma_demo_eth_tester_wrapper.vhd
index a8639774e0..d6bbd8a5fb 100644
--- a/applications/rdma_demo/src/vhdl/rdma_demo_eth_tester_wrapper.vhd
+++ b/applications/rdma_demo/src/vhdl/rdma_demo_eth_tester_wrapper.vhd
@@ -31,16 +31,16 @@
 --   vivado using the AXI AMM Bridge IP.
 
 library IEEE, common_lib, dp_lib, axi4_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_components_pkg.all;
-use axi4_lib.axi4_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use eth_lib.eth_tester_pkg.all;
-use work.rdma_demo_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_components_pkg.all;
+  use axi4_lib.axi4_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use eth_lib.eth_tester_pkg.all;
+  use work.rdma_demo_pkg.all;
 
 entity rdma_demo_eth_tester_wrapper is
   port (
@@ -147,7 +147,7 @@ entity rdma_demo_eth_tester_wrapper is
     reg_strobe_total_count_rx_avs_write         : in  std_logic;
     reg_strobe_total_count_rx_avs_writedata     : in  std_logic_vector(32 - 1 downto 0)
 
-   );
+  );
 end rdma_demo_eth_tester_wrapper;
 
 
@@ -186,91 +186,91 @@ architecture str of rdma_demo_eth_tester_wrapper is
 begin
 
   u_eth_tester : entity eth_lib.eth_tester
-  generic map (
-    g_nof_octet_generate => c_rdma_demo_nof_octet_generate_100gbe,
-    g_nof_octet_output   => c_rdma_demo_nof_octet_output_100gbe,
-    g_use_eth_header     => false,
-    g_use_ip_udp_header  => false,
-    g_use_dp_header      => true,
-    g_hdr_field_arr      => c_rdma_demo_dp_hdr_field_arr,
-    g_hdr_field_sel      => c_rdma_demo_dp_hdr_field_sel,
-    g_remove_crc         => false
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    st_pps             => st_pps,
-
-    -- UDP transmit interface
-    eth_src_mac        => eth_src_mac,
-    ip_src_addr        => ip_src_addr,
-    udp_src_port       => udp_src_port,
-
-    tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
-
-    tx_udp_sosi_arr    => tx_udp_sosi_arr,
-    tx_udp_siso_arr    => tx_udp_siso_arr,
-
-    -- UDP receive interface
-    rx_udp_sosi_arr    => rx_udp_sosi_arr,
-
-    -- Memory Mapped Slaves (one per stream)
-    reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
-    reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
-    reg_hdr_dat_copi               => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
-    reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
-    reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
-    reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
-    reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
-    reg_dp_split_copi              => reg_dp_split_copi,
-    reg_dp_split_cipo              => reg_dp_split_cipo,
-
-    reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
-    reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
-    reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
-    reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
-  );
+    generic map (
+      g_nof_octet_generate => c_rdma_demo_nof_octet_generate_100gbe,
+      g_nof_octet_output   => c_rdma_demo_nof_octet_output_100gbe,
+      g_use_eth_header     => false,
+      g_use_ip_udp_header  => false,
+      g_use_dp_header      => true,
+      g_hdr_field_arr      => c_rdma_demo_dp_hdr_field_arr,
+      g_hdr_field_sel      => c_rdma_demo_dp_hdr_field_sel,
+      g_remove_crc         => false
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      st_pps             => st_pps,
+
+      -- UDP transmit interface
+      eth_src_mac        => eth_src_mac,
+      ip_src_addr        => ip_src_addr,
+      udp_src_port       => udp_src_port,
+
+      tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
+
+      tx_udp_sosi_arr    => tx_udp_sosi_arr,
+      tx_udp_siso_arr    => tx_udp_siso_arr,
+
+      -- UDP receive interface
+      rx_udp_sosi_arr    => rx_udp_sosi_arr,
+
+      -- Memory Mapped Slaves (one per stream)
+      reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
+      reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
+      reg_dp_split_copi              => reg_dp_split_copi,
+      reg_dp_split_cipo              => reg_dp_split_cipo,
+
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
+    );
 
   -- DP to AXI4
   u_axi4_tx_udp : entity axi4_lib.axi4_stream_dp_bridge
-  generic map (
-    g_axi4_rl => 0,
-    g_dp_rl   => 1,
-    g_active_low_rst => true
-  )
-  port map (
-    in_clk => st_clk,
-    in_rst => aresetn,
-
-    dp_rst => st_rst,
-
-    dp_in_sosi => tx_udp_sosi_arr(0),
-    dp_in_siso => tx_udp_siso_arr(0),
-
-    axi4_out_sosi => tx_udp_axi4_sosi,
-    axi4_out_siso => tx_udp_axi4_siso
-  );
+    generic map (
+      g_axi4_rl => 0,
+      g_dp_rl   => 1,
+      g_active_low_rst => true
+    )
+    port map (
+      in_clk => st_clk,
+      in_rst => aresetn,
+
+      dp_rst => st_rst,
+
+      dp_in_sosi => tx_udp_sosi_arr(0),
+      dp_in_siso => tx_udp_siso_arr(0),
+
+      axi4_out_sosi => tx_udp_axi4_sosi,
+      axi4_out_siso => tx_udp_axi4_siso
+    );
 
   u_axi4_rx_udp : entity axi4_lib.axi4_stream_dp_bridge
-  generic map (
-    g_axi4_rl => 0,
-    g_dp_rl   => 1,
-    g_active_low_rst => true
-  )
-  port map (
-    in_clk => st_clk,
-    in_rst => aresetn,
-
-    axi4_in_sosi => rx_udp_axi4_sosi,
-    axi4_in_siso => rx_udp_axi4_siso,
-
-    dp_out_sosi => rx_udp_sosi_arr(0),
-    dp_out_siso => rx_udp_siso_arr(0)
-  );
+    generic map (
+      g_axi4_rl => 0,
+      g_dp_rl   => 1,
+      g_active_low_rst => true
+    )
+    port map (
+      in_clk => st_clk,
+      in_rst => aresetn,
+
+      axi4_in_sosi => rx_udp_axi4_sosi,
+      axi4_in_siso => rx_udp_axi4_siso,
+
+      dp_out_sosi => rx_udp_sosi_arr(0),
+      dp_out_siso => rx_udp_siso_arr(0)
+    );
 
   -- Wire Records to IN/OUT ports.
   -- tx_udp
diff --git a/applications/rdma_demo/src/vhdl/rdma_demo_pkg.vhd b/applications/rdma_demo/src/vhdl/rdma_demo_pkg.vhd
index 438bd8acd6..e881956efd 100644
--- a/applications/rdma_demo/src/vhdl/rdma_demo_pkg.vhd
+++ b/applications/rdma_demo/src/vhdl/rdma_demo_pkg.vhd
@@ -23,11 +23,11 @@
 -- Description:
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
 
 package rdma_demo_pkg is
 
@@ -57,10 +57,10 @@ package rdma_demo_pkg is
   constant c_rdma_demo_dp_hdr_field_sel  : std_logic_vector(c_rdma_demo_dp_nof_hdr_fields - 1 downto 0) := "0100";
 
   constant c_rdma_demo_dp_hdr_field_arr : t_common_field_arr(c_rdma_demo_dp_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("dp_length"  ), "RW", 16, field_default(0) ),
-      ( field_name_pad("dp_reserved"), "RW", 15, field_default(0) ),
-      ( field_name_pad("dp_sync"    ), "RW",  1, field_default(0) ),
-      ( field_name_pad("dp_bsn"     ), "RW", 64, field_default(0) )
+    ( field_name_pad("dp_length"  ), "RW", 16, field_default(0) ),
+    ( field_name_pad("dp_reserved"), "RW", 15, field_default(0) ),
+    ( field_name_pad("dp_sync"    ), "RW",  1, field_default(0) ),
+    ( field_name_pad("dp_bsn"     ), "RW", 64, field_default(0) )
   );
   constant c_rdma_demo_dp_reg_hdr_dat_addr_w    : natural := ceil_log2(field_nof_words(c_rdma_demo_dp_hdr_field_arr, c_word_w));
   constant c_rdma_demo_dp_reg_hdr_dat_addr_span : natural := 2**c_rdma_demo_dp_reg_hdr_dat_addr_w;
@@ -74,43 +74,43 @@ package rdma_demo_pkg is
   constant c_rdma_demo_roce_hdr_field_sel  : std_logic_vector(c_rdma_demo_roce_nof_hdr_fields - 1 downto 0) :=  "111011111001" & "0100" & "1111111111111" & "111" & "1";
 
   constant c_rdma_demo_roce_hdr_field_arr : t_common_field_arr(c_rdma_demo_roce_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("ip_version"          ), "RW",  4, field_default(4) ),
-      ( field_name_pad("ip_header_length"    ), "RW",  4, field_default(5) ),
-      ( field_name_pad("ip_services"         ), "RW",  8, field_default(0) ),
-      ( field_name_pad("ip_total_length"     ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
-      ( field_name_pad("ip_identification"   ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_flags"            ), "RW",  3, field_default(2) ),
-      ( field_name_pad("ip_fragment_offset"  ), "RW", 13, field_default(0) ),
-      ( field_name_pad("ip_time_to_live"     ), "RW",  8, field_default(127) ),
-      ( field_name_pad("ip_protocol"         ), "RW",  8, field_default(17) ),
-      ( field_name_pad("ip_header_checksum"  ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_src_addr"         ), "RW", 32, field_default(0) ),
-      ( field_name_pad("ip_dst_addr"         ), "RW", 32, field_default(0) ),  -- c_eth_tester_ip_dst_addr
-
-      ( field_name_pad("udp_src_port"        ), "RW", 16, field_default(0) ),
-      ( field_name_pad("udp_dst_port"        ), "RW", 16, field_default(0) ),  -- c_eth_tester_udp_dst_port
-      ( field_name_pad("udp_total_length"    ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
-      ( field_name_pad("udp_checksum"        ), "RW", 16, field_default(0) ),
-
-      ( field_name_pad("bth_opcode"          ), "RW",  8, field_default(0) ),
-      ( field_name_pad("bth_se"              ), "RW",  1, field_default(0) ),
-      ( field_name_pad("bth_m"               ), "RW",  1, field_default(0) ),
-      ( field_name_pad("bth_pad"             ), "RW",  2, field_default(0) ),
-      ( field_name_pad("bth_tver"            ), "RW",  4, field_default(0) ),
-      ( field_name_pad("bth_partition_key"   ), "RW", 16, field_default(0) ),
-      ( field_name_pad("bth_fres"            ), "RW",  1, field_default(0) ),
-      ( field_name_pad("bth_bres"            ), "RW",  1, field_default(0) ),
-      ( field_name_pad("bth_reserved_a"      ), "RW",  6, field_default(0) ),
-      ( field_name_pad("bth_dest_qp"         ), "RW", 16, field_default(0) ),
-      ( field_name_pad("bth_ack_req"         ), "RW",  1, field_default(0) ),
-      ( field_name_pad("bth_reserved_b"      ), "RW",  7, field_default(0) ),
-      ( field_name_pad("bth_psn"             ), "RW", 32, field_default(0) ),
-
-      ( field_name_pad("reth_virtual_address"), "RW", 64, field_default(0) ),
-      ( field_name_pad("reth_r_key"          ), "RW", 32, field_default(0) ),
-      ( field_name_pad("reth_dma_length"     ), "RW", 32, field_default(0) ),
-
-      ( field_name_pad("immediate_data"      ), "RW", 32, field_default(0) )
+    ( field_name_pad("ip_version"          ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"    ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"         ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"     ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
+    ( field_name_pad("ip_identification"   ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"            ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"  ), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"     ), "RW",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"         ), "RW",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum"  ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"         ), "RW", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"         ), "RW", 32, field_default(0) ),  -- c_eth_tester_ip_dst_addr
+
+    ( field_name_pad("udp_src_port"        ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"        ), "RW", 16, field_default(0) ),  -- c_eth_tester_udp_dst_port
+    ( field_name_pad("udp_total_length"    ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
+    ( field_name_pad("udp_checksum"        ), "RW", 16, field_default(0) ),
+
+    ( field_name_pad("bth_opcode"          ), "RW",  8, field_default(0) ),
+    ( field_name_pad("bth_se"              ), "RW",  1, field_default(0) ),
+    ( field_name_pad("bth_m"               ), "RW",  1, field_default(0) ),
+    ( field_name_pad("bth_pad"             ), "RW",  2, field_default(0) ),
+    ( field_name_pad("bth_tver"            ), "RW",  4, field_default(0) ),
+    ( field_name_pad("bth_partition_key"   ), "RW", 16, field_default(0) ),
+    ( field_name_pad("bth_fres"            ), "RW",  1, field_default(0) ),
+    ( field_name_pad("bth_bres"            ), "RW",  1, field_default(0) ),
+    ( field_name_pad("bth_reserved_a"      ), "RW",  6, field_default(0) ),
+    ( field_name_pad("bth_dest_qp"         ), "RW", 16, field_default(0) ),
+    ( field_name_pad("bth_ack_req"         ), "RW",  1, field_default(0) ),
+    ( field_name_pad("bth_reserved_b"      ), "RW",  7, field_default(0) ),
+    ( field_name_pad("bth_psn"             ), "RW", 32, field_default(0) ),
+
+    ( field_name_pad("reth_virtual_address"), "RW", 64, field_default(0) ),
+    ( field_name_pad("reth_r_key"          ), "RW", 32, field_default(0) ),
+    ( field_name_pad("reth_dma_length"     ), "RW", 32, field_default(0) ),
+
+    ( field_name_pad("immediate_data"      ), "RW", 32, field_default(0) )
   );
   constant c_rdma_demo_roce_reg_hdr_dat_addr_w    : natural := ceil_log2(field_nof_words(c_rdma_demo_roce_hdr_field_arr, c_word_w));
   constant c_rdma_demo_roce_reg_hdr_dat_addr_span : natural := 2**c_rdma_demo_roce_reg_hdr_dat_addr_w;
diff --git a/applications/rdma_demo/src/vhdl/rdma_demo_roce_tester_wrapper.vhd b/applications/rdma_demo/src/vhdl/rdma_demo_roce_tester_wrapper.vhd
index 7eab44990b..8786b5b195 100644
--- a/applications/rdma_demo/src/vhdl/rdma_demo_roce_tester_wrapper.vhd
+++ b/applications/rdma_demo/src/vhdl/rdma_demo_roce_tester_wrapper.vhd
@@ -32,16 +32,16 @@
 --   vivado using the AXI AMM Bridge IP.
 
 library IEEE, common_lib, dp_lib, axi4_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_components_pkg.all;
-use axi4_lib.axi4_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use eth_lib.eth_tester_pkg.all;
-use work.rdma_demo_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_components_pkg.all;
+  use axi4_lib.axi4_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use eth_lib.eth_tester_pkg.all;
+  use work.rdma_demo_pkg.all;
 
 entity rdma_demo_roce_tester_wrapper is
   port (
@@ -148,7 +148,7 @@ entity rdma_demo_roce_tester_wrapper is
     reg_strobe_total_count_rx_avs_write         : in  std_logic;
     reg_strobe_total_count_rx_avs_writedata     : in  std_logic_vector(32 - 1 downto 0)
 
-   );
+  );
 end rdma_demo_roce_tester_wrapper;
 
 
@@ -187,94 +187,94 @@ architecture str of rdma_demo_roce_tester_wrapper is
 begin
 
   u_eth_tester : entity eth_lib.eth_tester
-  generic map (
-    g_nof_octet_generate => c_rdma_demo_nof_octet_generate_100gbe,
-    g_nof_octet_output   => c_rdma_demo_nof_octet_output_100gbe,
-    g_use_eth_header     => false,
-    g_use_ip_udp_header  => true,
-    g_use_dp_header      => false,
-    g_hdr_calc_ip_crc    => true,
-    g_hdr_field_arr      => c_rdma_demo_roce_hdr_field_arr,
-    g_hdr_field_sel      => c_rdma_demo_roce_hdr_field_sel,
-    -- Add icrc length here as g_hdr_app_len is used to calculate the total packet length.
-    g_hdr_app_len        => c_rdma_demo_roce_hdr_len + c_rdma_demo_roce_icrc_len,
-    g_remove_crc         => false
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    st_pps             => st_pps,
-
-    -- UDP transmit interface
-    eth_src_mac        => eth_src_mac,
-    ip_src_addr        => ip_src_addr,
-    udp_src_port       => udp_src_port,
-
-    tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
-
-    tx_udp_sosi_arr    => tx_udp_sosi_arr,
-    tx_udp_siso_arr    => tx_udp_siso_arr,
-
-    -- UDP receive interface
-    rx_udp_sosi_arr    => rx_udp_sosi_arr,
-
-    -- Memory Mapped Slaves (one per stream)
-    reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
-    reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
-    reg_hdr_dat_copi               => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
-    reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
-    reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
-    reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
-    reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
-    reg_dp_split_copi              => reg_dp_split_copi,
-    reg_dp_split_cipo              => reg_dp_split_cipo,
-
-    reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
-    reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
-    reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
-    reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
-  );
+    generic map (
+      g_nof_octet_generate => c_rdma_demo_nof_octet_generate_100gbe,
+      g_nof_octet_output   => c_rdma_demo_nof_octet_output_100gbe,
+      g_use_eth_header     => false,
+      g_use_ip_udp_header  => true,
+      g_use_dp_header      => false,
+      g_hdr_calc_ip_crc    => true,
+      g_hdr_field_arr      => c_rdma_demo_roce_hdr_field_arr,
+      g_hdr_field_sel      => c_rdma_demo_roce_hdr_field_sel,
+      -- Add icrc length here as g_hdr_app_len is used to calculate the total packet length.
+      g_hdr_app_len        => c_rdma_demo_roce_hdr_len + c_rdma_demo_roce_icrc_len,
+      g_remove_crc         => false
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      st_pps             => st_pps,
+
+      -- UDP transmit interface
+      eth_src_mac        => eth_src_mac,
+      ip_src_addr        => ip_src_addr,
+      udp_src_port       => udp_src_port,
+
+      tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
+
+      tx_udp_sosi_arr    => tx_udp_sosi_arr,
+      tx_udp_siso_arr    => tx_udp_siso_arr,
+
+      -- UDP receive interface
+      rx_udp_sosi_arr    => rx_udp_sosi_arr,
+
+      -- Memory Mapped Slaves (one per stream)
+      reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
+      reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
+      reg_dp_split_copi              => reg_dp_split_copi,
+      reg_dp_split_cipo              => reg_dp_split_cipo,
+
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
+    );
 
   -- DP to AXI4
   u_axi4_tx_udp : entity axi4_lib.axi4_stream_dp_bridge
-  generic map (
-    g_axi4_rl => 0,
-    g_dp_rl   => 1,
-    g_active_low_rst => true
-  )
-  port map (
-    in_clk => st_clk,
-    in_rst => aresetn,
-
-    dp_rst => st_rst,
-
-    dp_in_sosi => tx_udp_sosi_arr(0),
-    dp_in_siso => tx_udp_siso_arr(0),
-
-    axi4_out_sosi => tx_udp_axi4_sosi,
-    axi4_out_siso => tx_udp_axi4_siso
-  );
+    generic map (
+      g_axi4_rl => 0,
+      g_dp_rl   => 1,
+      g_active_low_rst => true
+    )
+    port map (
+      in_clk => st_clk,
+      in_rst => aresetn,
+
+      dp_rst => st_rst,
+
+      dp_in_sosi => tx_udp_sosi_arr(0),
+      dp_in_siso => tx_udp_siso_arr(0),
+
+      axi4_out_sosi => tx_udp_axi4_sosi,
+      axi4_out_siso => tx_udp_axi4_siso
+    );
 
   u_axi4_rx_udp : entity axi4_lib.axi4_stream_dp_bridge
-  generic map (
-    g_axi4_rl => 0,
-    g_dp_rl   => 1,
-    g_active_low_rst => true
-  )
-  port map (
-    in_clk => st_clk,
-    in_rst => aresetn,
-
-    axi4_in_sosi => rx_udp_axi4_sosi,
-    axi4_in_siso => rx_udp_axi4_siso,
-
-    dp_out_sosi => rx_udp_sosi_arr(0),
-    dp_out_siso => rx_udp_siso_arr(0)
-  );
+    generic map (
+      g_axi4_rl => 0,
+      g_dp_rl   => 1,
+      g_active_low_rst => true
+    )
+    port map (
+      in_clk => st_clk,
+      in_rst => aresetn,
+
+      axi4_in_sosi => rx_udp_axi4_sosi,
+      axi4_in_siso => rx_udp_axi4_siso,
+
+      dp_out_sosi => rx_udp_sosi_arr(0),
+      dp_out_siso => rx_udp_siso_arr(0)
+    );
 
   -- Wire Records to IN/OUT ports.
   -- tx_udp
diff --git a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/ring_pkg.vhd b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/ring_pkg.vhd
index b04f2c161c..6ebe011e8c 100644
--- a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/ring_pkg.vhd
+++ b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/ring_pkg.vhd
@@ -22,20 +22,20 @@
 -- . Collection of functions for the ring design
 -- --------------------------------------------------------------------------
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package ring_pkg is
 
-  function nof_hops_to_source_rn(hops, this_rn, N_rn, lane_dir : natural) return integer;
-  function nof_hops_to_source_rn(hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector;  -- return vector length is same as hops vector length
+  function nof_hops_to_source_rn (hops, this_rn, N_rn, lane_dir : natural) return integer;
+  function nof_hops_to_source_rn (hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector;  -- return vector length is same as hops vector length
 
 end ring_pkg;
 
 package body ring_pkg is
 
-  function nof_hops_to_source_rn(hops, this_rn, N_rn, lane_dir : natural) return integer is
+  function nof_hops_to_source_rn (hops, this_rn, N_rn, lane_dir : natural) return integer is
     variable v_source_rn : integer;
   begin
     if lane_dir > 0 then
@@ -56,7 +56,7 @@ package body ring_pkg is
     return v_source_rn;
   end;
 
-  function nof_hops_to_source_rn(hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector is
+  function nof_hops_to_source_rn (hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector is
   begin
     return TO_SVEC(nof_hops_to_source_rn(TO_UINT(hops), TO_UINT(N_rn), TO_UINT(N_rn), lane_dir),hops'length);
   end;
diff --git a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/tb_lofar2_unb2b_ring_bsp.vhd b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/tb_lofar2_unb2b_ring_bsp.vhd
index 9597c5e56d..dca1abe9d2 100644
--- a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/tb_lofar2_unb2b_ring_bsp.vhd
+++ b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/tb_lofar2_unb2b_ring_bsp.vhd
@@ -49,18 +49,18 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use diag_lib.diag_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_lofar2_unb2b_ring_bsp is
 end tb_lofar2_unb2b_ring_bsp;
@@ -159,56 +159,56 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_lofar_unb2b_ring_bsp : entity work.top
-  generic map (
-    g_design_name            => "lofar2_unb2b_ring_bsp",
-    g_design_note            => "",
-    g_sim                    => c_sim,
-    g_sim_unb_nr             => c_unb_nr,
-    g_sim_node_nr            => c_node_nr
-  )
-  port map (
-    -- GENERAL
-    CLK          => ext_clk,
-    PPS          => pps,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => c_version,
-    ID           => c_id,
-    TESTIO       => open,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => sens_scl,
-    SENS_SD      => sens_sda,
-
-    PMBUS_SC     => pmbus_scl,
-    PMBUS_SD     => pmbus_sda,
-    PMBUS_ALERT  => open,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_rxp,
-    ETH_SGOUT    => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    -- front transceivers
-    QSFP_0_RX    => si_lpbk_0,
-    QSFP_0_TX    => si_lpbk_0,
-    -- ring transceivers
-    RING_0_RX    => si_lpbk_2,
-    RING_0_TX    => si_lpbk_1,
-    RING_1_RX    => si_lpbk_1,
-    RING_1_TX    => si_lpbk_2,
-
-    -- LEDs
-    QSFP_LED     => open
-
-  );
-
-    u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
+    generic map (
+      g_design_name            => "lofar2_unb2b_ring_bsp",
+      g_design_note            => "",
+      g_sim                    => c_sim,
+      g_sim_unb_nr             => c_unb_nr,
+      g_sim_node_nr            => c_node_nr
+    )
+    port map (
+      -- GENERAL
+      CLK          => ext_clk,
+      PPS          => pps,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => c_version,
+      ID           => c_id,
+      TESTIO       => open,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => sens_scl,
+      SENS_SD      => sens_sda,
+
+      PMBUS_SC     => pmbus_scl,
+      PMBUS_SD     => pmbus_sda,
+      PMBUS_ALERT  => open,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_rxp,
+      ETH_SGOUT    => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      -- front transceivers
+      QSFP_0_RX    => si_lpbk_0,
+      QSFP_0_TX    => si_lpbk_0,
+      -- ring transceivers
+      RING_0_RX    => si_lpbk_2,
+      RING_0_TX    => si_lpbk_1,
+      RING_1_RX    => si_lpbk_1,
+      RING_1_TX    => si_lpbk_2,
+
+      -- LEDs
+      QSFP_LED     => open
+
+    );
+
+  u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
     port map (
       refclk_644 => SA_CLK,
       rst_in     => pps_rst,
@@ -218,7 +218,7 @@ begin
       rst_312    => open
     );
 
-    u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
+  u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
     generic map (
       g_sim           => true,
       g_sim_level     => 1,
diff --git a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top.vhd b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top.vhd
index f7b313a11e..04e1b56dd1 100644
--- a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top.vhd
+++ b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top.vhd
@@ -31,19 +31,19 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, tech_pll_lib, dp_lib, diag_lib, mm_lib, ta2_channel_cross_lib, ta2_unb2b_10gbe_lib, ta2_unb2b_mm_io_lib, lofar2_sdp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use lofar2_sdp_lib.sdp_pkg.all;
-use work.ring_pkg.all;
-use work.top_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use lofar2_sdp_lib.sdp_pkg.all;
+  use work.ring_pkg.all;
+  use work.top_components_pkg.all;
 
 entity top is
   generic (
@@ -400,21 +400,21 @@ begin
   QSFP_0_TX <= i_QSFP_TX(0);
 
   u_unb2b_board_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_nof_qsfp_bus
-  )
-  port map (
-    serial_tx_arr => unb2b_board_front_io_serial_tx_arr,
-    serial_rx_arr => unb2b_board_front_io_serial_rx_arr,
+    generic map (
+      g_nof_qsfp_bus => c_nof_qsfp_bus
+    )
+    port map (
+      serial_tx_arr => unb2b_board_front_io_serial_tx_arr,
+      serial_rx_arr => unb2b_board_front_io_serial_rx_arr,
 
-    --green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
-    --red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
+      --green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
+      --red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
 
-    QSFP_RX    => i_QSFP_RX,
-    QSFP_TX    => i_QSFP_TX  -- ,
+      QSFP_RX    => i_QSFP_RX,
+      QSFP_TX    => i_QSFP_TX  -- ,
 
     --QSFP_LED   => QSFP_LED
-  );
+    );
 
   ------------------------
   -- qsfp LEDs controller
@@ -422,21 +422,21 @@ begin
   unb2b_board_qsfp_leds_tx_src_in_arr(0).xon <= ta2_unb2b_10GbE_qsfp_snk_out_arr(0).xon;
 
   u_unb2b_board_qsfp_leds : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim             => g_sim,
-    g_factory_image   => g_factory_image,
-    g_nof_qsfp        => c_nof_qsfp_bus,
-    g_pulse_us        => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => mm_rst,
-    clk               => mm_clk,
-
-    tx_siso_arr       => unb2b_board_qsfp_leds_tx_src_in_arr,
-
-    green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-    red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_factory_image   => g_factory_image,
+      g_nof_qsfp        => c_nof_qsfp_bus,
+      g_pulse_us        => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => mm_rst,
+      clk               => mm_clk,
+
+      tx_siso_arr       => unb2b_board_qsfp_leds_tx_src_in_arr,
+
+      green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+      red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
+    );
 
   gen_leds : for i in 0 to c_nof_qsfp_bus - 1 generate
     QSFP_LED(i * 2)   <=  qsfp_green_led_arr(i);
@@ -464,17 +464,17 @@ begin
   -- PLL
   --------
   u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  generic map (
-    g_technology => c_tech_arria10_e1sg
-  )
-  port map (
-    refclk_644 => SA_CLK,
-    rst_in     => mm_rst,
-    clk_156    => clk_156,
-    clk_312    => clk_312,
-    rst_156    => rst_156,
-    rst_312    => open
-  );
+    generic map (
+      g_technology => c_tech_arria10_e1sg
+    )
+    port map (
+      refclk_644 => SA_CLK,
+      rst_in     => mm_rst,
+      clk_156    => clk_156,
+      clk_312    => clk_312,
+      rst_156    => rst_156,
+      rst_312    => open
+    );
 
   ----------
   -- 10GbE
@@ -517,129 +517,129 @@ begin
 
   -- tr_10GbE
   u_ta2_unb2b_10GbE : entity ta2_unb2b_10GbE_lib.ta2_unb2b_10GbE
-  generic map (
-    g_nof_mac => c_max_nof_mac,
-    g_use_err => true,
-    g_use_pll => true
-  )
-  port map (
-    mm_clk       => '0',  -- mm_clk,
-    mm_rst       => mm_rst,
-
-    clk_ref_r    => SA_CLK,
-
-    tx_serial_r  => ta2_unb2b_10GbE_tx_serial_r,
-    rx_serial_r  => ta2_unb2b_10GbE_rx_serial_r,
-
-    kernel_clk   => board_kernel_clk_clk,
-    kernel_reset => i_kernel_rst,
-
-    src_out_arr  => ta2_unb2b_10GbE_src_out_arr,
-    src_in_arr   => ta2_unb2b_10GbE_src_in_arr,
-    snk_out_arr  => ta2_unb2b_10GbE_snk_out_arr,
-    snk_in_arr   => ta2_unb2b_10GbE_snk_in_arr
-  );
+    generic map (
+      g_nof_mac => c_max_nof_mac,
+      g_use_err => true,
+      g_use_pll => true
+    )
+    port map (
+      mm_clk       => '0',  -- mm_clk,
+      mm_rst       => mm_rst,
+
+      clk_ref_r    => SA_CLK,
+
+      tx_serial_r  => ta2_unb2b_10GbE_tx_serial_r,
+      rx_serial_r  => ta2_unb2b_10GbE_rx_serial_r,
+
+      kernel_clk   => board_kernel_clk_clk,
+      kernel_reset => i_kernel_rst,
+
+      src_out_arr  => ta2_unb2b_10GbE_src_out_arr,
+      src_in_arr   => ta2_unb2b_10GbE_src_in_arr,
+      snk_out_arr  => ta2_unb2b_10GbE_snk_out_arr,
+      snk_in_arr   => ta2_unb2b_10GbE_snk_in_arr
+    );
 
   --------------------------------------
   -- Monitoring & Control UNB protocol
   --------------------------------------
   u_ta2_unb2b_mm_io : entity ta2_unb2b_mm_io_lib.ta2_unb2b_mm_io
-  generic map(
-    g_use_opencl => true
-  )
-  port map (
-    mm_clk        =>  mm_clk,
-    mm_rst        =>  mm_rst,
-
-    kernel_clk    =>  board_kernel_clk_clk,
-    kernel_reset  =>  i_kernel_rst,
-
-    mm_mosi       =>  reg_ta2_unb2b_mm_io_mosi,
-    mm_miso       =>  reg_ta2_unb2b_mm_io_miso,
-
-    snk_in        =>  ta2_unb2b_mm_io_snk_in,
-    snk_out       =>  ta2_unb2b_mm_io_snk_out,
-    src_out       =>  ta2_unb2b_mm_io_src_out,
-    src_in        =>  ta2_unb2b_mm_io_src_in
-  );
+    generic map(
+      g_use_opencl => true
+    )
+    port map (
+      mm_clk        =>  mm_clk,
+      mm_rst        =>  mm_rst,
+
+      kernel_clk    =>  board_kernel_clk_clk,
+      kernel_reset  =>  i_kernel_rst,
+
+      mm_mosi       =>  reg_ta2_unb2b_mm_io_mosi,
+      mm_miso       =>  reg_ta2_unb2b_mm_io_miso,
+
+      snk_in        =>  ta2_unb2b_mm_io_snk_in,
+      snk_out       =>  ta2_unb2b_mm_io_snk_out,
+      src_out       =>  ta2_unb2b_mm_io_src_out,
+      src_in        =>  ta2_unb2b_mm_io_src_in
+    );
 
   -----------------------------------------------------------------------------
   -- kernel clock crossing for from/to lane sosi
   -----------------------------------------------------------------------------
   u_ta2_channel_cross_lanes : entity ta2_channel_cross_lib.ta2_channel_cross
-  generic map(
-    g_nof_streams => g_nof_lanes,
-    g_nof_bytes => c_longword_sz,
-    g_reverse_bytes => true,
-    g_use_bsn => true,
-    g_use_sync => true,
-    g_use_channel => true
-  )
-  port map(
-    dp_clk             => st_clk,
-    dp_rst             => st_rst,
-    dp_src_out_arr     => from_lane_sosi_arr(g_nof_lanes - 1 downto 0),
-    dp_src_in_arr      => from_lane_siso_arr(g_nof_lanes - 1 downto 0),
-    dp_snk_out_arr     => to_lane_siso_arr(g_nof_lanes - 1 downto 0),
-    dp_snk_in_arr      => to_lane_sosi_arr(g_nof_lanes - 1 downto 0),
-
-    kernel_clk         => board_kernel_clk_clk,
-    kernel_reset       => i_kernel_rst,
-
-    kernel_src_out_arr => kernel_to_lane_sosi_arr(g_nof_lanes - 1 downto 0),
-    kernel_src_in_arr  => kernel_to_lane_siso_arr(g_nof_lanes - 1 downto 0),
-    kernel_snk_out_arr => kernel_from_lane_siso_arr(g_nof_lanes - 1 downto 0),
-    kernel_snk_in_arr  => kernel_from_lane_sosi_arr(g_nof_lanes - 1 downto 0)
-  );
+    generic map(
+      g_nof_streams => g_nof_lanes,
+      g_nof_bytes => c_longword_sz,
+      g_reverse_bytes => true,
+      g_use_bsn => true,
+      g_use_sync => true,
+      g_use_channel => true
+    )
+    port map(
+      dp_clk             => st_clk,
+      dp_rst             => st_rst,
+      dp_src_out_arr     => from_lane_sosi_arr(g_nof_lanes - 1 downto 0),
+      dp_src_in_arr      => from_lane_siso_arr(g_nof_lanes - 1 downto 0),
+      dp_snk_out_arr     => to_lane_siso_arr(g_nof_lanes - 1 downto 0),
+      dp_snk_in_arr      => to_lane_sosi_arr(g_nof_lanes - 1 downto 0),
+
+      kernel_clk         => board_kernel_clk_clk,
+      kernel_reset       => i_kernel_rst,
+
+      kernel_src_out_arr => kernel_to_lane_sosi_arr(g_nof_lanes - 1 downto 0),
+      kernel_src_in_arr  => kernel_to_lane_siso_arr(g_nof_lanes - 1 downto 0),
+      kernel_snk_out_arr => kernel_from_lane_siso_arr(g_nof_lanes - 1 downto 0),
+      kernel_snk_in_arr  => kernel_from_lane_sosi_arr(g_nof_lanes - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- kernel clock crossing for bs sosi
   -----------------------------------------------------------------------------
   u_ta2_channel_cross_bs_sosi : entity ta2_channel_cross_lib.ta2_channel_cross
-  generic map(
-    g_nof_streams => 1,
-    g_nof_bytes => c_word_sz,
-    g_reverse_bytes => true,
-    g_use_bsn => true,
-    g_use_sync => true
-  )
-  port map(
-    dp_clk        => st_clk,
-    dp_rst        => st_rst,
-
-    kernel_clk    => board_kernel_clk_clk,
-    kernel_reset  => i_kernel_rst,
-
-    dp_snk_in_arr(0)      => bs_sosi,
-    kernel_src_out_arr(0) => kernel_bs_sosi
-  );
+    generic map(
+      g_nof_streams => 1,
+      g_nof_bytes => c_word_sz,
+      g_reverse_bytes => true,
+      g_use_bsn => true,
+      g_use_sync => true
+    )
+    port map(
+      dp_clk        => st_clk,
+      dp_rst        => st_rst,
+
+      kernel_clk    => board_kernel_clk_clk,
+      kernel_reset  => i_kernel_rst,
+
+      dp_snk_in_arr(0)      => bs_sosi,
+      kernel_src_out_arr(0) => kernel_bs_sosi
+    );
 
   -----------------------------------------------------------------------------
   -- kernel clock crossing for rx_monitors
   -----------------------------------------------------------------------------
   u_ta2_channel_cross_rx_monitor : entity ta2_channel_cross_lib.ta2_channel_cross
-  generic map(
-    g_nof_streams => g_nof_lanes,
-    g_nof_bytes => c_longword_sz,
-    g_reverse_bytes => true,
-    g_use_bsn => true,
-    g_use_sync => true,
-    g_use_channel => true
-  )
-  port map(
-    dp_clk             => st_clk,
-    dp_rst             => st_rst,
-
-    dp_src_out_arr     => rx_monitor_sosi_arr(g_nof_lanes - 1 downto 0),
-    dp_src_in_arr      => rx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
-
-    kernel_clk         => board_kernel_clk_clk,
-    kernel_reset       => i_kernel_rst,
-
-    kernel_snk_out_arr => kernel_rx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
-    kernel_snk_in_arr  => kernel_rx_monitor_sosi_arr(g_nof_lanes - 1 downto 0)
-  );
+    generic map(
+      g_nof_streams => g_nof_lanes,
+      g_nof_bytes => c_longword_sz,
+      g_reverse_bytes => true,
+      g_use_bsn => true,
+      g_use_sync => true,
+      g_use_channel => true
+    )
+    port map(
+      dp_clk             => st_clk,
+      dp_rst             => st_rst,
+
+      dp_src_out_arr     => rx_monitor_sosi_arr(g_nof_lanes - 1 downto 0),
+      dp_src_in_arr      => rx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
+
+      kernel_clk         => board_kernel_clk_clk,
+      kernel_reset       => i_kernel_rst,
+
+      kernel_snk_out_arr => kernel_rx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
+      kernel_snk_in_arr  => kernel_rx_monitor_sosi_arr(g_nof_lanes - 1 downto 0)
+    );
 
   -----------------------------------------------------------------------------
   -- kernel clock crossing for tx_monitors
@@ -650,27 +650,27 @@ begin
   end generate;
 
   u_ta2_channel_cross_tx_monitor : entity ta2_channel_cross_lib.ta2_channel_cross
-  generic map(
-    g_nof_streams => g_nof_lanes,
-    g_nof_bytes => c_longword_sz,
-    g_reverse_bytes => true,
-    g_use_bsn => true,
-    g_use_sync => true,
-    g_use_channel => true
-  )
-  port map(
-    dp_clk             => st_clk,
-    dp_rst             => st_rst,
-
-    dp_src_out_arr     => tx_monitor_sosi_arr(g_nof_lanes - 1 downto 0),
-    dp_src_in_arr      => tx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
-
-    kernel_clk         => board_kernel_clk_clk,
-    kernel_reset       => i_kernel_rst,
-
-    kernel_snk_out_arr => kernel_tx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
-    kernel_snk_in_arr  => kernel_tx_monitor_sosi_arr(g_nof_lanes - 1 downto 0)
-  );
+    generic map(
+      g_nof_streams => g_nof_lanes,
+      g_nof_bytes => c_longword_sz,
+      g_reverse_bytes => true,
+      g_use_bsn => true,
+      g_use_sync => true,
+      g_use_channel => true
+    )
+    port map(
+      dp_clk             => st_clk,
+      dp_rst             => st_rst,
+
+      dp_src_out_arr     => tx_monitor_sosi_arr(g_nof_lanes - 1 downto 0),
+      dp_src_in_arr      => tx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
+
+      kernel_clk         => board_kernel_clk_clk,
+      kernel_reset       => i_kernel_rst,
+
+      kernel_snk_out_arr => kernel_tx_monitor_siso_arr(g_nof_lanes - 1 downto 0),
+      kernel_snk_in_arr  => kernel_tx_monitor_sosi_arr(g_nof_lanes - 1 downto 0)
+    );
 
   rx_monitor_siso_arr <= dp_demux_rx_monitor_siso_arr;
   tx_monitor_siso_arr <= dp_demux_tx_monitor_siso_arr;
@@ -690,189 +690,189 @@ begin
     -- demux rx_monitor inputs
     -----------------------------------------------------------------------------
     u_dp_demux_rx_monitor : entity dp_lib.dp_demux
-    generic map(
-      g_nof_output => g_nof_rx_monitors,
-      g_sel_ctrl_invert => true
-    )
-    port map(
-      rst => st_rst,
-      clk => st_clk,
-
-      snk_out => dp_demux_rx_monitor_siso_arr(I),
-      snk_in  => dp_demux_rx_monitor_sosi_arr(I),
-
-      src_in_arr  => rx_monitor_siso_2arr(I),
-      src_out_arr => rx_monitor_sosi_2arr(I)
-    );
+      generic map(
+        g_nof_output => g_nof_rx_monitors,
+        g_sel_ctrl_invert => true
+      )
+      port map(
+        rst => st_rst,
+        clk => st_clk,
+
+        snk_out => dp_demux_rx_monitor_siso_arr(I),
+        snk_in  => dp_demux_rx_monitor_sosi_arr(I),
+
+        src_in_arr  => rx_monitor_siso_2arr(I),
+        src_out_arr => rx_monitor_sosi_2arr(I)
+      );
     -----------------------------------------------------------------------------
     -- rx_monitors
     -----------------------------------------------------------------------------
     u_mms_dp_bsn_monitor_v2_rx : entity dp_lib.mms_dp_bsn_monitor_v2
-    generic map(
-      g_nof_streams => g_nof_rx_monitors
-    )
-    port map(
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      reg_mosi    => reg_bsn_monitor_v2_rx_mosi_arr(I),
-      reg_miso    => reg_bsn_monitor_v2_rx_miso_arr(I),
-
-      dp_rst      => st_rst,
-      dp_clk      => st_clk,
-      ref_sync    => bs_sosi.sync,
-
-      in_siso_arr => rx_monitor_siso_2arr(I),
-      in_sosi_arr => rx_monitor_sosi_2arr(I)
-    );
+      generic map(
+        g_nof_streams => g_nof_rx_monitors
+      )
+      port map(
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        reg_mosi    => reg_bsn_monitor_v2_rx_mosi_arr(I),
+        reg_miso    => reg_bsn_monitor_v2_rx_miso_arr(I),
+
+        dp_rst      => st_rst,
+        dp_clk      => st_clk,
+        ref_sync    => bs_sosi.sync,
+
+        in_siso_arr => rx_monitor_siso_2arr(I),
+        in_sosi_arr => rx_monitor_sosi_2arr(I)
+      );
 
     -----------------------------------------------------------------------------
     -- demux tx_monitor inputs
     -----------------------------------------------------------------------------
     u_dp_demux_tx_monitor : entity dp_lib.dp_demux
-    generic map(
-      g_nof_output => g_nof_tx_monitors,
-      g_sel_ctrl_invert => true
-    )
-    port map(
-      rst => st_rst,
-      clk => st_clk,
+      generic map(
+        g_nof_output => g_nof_tx_monitors,
+        g_sel_ctrl_invert => true
+      )
+      port map(
+        rst => st_rst,
+        clk => st_clk,
 
-      snk_out => dp_demux_tx_monitor_siso_arr(I),
-      snk_in  => dp_demux_tx_monitor_sosi_arr(I),
+        snk_out => dp_demux_tx_monitor_siso_arr(I),
+        snk_in  => dp_demux_tx_monitor_sosi_arr(I),
 
-      src_in_arr  => tx_monitor_siso_2arr(I),
-      src_out_arr => tx_monitor_sosi_2arr(I)
-    );
+        src_in_arr  => tx_monitor_siso_2arr(I),
+        src_out_arr => tx_monitor_sosi_2arr(I)
+      );
 
     -----------------------------------------------------------------------------
     -- tx_monitors
     -----------------------------------------------------------------------------
     u_mms_dp_bsn_monitor_v2_tx : entity dp_lib.mms_dp_bsn_monitor_v2
-    generic map(
-      g_nof_streams => g_nof_tx_monitors
-    )
-    port map(
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      reg_mosi    => reg_bsn_monitor_v2_tx_mosi_arr(I),
-      reg_miso    => reg_bsn_monitor_v2_tx_miso_arr(I),
-
-      dp_rst      => st_rst,
-      dp_clk      => st_clk,
-      ref_sync    => bs_sosi.sync,
-
-      in_siso_arr => tx_monitor_siso_2arr(I),
-      in_sosi_arr => tx_monitor_sosi_2arr(I)
-    );
+      generic map(
+        g_nof_streams => g_nof_tx_monitors
+      )
+      port map(
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        reg_mosi    => reg_bsn_monitor_v2_tx_mosi_arr(I),
+        reg_miso    => reg_bsn_monitor_v2_tx_miso_arr(I),
+
+        dp_rst      => st_rst,
+        dp_clk      => st_clk,
+        ref_sync    => bs_sosi.sync,
+
+        in_siso_arr => tx_monitor_siso_2arr(I),
+        in_sosi_arr => tx_monitor_sosi_2arr(I)
+      );
   end generate;
 
   u_common_mem_mux_rx_monitors : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_lanes,
-    g_mult_addr_w => ceil_log2(g_nof_rx_monitors) + 3
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_rx_mosi,
-    miso     => reg_bsn_monitor_v2_rx_miso,
-    mosi_arr => reg_bsn_monitor_v2_rx_mosi_arr,
-    miso_arr => reg_bsn_monitor_v2_rx_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_lanes,
+      g_mult_addr_w => ceil_log2(g_nof_rx_monitors) + 3
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_rx_mosi,
+      miso     => reg_bsn_monitor_v2_rx_miso,
+      mosi_arr => reg_bsn_monitor_v2_rx_mosi_arr,
+      miso_arr => reg_bsn_monitor_v2_rx_miso_arr
+    );
 
   u_common_mem_mux_tx_monitors : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_lanes,
-    g_mult_addr_w => ceil_log2(g_nof_tx_monitors) + 3
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_tx_mosi,
-    miso     => reg_bsn_monitor_v2_tx_miso,
-    mosi_arr => reg_bsn_monitor_v2_tx_mosi_arr,
-    miso_arr => reg_bsn_monitor_v2_tx_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_lanes,
+      g_mult_addr_w => ceil_log2(g_nof_tx_monitors) + 3
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_tx_mosi,
+      miso     => reg_bsn_monitor_v2_tx_miso,
+      mosi_arr => reg_bsn_monitor_v2_tx_mosi_arr,
+      miso_arr => reg_bsn_monitor_v2_tx_miso_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Design part, mms_diag_block_gen
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams       => g_nof_lanes,
-    g_use_bg_buffer_ram => true,
-    g_buf_dat_w         => 32,  -- BG is limited to 32 bits data
-    g_buf_addr_w        => 7,
-    g_file_name_prefix  => "data/bf_in_data"
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => st_rst,
-    dp_clk           => st_clk,
-    en_sync          => st_pps,
-
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-    reg_bg_ctrl_miso => reg_bg_ctrl_miso,
-    ram_bg_data_mosi => ram_bg_data_mosi,
-    ram_bg_data_miso => ram_bg_data_miso,
-
-    -- ST interface
-    out_siso_arr     => local_siso_arr,
-    out_sosi_arr     => local_sosi_arr
-  );
+    generic map(
+      g_nof_streams       => g_nof_lanes,
+      g_use_bg_buffer_ram => true,
+      g_buf_dat_w         => 32,  -- BG is limited to 32 bits data
+      g_buf_addr_w        => 7,
+      g_file_name_prefix  => "data/bf_in_data"
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => st_rst,
+      dp_clk           => st_clk,
+      en_sync          => st_pps,
+
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+      reg_bg_ctrl_miso => reg_bg_ctrl_miso,
+      ram_bg_data_mosi => ram_bg_data_mosi,
+      ram_bg_data_miso => ram_bg_data_miso,
+
+      -- ST interface
+      out_siso_arr     => local_siso_arr,
+      out_sosi_arr     => local_sosi_arr
+    );
 
   bs_sosi <= local_sosi_arr(0);
 
   u_mms_dp_xonoff_bg : entity dp_lib.mms_dp_xonoff
-  generic map(
-    g_nof_streams     => g_nof_lanes,
-    g_combine_streams => false,
-    g_default_value   => '0'
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    reg_mosi     => reg_dp_xonoff_bg_mosi,
-    reg_miso     => reg_dp_xonoff_bg_miso,
-
-    -- Streaming clock domain
-    dp_rst      => st_rst,
-    dp_clk      => st_clk,
-
-    -- ST sinks
-    snk_out_arr  => local_siso_arr,
-    snk_in_arr   => local_sosi_arr,
-    -- ST source
-    src_in_arr   => dp_xonoff_bg_siso_arr,
-    src_out_arr  => dp_xonoff_bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams     => g_nof_lanes,
+      g_combine_streams => false,
+      g_default_value   => '0'
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      reg_mosi     => reg_dp_xonoff_bg_mosi,
+      reg_miso     => reg_dp_xonoff_bg_miso,
+
+      -- Streaming clock domain
+      dp_rst      => st_rst,
+      dp_clk      => st_clk,
+
+      -- ST sinks
+      snk_out_arr  => local_siso_arr,
+      snk_in_arr   => local_sosi_arr,
+      -- ST source
+      src_in_arr   => dp_xonoff_bg_siso_arr,
+      src_out_arr  => dp_xonoff_bg_sosi_arr
+    );
 
   u_mms_dp_xonoff_from_lane : entity dp_lib.mms_dp_xonoff
-  generic map(
-    g_nof_streams     => g_nof_lanes,
-    g_combine_streams => false,
-    g_default_value   => '1'
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    reg_mosi     => reg_dp_xonoff_from_lane_mosi,
-    reg_miso     => reg_dp_xonoff_from_lane_miso,
-
-    -- Streaming clock domain
-    dp_rst      => st_rst,
-    dp_clk      => st_clk,
-
-    -- ST sinks
-    snk_out_arr  => from_lane_siso_arr(g_nof_lanes - 1 downto 0),
-    snk_in_arr   => from_lane_sosi_arr(g_nof_lanes - 1 downto 0),
-    -- ST source
-    src_in_arr   => dp_xonoff_from_lane_siso_arr(g_nof_lanes - 1 downto 0),
-    src_out_arr  => dp_xonoff_from_lane_sosi_arr(g_nof_lanes - 1 downto 0)
-  );
+    generic map(
+      g_nof_streams     => g_nof_lanes,
+      g_combine_streams => false,
+      g_default_value   => '1'
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      reg_mosi     => reg_dp_xonoff_from_lane_mosi,
+      reg_miso     => reg_dp_xonoff_from_lane_miso,
+
+      -- Streaming clock domain
+      dp_rst      => st_rst,
+      dp_clk      => st_clk,
+
+      -- ST sinks
+      snk_out_arr  => from_lane_siso_arr(g_nof_lanes - 1 downto 0),
+      snk_in_arr   => from_lane_sosi_arr(g_nof_lanes - 1 downto 0),
+      -- ST source
+      src_in_arr   => dp_xonoff_from_lane_siso_arr(g_nof_lanes - 1 downto 0),
+      src_out_arr  => dp_xonoff_from_lane_sosi_arr(g_nof_lanes - 1 downto 0)
+    );
 
   gen_streams : for I in 0 to g_nof_lanes - 1 generate
     -- Multiplex the inputs:
@@ -885,28 +885,28 @@ begin
     mux_snk_in_2arr_2(I)(1) <= dp_xonoff_bg_sosi_arr(I);
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      g_technology        => g_technology,
-      -- MUX
-      g_mode              => 0,
-      g_nof_input         => 2,
-      g_append_channel_lo => false,
-      g_sel_ctrl_invert   => true,  -- Use default FALSE when stream array IO are indexed (0 TO g_nof_input-1), else use TRUE when indexed (g_nof_input-1 DOWNTO 0)
-      -- Input FIFO
-      g_use_fifo          => false,
-      g_fifo_size         => array_init(1024, 2),  -- must match g_nof_input, even when g_use_fifo=FALSE
-      g_fifo_fill         => array_init(   0, 2)  -- must match g_nof_input, even when g_use_fifo=FALSE
-    )
-    port map (
-      rst         => st_rst,
-      clk         => st_clk,
-      -- ST sinks
-      snk_out_arr => mux_snk_out_2arr_2(I),  -- [c_mux_nof_input-1:0]
-      snk_in_arr  => mux_snk_in_2arr_2(I),  -- [c_mux_nof_input-1:0]
-      -- ST source
-      src_in      => to_lane_siso_arr(I),
-      src_out     => to_lane_sosi_arr(I)
-    );
+      generic map (
+        g_technology        => g_technology,
+        -- MUX
+        g_mode              => 0,
+        g_nof_input         => 2,
+        g_append_channel_lo => false,
+        g_sel_ctrl_invert   => true,  -- Use default FALSE when stream array IO are indexed (0 TO g_nof_input-1), else use TRUE when indexed (g_nof_input-1 DOWNTO 0)
+        -- Input FIFO
+        g_use_fifo          => false,
+        g_fifo_size         => array_init(1024, 2),  -- must match g_nof_input, even when g_use_fifo=FALSE
+        g_fifo_fill         => array_init(   0, 2)  -- must match g_nof_input, even when g_use_fifo=FALSE
+      )
+      port map (
+        rst         => st_rst,
+        clk         => st_clk,
+        -- ST sinks
+        snk_out_arr => mux_snk_out_2arr_2(I),  -- [c_mux_nof_input-1:0]
+        snk_in_arr  => mux_snk_in_2arr_2(I),  -- [c_mux_nof_input-1:0]
+        -- ST source
+        src_in      => to_lane_siso_arr(I),
+        src_out     => to_lane_sosi_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -915,263 +915,263 @@ begin
   gn_index <= TO_UINT(ID(c_sdp_W_gn_id - 1 downto 0));
   this_rn_id <= TO_UVEC(gn_index - TO_UINT(sdp_info.O_rn), c_sdp_W_gn_id);
   u_sdp_info : entity lofar2_sdp_lib.sdp_info
-  port map(
-    -- Clocks and reset
-    mm_rst    => mm_rst,  -- reset synchronous with mm_clk
-    mm_clk    => mm_clk,  -- memory-mapped bus clock
+    port map(
+      -- Clocks and reset
+      mm_rst    => mm_rst,  -- reset synchronous with mm_clk
+      mm_clk    => mm_clk,  -- memory-mapped bus clock
 
-    dp_clk    => st_clk,
-    dp_rst    => st_rst,
+      dp_clk    => st_clk,
+      dp_rst    => st_rst,
 
-    reg_mosi  => reg_sdp_info_mosi,
-    reg_miso  => reg_sdp_info_miso,
+      reg_mosi  => reg_sdp_info_mosi,
+      reg_miso  => reg_sdp_info_miso,
 
-    -- inputs from other blocks
-    gn_index  => gn_index,
-    f_adc     => '1',
-    fsub_type => '0',
+      -- inputs from other blocks
+      gn_index  => gn_index,
+      f_adc     => '1',
+      fsub_type => '0',
 
-    -- sdp info
-    sdp_info => sdp_info
-  );
+      -- sdp info
+      sdp_info => sdp_info
+    );
 
   -----------------------------------------------------------------------------
   -- Freeze wrapper instantiation
   -----------------------------------------------------------------------------
   gen_opencl: if g_sim = false generate
-  freeze_wrapper_inst : freeze_wrapper
-  port map(
-    board_kernel_clk_clk                        => board_kernel_clk_clk,
-    board_kernel_clk2x_clk                      => board_kernel_clk2x_clk,
-    board_kernel_reset_reset_n                  => board_kernel_reset_reset_n_in,
-    board_kernel_irq_irq                        => board_kernel_irq_irq,
-    board_kernel_cra_waitrequest                => board_kernel_cra_waitrequest,
-    board_kernel_cra_readdata                   => board_kernel_cra_readdata,
-    board_kernel_cra_readdatavalid              => board_kernel_cra_readdatavalid,
-    board_kernel_cra_burstcount                 => board_kernel_cra_burstcount,
-    board_kernel_cra_writedata                  => board_kernel_cra_writedata,
-    board_kernel_cra_address                    => board_kernel_cra_address,
-    board_kernel_cra_write                      => board_kernel_cra_write,
-    board_kernel_cra_read                       => board_kernel_cra_read,
-    board_kernel_cra_byteenable                 => board_kernel_cra_byteenable,
-    board_kernel_cra_debugaccess                => board_kernel_cra_debugaccess,
-
-    board_kernel_register_mem_address           => board_kernel_register_mem_address,
-    board_kernel_register_mem_clken             => board_kernel_register_mem_clken,
-    board_kernel_register_mem_chipselect        => board_kernel_register_mem_chipselect,
-    board_kernel_register_mem_write             => board_kernel_register_mem_write,
-    board_kernel_register_mem_readdata          => board_kernel_register_mem_readdata,
-    board_kernel_register_mem_writedata         => board_kernel_register_mem_writedata,
-    board_kernel_register_mem_byteenable        => board_kernel_register_mem_byteenable,
-
-    board_kernel_stream_src_10GbE_ring_0_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_0_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(0).valid,
-    board_kernel_stream_src_10GbE_ring_0_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(0).ready,
-    board_kernel_stream_snk_10GbE_ring_0_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_0_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(0).valid,
-    board_kernel_stream_snk_10GbE_ring_0_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(0).ready,
-
-    board_kernel_stream_src_10GbE_ring_1_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_1_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(1).valid,
-    board_kernel_stream_src_10GbE_ring_1_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(1).ready,
-    board_kernel_stream_snk_10GbE_ring_1_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_1_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(1).valid,
-    board_kernel_stream_snk_10GbE_ring_1_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(1).ready,
-
-    board_kernel_stream_src_10GbE_ring_2_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_2_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(2).valid,
-    board_kernel_stream_src_10GbE_ring_2_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(2).ready,
-    board_kernel_stream_snk_10GbE_ring_2_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_2_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(2).valid,
-    board_kernel_stream_snk_10GbE_ring_2_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(2).ready,
-
-    board_kernel_stream_src_10GbE_ring_3_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_3_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(3).valid,
-    board_kernel_stream_src_10GbE_ring_3_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(3).ready,
-    board_kernel_stream_snk_10GbE_ring_3_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_3_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(3).valid,
-    board_kernel_stream_snk_10GbE_ring_3_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(3).ready,
-
-    board_kernel_stream_src_10GbE_ring_4_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(4).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_4_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(4).valid,
-    board_kernel_stream_src_10GbE_ring_4_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(4).ready,
-    board_kernel_stream_snk_10GbE_ring_4_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(4).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_4_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(4).valid,
-    board_kernel_stream_snk_10GbE_ring_4_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(4).ready,
-
-    board_kernel_stream_src_10GbE_ring_5_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(5).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_5_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(5).valid,
-    board_kernel_stream_src_10GbE_ring_5_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(5).ready,
-    board_kernel_stream_snk_10GbE_ring_5_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(5).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_5_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(5).valid,
-    board_kernel_stream_snk_10GbE_ring_5_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(5).ready,
-
-    board_kernel_stream_src_10GbE_ring_6_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(6).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_6_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(6).valid,
-    board_kernel_stream_src_10GbE_ring_6_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(6).ready,
-    board_kernel_stream_snk_10GbE_ring_6_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(6).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_6_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(6).valid,
-    board_kernel_stream_snk_10GbE_ring_6_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(6).ready,
-
-    board_kernel_stream_src_10GbE_ring_7_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(7).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_ring_7_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(7).valid,
-    board_kernel_stream_src_10GbE_ring_7_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(7).ready,
-    board_kernel_stream_snk_10GbE_ring_7_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(7).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_ring_7_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(7).valid,
-    board_kernel_stream_snk_10GbE_ring_7_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(7).ready,
-
-    board_kernel_stream_src_10GbE_qsfp_0_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_qsfp_0_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(0).valid,
-    board_kernel_stream_src_10GbE_qsfp_0_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(0).ready,
-    board_kernel_stream_snk_10GbE_qsfp_0_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_qsfp_0_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(0).valid,
-    board_kernel_stream_snk_10GbE_qsfp_0_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(0).ready,
-
-    board_kernel_stream_src_10GbE_qsfp_1_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_qsfp_1_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(1).valid,
-    board_kernel_stream_src_10GbE_qsfp_1_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(1).ready,
-    board_kernel_stream_snk_10GbE_qsfp_1_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_qsfp_1_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(1).valid,
-    board_kernel_stream_snk_10GbE_qsfp_1_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(1).ready,
-
-    board_kernel_stream_src_10GbE_qsfp_2_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_qsfp_2_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(2).valid,
-    board_kernel_stream_src_10GbE_qsfp_2_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(2).ready,
-    board_kernel_stream_snk_10GbE_qsfp_2_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_qsfp_2_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(2).valid,
-    board_kernel_stream_snk_10GbE_qsfp_2_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(2).ready,
-
-    board_kernel_stream_src_10GbE_qsfp_3_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_src_10GbE_qsfp_3_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(3).valid,
-    board_kernel_stream_src_10GbE_qsfp_3_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(3).ready,
-    board_kernel_stream_snk_10GbE_qsfp_3_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
-    board_kernel_stream_snk_10GbE_qsfp_3_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(3).valid,
-    board_kernel_stream_snk_10GbE_qsfp_3_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(3).ready,
-
-    board_kernel_stream_src_lane_0_data         => kernel_to_lane_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_0_valid        => kernel_to_lane_sosi_arr(0).valid,
-    board_kernel_stream_src_lane_0_ready        => kernel_to_lane_siso_arr(0).ready,
-    board_kernel_stream_snk_lane_0_data         => kernel_from_lane_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_0_valid        => kernel_from_lane_sosi_arr(0).valid,
-    board_kernel_stream_snk_lane_0_ready        => kernel_from_lane_siso_arr(0).ready,
-
-    board_kernel_stream_src_lane_1_data         => kernel_to_lane_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_1_valid        => kernel_to_lane_sosi_arr(1).valid,
-    board_kernel_stream_src_lane_1_ready        => kernel_to_lane_siso_arr(1).ready,
-    board_kernel_stream_snk_lane_1_data         => kernel_from_lane_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_1_valid        => kernel_from_lane_sosi_arr(1).valid,
-    board_kernel_stream_snk_lane_1_ready        => kernel_from_lane_siso_arr(1).ready,
-
-    board_kernel_stream_src_lane_2_data         => kernel_to_lane_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_2_valid        => kernel_to_lane_sosi_arr(2).valid,
-    board_kernel_stream_src_lane_2_ready        => kernel_to_lane_siso_arr(2).ready,
-    board_kernel_stream_snk_lane_2_data         => kernel_from_lane_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_2_valid        => kernel_from_lane_sosi_arr(2).valid,
-    board_kernel_stream_snk_lane_2_ready        => kernel_from_lane_siso_arr(2).ready,
-
-    board_kernel_stream_src_lane_3_data         => kernel_to_lane_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_3_valid        => kernel_to_lane_sosi_arr(3).valid,
-    board_kernel_stream_src_lane_3_ready        => kernel_to_lane_siso_arr(3).ready,
-    board_kernel_stream_snk_lane_3_data         => kernel_from_lane_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_3_valid        => kernel_from_lane_sosi_arr(3).valid,
-    board_kernel_stream_snk_lane_3_ready        => kernel_from_lane_siso_arr(3).ready,
-
-    board_kernel_stream_src_lane_4_data         => kernel_to_lane_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_4_valid        => kernel_to_lane_sosi_arr(4).valid,
-    board_kernel_stream_src_lane_4_ready        => kernel_to_lane_siso_arr(4).ready,
-    board_kernel_stream_snk_lane_4_data         => kernel_from_lane_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_4_valid        => kernel_from_lane_sosi_arr(4).valid,
-    board_kernel_stream_snk_lane_4_ready        => kernel_from_lane_siso_arr(4).ready,
-
-    board_kernel_stream_src_lane_5_data         => kernel_to_lane_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_5_valid        => kernel_to_lane_sosi_arr(5).valid,
-    board_kernel_stream_src_lane_5_ready        => kernel_to_lane_siso_arr(5).ready,
-    board_kernel_stream_snk_lane_5_data         => kernel_from_lane_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_5_valid        => kernel_from_lane_sosi_arr(5).valid,
-    board_kernel_stream_snk_lane_5_ready        => kernel_from_lane_siso_arr(5).ready,
-
-    board_kernel_stream_src_lane_6_data         => kernel_to_lane_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_6_valid        => kernel_to_lane_sosi_arr(6).valid,
-    board_kernel_stream_src_lane_6_ready        => kernel_to_lane_siso_arr(6).ready,
-    board_kernel_stream_snk_lane_6_data         => kernel_from_lane_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_6_valid        => kernel_from_lane_sosi_arr(6).valid,
-    board_kernel_stream_snk_lane_6_ready        => kernel_from_lane_siso_arr(6).ready,
-
-    board_kernel_stream_src_lane_7_data         => kernel_to_lane_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_lane_7_valid        => kernel_to_lane_sosi_arr(7).valid,
-    board_kernel_stream_src_lane_7_ready        => kernel_to_lane_siso_arr(7).ready,
-    board_kernel_stream_snk_lane_7_data         => kernel_from_lane_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_lane_7_valid        => kernel_from_lane_sosi_arr(7).valid,
-    board_kernel_stream_snk_lane_7_ready        => kernel_from_lane_siso_arr(7).ready,
-
-    board_kernel_stream_snk_rx_monitor_0_data   => kernel_rx_monitor_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_0_valid  => kernel_rx_monitor_sosi_arr(0).valid,
-    board_kernel_stream_snk_rx_monitor_0_ready  => kernel_rx_monitor_siso_arr(0).ready,
-    board_kernel_stream_snk_tx_monitor_0_data   => kernel_tx_monitor_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_0_valid  => kernel_tx_monitor_sosi_arr(0).valid,
-    board_kernel_stream_snk_tx_monitor_0_ready  => kernel_tx_monitor_siso_arr(0).ready,
-
-    board_kernel_stream_snk_rx_monitor_1_data   => kernel_rx_monitor_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_1_valid  => kernel_rx_monitor_sosi_arr(1).valid,
-    board_kernel_stream_snk_rx_monitor_1_ready  => kernel_rx_monitor_siso_arr(1).ready,
-    board_kernel_stream_snk_tx_monitor_1_data   => kernel_tx_monitor_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_1_valid  => kernel_tx_monitor_sosi_arr(1).valid,
-    board_kernel_stream_snk_tx_monitor_1_ready  => kernel_tx_monitor_siso_arr(1).ready,
-
-    board_kernel_stream_snk_rx_monitor_2_data   => kernel_rx_monitor_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_2_valid  => kernel_rx_monitor_sosi_arr(2).valid,
-    board_kernel_stream_snk_rx_monitor_2_ready  => kernel_rx_monitor_siso_arr(2).ready,
-    board_kernel_stream_snk_tx_monitor_2_data   => kernel_tx_monitor_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_2_valid  => kernel_tx_monitor_sosi_arr(2).valid,
-    board_kernel_stream_snk_tx_monitor_2_ready  => kernel_tx_monitor_siso_arr(2).ready,
-
-    board_kernel_stream_snk_rx_monitor_3_data   => kernel_rx_monitor_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_3_valid  => kernel_rx_monitor_sosi_arr(3).valid,
-    board_kernel_stream_snk_rx_monitor_3_ready  => kernel_rx_monitor_siso_arr(3).ready,
-    board_kernel_stream_snk_tx_monitor_3_data   => kernel_tx_monitor_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_3_valid  => kernel_tx_monitor_sosi_arr(3).valid,
-    board_kernel_stream_snk_tx_monitor_3_ready  => kernel_tx_monitor_siso_arr(3).ready,
-
-    board_kernel_stream_snk_rx_monitor_4_data   => kernel_rx_monitor_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_4_valid  => kernel_rx_monitor_sosi_arr(4).valid,
-    board_kernel_stream_snk_rx_monitor_4_ready  => kernel_rx_monitor_siso_arr(4).ready,
-    board_kernel_stream_snk_tx_monitor_4_data   => kernel_tx_monitor_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_4_valid  => kernel_tx_monitor_sosi_arr(4).valid,
-    board_kernel_stream_snk_tx_monitor_4_ready  => kernel_tx_monitor_siso_arr(4).ready,
-
-    board_kernel_stream_snk_rx_monitor_5_data   => kernel_rx_monitor_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_5_valid  => kernel_rx_monitor_sosi_arr(5).valid,
-    board_kernel_stream_snk_rx_monitor_5_ready  => kernel_rx_monitor_siso_arr(5).ready,
-    board_kernel_stream_snk_tx_monitor_5_data   => kernel_tx_monitor_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_5_valid  => kernel_tx_monitor_sosi_arr(5).valid,
-    board_kernel_stream_snk_tx_monitor_5_ready  => kernel_tx_monitor_siso_arr(5).ready,
-
-    board_kernel_stream_snk_rx_monitor_6_data   => kernel_rx_monitor_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_6_valid  => kernel_rx_monitor_sosi_arr(6).valid,
-    board_kernel_stream_snk_rx_monitor_6_ready  => kernel_rx_monitor_siso_arr(6).ready,
-    board_kernel_stream_snk_tx_monitor_6_data   => kernel_tx_monitor_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_6_valid  => kernel_tx_monitor_sosi_arr(6).valid,
-    board_kernel_stream_snk_tx_monitor_6_ready  => kernel_tx_monitor_siso_arr(6).ready,
-
-    board_kernel_stream_snk_rx_monitor_7_data   => kernel_rx_monitor_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_rx_monitor_7_valid  => kernel_rx_monitor_sosi_arr(7).valid,
-    board_kernel_stream_snk_rx_monitor_7_ready  => kernel_rx_monitor_siso_arr(7).ready,
-    board_kernel_stream_snk_tx_monitor_7_data   => kernel_tx_monitor_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_snk_tx_monitor_7_valid  => kernel_tx_monitor_sosi_arr(7).valid,
-    board_kernel_stream_snk_tx_monitor_7_ready  => kernel_tx_monitor_siso_arr(7).ready,
-
-    board_kernel_stream_src_bs_data             => kernel_bs_sosi.data(c_kernel_bs_sosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_bs_valid            => kernel_bs_sosi.valid,
-    board_kernel_stream_src_bs_ready            => OPEN,
-
-    board_kernel_stream_src_mm_io_data          => ta2_unb2b_mm_io_src_out.data(c_kernel_mm_io_mosi_channel_w - 1 downto 0),
-    board_kernel_stream_src_mm_io_valid         => ta2_unb2b_mm_io_src_out.valid,
-    board_kernel_stream_src_mm_io_ready         => ta2_unb2b_mm_io_src_in.ready,
-    board_kernel_stream_snk_mm_io_data          => ta2_unb2b_mm_io_snk_in.data(c_kernel_mm_io_miso_channel_w - 1 downto 0),
-    board_kernel_stream_snk_mm_io_valid         => ta2_unb2b_mm_io_snk_in.valid,
-    board_kernel_stream_snk_mm_io_ready         => ta2_unb2b_mm_io_snk_out.ready
-
-  );
+    freeze_wrapper_inst : freeze_wrapper
+      port map(
+        board_kernel_clk_clk                        => board_kernel_clk_clk,
+        board_kernel_clk2x_clk                      => board_kernel_clk2x_clk,
+        board_kernel_reset_reset_n                  => board_kernel_reset_reset_n_in,
+        board_kernel_irq_irq                        => board_kernel_irq_irq,
+        board_kernel_cra_waitrequest                => board_kernel_cra_waitrequest,
+        board_kernel_cra_readdata                   => board_kernel_cra_readdata,
+        board_kernel_cra_readdatavalid              => board_kernel_cra_readdatavalid,
+        board_kernel_cra_burstcount                 => board_kernel_cra_burstcount,
+        board_kernel_cra_writedata                  => board_kernel_cra_writedata,
+        board_kernel_cra_address                    => board_kernel_cra_address,
+        board_kernel_cra_write                      => board_kernel_cra_write,
+        board_kernel_cra_read                       => board_kernel_cra_read,
+        board_kernel_cra_byteenable                 => board_kernel_cra_byteenable,
+        board_kernel_cra_debugaccess                => board_kernel_cra_debugaccess,
+
+        board_kernel_register_mem_address           => board_kernel_register_mem_address,
+        board_kernel_register_mem_clken             => board_kernel_register_mem_clken,
+        board_kernel_register_mem_chipselect        => board_kernel_register_mem_chipselect,
+        board_kernel_register_mem_write             => board_kernel_register_mem_write,
+        board_kernel_register_mem_readdata          => board_kernel_register_mem_readdata,
+        board_kernel_register_mem_writedata         => board_kernel_register_mem_writedata,
+        board_kernel_register_mem_byteenable        => board_kernel_register_mem_byteenable,
+
+        board_kernel_stream_src_10GbE_ring_0_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_0_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(0).valid,
+        board_kernel_stream_src_10GbE_ring_0_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(0).ready,
+        board_kernel_stream_snk_10GbE_ring_0_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_0_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(0).valid,
+        board_kernel_stream_snk_10GbE_ring_0_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(0).ready,
+
+        board_kernel_stream_src_10GbE_ring_1_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_1_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(1).valid,
+        board_kernel_stream_src_10GbE_ring_1_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(1).ready,
+        board_kernel_stream_snk_10GbE_ring_1_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_1_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(1).valid,
+        board_kernel_stream_snk_10GbE_ring_1_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(1).ready,
+
+        board_kernel_stream_src_10GbE_ring_2_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_2_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(2).valid,
+        board_kernel_stream_src_10GbE_ring_2_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(2).ready,
+        board_kernel_stream_snk_10GbE_ring_2_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_2_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(2).valid,
+        board_kernel_stream_snk_10GbE_ring_2_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(2).ready,
+
+        board_kernel_stream_src_10GbE_ring_3_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_3_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(3).valid,
+        board_kernel_stream_src_10GbE_ring_3_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(3).ready,
+        board_kernel_stream_snk_10GbE_ring_3_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_3_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(3).valid,
+        board_kernel_stream_snk_10GbE_ring_3_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(3).ready,
+
+        board_kernel_stream_src_10GbE_ring_4_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(4).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_4_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(4).valid,
+        board_kernel_stream_src_10GbE_ring_4_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(4).ready,
+        board_kernel_stream_snk_10GbE_ring_4_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(4).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_4_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(4).valid,
+        board_kernel_stream_snk_10GbE_ring_4_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(4).ready,
+
+        board_kernel_stream_src_10GbE_ring_5_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(5).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_5_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(5).valid,
+        board_kernel_stream_src_10GbE_ring_5_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(5).ready,
+        board_kernel_stream_snk_10GbE_ring_5_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(5).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_5_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(5).valid,
+        board_kernel_stream_snk_10GbE_ring_5_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(5).ready,
+
+        board_kernel_stream_src_10GbE_ring_6_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(6).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_6_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(6).valid,
+        board_kernel_stream_src_10GbE_ring_6_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(6).ready,
+        board_kernel_stream_snk_10GbE_ring_6_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(6).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_6_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(6).valid,
+        board_kernel_stream_snk_10GbE_ring_6_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(6).ready,
+
+        board_kernel_stream_src_10GbE_ring_7_data   => ta2_unb2b_10GbE_ring_ch_src_out_arr(7).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_ring_7_valid  => ta2_unb2b_10GbE_ring_ch_src_out_arr(7).valid,
+        board_kernel_stream_src_10GbE_ring_7_ready  => ta2_unb2b_10GbE_ring_ch_src_in_arr(7).ready,
+        board_kernel_stream_snk_10GbE_ring_7_data   => ta2_unb2b_10GbE_ring_ch_snk_in_arr(7).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_ring_7_valid  => ta2_unb2b_10GbE_ring_ch_snk_in_arr(7).valid,
+        board_kernel_stream_snk_10GbE_ring_7_ready  => ta2_unb2b_10GbE_ring_ch_snk_out_arr(7).ready,
+
+        board_kernel_stream_src_10GbE_qsfp_0_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_qsfp_0_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(0).valid,
+        board_kernel_stream_src_10GbE_qsfp_0_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(0).ready,
+        board_kernel_stream_snk_10GbE_qsfp_0_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(0).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_qsfp_0_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(0).valid,
+        board_kernel_stream_snk_10GbE_qsfp_0_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(0).ready,
+
+        board_kernel_stream_src_10GbE_qsfp_1_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_qsfp_1_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(1).valid,
+        board_kernel_stream_src_10GbE_qsfp_1_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(1).ready,
+        board_kernel_stream_snk_10GbE_qsfp_1_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(1).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_qsfp_1_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(1).valid,
+        board_kernel_stream_snk_10GbE_qsfp_1_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(1).ready,
+
+        board_kernel_stream_src_10GbE_qsfp_2_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_qsfp_2_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(2).valid,
+        board_kernel_stream_src_10GbE_qsfp_2_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(2).ready,
+        board_kernel_stream_snk_10GbE_qsfp_2_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(2).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_qsfp_2_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(2).valid,
+        board_kernel_stream_snk_10GbE_qsfp_2_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(2).ready,
+
+        board_kernel_stream_src_10GbE_qsfp_3_data   => ta2_unb2b_10GbE_qsfp_src_out_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_src_10GbE_qsfp_3_valid  => ta2_unb2b_10GbE_qsfp_src_out_arr(3).valid,
+        board_kernel_stream_src_10GbE_qsfp_3_ready  => ta2_unb2b_10GbE_qsfp_src_in_arr(3).ready,
+        board_kernel_stream_snk_10GbE_qsfp_3_data   => ta2_unb2b_10GbE_qsfp_snk_in_arr(3).data(c_kernel_10gbe_channel_w - 1 downto 0),
+        board_kernel_stream_snk_10GbE_qsfp_3_valid  => ta2_unb2b_10GbE_qsfp_snk_in_arr(3).valid,
+        board_kernel_stream_snk_10GbE_qsfp_3_ready  => ta2_unb2b_10GbE_qsfp_snk_out_arr(3).ready,
+
+        board_kernel_stream_src_lane_0_data         => kernel_to_lane_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_0_valid        => kernel_to_lane_sosi_arr(0).valid,
+        board_kernel_stream_src_lane_0_ready        => kernel_to_lane_siso_arr(0).ready,
+        board_kernel_stream_snk_lane_0_data         => kernel_from_lane_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_0_valid        => kernel_from_lane_sosi_arr(0).valid,
+        board_kernel_stream_snk_lane_0_ready        => kernel_from_lane_siso_arr(0).ready,
+
+        board_kernel_stream_src_lane_1_data         => kernel_to_lane_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_1_valid        => kernel_to_lane_sosi_arr(1).valid,
+        board_kernel_stream_src_lane_1_ready        => kernel_to_lane_siso_arr(1).ready,
+        board_kernel_stream_snk_lane_1_data         => kernel_from_lane_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_1_valid        => kernel_from_lane_sosi_arr(1).valid,
+        board_kernel_stream_snk_lane_1_ready        => kernel_from_lane_siso_arr(1).ready,
+
+        board_kernel_stream_src_lane_2_data         => kernel_to_lane_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_2_valid        => kernel_to_lane_sosi_arr(2).valid,
+        board_kernel_stream_src_lane_2_ready        => kernel_to_lane_siso_arr(2).ready,
+        board_kernel_stream_snk_lane_2_data         => kernel_from_lane_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_2_valid        => kernel_from_lane_sosi_arr(2).valid,
+        board_kernel_stream_snk_lane_2_ready        => kernel_from_lane_siso_arr(2).ready,
+
+        board_kernel_stream_src_lane_3_data         => kernel_to_lane_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_3_valid        => kernel_to_lane_sosi_arr(3).valid,
+        board_kernel_stream_src_lane_3_ready        => kernel_to_lane_siso_arr(3).ready,
+        board_kernel_stream_snk_lane_3_data         => kernel_from_lane_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_3_valid        => kernel_from_lane_sosi_arr(3).valid,
+        board_kernel_stream_snk_lane_3_ready        => kernel_from_lane_siso_arr(3).ready,
+
+        board_kernel_stream_src_lane_4_data         => kernel_to_lane_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_4_valid        => kernel_to_lane_sosi_arr(4).valid,
+        board_kernel_stream_src_lane_4_ready        => kernel_to_lane_siso_arr(4).ready,
+        board_kernel_stream_snk_lane_4_data         => kernel_from_lane_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_4_valid        => kernel_from_lane_sosi_arr(4).valid,
+        board_kernel_stream_snk_lane_4_ready        => kernel_from_lane_siso_arr(4).ready,
+
+        board_kernel_stream_src_lane_5_data         => kernel_to_lane_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_5_valid        => kernel_to_lane_sosi_arr(5).valid,
+        board_kernel_stream_src_lane_5_ready        => kernel_to_lane_siso_arr(5).ready,
+        board_kernel_stream_snk_lane_5_data         => kernel_from_lane_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_5_valid        => kernel_from_lane_sosi_arr(5).valid,
+        board_kernel_stream_snk_lane_5_ready        => kernel_from_lane_siso_arr(5).ready,
+
+        board_kernel_stream_src_lane_6_data         => kernel_to_lane_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_6_valid        => kernel_to_lane_sosi_arr(6).valid,
+        board_kernel_stream_src_lane_6_ready        => kernel_to_lane_siso_arr(6).ready,
+        board_kernel_stream_snk_lane_6_data         => kernel_from_lane_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_6_valid        => kernel_from_lane_sosi_arr(6).valid,
+        board_kernel_stream_snk_lane_6_ready        => kernel_from_lane_siso_arr(6).ready,
+
+        board_kernel_stream_src_lane_7_data         => kernel_to_lane_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_lane_7_valid        => kernel_to_lane_sosi_arr(7).valid,
+        board_kernel_stream_src_lane_7_ready        => kernel_to_lane_siso_arr(7).ready,
+        board_kernel_stream_snk_lane_7_data         => kernel_from_lane_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_lane_7_valid        => kernel_from_lane_sosi_arr(7).valid,
+        board_kernel_stream_snk_lane_7_ready        => kernel_from_lane_siso_arr(7).ready,
+
+        board_kernel_stream_snk_rx_monitor_0_data   => kernel_rx_monitor_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_0_valid  => kernel_rx_monitor_sosi_arr(0).valid,
+        board_kernel_stream_snk_rx_monitor_0_ready  => kernel_rx_monitor_siso_arr(0).ready,
+        board_kernel_stream_snk_tx_monitor_0_data   => kernel_tx_monitor_sosi_arr(0).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_0_valid  => kernel_tx_monitor_sosi_arr(0).valid,
+        board_kernel_stream_snk_tx_monitor_0_ready  => kernel_tx_monitor_siso_arr(0).ready,
+
+        board_kernel_stream_snk_rx_monitor_1_data   => kernel_rx_monitor_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_1_valid  => kernel_rx_monitor_sosi_arr(1).valid,
+        board_kernel_stream_snk_rx_monitor_1_ready  => kernel_rx_monitor_siso_arr(1).ready,
+        board_kernel_stream_snk_tx_monitor_1_data   => kernel_tx_monitor_sosi_arr(1).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_1_valid  => kernel_tx_monitor_sosi_arr(1).valid,
+        board_kernel_stream_snk_tx_monitor_1_ready  => kernel_tx_monitor_siso_arr(1).ready,
+
+        board_kernel_stream_snk_rx_monitor_2_data   => kernel_rx_monitor_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_2_valid  => kernel_rx_monitor_sosi_arr(2).valid,
+        board_kernel_stream_snk_rx_monitor_2_ready  => kernel_rx_monitor_siso_arr(2).ready,
+        board_kernel_stream_snk_tx_monitor_2_data   => kernel_tx_monitor_sosi_arr(2).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_2_valid  => kernel_tx_monitor_sosi_arr(2).valid,
+        board_kernel_stream_snk_tx_monitor_2_ready  => kernel_tx_monitor_siso_arr(2).ready,
+
+        board_kernel_stream_snk_rx_monitor_3_data   => kernel_rx_monitor_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_3_valid  => kernel_rx_monitor_sosi_arr(3).valid,
+        board_kernel_stream_snk_rx_monitor_3_ready  => kernel_rx_monitor_siso_arr(3).ready,
+        board_kernel_stream_snk_tx_monitor_3_data   => kernel_tx_monitor_sosi_arr(3).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_3_valid  => kernel_tx_monitor_sosi_arr(3).valid,
+        board_kernel_stream_snk_tx_monitor_3_ready  => kernel_tx_monitor_siso_arr(3).ready,
+
+        board_kernel_stream_snk_rx_monitor_4_data   => kernel_rx_monitor_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_4_valid  => kernel_rx_monitor_sosi_arr(4).valid,
+        board_kernel_stream_snk_rx_monitor_4_ready  => kernel_rx_monitor_siso_arr(4).ready,
+        board_kernel_stream_snk_tx_monitor_4_data   => kernel_tx_monitor_sosi_arr(4).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_4_valid  => kernel_tx_monitor_sosi_arr(4).valid,
+        board_kernel_stream_snk_tx_monitor_4_ready  => kernel_tx_monitor_siso_arr(4).ready,
+
+        board_kernel_stream_snk_rx_monitor_5_data   => kernel_rx_monitor_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_5_valid  => kernel_rx_monitor_sosi_arr(5).valid,
+        board_kernel_stream_snk_rx_monitor_5_ready  => kernel_rx_monitor_siso_arr(5).ready,
+        board_kernel_stream_snk_tx_monitor_5_data   => kernel_tx_monitor_sosi_arr(5).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_5_valid  => kernel_tx_monitor_sosi_arr(5).valid,
+        board_kernel_stream_snk_tx_monitor_5_ready  => kernel_tx_monitor_siso_arr(5).ready,
+
+        board_kernel_stream_snk_rx_monitor_6_data   => kernel_rx_monitor_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_6_valid  => kernel_rx_monitor_sosi_arr(6).valid,
+        board_kernel_stream_snk_rx_monitor_6_ready  => kernel_rx_monitor_siso_arr(6).ready,
+        board_kernel_stream_snk_tx_monitor_6_data   => kernel_tx_monitor_sosi_arr(6).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_6_valid  => kernel_tx_monitor_sosi_arr(6).valid,
+        board_kernel_stream_snk_tx_monitor_6_ready  => kernel_tx_monitor_siso_arr(6).ready,
+
+        board_kernel_stream_snk_rx_monitor_7_data   => kernel_rx_monitor_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_rx_monitor_7_valid  => kernel_rx_monitor_sosi_arr(7).valid,
+        board_kernel_stream_snk_rx_monitor_7_ready  => kernel_rx_monitor_siso_arr(7).ready,
+        board_kernel_stream_snk_tx_monitor_7_data   => kernel_tx_monitor_sosi_arr(7).data(c_kernel_lane_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_snk_tx_monitor_7_valid  => kernel_tx_monitor_sosi_arr(7).valid,
+        board_kernel_stream_snk_tx_monitor_7_ready  => kernel_tx_monitor_siso_arr(7).ready,
+
+        board_kernel_stream_src_bs_data             => kernel_bs_sosi.data(c_kernel_bs_sosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_bs_valid            => kernel_bs_sosi.valid,
+        board_kernel_stream_src_bs_ready            => OPEN,
+
+        board_kernel_stream_src_mm_io_data          => ta2_unb2b_mm_io_src_out.data(c_kernel_mm_io_mosi_channel_w - 1 downto 0),
+        board_kernel_stream_src_mm_io_valid         => ta2_unb2b_mm_io_src_out.valid,
+        board_kernel_stream_src_mm_io_ready         => ta2_unb2b_mm_io_src_in.ready,
+        board_kernel_stream_snk_mm_io_data          => ta2_unb2b_mm_io_snk_in.data(c_kernel_mm_io_miso_channel_w - 1 downto 0),
+        board_kernel_stream_snk_mm_io_valid         => ta2_unb2b_mm_io_snk_in.valid,
+        board_kernel_stream_snk_mm_io_ready         => ta2_unb2b_mm_io_snk_out.ready
+
+      );
 
     i_kernel_rst <= not board_kernel_reset_reset_n;  -- qsys output used to reset all OpenCL BSP components
   end generate;
@@ -1181,346 +1181,346 @@ begin
     board_kernel_clk_clk <= st_clk;
 
     u_mm_file_reg_sdp_info           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, g_sim_node_nr, c_sim_node_type) & "REG_SDP_INFO")
-                                              port map(mm_rst, mm_clk, reg_sdp_info_mosi, reg_sdp_info_miso );
+      port map(mm_rst, mm_clk, reg_sdp_info_mosi, reg_sdp_info_miso );
     u_mm_file_reg_dp_xonoff_bg       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, g_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF_BG")
-                                              port map(mm_rst, mm_clk, reg_dp_xonoff_bg_mosi, reg_dp_xonoff_bg_miso );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_bg_mosi, reg_dp_xonoff_bg_miso );
     u_mm_file_reg_dp_xonoff_from_lane: mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, g_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF_FROM_LANE")
-                                              port map(mm_rst, mm_clk, reg_dp_xonoff_from_lane_mosi, reg_dp_xonoff_from_lane_miso );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_from_lane_mosi, reg_dp_xonoff_from_lane_miso );
     u_mm_file_reg_bsn_monitor_rx     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, g_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX")
-                                                port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_mosi, reg_bsn_monitor_v2_rx_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_mosi, reg_bsn_monitor_v2_rx_miso );
     u_mm_file_reg_bsn_monitor_tx     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, g_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_TX")
-                                                port map(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_mosi, reg_bsn_monitor_v2_tx_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_mosi, reg_bsn_monitor_v2_tx_miso );
     u_mm_file_reg_bg_ctrl            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, g_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_RING")
-                                              port map(mm_rst, mm_clk, reg_bg_ctrl_mosi, reg_bg_ctrl_miso );
+      port map(mm_rst, mm_clk, reg_bg_ctrl_mosi, reg_bg_ctrl_miso );
   end generate;
 
   i_reset_n <= not mm_rst;  -- First reset OpenCL components in qsys (board)
   -- Kernel should start later than BSP. Delaying the reset from the qsys output to form the reset of the OpenCL kernel.
   -- This way it is ensured the OpenCL kernel does not start reading/writing data before the components in the OpenCL BSP are ready.
   u_common_areset : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '0',
-    g_delay_len => 9
-  )
-  port map (
-    in_rst  => i_kernel_rst,
-    clk     => board_kernel_clk_clk,
-    out_rst => board_kernel_reset_reset_n_in
-  );
------------------------------------------------------------------------------
+    generic map (
+      g_rst_level => '0',
+      g_delay_len => 9
+    )
+    port map (
+      in_rst  => i_kernel_rst,
+      clk     => board_kernel_clk_clk,
+      out_rst => board_kernel_reset_reset_n_in
+    );
+  -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl_unb2b_board : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_technology              => g_technology,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2b_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => st_pps,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- RAM scrap
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_technology              => g_technology,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2b_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => st_pps,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- RAM scrap
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- Board qsys
   -----------------------------------------------------------------------------
   gen_board: if g_sim = false generate
-  board_inst : board
-  port map (
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      kernel_clk_clk                            => board_kernel_clk_clk,
-      kernel_clk2x_clk                          => board_kernel_clk2x_clk,
-      kernel_reset_reset_n                      => board_kernel_reset_reset_n,
-
-      kernel_interface_sw_reset_in_reset        => mm_rst,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 4 downto 0),  -- temp fix
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 2 downto 0),  -- temp fix
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_address_export      => reg_bsn_monitor_v2_rx_mosi.address(9 downto 0),
-      reg_bsn_monitor_v2_rx_read_export         => reg_bsn_monitor_v2_rx_mosi.rd,
-      reg_bsn_monitor_v2_rx_readdata_export     => reg_bsn_monitor_v2_rx_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_write_export        => reg_bsn_monitor_v2_rx_mosi.wr,
-      reg_bsn_monitor_v2_rx_writedata_export    => reg_bsn_monitor_v2_rx_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_tx_address_export      => reg_bsn_monitor_v2_tx_mosi.address(9 downto 0),
-      reg_bsn_monitor_v2_tx_read_export         => reg_bsn_monitor_v2_tx_mosi.rd,
-      reg_bsn_monitor_v2_tx_readdata_export     => reg_bsn_monitor_v2_tx_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_tx_write_export        => reg_bsn_monitor_v2_tx_mosi.wr,
-      reg_bsn_monitor_v2_tx_writedata_export    => reg_bsn_monitor_v2_tx_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_ring_address_export           => ram_bg_data_mosi.address(9 downto 0),
-      ram_diag_bg_ring_read_export              => ram_bg_data_mosi.rd,
-      ram_diag_bg_ring_readdata_export          => ram_bg_data_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_ring_write_export             => ram_bg_data_mosi.wr,
-      ram_diag_bg_ring_writedata_export         => ram_bg_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_ring_address_export           => reg_bg_ctrl_mosi.address(2 downto 0),
-      reg_diag_bg_ring_read_export              => reg_bg_ctrl_mosi.rd,
-      reg_diag_bg_ring_readdata_export          => reg_bg_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_ring_write_export             => reg_bg_ctrl_mosi.wr,
-      reg_diag_bg_ring_writedata_export         => reg_bg_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_bg_address_export           => reg_dp_xonoff_bg_mosi.address(2 downto 0),
-      reg_dp_xonoff_bg_read_export              => reg_dp_xonoff_bg_mosi.rd,
-      reg_dp_xonoff_bg_readdata_export          => reg_dp_xonoff_bg_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_bg_write_export             => reg_dp_xonoff_bg_mosi.wr,
-      reg_dp_xonoff_bg_writedata_export         => reg_dp_xonoff_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_dp_xonoff_from_lane_address_export    => reg_dp_xonoff_from_lane_mosi.address(2 downto 0),
-      reg_dp_xonoff_from_lane_read_export       => reg_dp_xonoff_from_lane_mosi.rd,
-      reg_dp_xonoff_from_lane_readdata_export   => reg_dp_xonoff_from_lane_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_from_lane_write_export      => reg_dp_xonoff_from_lane_mosi.wr,
-      reg_dp_xonoff_from_lane_writedata_export  => reg_dp_xonoff_from_lane_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_sdp_info_address_export               => reg_sdp_info_mosi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
-      reg_sdp_info_write_export                 => reg_sdp_info_mosi.wr,
-      reg_sdp_info_writedata_export             => reg_sdp_info_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_sdp_info_read_export                  => reg_sdp_info_mosi.rd,
-      reg_sdp_info_readdata_export              => reg_sdp_info_miso.rddata(c_word_w - 1 downto 0),
-
-      kernel_cra_waitrequest                    => board_kernel_cra_waitrequest,
-      kernel_cra_readdata                       => board_kernel_cra_readdata,
-      kernel_cra_readdatavalid                  => board_kernel_cra_readdatavalid,
-      kernel_cra_burstcount                     => board_kernel_cra_burstcount,
-      kernel_cra_writedata                      => board_kernel_cra_writedata,
-      kernel_cra_address                        => board_kernel_cra_address,
-      kernel_cra_write                          => board_kernel_cra_write,
-      kernel_cra_read                           => board_kernel_cra_read,
-      kernel_cra_byteenable                     => board_kernel_cra_byteenable,
-      kernel_cra_debugaccess                    => board_kernel_cra_debugaccess,
-
-      kernel_irq_irq                            => board_kernel_irq_irq,
-
-      reg_ta2_unb2b_mm_io_address_export        => reg_ta2_unb2b_mm_io_mosi.address(c_kernel_regmap_addr_w - 1 downto 0),
-      reg_ta2_unb2b_mm_io_read_export           => reg_ta2_unb2b_mm_io_mosi.rd,
-      reg_ta2_unb2b_mm_io_readdata_export       => reg_ta2_unb2b_mm_io_miso.rddata(c_word_w - 1 downto 0),
-      reg_ta2_unb2b_mm_io_write_export          => reg_ta2_unb2b_mm_io_mosi.wr,
-      reg_ta2_unb2b_mm_io_writedata_export      => reg_ta2_unb2b_mm_io_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_ta2_unb2b_mm_io_waitrequest_export    => reg_ta2_unb2b_mm_io_miso.waitrequest
-  );
+    board_inst : board
+      port map (
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        kernel_clk_clk                            => board_kernel_clk_clk,
+        kernel_clk2x_clk                          => board_kernel_clk2x_clk,
+        kernel_reset_reset_n                      => board_kernel_reset_reset_n,
+
+        kernel_interface_sw_reset_in_reset        => mm_rst,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 4 downto 0),  -- temp fix
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 2 downto 0),  -- temp fix
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_address_export      => reg_bsn_monitor_v2_rx_mosi.address(9 downto 0),
+        reg_bsn_monitor_v2_rx_read_export         => reg_bsn_monitor_v2_rx_mosi.rd,
+        reg_bsn_monitor_v2_rx_readdata_export     => reg_bsn_monitor_v2_rx_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_write_export        => reg_bsn_monitor_v2_rx_mosi.wr,
+        reg_bsn_monitor_v2_rx_writedata_export    => reg_bsn_monitor_v2_rx_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_tx_address_export      => reg_bsn_monitor_v2_tx_mosi.address(9 downto 0),
+        reg_bsn_monitor_v2_tx_read_export         => reg_bsn_monitor_v2_tx_mosi.rd,
+        reg_bsn_monitor_v2_tx_readdata_export     => reg_bsn_monitor_v2_tx_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_tx_write_export        => reg_bsn_monitor_v2_tx_mosi.wr,
+        reg_bsn_monitor_v2_tx_writedata_export    => reg_bsn_monitor_v2_tx_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_ring_address_export           => ram_bg_data_mosi.address(9 downto 0),
+        ram_diag_bg_ring_read_export              => ram_bg_data_mosi.rd,
+        ram_diag_bg_ring_readdata_export          => ram_bg_data_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_ring_write_export             => ram_bg_data_mosi.wr,
+        ram_diag_bg_ring_writedata_export         => ram_bg_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_ring_address_export           => reg_bg_ctrl_mosi.address(2 downto 0),
+        reg_diag_bg_ring_read_export              => reg_bg_ctrl_mosi.rd,
+        reg_diag_bg_ring_readdata_export          => reg_bg_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_ring_write_export             => reg_bg_ctrl_mosi.wr,
+        reg_diag_bg_ring_writedata_export         => reg_bg_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_bg_address_export           => reg_dp_xonoff_bg_mosi.address(2 downto 0),
+        reg_dp_xonoff_bg_read_export              => reg_dp_xonoff_bg_mosi.rd,
+        reg_dp_xonoff_bg_readdata_export          => reg_dp_xonoff_bg_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_bg_write_export             => reg_dp_xonoff_bg_mosi.wr,
+        reg_dp_xonoff_bg_writedata_export         => reg_dp_xonoff_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_dp_xonoff_from_lane_address_export    => reg_dp_xonoff_from_lane_mosi.address(2 downto 0),
+        reg_dp_xonoff_from_lane_read_export       => reg_dp_xonoff_from_lane_mosi.rd,
+        reg_dp_xonoff_from_lane_readdata_export   => reg_dp_xonoff_from_lane_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_from_lane_write_export      => reg_dp_xonoff_from_lane_mosi.wr,
+        reg_dp_xonoff_from_lane_writedata_export  => reg_dp_xonoff_from_lane_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_sdp_info_address_export               => reg_sdp_info_mosi.address(c_sdp_reg_sdp_info_addr_w - 1 downto 0),
+        reg_sdp_info_write_export                 => reg_sdp_info_mosi.wr,
+        reg_sdp_info_writedata_export             => reg_sdp_info_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_sdp_info_read_export                  => reg_sdp_info_mosi.rd,
+        reg_sdp_info_readdata_export              => reg_sdp_info_miso.rddata(c_word_w - 1 downto 0),
+
+        kernel_cra_waitrequest                    => board_kernel_cra_waitrequest,
+        kernel_cra_readdata                       => board_kernel_cra_readdata,
+        kernel_cra_readdatavalid                  => board_kernel_cra_readdatavalid,
+        kernel_cra_burstcount                     => board_kernel_cra_burstcount,
+        kernel_cra_writedata                      => board_kernel_cra_writedata,
+        kernel_cra_address                        => board_kernel_cra_address,
+        kernel_cra_write                          => board_kernel_cra_write,
+        kernel_cra_read                           => board_kernel_cra_read,
+        kernel_cra_byteenable                     => board_kernel_cra_byteenable,
+        kernel_cra_debugaccess                    => board_kernel_cra_debugaccess,
+
+        kernel_irq_irq                            => board_kernel_irq_irq,
+
+        reg_ta2_unb2b_mm_io_address_export        => reg_ta2_unb2b_mm_io_mosi.address(c_kernel_regmap_addr_w - 1 downto 0),
+        reg_ta2_unb2b_mm_io_read_export           => reg_ta2_unb2b_mm_io_mosi.rd,
+        reg_ta2_unb2b_mm_io_readdata_export       => reg_ta2_unb2b_mm_io_miso.rddata(c_word_w - 1 downto 0),
+        reg_ta2_unb2b_mm_io_write_export          => reg_ta2_unb2b_mm_io_mosi.wr,
+        reg_ta2_unb2b_mm_io_writedata_export      => reg_ta2_unb2b_mm_io_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_ta2_unb2b_mm_io_waitrequest_export    => reg_ta2_unb2b_mm_io_miso.waitrequest
+      );
   end generate;
 end str;
 
diff --git a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top_components_pkg.vhd b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top_components_pkg.vhd
index 7300ceb6ee..3261ad722e 100644
--- a/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top_components_pkg.vhd
+++ b/applications/ta2/bsp/hardware/lofar2_unb2b_ring_bsp/top_components_pkg.vhd
@@ -25,213 +25,213 @@
 -- . Contains components instantiated by top.vhd
 -- --------------------------------------------------------------------------
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package top_components_pkg is
 
-    component board is
-        port (
-            avs_eth_0_clk_export                     : out std_logic;  -- export
-            avs_eth_0_irq_export                     : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export             : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export               : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export             : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export               : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                   : out std_logic;  -- export
-            avs_eth_0_tse_address_export             : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export         : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export               : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                  : in  std_logic                     := 'X';  -- clk
-            reset_reset_n                            : in  std_logic                     := 'X';  -- reset_n
-            kernel_clk_clk                           : out std_logic;  -- clk
-            kernel_reset_reset_n                     : out std_logic;  -- reset_n
-            kernel_clk2x_clk                         : out std_logic;  -- clk
-            kernel_cra_waitrequest                   : in  std_logic                     := 'X';  -- waitrequest
-            kernel_cra_readdata                      : in  std_logic_vector(63 downto 0) := (others => 'X');  -- readdata
-            kernel_cra_readdatavalid                 : in  std_logic                     := 'X';  -- readdatavalid
-            kernel_cra_burstcount                    : out std_logic_vector(0 downto 0);  -- burstcount
-            kernel_cra_writedata                     : out std_logic_vector(63 downto 0);  -- writedata
-            kernel_cra_address                       : out std_logic_vector(29 downto 0);  -- address
-            kernel_cra_write                         : out std_logic;  -- write
-            kernel_cra_read                          : out std_logic;  -- read
-            kernel_cra_byteenable                    : out std_logic_vector(7 downto 0);  -- byteenable
-            kernel_cra_debugaccess                   : out std_logic;  -- debugaccess
-            kernel_irq_irq                           : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- irq
-            kernel_interface_sw_reset_in_reset       : in  std_logic                     := 'X';  -- reset
-            pio_pps_address_export                   : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                       : out std_logic;  -- export
-            pio_pps_read_export                      : out std_logic;  -- export
-            pio_pps_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                     : out std_logic;  -- export
-            pio_pps_write_export                     : out std_logic;  -- export
-            pio_pps_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export           : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export               : out std_logic;  -- export
-            pio_system_info_read_export              : out std_logic;  -- export
-            pio_system_info_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export             : out std_logic;  -- export
-            pio_system_info_write_export             : out std_logic;  -- export
-            pio_system_info_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export       : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_reset_export       : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_clk_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_address_export     : out std_logic_vector(9 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_write_export       : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_read_export        : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_tx_reset_export       : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_clk_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_address_export     : out std_logic_vector(9 downto 0);  -- export
-            reg_bsn_monitor_v2_tx_write_export       : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_tx_read_export        : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_ring_reset_export            : out std_logic;  -- export
-            ram_diag_bg_ring_clk_export              : out std_logic;  -- export
-            ram_diag_bg_ring_address_export          : out std_logic_vector(9 downto 0);  -- export
-            ram_diag_bg_ring_write_export            : out std_logic;  -- export
-            ram_diag_bg_ring_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_bg_ring_read_export             : out std_logic;  -- export
-            ram_diag_bg_ring_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                   : out std_logic;  -- export
-            ram_scrap_clk_export                     : out std_logic;  -- export
-            ram_scrap_address_export                 : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_write_export                   : out std_logic;  -- export
-            ram_scrap_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_read_export                    : out std_logic;  -- export
-            ram_scrap_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_ring_reset_export            : out std_logic;  -- export
-            reg_diag_bg_ring_clk_export              : out std_logic;  -- export
-            reg_diag_bg_ring_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_ring_write_export            : out std_logic;  -- export
-            reg_diag_bg_ring_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_ring_read_export             : out std_logic;  -- export
-            reg_diag_bg_ring_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_bg_reset_export            : out std_logic;  -- export
-            reg_dp_xonoff_bg_clk_export              : out std_logic;  -- export
-            reg_dp_xonoff_bg_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_dp_xonoff_bg_write_export            : out std_logic;  -- export
-            reg_dp_xonoff_bg_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_bg_read_export             : out std_logic;  -- export
-            reg_dp_xonoff_bg_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_xonoff_from_lane_reset_export     : out std_logic;  -- export
-            reg_dp_xonoff_from_lane_clk_export       : out std_logic;  -- export
-            reg_dp_xonoff_from_lane_address_export   : out std_logic_vector(2 downto 0);  -- export
-            reg_dp_xonoff_from_lane_write_export     : out std_logic;  -- export
-            reg_dp_xonoff_from_lane_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_xonoff_from_lane_read_export      : out std_logic;  -- export
-            reg_dp_xonoff_from_lane_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_address_export              : out std_logic_vector(3 downto 0);  -- export
-            reg_sdp_info_clk_export                  : out std_logic;  -- export
-            reg_sdp_info_read_export                 : out std_logic;  -- export
-            reg_sdp_info_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_sdp_info_reset_export                : out std_logic;  -- export
-            reg_sdp_info_write_export                : out std_logic;  -- export
-            reg_sdp_info_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                 : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                 : out std_logic;  -- export
-            reg_dpmm_data_read_export                : out std_logic;  -- export
-            reg_dpmm_data_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export               : out std_logic;  -- export
-            reg_dpmm_data_write_export               : out std_logic;  -- export
-            reg_dpmm_data_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                  : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                      : out std_logic;  -- export
-            reg_epcs_read_export                     : out std_logic;  -- export
-            reg_epcs_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                    : out std_logic;  -- export
-            reg_epcs_write_export                    : out std_logic;  -- export
-            reg_epcs_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export        : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export            : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export           : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export     : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export         : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export        : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                 : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                 : out std_logic;  -- export
-            reg_mmdp_data_read_export                : out std_logic;  -- export
-            reg_mmdp_data_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export               : out std_logic;  -- export
-            reg_mmdp_data_write_export               : out std_logic;  -- export
-            reg_mmdp_data_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                  : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                      : out std_logic;  -- export
-            reg_remu_read_export                     : out std_logic;  -- export
-            reg_remu_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                    : out std_logic;  -- export
-            reg_remu_write_export                    : out std_logic;  -- export
-            reg_remu_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_ta2_unb2b_mm_io_reset_export         : out std_logic;  -- export
-            reg_ta2_unb2b_mm_io_clk_export           : out std_logic;  -- export
-            reg_ta2_unb2b_mm_io_address_export       : out std_logic_vector(7 downto 0);  -- export
-            reg_ta2_unb2b_mm_io_write_export         : out std_logic;  -- export
-            reg_ta2_unb2b_mm_io_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_ta2_unb2b_mm_io_read_export          : out std_logic;  -- export
-            reg_ta2_unb2b_mm_io_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_ta2_unb2b_mm_io_waitrequest_export   : in  std_logic                     := 'X';  -- export
-            reg_unb_pmbus_address_export             : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                 : out std_logic;  -- export
-            reg_unb_pmbus_read_export                : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export               : out std_logic;  -- export
-            reg_unb_pmbus_write_export               : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export              : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                  : out std_logic;  -- export
-            reg_unb_sens_read_export                 : out std_logic;  -- export
-            reg_unb_sens_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export                : out std_logic;  -- export
-            reg_unb_sens_write_export                : out std_logic;  -- export
-            reg_unb_sens_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                   : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                       : out std_logic;  -- export
-            reg_wdi_read_export                      : out std_logic;  -- export
-            reg_wdi_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                     : out std_logic;  -- export
-            reg_wdi_write_export                     : out std_logic;  -- export
-            reg_wdi_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            rom_system_info_address_export           : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export               : out std_logic;  -- export
-            rom_system_info_read_export              : out std_logic;  -- export
-            rom_system_info_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export             : out std_logic;  -- export
-            rom_system_info_write_export             : out std_logic;  -- export
-            rom_system_info_writedata_export         : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component board;
+  component board is
+    port (
+      avs_eth_0_clk_export                     : out std_logic;  -- export
+      avs_eth_0_irq_export                     : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export             : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export               : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export             : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export               : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                   : out std_logic;  -- export
+      avs_eth_0_tse_address_export             : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export         : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export               : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                  : in  std_logic                     := 'X';  -- clk
+      reset_reset_n                            : in  std_logic                     := 'X';  -- reset_n
+      kernel_clk_clk                           : out std_logic;  -- clk
+      kernel_reset_reset_n                     : out std_logic;  -- reset_n
+      kernel_clk2x_clk                         : out std_logic;  -- clk
+      kernel_cra_waitrequest                   : in  std_logic                     := 'X';  -- waitrequest
+      kernel_cra_readdata                      : in  std_logic_vector(63 downto 0) := (others => 'X');  -- readdata
+      kernel_cra_readdatavalid                 : in  std_logic                     := 'X';  -- readdatavalid
+      kernel_cra_burstcount                    : out std_logic_vector(0 downto 0);  -- burstcount
+      kernel_cra_writedata                     : out std_logic_vector(63 downto 0);  -- writedata
+      kernel_cra_address                       : out std_logic_vector(29 downto 0);  -- address
+      kernel_cra_write                         : out std_logic;  -- write
+      kernel_cra_read                          : out std_logic;  -- read
+      kernel_cra_byteenable                    : out std_logic_vector(7 downto 0);  -- byteenable
+      kernel_cra_debugaccess                   : out std_logic;  -- debugaccess
+      kernel_irq_irq                           : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- irq
+      kernel_interface_sw_reset_in_reset       : in  std_logic                     := 'X';  -- reset
+      pio_pps_address_export                   : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                       : out std_logic;  -- export
+      pio_pps_read_export                      : out std_logic;  -- export
+      pio_pps_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                     : out std_logic;  -- export
+      pio_pps_write_export                     : out std_logic;  -- export
+      pio_pps_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export           : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export               : out std_logic;  -- export
+      pio_system_info_read_export              : out std_logic;  -- export
+      pio_system_info_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export             : out std_logic;  -- export
+      pio_system_info_write_export             : out std_logic;  -- export
+      pio_system_info_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export       : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_reset_export       : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_clk_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_address_export     : out std_logic_vector(9 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_write_export       : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_read_export        : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_tx_reset_export       : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_clk_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_address_export     : out std_logic_vector(9 downto 0);  -- export
+      reg_bsn_monitor_v2_tx_write_export       : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_tx_read_export        : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_ring_reset_export            : out std_logic;  -- export
+      ram_diag_bg_ring_clk_export              : out std_logic;  -- export
+      ram_diag_bg_ring_address_export          : out std_logic_vector(9 downto 0);  -- export
+      ram_diag_bg_ring_write_export            : out std_logic;  -- export
+      ram_diag_bg_ring_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_bg_ring_read_export             : out std_logic;  -- export
+      ram_diag_bg_ring_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                   : out std_logic;  -- export
+      ram_scrap_clk_export                     : out std_logic;  -- export
+      ram_scrap_address_export                 : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_write_export                   : out std_logic;  -- export
+      ram_scrap_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_read_export                    : out std_logic;  -- export
+      ram_scrap_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_ring_reset_export            : out std_logic;  -- export
+      reg_diag_bg_ring_clk_export              : out std_logic;  -- export
+      reg_diag_bg_ring_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_ring_write_export            : out std_logic;  -- export
+      reg_diag_bg_ring_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_ring_read_export             : out std_logic;  -- export
+      reg_diag_bg_ring_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_bg_reset_export            : out std_logic;  -- export
+      reg_dp_xonoff_bg_clk_export              : out std_logic;  -- export
+      reg_dp_xonoff_bg_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_dp_xonoff_bg_write_export            : out std_logic;  -- export
+      reg_dp_xonoff_bg_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_bg_read_export             : out std_logic;  -- export
+      reg_dp_xonoff_bg_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_xonoff_from_lane_reset_export     : out std_logic;  -- export
+      reg_dp_xonoff_from_lane_clk_export       : out std_logic;  -- export
+      reg_dp_xonoff_from_lane_address_export   : out std_logic_vector(2 downto 0);  -- export
+      reg_dp_xonoff_from_lane_write_export     : out std_logic;  -- export
+      reg_dp_xonoff_from_lane_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_xonoff_from_lane_read_export      : out std_logic;  -- export
+      reg_dp_xonoff_from_lane_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_address_export              : out std_logic_vector(3 downto 0);  -- export
+      reg_sdp_info_clk_export                  : out std_logic;  -- export
+      reg_sdp_info_read_export                 : out std_logic;  -- export
+      reg_sdp_info_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_sdp_info_reset_export                : out std_logic;  -- export
+      reg_sdp_info_write_export                : out std_logic;  -- export
+      reg_sdp_info_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                 : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                 : out std_logic;  -- export
+      reg_dpmm_data_read_export                : out std_logic;  -- export
+      reg_dpmm_data_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export               : out std_logic;  -- export
+      reg_dpmm_data_write_export               : out std_logic;  -- export
+      reg_dpmm_data_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                  : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                      : out std_logic;  -- export
+      reg_epcs_read_export                     : out std_logic;  -- export
+      reg_epcs_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                    : out std_logic;  -- export
+      reg_epcs_write_export                    : out std_logic;  -- export
+      reg_epcs_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export        : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export            : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export           : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export     : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export         : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export        : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                 : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                 : out std_logic;  -- export
+      reg_mmdp_data_read_export                : out std_logic;  -- export
+      reg_mmdp_data_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export               : out std_logic;  -- export
+      reg_mmdp_data_write_export               : out std_logic;  -- export
+      reg_mmdp_data_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                  : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                      : out std_logic;  -- export
+      reg_remu_read_export                     : out std_logic;  -- export
+      reg_remu_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                    : out std_logic;  -- export
+      reg_remu_write_export                    : out std_logic;  -- export
+      reg_remu_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_ta2_unb2b_mm_io_reset_export         : out std_logic;  -- export
+      reg_ta2_unb2b_mm_io_clk_export           : out std_logic;  -- export
+      reg_ta2_unb2b_mm_io_address_export       : out std_logic_vector(7 downto 0);  -- export
+      reg_ta2_unb2b_mm_io_write_export         : out std_logic;  -- export
+      reg_ta2_unb2b_mm_io_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_ta2_unb2b_mm_io_read_export          : out std_logic;  -- export
+      reg_ta2_unb2b_mm_io_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_ta2_unb2b_mm_io_waitrequest_export   : in  std_logic                     := 'X';  -- export
+      reg_unb_pmbus_address_export             : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                 : out std_logic;  -- export
+      reg_unb_pmbus_read_export                : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export               : out std_logic;  -- export
+      reg_unb_pmbus_write_export               : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export              : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                  : out std_logic;  -- export
+      reg_unb_sens_read_export                 : out std_logic;  -- export
+      reg_unb_sens_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export                : out std_logic;  -- export
+      reg_unb_sens_write_export                : out std_logic;  -- export
+      reg_unb_sens_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                   : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                       : out std_logic;  -- export
+      reg_wdi_read_export                      : out std_logic;  -- export
+      reg_wdi_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                     : out std_logic;  -- export
+      reg_wdi_write_export                     : out std_logic;  -- export
+      reg_wdi_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      rom_system_info_address_export           : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export               : out std_logic;  -- export
+      rom_system_info_read_export              : out std_logic;  -- export
+      rom_system_info_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export             : out std_logic;  -- export
+      rom_system_info_write_export             : out std_logic;  -- export
+      rom_system_info_writedata_export         : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component board;
 
   component freeze_wrapper is
     port (
@@ -465,7 +465,7 @@ package top_components_pkg is
       board_kernel_stream_snk_mm_io_data   : out std_logic_vector(31 downto 0);
       board_kernel_stream_snk_mm_io_valid  : out std_logic;
       board_kernel_stream_snk_mm_io_ready  : out std_logic
-   );
+    );
   end component freeze_wrapper;
 
 end top_components_pkg;
diff --git a/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd b/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd
index f747878245..4bb47a80bb 100644
--- a/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd
+++ b/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd
@@ -32,17 +32,17 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, dp_lib, tech_ddr_lib, ta2_unb2b_40GbE_lib, ta2_unb2b_10gbe_lib, ta2_unb2b_1gbe_lib, ta2_unb2b_mm_io_lib, ta2_unb2b_jesd204b_lib ;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use tech_ddr_lib.tech_ddr_component_pkg.all;
-use work.top_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use tech_ddr_lib.tech_ddr_component_pkg.all;
+  use work.top_components_pkg.all;
 
 entity top is
   generic (
@@ -104,7 +104,7 @@ entity top is
     RING_1_RX    : in    std_logic_vector(c_unb2b_board_tr_ring.bus_w - 1 downto 0) := (others => '0');
     RING_1_TX    : out   std_logic_vector(c_unb2b_board_tr_ring.bus_w - 1 downto 0);
 
-     -- back transceivers
+    -- back transceivers
     BCK_RX       : in    std_logic_vector(0 downto 0);
     BCK_REF_CLK  : in    std_logic;  -- Use as JESD204B_REFCLK
 
@@ -304,10 +304,10 @@ architecture str of top is
   signal board_kernel_mem0_read                       :  std_logic;  -- := 'X';             -- write
   signal board_kernel_mem0_byteenable                 :  std_logic_vector(63 downto 0);  -- := (others => 'X'); -- byteenable
   signal board_kernel_mem0_debugaccess                :  std_logic;  -- := 'X';             -- write
---  SIGNAL amm_readdata                   :  std_logic_vector(575 downto 0);                    -- readdata
---  SIGNAL amm_burstcount                 :  std_logic_vector(6 downto 0); --  := (others => 'X'); -- address
---  SIGNAL amm_writedata                  :  std_logic_vector(575 downto 0); --  := (others => 'X'); -- address
---  SIGNAL amm_byteenable                 :  std_logic_vector(71 downto 0); --  := (others => 'X'); -- byteenable
+  --  SIGNAL amm_readdata                   :  std_logic_vector(575 downto 0);                    -- readdata
+  --  SIGNAL amm_burstcount                 :  std_logic_vector(6 downto 0); --  := (others => 'X'); -- address
+  --  SIGNAL amm_writedata                  :  std_logic_vector(575 downto 0); --  := (others => 'X'); -- address
+  --  SIGNAL amm_byteenable                 :  std_logic_vector(71 downto 0); --  := (others => 'X'); -- byteenable
 
   signal board_kernel_register_mem_address            : std_logic_vector(6 downto 0);  -- := (others => 'X'); -- address
   signal board_kernel_register_mem_clken              : std_logic;  -- := 'X';             -- clken
@@ -360,21 +360,21 @@ begin
   QSFP_1_TX <= i_QSFP_TX(1);
 
   u_unb2b_board_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_nof_qsfp_bus
-  )
-  port map (
-    serial_tx_arr => unb2b_board_front_io_serial_tx_arr,
-    serial_rx_arr => unb2b_board_front_io_serial_rx_arr,
+    generic map (
+      g_nof_qsfp_bus => c_nof_qsfp_bus
+    )
+    port map (
+      serial_tx_arr => unb2b_board_front_io_serial_tx_arr,
+      serial_rx_arr => unb2b_board_front_io_serial_rx_arr,
 
-    --green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
-    --red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
+      --green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
+      --red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0),
 
-    QSFP_RX    => i_QSFP_RX,
-    QSFP_TX    => i_QSFP_TX  -- ,
+      QSFP_RX    => i_QSFP_RX,
+      QSFP_TX    => i_QSFP_TX  -- ,
 
     --QSFP_LED   => QSFP_LED
-  );
+    );
 
   ------------------------
   -- qsfp LEDs controller
@@ -386,21 +386,21 @@ begin
   unb2b_board_qsfp_leds_tx_src_in_arr(12).xon <= ta2_unb2b_40GbE_snk_out_arr(2).xon;
 
   u_unb2b_board_qsfp_leds : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim             => g_sim,
-    g_factory_image   => g_factory_image,
-    g_nof_qsfp        => c_nof_qsfp_bus + c_nof_ring_bus,
-    g_pulse_us        => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => mm_rst,
-    clk               => mm_clk,
-
-    tx_siso_arr       => unb2b_board_qsfp_leds_tx_src_in_arr,
-
-    green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus + c_nof_ring_bus - 1 downto 0),
-    red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus + c_nof_ring_bus - 1 downto 0)
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_factory_image   => g_factory_image,
+      g_nof_qsfp        => c_nof_qsfp_bus + c_nof_ring_bus,
+      g_pulse_us        => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => mm_rst,
+      clk               => mm_clk,
+
+      tx_siso_arr       => unb2b_board_qsfp_leds_tx_src_in_arr,
+
+      green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus + c_nof_ring_bus - 1 downto 0),
+      red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus + c_nof_ring_bus - 1 downto 0)
+    );
 
   gen_leds : for i in 0 to c_nof_qsfp_bus + c_nof_ring_bus - 1 generate
     QSFP_LED(i * 2)   <=  qsfp_green_led_arr(i);
@@ -418,15 +418,15 @@ begin
   RING_1_TX <= i_RING_TX(1);
 
   u_ring_io : entity unb2b_board_lib.unb2b_board_ring_io
-  generic map (
-    g_nof_ring_bus => c_nof_ring_bus
-  )
-  port map (
-    serial_tx_arr => unb2b_board_ring_io_serial_tx_arr,
-    serial_rx_arr => unb2b_board_ring_io_serial_rx_arr,
-    RING_RX => i_RING_RX,
-    RING_TX => i_RING_TX
-  );
+    generic map (
+      g_nof_ring_bus => c_nof_ring_bus
+    )
+    port map (
+      serial_tx_arr => unb2b_board_ring_io_serial_tx_arr,
+      serial_rx_arr => unb2b_board_ring_io_serial_rx_arr,
+      RING_RX => i_RING_RX,
+      RING_TX => i_RING_TX
+    );
 
   ---------
   -- 40GbE
@@ -444,26 +444,26 @@ begin
   ta2_unb2b_40GbE_rx_serial_r(11 downto 8) <= unb2b_board_ring_io_serial_rx_arr(3 + c_ring_bus_w downto c_ring_bus_w);
 
   u_ta2_unb2b_40GbE : entity ta2_unb2b_40GbE_lib.ta2_unb2b_40GbE
-  generic map (
-    g_nof_mac => c_nof_40GbE_IP
-  )
-  port map (
-    mm_clk       => mm_clk,
-    mm_rst       => mm_rst,
+    generic map (
+      g_nof_mac => c_nof_40GbE_IP
+    )
+    port map (
+      mm_clk       => mm_clk,
+      mm_rst       => mm_rst,
 
-    clk_ref_r    => SA_CLK,
+      clk_ref_r    => SA_CLK,
 
-    tx_serial_r  => ta2_unb2b_40GbE_tx_serial_r,
-    rx_serial_r  => ta2_unb2b_40GbE_rx_serial_r,
+      tx_serial_r  => ta2_unb2b_40GbE_tx_serial_r,
+      rx_serial_r  => ta2_unb2b_40GbE_rx_serial_r,
 
-    kernel_clk   => board_kernel_clk_clk,
-    kernel_reset => i_kernel_rst,
+      kernel_clk   => board_kernel_clk_clk,
+      kernel_reset => i_kernel_rst,
 
-    src_out_arr  => ta2_unb2b_40GbE_src_out_arr,
-    src_in_arr   => ta2_unb2b_40GbE_src_in_arr,
-    snk_out_arr  => ta2_unb2b_40GbE_snk_out_arr,
-    snk_in_arr   => ta2_unb2b_40GbE_snk_in_arr
-  );
+      src_out_arr  => ta2_unb2b_40GbE_src_out_arr,
+      src_in_arr   => ta2_unb2b_40GbE_src_in_arr,
+      snk_out_arr  => ta2_unb2b_40GbE_snk_out_arr,
+      snk_in_arr   => ta2_unb2b_40GbE_snk_in_arr
+    );
 
   ----------
   -- 10GbE
@@ -474,103 +474,103 @@ begin
 
 
   u_ta2_unb2b_10GbE : entity ta2_unb2b_10GbE_lib.ta2_unb2b_10GbE
-  generic map (
-    g_nof_mac => c_nof_10GbE_IP
-  )
-  port map (
-    mm_clk           => '0',  -- mm_clk,
-    mm_rst           => mm_rst,
+    generic map (
+      g_nof_mac => c_nof_10GbE_IP
+    )
+    port map (
+      mm_clk           => '0',  -- mm_clk,
+      mm_rst           => mm_rst,
 
-    clk_ref_r        => SA_CLK,
+      clk_ref_r        => SA_CLK,
 
-    tx_serial_r  => ta2_unb2b_10GbE_tx_serial_r,
-    rx_serial_r  => ta2_unb2b_10GbE_rx_serial_r,
+      tx_serial_r  => ta2_unb2b_10GbE_tx_serial_r,
+      rx_serial_r  => ta2_unb2b_10GbE_rx_serial_r,
 
-    kernel_clk   => board_kernel_clk_clk,
-    kernel_reset => i_kernel_rst,
+      kernel_clk   => board_kernel_clk_clk,
+      kernel_reset => i_kernel_rst,
 
-    src_out_arr  => ta2_unb2b_10GbE_src_out_arr,
-    src_in_arr   => ta2_unb2b_10GbE_src_in_arr,
-    snk_out_arr  => ta2_unb2b_10GbE_snk_out_arr,
-    snk_in_arr   => ta2_unb2b_10GbE_snk_in_arr
-  );
+      src_out_arr  => ta2_unb2b_10GbE_src_out_arr,
+      src_in_arr   => ta2_unb2b_10GbE_src_in_arr,
+      snk_out_arr  => ta2_unb2b_10GbE_snk_out_arr,
+      snk_in_arr   => ta2_unb2b_10GbE_snk_in_arr
+    );
 
 
   -----------------------------
   -- 1GbE Monitoring & Control
   -----------------------------
   u_ta2_unb2b_1GbE : entity ta2_unb2b_1GbE_lib.ta2_unb2b_1GbE
-  port map (
-    st_clk           => st_clk,
-    st_rst           => st_rst,
-
-    udp_tx_sosi      => eth1g_udp_tx_sosi_arr(0),
-    udp_tx_siso      => eth1g_udp_tx_siso_arr(0),
-    udp_rx_sosi      => eth1g_udp_rx_sosi_arr(0),
-    udp_rx_siso      => eth1g_udp_rx_siso_arr(0),
-
-    kernel_clk       => board_kernel_clk_clk,
-    kernel_reset     => i_kernel_rst,
-
-    src_out          => ta2_unb2b_1GbE_src_out,
-    src_in           => ta2_unb2b_1GbE_src_in,
-    snk_out          => ta2_unb2b_1GbE_snk_out,
-    snk_in           => ta2_unb2b_1GbE_snk_in
-  );
+    port map (
+      st_clk           => st_clk,
+      st_rst           => st_rst,
+
+      udp_tx_sosi      => eth1g_udp_tx_sosi_arr(0),
+      udp_tx_siso      => eth1g_udp_tx_siso_arr(0),
+      udp_rx_sosi      => eth1g_udp_rx_sosi_arr(0),
+      udp_rx_siso      => eth1g_udp_rx_siso_arr(0),
+
+      kernel_clk       => board_kernel_clk_clk,
+      kernel_reset     => i_kernel_rst,
+
+      src_out          => ta2_unb2b_1GbE_src_out,
+      src_in           => ta2_unb2b_1GbE_src_in,
+      snk_out          => ta2_unb2b_1GbE_snk_out,
+      snk_in           => ta2_unb2b_1GbE_snk_in
+    );
 
 
   --------------------------------------
   -- Monitoring & Control UNB protocol
   --------------------------------------
   u_ta2_unb2b_mm_io : entity ta2_unb2b_mm_io_lib.ta2_unb2b_mm_io
-  generic map(
-    g_use_opencl => true
-  )
-  port map (
-    mm_clk        =>  mm_clk,
-    mm_rst        =>  mm_rst,
+    generic map(
+      g_use_opencl => true
+    )
+    port map (
+      mm_clk        =>  mm_clk,
+      mm_rst        =>  mm_rst,
 
-    kernel_clk    =>  board_kernel_clk_clk,
-    kernel_reset  =>  i_kernel_rst,
+      kernel_clk    =>  board_kernel_clk_clk,
+      kernel_reset  =>  i_kernel_rst,
 
-    mm_mosi       =>  reg_ta2_unb2b_mm_io_mosi,
-    mm_miso       =>  reg_ta2_unb2b_mm_io_miso,
+      mm_mosi       =>  reg_ta2_unb2b_mm_io_mosi,
+      mm_miso       =>  reg_ta2_unb2b_mm_io_miso,
 
-    snk_in        =>  ta2_unb2b_mm_io_snk_in,
-    snk_out       =>  ta2_unb2b_mm_io_snk_out,
-    src_out       =>  ta2_unb2b_mm_io_src_out,
-    src_in        =>  ta2_unb2b_mm_io_src_in
+      snk_in        =>  ta2_unb2b_mm_io_snk_in,
+      snk_out       =>  ta2_unb2b_mm_io_snk_out,
+      src_out       =>  ta2_unb2b_mm_io_src_out,
+      src_in        =>  ta2_unb2b_mm_io_src_in
 
-  );
+    );
 
 
   ----------
   -- ADC
   ----------
   u_ta2_unb2b_jesd204b : entity ta2_unb2b_jesd204b_lib.ta2_unb2b_jesd204b
-  generic map(
-    g_nof_streams => c_nof_ADC
-  )
-  port map(
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+    generic map(
+      g_nof_streams => c_nof_ADC
+    )
+    port map(
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    jesd204b_mosi => reg_ta2_unb2b_jesd204b_mosi,
-    jesd204b_miso => reg_ta2_unb2b_jesd204b_miso,
+      jesd204b_mosi => reg_ta2_unb2b_jesd204b_mosi,
+      jesd204b_miso => reg_ta2_unb2b_jesd204b_miso,
 
-    -- JESD204B external signals
-    jesd204b_refclk       => BCK_REF_CLK,
-    jesd204b_sysref       => JESD204B_SYSREF,
-    jesd204b_sync_n_arr   => JESD204B_SYNC,
+      -- JESD204B external signals
+      jesd204b_refclk       => BCK_REF_CLK,
+      jesd204b_sysref       => JESD204B_SYSREF,
+      jesd204b_sync_n_arr   => JESD204B_SYNC,
 
-    serial_rx_arr         => BCK_RX,
+      serial_rx_arr         => BCK_RX,
 
-    kernel_clk            => board_kernel_clk_clk,
-    kernel_reset          => i_kernel_rst,
+      kernel_clk            => board_kernel_clk_clk,
+      kernel_reset          => i_kernel_rst,
 
-    src_out_arr           => ta2_unb2b_ADC_src_out_arr,
-    src_in_arr            => ta2_unb2b_ADC_src_in_arr
-  );
+      src_out_arr           => ta2_unb2b_ADC_src_out_arr,
+      src_in_arr            => ta2_unb2b_ADC_src_in_arr
+    );
 
   ----------
   -- DDR4
@@ -606,261 +606,261 @@ begin
   -- Freeze wrapper instantiation
   -----------------------------------------------------------------------------
   freeze_wrapper_inst : freeze_wrapper
-  port map(
-    board_kernel_clk_clk                        => board_kernel_clk_clk,
-    board_kernel_clk2x_clk                      => board_kernel_clk2x_clk,
-    board_kernel_reset_reset_n                  => board_kernel_reset_reset_n_in,
-    board_kernel_irq_irq                        => board_kernel_irq_irq,
-    board_kernel_cra_waitrequest                => board_kernel_cra_waitrequest,
-    board_kernel_cra_readdata                   => board_kernel_cra_readdata,
-    board_kernel_cra_readdatavalid              => board_kernel_cra_readdatavalid,
-    board_kernel_cra_burstcount                 => board_kernel_cra_burstcount,
-    board_kernel_cra_writedata                  => board_kernel_cra_writedata,
-    board_kernel_cra_address                    => board_kernel_cra_address,
-    board_kernel_cra_write                      => board_kernel_cra_write,
-    board_kernel_cra_read                       => board_kernel_cra_read,
-    board_kernel_cra_byteenable                 => board_kernel_cra_byteenable,
-    board_kernel_cra_debugaccess                => board_kernel_cra_debugaccess,
-
-    --board_kernel_mem0_waitrequest               => '0',
-    --board_kernel_mem0_readdata                  => c_ones,
-    --board_kernel_mem0_readdatavalid             => '1',
-    --board_kernel_mem0_burstcount                => OPEN,
-    --board_kernel_mem0_writedata                 => OPEN,
-    --board_kernel_mem0_address                   => OPEN,
-    --board_kernel_mem0_write                     => OPEN,
-    --board_kernel_mem0_read                      => OPEN,
-    --board_kernel_mem0_byteenable                => OPEN,
-    --board_kernel_mem0_debugaccess               => OPEN,
-
-    board_kernel_mem0_waitrequest               => board_kernel_mem0_waitrequest,
-    board_kernel_mem0_readdata                  => board_kernel_mem0_readdata,
-    board_kernel_mem0_readdatavalid             => board_kernel_mem0_readdatavalid,
-    board_kernel_mem0_burstcount                => board_kernel_mem0_burstcount,
-    board_kernel_mem0_writedata                 => board_kernel_mem0_writedata,
-    board_kernel_mem0_address                   => board_kernel_mem0_address,
-    board_kernel_mem0_write                     => board_kernel_mem0_write,
-    board_kernel_mem0_read                      => board_kernel_mem0_read,
-    board_kernel_mem0_byteenable                => board_kernel_mem0_byteenable,
-    board_kernel_mem0_debugaccess               => board_kernel_mem0_debugaccess,
-
-    board_kernel_register_mem_address           => board_kernel_register_mem_address,
-    board_kernel_register_mem_clken             => board_kernel_register_mem_clken,
-    board_kernel_register_mem_chipselect        => board_kernel_register_mem_chipselect,
-    board_kernel_register_mem_write             => board_kernel_register_mem_write,
-    board_kernel_register_mem_readdata          => board_kernel_register_mem_readdata,
-    board_kernel_register_mem_writedata         => board_kernel_register_mem_writedata,
-    board_kernel_register_mem_byteenable        => board_kernel_register_mem_byteenable,
-
-    board_kernel_stream_src_40GbE_data          => ta2_unb2b_40GbE_src_out_arr(0).data(263 downto 0),
-    board_kernel_stream_src_40GbE_valid         => ta2_unb2b_40GbE_src_out_arr(0).valid,
-    board_kernel_stream_src_40GbE_ready         => ta2_unb2b_40GbE_src_in_arr(0).ready,
-    board_kernel_stream_snk_40GbE_data          => ta2_unb2b_40GbE_snk_in_arr(0).data(263 downto 0),
-    board_kernel_stream_snk_40GbE_valid         => ta2_unb2b_40GbE_snk_in_arr(0).valid,
-    board_kernel_stream_snk_40GbE_ready         => ta2_unb2b_40GbE_snk_out_arr(0).ready,
-
-    board_kernel_stream_src_40GbE_ring_0_data   => ta2_unb2b_40GbE_src_out_arr(1).data(263 downto 0),
-    board_kernel_stream_src_40GbE_ring_0_valid  => ta2_unb2b_40GbE_src_out_arr(1).valid,
-    board_kernel_stream_src_40GbE_ring_0_ready  => ta2_unb2b_40GbE_src_in_arr(1).ready,
-    board_kernel_stream_snk_40GbE_ring_0_data   => ta2_unb2b_40GbE_snk_in_arr(1).data(263 downto 0),
-    board_kernel_stream_snk_40GbE_ring_0_valid  => ta2_unb2b_40GbE_snk_in_arr(1).valid,
-    board_kernel_stream_snk_40GbE_ring_0_ready  => ta2_unb2b_40GbE_snk_out_arr(1).ready,
-
-    board_kernel_stream_src_40GbE_ring_1_data   => ta2_unb2b_40GbE_src_out_arr(2).data(263 downto 0),
-    board_kernel_stream_src_40GbE_ring_1_valid  => ta2_unb2b_40GbE_src_out_arr(2).valid,
-    board_kernel_stream_src_40GbE_ring_1_ready  => ta2_unb2b_40GbE_src_in_arr(2).ready,
-    board_kernel_stream_snk_40GbE_ring_1_data   => ta2_unb2b_40GbE_snk_in_arr(2).data(263 downto 0),
-    board_kernel_stream_snk_40GbE_ring_1_valid  => ta2_unb2b_40GbE_snk_in_arr(2).valid,
-    board_kernel_stream_snk_40GbE_ring_1_ready  => ta2_unb2b_40GbE_snk_out_arr(2).ready,
-
-    board_kernel_stream_src_10GbE_data          => ta2_unb2b_10GbE_src_out_arr(0).data(71 downto 0),
-    board_kernel_stream_src_10GbE_valid         => ta2_unb2b_10GbE_src_out_arr(0).valid,
-    board_kernel_stream_src_10GbE_ready         => ta2_unb2b_10GbE_src_in_arr(0).ready,
-    board_kernel_stream_snk_10GbE_data          => ta2_unb2b_10GbE_snk_in_arr(0).data(71 downto 0),
-    board_kernel_stream_snk_10GbE_valid         => ta2_unb2b_10GbE_snk_in_arr(0).valid,
-    board_kernel_stream_snk_10GbE_ready         => ta2_unb2b_10GbE_snk_out_arr(0).ready,
-
-    board_kernel_stream_src_1GbE_data           => ta2_unb2b_1GbE_src_out.data(39 downto 0),
-    board_kernel_stream_src_1GbE_valid          => ta2_unb2b_1GbE_src_out.valid,
-    board_kernel_stream_src_1GbE_ready          => ta2_unb2b_1GbE_src_in.ready,
-    board_kernel_stream_snk_1GbE_data           => ta2_unb2b_1GbE_snk_in.data(39 downto 0),
-    board_kernel_stream_snk_1GbE_valid          => ta2_unb2b_1GbE_snk_in.valid,
-    board_kernel_stream_snk_1GbE_ready          => ta2_unb2b_1GbE_snk_out.ready,
-
-    board_kernel_stream_src_mm_io_data          => ta2_unb2b_mm_io_src_out.data(71 downto 0),
-    board_kernel_stream_src_mm_io_valid         => ta2_unb2b_mm_io_src_out.valid,
-    board_kernel_stream_src_mm_io_ready         => ta2_unb2b_mm_io_src_in.ready,
-    board_kernel_stream_snk_mm_io_data          => ta2_unb2b_mm_io_snk_in.data(31 downto 0),
-    board_kernel_stream_snk_mm_io_valid         => ta2_unb2b_mm_io_snk_in.valid,
-    board_kernel_stream_snk_mm_io_ready         => ta2_unb2b_mm_io_snk_out.ready,
-
-    board_kernel_stream_src_ADC_data            => ta2_unb2b_ADC_src_out_arr(0).data(15 downto 0),
-    board_kernel_stream_src_ADC_valid           => ta2_unb2b_ADC_src_out_arr(0).valid,
-    board_kernel_stream_src_ADC_ready           => ta2_unb2b_ADC_src_in_arr(0).ready
-
-  );
+    port map(
+      board_kernel_clk_clk                        => board_kernel_clk_clk,
+      board_kernel_clk2x_clk                      => board_kernel_clk2x_clk,
+      board_kernel_reset_reset_n                  => board_kernel_reset_reset_n_in,
+      board_kernel_irq_irq                        => board_kernel_irq_irq,
+      board_kernel_cra_waitrequest                => board_kernel_cra_waitrequest,
+      board_kernel_cra_readdata                   => board_kernel_cra_readdata,
+      board_kernel_cra_readdatavalid              => board_kernel_cra_readdatavalid,
+      board_kernel_cra_burstcount                 => board_kernel_cra_burstcount,
+      board_kernel_cra_writedata                  => board_kernel_cra_writedata,
+      board_kernel_cra_address                    => board_kernel_cra_address,
+      board_kernel_cra_write                      => board_kernel_cra_write,
+      board_kernel_cra_read                       => board_kernel_cra_read,
+      board_kernel_cra_byteenable                 => board_kernel_cra_byteenable,
+      board_kernel_cra_debugaccess                => board_kernel_cra_debugaccess,
+
+      --board_kernel_mem0_waitrequest               => '0',
+      --board_kernel_mem0_readdata                  => c_ones,
+      --board_kernel_mem0_readdatavalid             => '1',
+      --board_kernel_mem0_burstcount                => OPEN,
+      --board_kernel_mem0_writedata                 => OPEN,
+      --board_kernel_mem0_address                   => OPEN,
+      --board_kernel_mem0_write                     => OPEN,
+      --board_kernel_mem0_read                      => OPEN,
+      --board_kernel_mem0_byteenable                => OPEN,
+      --board_kernel_mem0_debugaccess               => OPEN,
+
+      board_kernel_mem0_waitrequest               => board_kernel_mem0_waitrequest,
+      board_kernel_mem0_readdata                  => board_kernel_mem0_readdata,
+      board_kernel_mem0_readdatavalid             => board_kernel_mem0_readdatavalid,
+      board_kernel_mem0_burstcount                => board_kernel_mem0_burstcount,
+      board_kernel_mem0_writedata                 => board_kernel_mem0_writedata,
+      board_kernel_mem0_address                   => board_kernel_mem0_address,
+      board_kernel_mem0_write                     => board_kernel_mem0_write,
+      board_kernel_mem0_read                      => board_kernel_mem0_read,
+      board_kernel_mem0_byteenable                => board_kernel_mem0_byteenable,
+      board_kernel_mem0_debugaccess               => board_kernel_mem0_debugaccess,
+
+      board_kernel_register_mem_address           => board_kernel_register_mem_address,
+      board_kernel_register_mem_clken             => board_kernel_register_mem_clken,
+      board_kernel_register_mem_chipselect        => board_kernel_register_mem_chipselect,
+      board_kernel_register_mem_write             => board_kernel_register_mem_write,
+      board_kernel_register_mem_readdata          => board_kernel_register_mem_readdata,
+      board_kernel_register_mem_writedata         => board_kernel_register_mem_writedata,
+      board_kernel_register_mem_byteenable        => board_kernel_register_mem_byteenable,
+
+      board_kernel_stream_src_40GbE_data          => ta2_unb2b_40GbE_src_out_arr(0).data(263 downto 0),
+      board_kernel_stream_src_40GbE_valid         => ta2_unb2b_40GbE_src_out_arr(0).valid,
+      board_kernel_stream_src_40GbE_ready         => ta2_unb2b_40GbE_src_in_arr(0).ready,
+      board_kernel_stream_snk_40GbE_data          => ta2_unb2b_40GbE_snk_in_arr(0).data(263 downto 0),
+      board_kernel_stream_snk_40GbE_valid         => ta2_unb2b_40GbE_snk_in_arr(0).valid,
+      board_kernel_stream_snk_40GbE_ready         => ta2_unb2b_40GbE_snk_out_arr(0).ready,
+
+      board_kernel_stream_src_40GbE_ring_0_data   => ta2_unb2b_40GbE_src_out_arr(1).data(263 downto 0),
+      board_kernel_stream_src_40GbE_ring_0_valid  => ta2_unb2b_40GbE_src_out_arr(1).valid,
+      board_kernel_stream_src_40GbE_ring_0_ready  => ta2_unb2b_40GbE_src_in_arr(1).ready,
+      board_kernel_stream_snk_40GbE_ring_0_data   => ta2_unb2b_40GbE_snk_in_arr(1).data(263 downto 0),
+      board_kernel_stream_snk_40GbE_ring_0_valid  => ta2_unb2b_40GbE_snk_in_arr(1).valid,
+      board_kernel_stream_snk_40GbE_ring_0_ready  => ta2_unb2b_40GbE_snk_out_arr(1).ready,
+
+      board_kernel_stream_src_40GbE_ring_1_data   => ta2_unb2b_40GbE_src_out_arr(2).data(263 downto 0),
+      board_kernel_stream_src_40GbE_ring_1_valid  => ta2_unb2b_40GbE_src_out_arr(2).valid,
+      board_kernel_stream_src_40GbE_ring_1_ready  => ta2_unb2b_40GbE_src_in_arr(2).ready,
+      board_kernel_stream_snk_40GbE_ring_1_data   => ta2_unb2b_40GbE_snk_in_arr(2).data(263 downto 0),
+      board_kernel_stream_snk_40GbE_ring_1_valid  => ta2_unb2b_40GbE_snk_in_arr(2).valid,
+      board_kernel_stream_snk_40GbE_ring_1_ready  => ta2_unb2b_40GbE_snk_out_arr(2).ready,
+
+      board_kernel_stream_src_10GbE_data          => ta2_unb2b_10GbE_src_out_arr(0).data(71 downto 0),
+      board_kernel_stream_src_10GbE_valid         => ta2_unb2b_10GbE_src_out_arr(0).valid,
+      board_kernel_stream_src_10GbE_ready         => ta2_unb2b_10GbE_src_in_arr(0).ready,
+      board_kernel_stream_snk_10GbE_data          => ta2_unb2b_10GbE_snk_in_arr(0).data(71 downto 0),
+      board_kernel_stream_snk_10GbE_valid         => ta2_unb2b_10GbE_snk_in_arr(0).valid,
+      board_kernel_stream_snk_10GbE_ready         => ta2_unb2b_10GbE_snk_out_arr(0).ready,
+
+      board_kernel_stream_src_1GbE_data           => ta2_unb2b_1GbE_src_out.data(39 downto 0),
+      board_kernel_stream_src_1GbE_valid          => ta2_unb2b_1GbE_src_out.valid,
+      board_kernel_stream_src_1GbE_ready          => ta2_unb2b_1GbE_src_in.ready,
+      board_kernel_stream_snk_1GbE_data           => ta2_unb2b_1GbE_snk_in.data(39 downto 0),
+      board_kernel_stream_snk_1GbE_valid          => ta2_unb2b_1GbE_snk_in.valid,
+      board_kernel_stream_snk_1GbE_ready          => ta2_unb2b_1GbE_snk_out.ready,
+
+      board_kernel_stream_src_mm_io_data          => ta2_unb2b_mm_io_src_out.data(71 downto 0),
+      board_kernel_stream_src_mm_io_valid         => ta2_unb2b_mm_io_src_out.valid,
+      board_kernel_stream_src_mm_io_ready         => ta2_unb2b_mm_io_src_in.ready,
+      board_kernel_stream_snk_mm_io_data          => ta2_unb2b_mm_io_snk_in.data(31 downto 0),
+      board_kernel_stream_snk_mm_io_valid         => ta2_unb2b_mm_io_snk_in.valid,
+      board_kernel_stream_snk_mm_io_ready         => ta2_unb2b_mm_io_snk_out.ready,
+
+      board_kernel_stream_src_ADC_data            => ta2_unb2b_ADC_src_out_arr(0).data(15 downto 0),
+      board_kernel_stream_src_ADC_valid           => ta2_unb2b_ADC_src_out_arr(0).valid,
+      board_kernel_stream_src_ADC_ready           => ta2_unb2b_ADC_src_in_arr(0).ready
+
+    );
 
   i_reset_n <= not mm_rst;
   i_kernel_rst <= not board_kernel_reset_reset_n;
 
   -- Kernel should start later than BSP
   u_common_areset : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '0',
-    g_delay_len => 9
-  )
-  port map (
-    in_rst  => i_kernel_rst,
-    clk     => board_kernel_clk_clk,
-    out_rst => board_kernel_reset_reset_n_in
-  );
+    generic map (
+      g_rst_level => '0',
+      g_delay_len => 9
+    )
+    port map (
+      in_rst  => i_kernel_rst,
+      clk     => board_kernel_clk_clk,
+      out_rst => board_kernel_reset_reset_n_in
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl_unb2b_board : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_technology              => g_technology,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_udp_offload             => c_use_1GbE_udp_offload,
-    g_udp_offload_nof_streams => c_nof_streams_1GbE,
-    g_aux                     => c_unb2b_board_aux,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    mb_I_ref_rst             => mb_I_ref_rst,
-    mb_II_ref_rst            => mb_II_ref_rst,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
-    udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
-    udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
-    udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
-
-    -- RAM scrap
-    ram_scrap_mosi           => c_mem_mosi_rst,
-    ram_scrap_miso           => OPEN,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . DDR reference clock domains reset creation
-    MB_I_REF_CLK             => MB_I_REF_CLK,
-    MB_II_REF_CLK            => MB_II_REF_CLK,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_technology              => g_technology,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_udp_offload             => c_use_1GbE_udp_offload,
+      g_udp_offload_nof_streams => c_nof_streams_1GbE,
+      g_aux                     => c_unb2b_board_aux,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      mb_I_ref_rst             => mb_I_ref_rst,
+      mb_II_ref_rst            => mb_II_ref_rst,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
+      udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
+      udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
+      udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
+
+      -- RAM scrap
+      ram_scrap_mosi           => c_mem_mosi_rst,
+      ram_scrap_miso           => OPEN,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . DDR reference clock domains reset creation
+      MB_I_REF_CLK             => MB_I_REF_CLK,
+      MB_II_REF_CLK            => MB_II_REF_CLK,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
 
   -----------------------------------------------------------------------------
   -- Board qsys
   -----------------------------------------------------------------------------
   board_inst : board
-  port map (
+    port map (
       clk_clk                                   => mm_clk,
       reset_reset_n                             => i_reset_n,
 
@@ -1028,14 +1028,14 @@ begin
       ddr4a_mem_ck                              => MB_I_OU.ck(g_tech_ddr.ck_w - 1 downto 0),
       ddr4a_mem_ck_n                            => MB_I_OU.ck_n(g_tech_ddr.ck_w - 1 downto 0),
       ddr4a_mem_a                               => MB_I_OU.a(g_tech_ddr.a_w - 1 downto 0),
-   sl(ddr4a_mem_act_n)                          => MB_I_OU.act_n,
+      sl(ddr4a_mem_act_n)                          => MB_I_OU.act_n,
       ddr4a_mem_ba                              => MB_I_OU.ba(g_tech_ddr.ba_w - 1 downto 0),
       ddr4a_mem_bg                              => MB_I_OU.bg(g_tech_ddr.bg_w - 1 downto 0),
       ddr4a_mem_cke                             => MB_I_OU.cke(g_tech_ddr.cke_w - 1 downto 0),
       ddr4a_mem_cs_n                            => MB_I_OU.cs_n(g_tech_ddr.cs_w - 1 downto 0),
       ddr4a_mem_odt                             => MB_I_OU.odt(g_tech_ddr.odt_w - 1 downto 0),
-   sl(ddr4a_mem_reset_n)                        => MB_I_OU.reset_n,
-   sl(ddr4a_mem_par)                            => MB_I_OU.par,
+      sl(ddr4a_mem_reset_n)                        => MB_I_OU.reset_n,
+      sl(ddr4a_mem_par)                            => MB_I_OU.par,
       ddr4a_mem_alert_n                         => slv(MB_I_IN.alert_n),
       ddr4a_mem_dqs                             => MB_I_IO.dqs(g_tech_ddr.dqs_w - 1 downto 0),
       ddr4a_mem_dqs_n                           => MB_I_IO.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),
@@ -1043,7 +1043,7 @@ begin
       ddr4a_mem_dbi_n                           => MB_I_IO.dbi_n(g_tech_ddr.dbi_w - 1 downto 0)
 
 
-  );
+    );
 
 
 end str;
diff --git a/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top_components_pkg.vhd b/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top_components_pkg.vhd
index 4b96f52db1..fed7dae8ae 100644
--- a/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top_components_pkg.vhd
+++ b/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top_components_pkg.vhd
@@ -25,200 +25,200 @@
 -- . Contains components instantiated by top.vhd
 -- --------------------------------------------------------------------------
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package top_components_pkg is
 
-    component board is
-        port (
-            avs_eth_0_clk_export                      : out   std_logic;  -- export
-            avs_eth_0_irq_export                      : in    std_logic                      := 'X';  -- export
-            avs_eth_0_ram_address_export              : out   std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                 : out   std_logic;  -- export
-            avs_eth_0_ram_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                : out   std_logic;  -- export
-            avs_eth_0_ram_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export              : out   std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                 : out   std_logic;  -- export
-            avs_eth_0_reg_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                : out   std_logic;  -- export
-            avs_eth_0_reg_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                    : out   std_logic;  -- export
-            avs_eth_0_tse_address_export              : out   std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                 : out   std_logic;  -- export
-            avs_eth_0_tse_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export          : in    std_logic                      := 'X';  -- export
-            avs_eth_0_tse_write_export                : out   std_logic;  -- export
-            avs_eth_0_tse_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            kernel_register_mem_address               : in    std_logic_vector(6 downto 0)   := (others => 'X');  -- address
-            kernel_register_mem_clken                 : in    std_logic                      := 'X';  -- clken
-            kernel_register_mem_chipselect            : in    std_logic                      := 'X';  -- chipselect
-            kernel_register_mem_write                 : in    std_logic                      := 'X';  -- write
-            kernel_register_mem_readdata              : out   std_logic_vector(255 downto 0);  -- readdata
-            kernel_register_mem_writedata             : in    std_logic_vector(255 downto 0) := (others => 'X');  -- writedata
-            kernel_register_mem_byteenable            : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- byteenable
-            clk_clk                                   : in    std_logic                      := 'X';  -- clk
-            reset_reset_n                             : in    std_logic                      := 'X';  -- reset_n
-            kernel_clk_clk                            : out   std_logic;  -- clk
-            kernel_reset_reset_n                      : out   std_logic;  -- reset_n
-            kernel_clk2x_clk                          : out   std_logic;  -- clk
-            kernel_mem0_waitrequest                   : out   std_logic;  -- waitrequest
-            kernel_mem0_readdata                      : out   std_logic_vector(511 downto 0);  -- readdata
-            kernel_mem0_readdatavalid                 : out   std_logic;  -- readdatavalid
-            kernel_mem0_burstcount                    : in    std_logic_vector(4 downto 0)   := (others => 'X');  -- burstcount
-            kernel_mem0_writedata                     : in    std_logic_vector(511 downto 0) := (others => 'X');  -- writedata
-            kernel_mem0_address                       : in    std_logic_vector(32 downto 0)  := (others => 'X');  -- address
-            kernel_mem0_write                         : in    std_logic                      := 'X';  -- write
-            kernel_mem0_read                          : in    std_logic                      := 'X';  -- read
-            kernel_mem0_byteenable                    : in    std_logic_vector(63 downto 0)  := (others => 'X');  -- byteenable
-            kernel_mem0_debugaccess                   : in    std_logic                      := 'X';  -- debugaccess
-            kernel_cra_waitrequest                    : in    std_logic                      := 'X';  -- waitrequest
-            kernel_cra_readdata                       : in    std_logic_vector(63 downto 0)  := (others => 'X');  -- readdata
-            kernel_cra_readdatavalid                  : in    std_logic                      := 'X';  -- readdatavalid
-            kernel_cra_burstcount                     : out   std_logic_vector(0 downto 0);  -- burstcount
-            kernel_cra_writedata                      : out   std_logic_vector(63 downto 0);  -- writedata
-            kernel_cra_address                        : out   std_logic_vector(29 downto 0);  -- address
-            kernel_cra_write                          : out   std_logic;  -- write
-            kernel_cra_read                           : out   std_logic;  -- read
-            kernel_cra_byteenable                     : out   std_logic_vector(7 downto 0);  -- byteenable
-            kernel_cra_debugaccess                    : out   std_logic;  -- debugaccess
-            kernel_irq_irq                            : in    std_logic_vector(0 downto 0)   := (others => 'X');  -- irq
-            kernel_interface_sw_reset_in_reset        : in    std_logic                      := 'X';  -- reset
-            ddr4a_pll_ref_clk                         : in    std_logic                      := 'X';  -- clk
-            ddr4a_oct_oct_rzqin                       : in    std_logic                      := 'X';  -- oct_rzqin
-            ddr4a_mem_ck                              : out   std_logic_vector(1 downto 0);  -- mem_ck
-            ddr4a_mem_ck_n                            : out   std_logic_vector(1 downto 0);  -- mem_ck_n
-            ddr4a_mem_a                               : out   std_logic_vector(16 downto 0);  -- mem_a
-            ddr4a_mem_act_n                           : out   std_logic_vector(0 downto 0);  -- mem_act_n
-            ddr4a_mem_ba                              : out   std_logic_vector(1 downto 0);  -- mem_ba
-            ddr4a_mem_bg                              : out   std_logic_vector(1 downto 0);  -- mem_bg
-            ddr4a_mem_cke                             : out   std_logic_vector(1 downto 0);  -- mem_cke
-            ddr4a_mem_cs_n                            : out   std_logic_vector(1 downto 0);  -- mem_cs_n
-            ddr4a_mem_odt                             : out   std_logic_vector(1 downto 0);  -- mem_odt
-            ddr4a_mem_reset_n                         : out   std_logic_vector(0 downto 0);  -- mem_reset_n
-            ddr4a_mem_par                             : out   std_logic_vector(0 downto 0);  -- mem_par
-            ddr4a_mem_alert_n                         : in    std_logic_vector(0 downto 0)   := (others => 'X');  -- mem_alert_n
-            ddr4a_mem_dqs                             : inout std_logic_vector(7 downto 0)   := (others => 'X');  -- mem_dqs
-            ddr4a_mem_dqs_n                           : inout std_logic_vector(7 downto 0)   := (others => 'X');  -- mem_dqs_n
-            ddr4a_mem_dq                              : inout std_logic_vector(63 downto 0)  := (others => 'X');  -- mem_dq
-            ddr4a_mem_dbi_n                           : inout std_logic_vector(7 downto 0)   := (others => 'X');  -- mem_dbi_n
-            pio_pps_address_export                    : out   std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                        : out   std_logic;  -- export
-            pio_pps_read_export                       : out   std_logic;  -- export
-            pio_pps_readdata_export                   : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            pio_pps_reset_export                      : out   std_logic;  -- export
-            pio_pps_write_export                      : out   std_logic;  -- export
-            pio_pps_writedata_export                  : out   std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export            : out   std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                : out   std_logic;  -- export
-            pio_system_info_read_export               : out   std_logic;  -- export
-            pio_system_info_readdata_export           : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            pio_system_info_reset_export              : out   std_logic;  -- export
-            pio_system_info_write_export              : out   std_logic;  -- export
-            pio_system_info_writedata_export          : out   std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export        : out   std_logic;  -- export
-            reg_dpmm_ctrl_address_export              : out   std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                  : out   std_logic;  -- export
-            reg_dpmm_ctrl_read_export                 : out   std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                : out   std_logic;  -- export
-            reg_dpmm_ctrl_write_export                : out   std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export              : out   std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                  : out   std_logic;  -- export
-            reg_dpmm_data_read_export                 : out   std_logic;  -- export
-            reg_dpmm_data_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                : out   std_logic;  -- export
-            reg_dpmm_data_write_export                : out   std_logic;  -- export
-            reg_dpmm_data_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                   : out   std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                       : out   std_logic;  -- export
-            reg_epcs_read_export                      : out   std_logic;  -- export
-            reg_epcs_readdata_export                  : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_epcs_reset_export                     : out   std_logic;  -- export
-            reg_epcs_write_export                     : out   std_logic;  -- export
-            reg_epcs_writedata_export                 : out   std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export         : out   std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export             : out   std_logic;  -- export
-            reg_fpga_temp_sens_read_export            : out   std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export        : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export           : out   std_logic;  -- export
-            reg_fpga_temp_sens_write_export           : out   std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export       : out   std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export      : out   std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export          : out   std_logic;  -- export
-            reg_fpga_voltage_sens_read_export         : out   std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export     : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export        : out   std_logic;  -- export
-            reg_fpga_voltage_sens_write_export        : out   std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export    : out   std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export              : out   std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                  : out   std_logic;  -- export
-            reg_mmdp_ctrl_read_export                 : out   std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                : out   std_logic;  -- export
-            reg_mmdp_ctrl_write_export                : out   std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export              : out   std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                  : out   std_logic;  -- export
-            reg_mmdp_data_read_export                 : out   std_logic;  -- export
-            reg_mmdp_data_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                : out   std_logic;  -- export
-            reg_mmdp_data_write_export                : out   std_logic;  -- export
-            reg_mmdp_data_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                   : out   std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                       : out   std_logic;  -- export
-            reg_remu_read_export                      : out   std_logic;  -- export
-            reg_remu_readdata_export                  : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_remu_reset_export                     : out   std_logic;  -- export
-            reg_remu_write_export                     : out   std_logic;  -- export
-            reg_remu_writedata_export                 : out   std_logic_vector(31 downto 0);  -- export
-            reg_ta2_unb2b_jesd204b_address_export     : out   std_logic_vector(7 downto 0);  -- export
-            reg_ta2_unb2b_jesd204b_clk_export         : out   std_logic;  -- export
-            reg_ta2_unb2b_jesd204b_read_export        : out   std_logic;  -- export
-            reg_ta2_unb2b_jesd204b_readdata_export    : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_ta2_unb2b_jesd204b_reset_export       : out   std_logic;  -- export
-            reg_ta2_unb2b_jesd204b_waitrequest_export : in    std_logic                      := 'X';  -- export
-            reg_ta2_unb2b_jesd204b_write_export       : out   std_logic;  -- export
-            reg_ta2_unb2b_jesd204b_writedata_export   : out   std_logic_vector(31 downto 0);  -- export
-            reg_ta2_unb2b_mm_io_reset_export          : out   std_logic;  -- export
-            reg_ta2_unb2b_mm_io_clk_export            : out   std_logic;  -- export
-            reg_ta2_unb2b_mm_io_address_export        : out   std_logic_vector(7 downto 0);  -- export
-            reg_ta2_unb2b_mm_io_write_export          : out   std_logic;  -- export
-            reg_ta2_unb2b_mm_io_writedata_export      : out   std_logic_vector(31 downto 0);  -- export
-            reg_ta2_unb2b_mm_io_read_export           : out   std_logic;  -- export
-            reg_ta2_unb2b_mm_io_readdata_export       : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_ta2_unb2b_mm_io_waitrequest_export    : in    std_logic                      := 'X';  -- export
-            reg_unb_pmbus_address_export              : out   std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                  : out   std_logic;  -- export
-            reg_unb_pmbus_read_export                 : out   std_logic;  -- export
-            reg_unb_pmbus_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export                : out   std_logic;  -- export
-            reg_unb_pmbus_write_export                : out   std_logic;  -- export
-            reg_unb_pmbus_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export               : out   std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                   : out   std_logic;  -- export
-            reg_unb_sens_read_export                  : out   std_logic;  -- export
-            reg_unb_sens_readdata_export              : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_unb_sens_reset_export                 : out   std_logic;  -- export
-            reg_unb_sens_write_export                 : out   std_logic;  -- export
-            reg_unb_sens_writedata_export             : out   std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                    : out   std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                        : out   std_logic;  -- export
-            reg_wdi_read_export                       : out   std_logic;  -- export
-            reg_wdi_readdata_export                   : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            reg_wdi_reset_export                      : out   std_logic;  -- export
-            reg_wdi_write_export                      : out   std_logic;  -- export
-            reg_wdi_writedata_export                  : out   std_logic_vector(31 downto 0);  -- export
-            rom_system_info_address_export            : out   std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export                : out   std_logic;  -- export
-            rom_system_info_read_export               : out   std_logic;  -- export
-            rom_system_info_readdata_export           : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
-            rom_system_info_reset_export              : out   std_logic;  -- export
-            rom_system_info_write_export              : out   std_logic;  -- export
-            rom_system_info_writedata_export          : out   std_logic_vector(31 downto 0)  -- export
-        );
-    end component board;
+  component board is
+    port (
+      avs_eth_0_clk_export                      : out   std_logic;  -- export
+      avs_eth_0_irq_export                      : in    std_logic                      := 'X';  -- export
+      avs_eth_0_ram_address_export              : out   std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                 : out   std_logic;  -- export
+      avs_eth_0_ram_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                : out   std_logic;  -- export
+      avs_eth_0_ram_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export              : out   std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                 : out   std_logic;  -- export
+      avs_eth_0_reg_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                : out   std_logic;  -- export
+      avs_eth_0_reg_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                    : out   std_logic;  -- export
+      avs_eth_0_tse_address_export              : out   std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                 : out   std_logic;  -- export
+      avs_eth_0_tse_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export          : in    std_logic                      := 'X';  -- export
+      avs_eth_0_tse_write_export                : out   std_logic;  -- export
+      avs_eth_0_tse_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      kernel_register_mem_address               : in    std_logic_vector(6 downto 0)   := (others => 'X');  -- address
+      kernel_register_mem_clken                 : in    std_logic                      := 'X';  -- clken
+      kernel_register_mem_chipselect            : in    std_logic                      := 'X';  -- chipselect
+      kernel_register_mem_write                 : in    std_logic                      := 'X';  -- write
+      kernel_register_mem_readdata              : out   std_logic_vector(255 downto 0);  -- readdata
+      kernel_register_mem_writedata             : in    std_logic_vector(255 downto 0) := (others => 'X');  -- writedata
+      kernel_register_mem_byteenable            : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- byteenable
+      clk_clk                                   : in    std_logic                      := 'X';  -- clk
+      reset_reset_n                             : in    std_logic                      := 'X';  -- reset_n
+      kernel_clk_clk                            : out   std_logic;  -- clk
+      kernel_reset_reset_n                      : out   std_logic;  -- reset_n
+      kernel_clk2x_clk                          : out   std_logic;  -- clk
+      kernel_mem0_waitrequest                   : out   std_logic;  -- waitrequest
+      kernel_mem0_readdata                      : out   std_logic_vector(511 downto 0);  -- readdata
+      kernel_mem0_readdatavalid                 : out   std_logic;  -- readdatavalid
+      kernel_mem0_burstcount                    : in    std_logic_vector(4 downto 0)   := (others => 'X');  -- burstcount
+      kernel_mem0_writedata                     : in    std_logic_vector(511 downto 0) := (others => 'X');  -- writedata
+      kernel_mem0_address                       : in    std_logic_vector(32 downto 0)  := (others => 'X');  -- address
+      kernel_mem0_write                         : in    std_logic                      := 'X';  -- write
+      kernel_mem0_read                          : in    std_logic                      := 'X';  -- read
+      kernel_mem0_byteenable                    : in    std_logic_vector(63 downto 0)  := (others => 'X');  -- byteenable
+      kernel_mem0_debugaccess                   : in    std_logic                      := 'X';  -- debugaccess
+      kernel_cra_waitrequest                    : in    std_logic                      := 'X';  -- waitrequest
+      kernel_cra_readdata                       : in    std_logic_vector(63 downto 0)  := (others => 'X');  -- readdata
+      kernel_cra_readdatavalid                  : in    std_logic                      := 'X';  -- readdatavalid
+      kernel_cra_burstcount                     : out   std_logic_vector(0 downto 0);  -- burstcount
+      kernel_cra_writedata                      : out   std_logic_vector(63 downto 0);  -- writedata
+      kernel_cra_address                        : out   std_logic_vector(29 downto 0);  -- address
+      kernel_cra_write                          : out   std_logic;  -- write
+      kernel_cra_read                           : out   std_logic;  -- read
+      kernel_cra_byteenable                     : out   std_logic_vector(7 downto 0);  -- byteenable
+      kernel_cra_debugaccess                    : out   std_logic;  -- debugaccess
+      kernel_irq_irq                            : in    std_logic_vector(0 downto 0)   := (others => 'X');  -- irq
+      kernel_interface_sw_reset_in_reset        : in    std_logic                      := 'X';  -- reset
+      ddr4a_pll_ref_clk                         : in    std_logic                      := 'X';  -- clk
+      ddr4a_oct_oct_rzqin                       : in    std_logic                      := 'X';  -- oct_rzqin
+      ddr4a_mem_ck                              : out   std_logic_vector(1 downto 0);  -- mem_ck
+      ddr4a_mem_ck_n                            : out   std_logic_vector(1 downto 0);  -- mem_ck_n
+      ddr4a_mem_a                               : out   std_logic_vector(16 downto 0);  -- mem_a
+      ddr4a_mem_act_n                           : out   std_logic_vector(0 downto 0);  -- mem_act_n
+      ddr4a_mem_ba                              : out   std_logic_vector(1 downto 0);  -- mem_ba
+      ddr4a_mem_bg                              : out   std_logic_vector(1 downto 0);  -- mem_bg
+      ddr4a_mem_cke                             : out   std_logic_vector(1 downto 0);  -- mem_cke
+      ddr4a_mem_cs_n                            : out   std_logic_vector(1 downto 0);  -- mem_cs_n
+      ddr4a_mem_odt                             : out   std_logic_vector(1 downto 0);  -- mem_odt
+      ddr4a_mem_reset_n                         : out   std_logic_vector(0 downto 0);  -- mem_reset_n
+      ddr4a_mem_par                             : out   std_logic_vector(0 downto 0);  -- mem_par
+      ddr4a_mem_alert_n                         : in    std_logic_vector(0 downto 0)   := (others => 'X');  -- mem_alert_n
+      ddr4a_mem_dqs                             : inout std_logic_vector(7 downto 0)   := (others => 'X');  -- mem_dqs
+      ddr4a_mem_dqs_n                           : inout std_logic_vector(7 downto 0)   := (others => 'X');  -- mem_dqs_n
+      ddr4a_mem_dq                              : inout std_logic_vector(63 downto 0)  := (others => 'X');  -- mem_dq
+      ddr4a_mem_dbi_n                           : inout std_logic_vector(7 downto 0)   := (others => 'X');  -- mem_dbi_n
+      pio_pps_address_export                    : out   std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                        : out   std_logic;  -- export
+      pio_pps_read_export                       : out   std_logic;  -- export
+      pio_pps_readdata_export                   : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      pio_pps_reset_export                      : out   std_logic;  -- export
+      pio_pps_write_export                      : out   std_logic;  -- export
+      pio_pps_writedata_export                  : out   std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export            : out   std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                : out   std_logic;  -- export
+      pio_system_info_read_export               : out   std_logic;  -- export
+      pio_system_info_readdata_export           : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      pio_system_info_reset_export              : out   std_logic;  -- export
+      pio_system_info_write_export              : out   std_logic;  -- export
+      pio_system_info_writedata_export          : out   std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export        : out   std_logic;  -- export
+      reg_dpmm_ctrl_address_export              : out   std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                  : out   std_logic;  -- export
+      reg_dpmm_ctrl_read_export                 : out   std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                : out   std_logic;  -- export
+      reg_dpmm_ctrl_write_export                : out   std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export              : out   std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                  : out   std_logic;  -- export
+      reg_dpmm_data_read_export                 : out   std_logic;  -- export
+      reg_dpmm_data_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                : out   std_logic;  -- export
+      reg_dpmm_data_write_export                : out   std_logic;  -- export
+      reg_dpmm_data_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                   : out   std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                       : out   std_logic;  -- export
+      reg_epcs_read_export                      : out   std_logic;  -- export
+      reg_epcs_readdata_export                  : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_epcs_reset_export                     : out   std_logic;  -- export
+      reg_epcs_write_export                     : out   std_logic;  -- export
+      reg_epcs_writedata_export                 : out   std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export         : out   std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export             : out   std_logic;  -- export
+      reg_fpga_temp_sens_read_export            : out   std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export        : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export           : out   std_logic;  -- export
+      reg_fpga_temp_sens_write_export           : out   std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export       : out   std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export      : out   std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export          : out   std_logic;  -- export
+      reg_fpga_voltage_sens_read_export         : out   std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export     : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export        : out   std_logic;  -- export
+      reg_fpga_voltage_sens_write_export        : out   std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export    : out   std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export              : out   std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                  : out   std_logic;  -- export
+      reg_mmdp_ctrl_read_export                 : out   std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                : out   std_logic;  -- export
+      reg_mmdp_ctrl_write_export                : out   std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export              : out   std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                  : out   std_logic;  -- export
+      reg_mmdp_data_read_export                 : out   std_logic;  -- export
+      reg_mmdp_data_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                : out   std_logic;  -- export
+      reg_mmdp_data_write_export                : out   std_logic;  -- export
+      reg_mmdp_data_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                   : out   std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                       : out   std_logic;  -- export
+      reg_remu_read_export                      : out   std_logic;  -- export
+      reg_remu_readdata_export                  : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_remu_reset_export                     : out   std_logic;  -- export
+      reg_remu_write_export                     : out   std_logic;  -- export
+      reg_remu_writedata_export                 : out   std_logic_vector(31 downto 0);  -- export
+      reg_ta2_unb2b_jesd204b_address_export     : out   std_logic_vector(7 downto 0);  -- export
+      reg_ta2_unb2b_jesd204b_clk_export         : out   std_logic;  -- export
+      reg_ta2_unb2b_jesd204b_read_export        : out   std_logic;  -- export
+      reg_ta2_unb2b_jesd204b_readdata_export    : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_ta2_unb2b_jesd204b_reset_export       : out   std_logic;  -- export
+      reg_ta2_unb2b_jesd204b_waitrequest_export : in    std_logic                      := 'X';  -- export
+      reg_ta2_unb2b_jesd204b_write_export       : out   std_logic;  -- export
+      reg_ta2_unb2b_jesd204b_writedata_export   : out   std_logic_vector(31 downto 0);  -- export
+      reg_ta2_unb2b_mm_io_reset_export          : out   std_logic;  -- export
+      reg_ta2_unb2b_mm_io_clk_export            : out   std_logic;  -- export
+      reg_ta2_unb2b_mm_io_address_export        : out   std_logic_vector(7 downto 0);  -- export
+      reg_ta2_unb2b_mm_io_write_export          : out   std_logic;  -- export
+      reg_ta2_unb2b_mm_io_writedata_export      : out   std_logic_vector(31 downto 0);  -- export
+      reg_ta2_unb2b_mm_io_read_export           : out   std_logic;  -- export
+      reg_ta2_unb2b_mm_io_readdata_export       : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_ta2_unb2b_mm_io_waitrequest_export    : in    std_logic                      := 'X';  -- export
+      reg_unb_pmbus_address_export              : out   std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                  : out   std_logic;  -- export
+      reg_unb_pmbus_read_export                 : out   std_logic;  -- export
+      reg_unb_pmbus_readdata_export             : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export                : out   std_logic;  -- export
+      reg_unb_pmbus_write_export                : out   std_logic;  -- export
+      reg_unb_pmbus_writedata_export            : out   std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export               : out   std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                   : out   std_logic;  -- export
+      reg_unb_sens_read_export                  : out   std_logic;  -- export
+      reg_unb_sens_readdata_export              : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_unb_sens_reset_export                 : out   std_logic;  -- export
+      reg_unb_sens_write_export                 : out   std_logic;  -- export
+      reg_unb_sens_writedata_export             : out   std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                    : out   std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                        : out   std_logic;  -- export
+      reg_wdi_read_export                       : out   std_logic;  -- export
+      reg_wdi_readdata_export                   : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      reg_wdi_reset_export                      : out   std_logic;  -- export
+      reg_wdi_write_export                      : out   std_logic;  -- export
+      reg_wdi_writedata_export                  : out   std_logic_vector(31 downto 0);  -- export
+      rom_system_info_address_export            : out   std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export                : out   std_logic;  -- export
+      rom_system_info_read_export               : out   std_logic;  -- export
+      rom_system_info_readdata_export           : in    std_logic_vector(31 downto 0)  := (others => 'X');  -- export
+      rom_system_info_reset_export              : out   std_logic;  -- export
+      rom_system_info_write_export              : out   std_logic;  -- export
+      rom_system_info_writedata_export          : out   std_logic_vector(31 downto 0)  -- export
+    );
+  end component board;
 
   component freeze_wrapper is
     port (
@@ -301,7 +301,7 @@ package top_components_pkg is
       board_kernel_stream_src_ADC_data   : in  std_logic_vector(15 downto 0);
       board_kernel_stream_src_ADC_valid  : in  std_logic;
       board_kernel_stream_src_ADC_ready  : out std_logic
-   );
+    );
   end component freeze_wrapper;
 
 end top_components_pkg;
diff --git a/applications/ta2/ip/ta2_channel_cross/ta2_channel_cross.vhd b/applications/ta2/ip/ta2_channel_cross/ta2_channel_cross.vhd
index ba356beb86..9cdb9e27a8 100644
--- a/applications/ta2/ip/ta2_channel_cross/ta2_channel_cross.vhd
+++ b/applications/ta2/ip/ta2_channel_cross/ta2_channel_cross.vhd
@@ -61,10 +61,10 @@
 --   in mind that IO channels must be a multiple of 8 bits (bytes).
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity ta2_channel_cross is
   generic (
@@ -131,56 +131,56 @@ begin
   assert g_nof_bytes <= 32 report "g_nof_bytes of ta2_channel_cross is configured higher than 32" severity ERROR;
 
   gen_streams: for stream in 0 to g_nof_streams - 1 generate
-  -- dp_snk_in -> kernel_src_out
+    -- dp_snk_in -> kernel_src_out
 
     ---------------------------------------------------------------------------------------
     -- TX FIFO: dp_clk -> kernel_clk
     ---------------------------------------------------------------------------------------
     u_dp_fifo_dc_tx : entity dp_lib.dp_fifo_dc
-    generic map (
-      g_data_w      => c_data_w,
-      g_bsn_w       => c_bsn_w,
-      g_empty_w     => c_empty_w,
-      g_channel_w   => c_channel_w,
-      g_error_w     => c_err_w,
-      g_use_bsn     => g_use_bsn,
-      g_use_empty   => true,
-      g_use_channel => g_use_channel,
-      g_use_error   => g_use_err,
-      g_use_sync    => g_use_sync,
-      g_fifo_size   => g_fifo_size
-    )
-    port map (
-      wr_rst      => dp_rst,
-      wr_clk      => dp_clk,
-      rd_rst      => kernel_reset,
-      rd_clk      => kernel_clk,
-
-      snk_out     => dp_snk_out_arr(stream),
-      snk_in      => dp_snk_in_arr(stream),
-
-      src_in      => dp_latency_adapter_tx_snk_out_arr(stream),
-      src_out     => dp_latency_adapter_tx_snk_in_arr(stream)
-    );
+      generic map (
+        g_data_w      => c_data_w,
+        g_bsn_w       => c_bsn_w,
+        g_empty_w     => c_empty_w,
+        g_channel_w   => c_channel_w,
+        g_error_w     => c_err_w,
+        g_use_bsn     => g_use_bsn,
+        g_use_empty   => true,
+        g_use_channel => g_use_channel,
+        g_use_error   => g_use_err,
+        g_use_sync    => g_use_sync,
+        g_fifo_size   => g_fifo_size
+      )
+      port map (
+        wr_rst      => dp_rst,
+        wr_clk      => dp_clk,
+        rd_rst      => kernel_reset,
+        rd_clk      => kernel_clk,
+
+        snk_out     => dp_snk_out_arr(stream),
+        snk_in      => dp_snk_in_arr(stream),
+
+        src_in      => dp_latency_adapter_tx_snk_out_arr(stream),
+        src_out     => dp_latency_adapter_tx_snk_in_arr(stream)
+      );
 
     ----------------------------------------------------------------------------
     -- Latency adapter: adapt RL=1 (Upstream) to RL=0 (OpenCL kernel).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_tx : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => 0
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => 0
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
 
-      snk_in    => dp_latency_adapter_tx_snk_in_arr(stream),
-      snk_out   => dp_latency_adapter_tx_snk_out_arr(stream),
+        snk_in    => dp_latency_adapter_tx_snk_in_arr(stream),
+        snk_out   => dp_latency_adapter_tx_snk_out_arr(stream),
 
-      src_out   => dp_latency_adapter_tx_src_out_arr(stream),
-      src_in    => dp_latency_adapter_tx_src_in_arr(stream)
-    );
+        src_out   => dp_latency_adapter_tx_src_out_arr(stream),
+        src_in    => dp_latency_adapter_tx_src_in_arr(stream)
+      );
 
     ----------------------------------------------------------------------------
     -- Data mapping
@@ -192,7 +192,7 @@ begin
       end generate;
     end generate;
     gen_no_reverse_rx_bytes : if not g_reverse_bytes generate
-        kernel_src_out_arr(stream).data(c_data_w - 1 downto 0) <= dp_latency_adapter_tx_src_out_arr(stream).data(c_data_w - 1 downto 0);
+      kernel_src_out_arr(stream).data(c_data_w - 1 downto 0) <= dp_latency_adapter_tx_src_out_arr(stream).data(c_data_w - 1 downto 0);
     end generate;
 
     -- Assign control signals to correct data fields.
@@ -256,52 +256,52 @@ begin
     -- Latency adapter: adapt RL=0 (OpenCL kernel) to RL=1 (Downstream).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_rx : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 0,
-      g_out_latency => 1
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
+      generic map (
+        g_in_latency  => 0,
+        g_out_latency => 1
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
 
-      snk_in    => dp_latency_adapter_rx_snk_in_arr(stream),
-      snk_out   => dp_latency_adapter_rx_snk_out_arr(stream),
+        snk_in    => dp_latency_adapter_rx_snk_in_arr(stream),
+        snk_out   => dp_latency_adapter_rx_snk_out_arr(stream),
 
-      src_out   => dp_latency_adapter_rx_src_out_arr(stream),
-      src_in    => dp_latency_adapter_rx_src_in_arr(stream)
-    );
+        src_out   => dp_latency_adapter_rx_src_out_arr(stream),
+        src_in    => dp_latency_adapter_rx_src_in_arr(stream)
+      );
 
     ---------------------------------------------------------------------------------------
     -- RX FIFO: kernel_clk -> dp_clk
     ---------------------------------------------------------------------------------------
     u_dp_fifo_dc_rx : entity dp_lib.dp_fifo_dc
-    generic map (
-      g_data_w      => c_data_w,
-      g_bsn_w       => c_bsn_w,
-      g_empty_w     => c_empty_w,
-      g_channel_w   => c_channel_w,
-      g_error_w     => c_err_w,
-      g_use_bsn     => g_use_bsn,
-      g_use_empty   => true,
-      g_use_channel => g_use_channel,
-      g_use_error   => g_use_err,
-      g_use_sync    => g_use_sync,
-      g_fifo_size   => g_fifo_size
-    )
-    port map (
-      wr_rst      => kernel_reset,
-      wr_clk      => kernel_clk,
-      rd_rst      => dp_rst,
-      rd_clk      => dp_clk,
-
-      snk_out     => dp_latency_adapter_rx_src_in_arr(stream),
-      snk_in      => dp_latency_adapter_rx_src_out_arr(stream),
-
-      src_in      => dp_src_in_arr(stream),
-      src_out     => dp_src_out_arr(stream)
-    );
-
- end generate;
+      generic map (
+        g_data_w      => c_data_w,
+        g_bsn_w       => c_bsn_w,
+        g_empty_w     => c_empty_w,
+        g_channel_w   => c_channel_w,
+        g_error_w     => c_err_w,
+        g_use_bsn     => g_use_bsn,
+        g_use_empty   => true,
+        g_use_channel => g_use_channel,
+        g_use_error   => g_use_err,
+        g_use_sync    => g_use_sync,
+        g_fifo_size   => g_fifo_size
+      )
+      port map (
+        wr_rst      => kernel_reset,
+        wr_clk      => kernel_clk,
+        rd_rst      => dp_rst,
+        rd_clk      => dp_clk,
+
+        snk_out     => dp_latency_adapter_rx_src_in_arr(stream),
+        snk_in      => dp_latency_adapter_rx_src_out_arr(stream),
+
+        src_in      => dp_src_in_arr(stream),
+        src_out     => dp_src_out_arr(stream)
+      );
+
+  end generate;
 
 end str;
 
diff --git a/applications/ta2/ip/ta2_unb2b_10GbE/ta2_unb2b_10GbE.vhd b/applications/ta2/ip/ta2_unb2b_10GbE/ta2_unb2b_10GbE.vhd
index 92e3b7e45f..93d1dc4a5f 100644
--- a/applications/ta2/ip/ta2_unb2b_10GbE/ta2_unb2b_10GbE.vhd
+++ b/applications/ta2/ip/ta2_unb2b_10GbE/ta2_unb2b_10GbE.vhd
@@ -54,12 +54,12 @@
 --   +-----------+---------+--------------------------------------------------------+
 
 library IEEE, common_lib, dp_lib, tech_pll_lib, technology_lib, tech_eth_10g_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity ta2_unb2b_10GbE is
   generic (
@@ -141,17 +141,17 @@ begin
   --------
   g_pll : if g_use_pll generate
     u_tech_pll_xgmii_mac_clocks : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-    generic map (
-      g_technology => c_tech_arria10_e1sg
-    )
-    port map (
-      refclk_644 => clk_ref_r,
-      rst_in     => mm_rst,
-      clk_156    => tr_ref_clk_156,
-      clk_312    => tr_ref_clk_312,
-      rst_156    => tr_ref_rst_156,
-      rst_312    => open
-    );
+      generic map (
+        g_technology => c_tech_arria10_e1sg
+      )
+      port map (
+        refclk_644 => clk_ref_r,
+        rst_in     => mm_rst,
+        clk_156    => tr_ref_clk_156,
+        clk_312    => tr_ref_clk_312,
+        rst_156    => tr_ref_rst_156,
+        rst_312    => open
+      );
   end generate;
 
   gen_no_pll : if not g_use_pll generate
@@ -165,33 +165,33 @@ begin
   ---------------------------------------------------------------------------------------
   -- Apply the clocks from top level down such that they have their rising_edge() aligned without any delta-delay
   u_tech_eth_10g_clocks : entity tech_eth_10g_lib.tech_eth_10g_clocks
-  generic map (
-    g_technology     => c_tech_arria10_e1sg,
-    g_nof_channels   => g_nof_mac
-  )
-  port map (
-    -- Input clocks
-    -- . Reference
-    tr_ref_clk_644    => clk_ref_r,
-    tr_ref_clk_312    => tr_ref_clk_312,
-    tr_ref_clk_156    => tr_ref_clk_156,
-    tr_ref_rst_156    => tr_ref_rst_156,
-
-
-    -- Output clocks
-    -- . Reference
-    eth_ref_clk_644   => eth_ref_clk_644,
-    eth_ref_clk_312   => eth_ref_clk_312,
-    eth_ref_clk_156   => eth_ref_clk_156,
-    eth_ref_rst_156   => eth_ref_rst_156,
-
-    -- . Data
-    eth_tx_clk_arr    => eth_tx_clk_arr,
-    eth_tx_rst_arr    => eth_tx_rst_arr,
-
-    eth_rx_clk_arr    => eth_rx_clk_arr,
-    eth_rx_rst_arr    => eth_rx_rst_arr
-  );
+    generic map (
+      g_technology     => c_tech_arria10_e1sg,
+      g_nof_channels   => g_nof_mac
+    )
+    port map (
+      -- Input clocks
+      -- . Reference
+      tr_ref_clk_644    => clk_ref_r,
+      tr_ref_clk_312    => tr_ref_clk_312,
+      tr_ref_clk_156    => tr_ref_clk_156,
+      tr_ref_rst_156    => tr_ref_rst_156,
+
+
+      -- Output clocks
+      -- . Reference
+      eth_ref_clk_644   => eth_ref_clk_644,
+      eth_ref_clk_312   => eth_ref_clk_312,
+      eth_ref_clk_156   => eth_ref_clk_156,
+      eth_ref_rst_156   => eth_ref_rst_156,
+
+      -- . Data
+      eth_tx_clk_arr    => eth_tx_clk_arr,
+      eth_tx_rst_arr    => eth_tx_rst_arr,
+
+      eth_rx_clk_arr    => eth_rx_clk_arr,
+      eth_rx_rst_arr    => eth_rx_rst_arr
+    );
 
   gen_mac: for mac in 0 to g_nof_mac - 1 generate
     ----------------------------------------------------------------------------
@@ -217,105 +217,105 @@ begin
     -- Latency adapter: adapt RL=0 (OpenCL kernel) to RL=1 (Downstream).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_tx : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 0,
-      g_out_latency => 1
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
+      generic map (
+        g_in_latency  => 0,
+        g_out_latency => 1
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
 
-      snk_in    => dp_latency_adapter_tx_snk_in_arr(mac),
-      snk_out   => dp_latency_adapter_tx_snk_out_arr(mac),
+        snk_in    => dp_latency_adapter_tx_snk_in_arr(mac),
+        snk_out   => dp_latency_adapter_tx_snk_out_arr(mac),
 
-      src_out   => dp_latency_adapter_tx_src_out_arr(mac),
-      src_in    => dp_latency_adapter_tx_src_in_arr(mac)
-    );
+        src_out   => dp_latency_adapter_tx_src_out_arr(mac),
+        src_in    => dp_latency_adapter_tx_src_in_arr(mac)
+      );
 
 
     -----------------------------------------------------------------------------
     -- RX XON frame control
     -----------------------------------------------------------------------------
     u_dp_xonoff : entity dp_lib.dp_xonoff
-    port map (
-      rst      => kernel_reset,
-      clk      => kernel_clk,
+      port map (
+        rst      => kernel_reset,
+        clk      => kernel_clk,
 
-      in_siso  => dp_latency_adapter_tx_src_in_arr(mac),
-      in_sosi  => dp_latency_adapter_tx_src_out_arr(mac),
+        in_siso  => dp_latency_adapter_tx_src_in_arr(mac),
+        in_sosi  => dp_latency_adapter_tx_src_out_arr(mac),
 
-      out_siso => dp_xonoff_src_in_arr(mac),
-      out_sosi => dp_xonoff_src_out_arr(mac)
-    );
+        out_siso => dp_xonoff_src_in_arr(mac),
+        out_sosi => dp_xonoff_src_out_arr(mac)
+      );
 
     ---------------------------------------------------------------------------------------
     -- FIFO FILL with fill level/eop trigger so we can deliver packets to the MAC fast enough
     ---------------------------------------------------------------------------------------
     u_dp_fifo_fill_tx_eop : entity dp_lib.dp_fifo_fill_eop
-    generic map (
-      g_technology     => c_tech_arria10_e1sg,
-      g_use_dual_clock => true,
-      g_data_w         => c_xgmii_data_w,
-      g_empty_w        => c_tech_mac_10g_empty_w,
-      g_use_empty      => true,
-      g_fifo_fill      => c_tx_fifo_fill,
-      g_fifo_size      => c_tx_fifo_size
-    )
-    port map (
-      wr_rst      => kernel_reset,
-      wr_clk      => kernel_clk,
-      rd_rst      => eth_tx_rst_arr(mac),
-      rd_clk      => eth_tx_clk_arr(mac),
-
-      snk_out     => dp_xonoff_src_in_arr(mac),
-      snk_in      => dp_xonoff_src_out_arr(mac),
-
-      src_in      => dp_fifo_fill_tx_src_in_arr(mac),
-      src_out     => dp_fifo_fill_tx_src_out_arr(mac)
-    );
+      generic map (
+        g_technology     => c_tech_arria10_e1sg,
+        g_use_dual_clock => true,
+        g_data_w         => c_xgmii_data_w,
+        g_empty_w        => c_tech_mac_10g_empty_w,
+        g_use_empty      => true,
+        g_fifo_fill      => c_tx_fifo_fill,
+        g_fifo_size      => c_tx_fifo_size
+      )
+      port map (
+        wr_rst      => kernel_reset,
+        wr_clk      => kernel_clk,
+        rd_rst      => eth_tx_rst_arr(mac),
+        rd_clk      => eth_tx_clk_arr(mac),
+
+        snk_out     => dp_xonoff_src_in_arr(mac),
+        snk_in      => dp_xonoff_src_out_arr(mac),
+
+        src_in      => dp_fifo_fill_tx_src_in_arr(mac),
+        src_out     => dp_fifo_fill_tx_src_out_arr(mac)
+      );
 
     ---------------------------------------------------------------------------------------
     -- RX FIFO: rx_clk -> dp_clk
     ---------------------------------------------------------------------------------------
     u_dp_fifo_dc_rx : entity dp_lib.dp_fifo_dc
-    generic map (
-      g_technology  => c_tech_arria10_e1sg,
-      g_data_w      => c_xgmii_data_w,
-      g_empty_w     => c_tech_mac_10g_empty_w,
-      g_use_empty   => true,
-      g_fifo_size   => c_rx_fifo_size
-    )
-    port map (
-      wr_rst      => eth_rx_rst_arr(mac),
-      wr_clk      => eth_rx_clk_arr(mac),
-      rd_rst      => kernel_reset,
-      rd_clk      => kernel_clk,
-
-      snk_out     => mac_10g_src_in_arr(mac),
-      snk_in      => mac_10g_src_out_arr(mac),
-
-      src_in      => dp_fifo_dc_rx_src_in_arr(mac),
-      src_out     => dp_fifo_dc_rx_src_out_arr(mac)
-    );
+      generic map (
+        g_technology  => c_tech_arria10_e1sg,
+        g_data_w      => c_xgmii_data_w,
+        g_empty_w     => c_tech_mac_10g_empty_w,
+        g_use_empty   => true,
+        g_fifo_size   => c_rx_fifo_size
+      )
+      port map (
+        wr_rst      => eth_rx_rst_arr(mac),
+        wr_clk      => eth_rx_clk_arr(mac),
+        rd_rst      => kernel_reset,
+        rd_clk      => kernel_clk,
+
+        snk_out     => mac_10g_src_in_arr(mac),
+        snk_in      => mac_10g_src_out_arr(mac),
+
+        src_in      => dp_fifo_dc_rx_src_in_arr(mac),
+        src_out     => dp_fifo_dc_rx_src_out_arr(mac)
+      );
 
     ----------------------------------------------------------------------------
     -- Latency adapter: adapt RL=1 (Upstream) to RL=0 (OpenCL kernel).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_rx : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => 0
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => 0
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
 
-      snk_in    => dp_fifo_dc_rx_src_out_arr(mac),
-      snk_out   => dp_fifo_dc_rx_src_in_arr(mac),
+        snk_in    => dp_fifo_dc_rx_src_out_arr(mac),
+        snk_out   => dp_fifo_dc_rx_src_in_arr(mac),
 
-      src_out   => dp_latency_adapter_rx_src_out_arr(mac),
-      src_in    => dp_latency_adapter_rx_src_in_arr(mac)
-    );
+        src_out   => dp_latency_adapter_rx_src_out_arr(mac),
+        src_in    => dp_latency_adapter_rx_src_in_arr(mac)
+      );
 
     ----------------------------------------------------------------------------
     -- Data mapping
@@ -335,43 +335,43 @@ begin
     src_out_arr(mac).valid <= dp_latency_adapter_rx_src_out_arr(mac).valid;
     dp_latency_adapter_rx_src_in_arr(mac).ready <= src_in_arr(mac).ready;
     dp_latency_adapter_rx_src_in_arr(mac).xon <= '1';
- end generate;
+  end generate;
 
   ---------------------------------------------------------------------------------------
   -- ETH MAC + PHY
   ---------------------------------------------------------------------------------------
   u_tech_eth_10g : entity tech_eth_10g_lib.tech_eth_10g
-  generic map (
-    g_technology          => c_tech_arria10_e1sg,
-    g_sim                 => c_sim,
-    g_sim_level           => 1,  -- 0 = use IP; 1 = use fast serdes model
-    g_nof_channels        => g_nof_mac,
-    g_direction           => "TX_RX",
-    g_pre_header_padding  => false
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644   => eth_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
-    tr_ref_clk_312   => eth_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-    tr_ref_clk_156   => eth_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-    tr_ref_rst_156   => eth_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-    -- MM
-    mm_clk           => '0',
-    mm_rst           => '0',
-
-    -- ST
-    tx_snk_in_arr    => dp_fifo_fill_tx_src_out_arr,  -- 64 bit data @ 156 MHz
-    tx_snk_out_arr   => dp_fifo_fill_tx_src_in_arr,
-
-    rx_src_out_arr   => mac_10g_src_out_arr,  -- 64 bit data @ 156 MHz
-    rx_src_in_arr    => mac_10g_src_in_arr,
-
-    -- PHY serial IO
-    -- . 10GBASE-R (single lane)
-    serial_tx_arr    => tx_serial_r,
-    serial_rx_arr    => rx_serial_r
-  );
+    generic map (
+      g_technology          => c_tech_arria10_e1sg,
+      g_sim                 => c_sim,
+      g_sim_level           => 1,  -- 0 = use IP; 1 = use fast serdes model
+      g_nof_channels        => g_nof_mac,
+      g_direction           => "TX_RX",
+      g_pre_header_padding  => false
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644   => eth_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
+      tr_ref_clk_312   => eth_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+      tr_ref_clk_156   => eth_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+      tr_ref_rst_156   => eth_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+      -- MM
+      mm_clk           => '0',
+      mm_rst           => '0',
+
+      -- ST
+      tx_snk_in_arr    => dp_fifo_fill_tx_src_out_arr,  -- 64 bit data @ 156 MHz
+      tx_snk_out_arr   => dp_fifo_fill_tx_src_in_arr,
+
+      rx_src_out_arr   => mac_10g_src_out_arr,  -- 64 bit data @ 156 MHz
+      rx_src_in_arr    => mac_10g_src_in_arr,
+
+      -- PHY serial IO
+      -- . 10GBASE-R (single lane)
+      serial_tx_arr    => tx_serial_r,
+      serial_rx_arr    => rx_serial_r
+    );
 
 
 end str;
diff --git a/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE.vhd b/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE.vhd
index d1e8fe88dc..534fb21536 100644
--- a/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE.vhd
+++ b/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE.vhd
@@ -44,11 +44,11 @@
 --   | [38:39]   | empty   | On EOP, this field indicates how many bytes are unused |
 --   +-----------+---------+--------------------------------------------------------+
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity ta2_unb2b_1GbE is
   port (
@@ -96,8 +96,8 @@ architecture str of ta2_unb2b_1GbE is
 
 begin
 
--------------------------------------------------------
- -- Mapping Data from OpenCL kernel to 1GbE Interface --
+  -------------------------------------------------------
+  -- Mapping Data from OpenCL kernel to 1GbE Interface --
   -------------------------------------------------------
 
   ----------------------------------------------------------------------------
@@ -120,20 +120,20 @@ begin
   -- Latency adapter: adapt RL=0 (OpenCL kernel) to RL=1 (Downstream).
   ----------------------------------------------------------------------------
   u_dp_latency_adapter_tx : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => 0,
-    g_out_latency => 1
-  )
-  port map (
-    clk       => kernel_clk,
-    rst       => kernel_reset,
-
-    snk_in    => dp_latency_adapter_tx_snk_in,
-    snk_out   => dp_latency_adapter_tx_snk_out,
-
-    src_out   => dp_latency_adapter_tx_src_out,
-    src_in    => dp_latency_adapter_tx_src_in
-  );
+    generic map (
+      g_in_latency  => 0,
+      g_out_latency => 1
+    )
+    port map (
+      clk       => kernel_clk,
+      rst       => kernel_reset,
+
+      snk_in    => dp_latency_adapter_tx_snk_in,
+      snk_out   => dp_latency_adapter_tx_snk_out,
+
+      src_out   => dp_latency_adapter_tx_src_out,
+      src_in    => dp_latency_adapter_tx_src_in
+    );
 
 
   -----------------------------------------------------------------------------
@@ -141,22 +141,22 @@ begin
   -----------------------------------------------------------------------------
 
   u_dp_xonoff : entity dp_lib.dp_xonoff
-  port map (
-    rst      => kernel_reset,
-    clk      => kernel_clk,
+    port map (
+      rst      => kernel_reset,
+      clk      => kernel_clk,
 
-    in_siso  => dp_latency_adapter_tx_src_in,
-    in_sosi  => dp_latency_adapter_tx_src_out,
+      in_siso  => dp_latency_adapter_tx_src_in,
+      in_sosi  => dp_latency_adapter_tx_src_out,
 
-    out_siso => dp_xonoff_src_in,
-    out_sosi => dp_xonoff_src_out
-  );
+      out_siso => dp_xonoff_src_in,
+      out_sosi => dp_xonoff_src_out
+    );
 
   -----------------------------------------------------------------------------
   -- TX dual clock FIFO
   -----------------------------------------------------------------------------
 
-    u_dp_fifo_dc_tx : entity dp_lib.dp_fifo_dc
+  u_dp_fifo_dc_tx : entity dp_lib.dp_fifo_dc
     generic map (
       g_technology  => c_tech_arria10_e1sg,
       g_data_w      => c_word_w,
@@ -177,14 +177,14 @@ begin
       src_out     => udp_tx_sosi
     );
 
--------------------------------------------------------
- -- Mapping Data from 1GbE Interface to OpenCL kernel --
+  -------------------------------------------------------
+  -- Mapping Data from 1GbE Interface to OpenCL kernel --
   -------------------------------------------------------
   -----------------------------------------------------------------------------
   -- TX dual clock FIFO
   -----------------------------------------------------------------------------
 
-    u_dp_fifo_dc_rx : entity dp_lib.dp_fifo_dc
+  u_dp_fifo_dc_rx : entity dp_lib.dp_fifo_dc
     generic map (
       g_technology  => c_tech_arria10_e1sg,
       g_data_w      => c_word_w,
@@ -209,20 +209,20 @@ begin
   -- Latency adapter: adapt RL=1 (Upstream) to RL=0 (OpenCL kernel).
   ----------------------------------------------------------------------------
   u_dp_latency_adapter_rx : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => 1,
-    g_out_latency => 0
-  )
-  port map (
-    clk       => kernel_clk,
-    rst       => kernel_reset,
-
-    snk_in    => dp_fifo_dc_rx_src_out,
-    snk_out   => dp_fifo_dc_rx_src_in,
-
-    src_out   => dp_latency_adapter_rx_src_out,
-    src_in    => dp_latency_adapter_rx_src_in
-  );
+    generic map (
+      g_in_latency  => 1,
+      g_out_latency => 0
+    )
+    port map (
+      clk       => kernel_clk,
+      rst       => kernel_reset,
+
+      snk_in    => dp_fifo_dc_rx_src_out,
+      snk_out   => dp_fifo_dc_rx_src_in,
+
+      src_out   => dp_latency_adapter_rx_src_out,
+      src_in    => dp_latency_adapter_rx_src_in
+    );
 
 
   ----------------------------------------------------------------------------
diff --git a/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE_ip_wrapper.vhd b/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE_ip_wrapper.vhd
index 0f59d6bcbb..6cd7d595a3 100644
--- a/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE_ip_wrapper.vhd
+++ b/applications/ta2/ip/ta2_unb2b_1GbE/ta2_unb2b_1GbE_ip_wrapper.vhd
@@ -24,7 +24,7 @@
 -- Purpose:
 -- . Instantiates ta2_unb2b_1GbE component
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ta2_unb2b_1GbE_ip_wrapper is
   port (
@@ -67,38 +67,38 @@ architecture str of ta2_unb2b_1GbE_ip_wrapper is
   -- ta2_unb2b_1GbE Component
   ----------------------------------------------------------------------------
   component ta2_unb2b_1GbE is
-  port (
-    st_clk             : in std_logic;
-    st_rst             : in std_logic;
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_data   : out std_logic_vector(39 downto 0);
-    udp_tx_sosi_valid  : out std_logic;
-    udp_tx_sosi_sop    : out std_logic;
-    udp_tx_sosi_eop    : out std_logic;
-    udp_tx_sosi_empty  : out std_logic_vector(1 downto 0);
-    udp_tx_siso_ready  : in  std_logic;
-    udp_tx_siso_xon    : in  std_logic;
-
-    udp_rx_sosi_data   : in  std_logic_vector(39 downto 0);
-    udp_rx_sosi_valid  : in  std_logic;
-    udp_rx_sosi_sop    : in  std_logic;
-    udp_rx_sosi_eop    : in  std_logic;
-    udp_rx_sosi_empty  : in  std_logic_vector(1 downto 0);
-    udp_rx_siso_ready  : out std_logic;
-    udp_rx_siso_xon    : out std_logic;
-
-    kernel_clk         : in  std_logic;  -- Kernel clock (runs the kernel_* I/O below)
-    kernel_reset       : in  std_logic;
-
-    kernel_src_data    : out std_logic_vector(39 downto 0);  -- RX Data to kernel
-    kernel_src_valid   : out std_logic;  -- RX data valid signal to kernel
-    kernel_src_ready   : in  std_logic;  -- Flow control from kernel
-
-    kernel_snk_data    : in  std_logic_vector(39 downto 0);  -- TX Data from kernel
-    kernel_snk_valid   : in  std_logic;  -- TX data valid signal from kernel
-    kernel_snk_ready   : out std_logic  -- Flow control towards kernel
-  );
+    port (
+      st_clk             : in std_logic;
+      st_rst             : in std_logic;
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_data   : out std_logic_vector(39 downto 0);
+      udp_tx_sosi_valid  : out std_logic;
+      udp_tx_sosi_sop    : out std_logic;
+      udp_tx_sosi_eop    : out std_logic;
+      udp_tx_sosi_empty  : out std_logic_vector(1 downto 0);
+      udp_tx_siso_ready  : in  std_logic;
+      udp_tx_siso_xon    : in  std_logic;
+
+      udp_rx_sosi_data   : in  std_logic_vector(39 downto 0);
+      udp_rx_sosi_valid  : in  std_logic;
+      udp_rx_sosi_sop    : in  std_logic;
+      udp_rx_sosi_eop    : in  std_logic;
+      udp_rx_sosi_empty  : in  std_logic_vector(1 downto 0);
+      udp_rx_siso_ready  : out std_logic;
+      udp_rx_siso_xon    : out std_logic;
+
+      kernel_clk         : in  std_logic;  -- Kernel clock (runs the kernel_* I/O below)
+      kernel_reset       : in  std_logic;
+
+      kernel_src_data    : out std_logic_vector(39 downto 0);  -- RX Data to kernel
+      kernel_src_valid   : out std_logic;  -- RX data valid signal to kernel
+      kernel_src_ready   : in  std_logic;  -- Flow control from kernel
+
+      kernel_snk_data    : in  std_logic_vector(39 downto 0);  -- TX Data from kernel
+      kernel_snk_valid   : in  std_logic;  -- TX data valid signal from kernel
+      kernel_snk_ready   : out std_logic  -- Flow control towards kernel
+    );
   end component ta2_unb2b_1GbE;
 
 begin
diff --git a/applications/ta2/ip/ta2_unb2b_40GbE/ta2_unb2b_40GbE.vhd b/applications/ta2/ip/ta2_unb2b_40GbE/ta2_unb2b_40GbE.vhd
index 2fce7e9a33..ea02008cf0 100644
--- a/applications/ta2/ip/ta2_unb2b_40GbE/ta2_unb2b_40GbE.vhd
+++ b/applications/ta2/ip/ta2_unb2b_40GbE/ta2_unb2b_40GbE.vhd
@@ -51,9 +51,9 @@
 --   | 259:263   | empty   | On EOP, this field indicates how many bytes are unused |
 --   +-----------+---------+--------------------------------------------------------+
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity ta2_unb2b_40GbE is
   generic (
@@ -155,118 +155,118 @@ architecture str of ta2_unb2b_40GbE is
   -- ATX PLL Component
   ----------------------------------------------------------------------------
   component arria10_40g_atx_pll is
-  port (
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked
-    pll_powerdown : in  std_logic := 'X';  -- pll_powerdown
-    pll_refclk0   : in  std_logic := 'X';  -- clk
-    tx_serial_clk : out std_logic  -- clk
-  );
+    port (
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked
+      pll_powerdown : in  std_logic := 'X';  -- pll_powerdown
+      pll_refclk0   : in  std_logic := 'X';  -- clk
+      tx_serial_clk : out std_logic  -- clk
+    );
   end component arria10_40g_atx_pll;
 
   ----------------------------------------------------------------------------
   -- 40G ETH IP Component
   ----------------------------------------------------------------------------
   component arria10_40g_mac is
-  port (
-    l4_rx_error           : out std_logic_vector(5 downto 0);  -- l4_rx_error
-    l4_rx_status          : out std_logic_vector(2 downto 0);  -- l4_rx_status
-    l4_rx_valid           : out std_logic;  -- l4_rx_valid
-    l4_rx_startofpacket   : out std_logic;  -- l4_rx_startofpacket
-    l4_rx_endofpacket     : out std_logic;  -- l4_rx_endofpacket
-    l4_rx_data            : out std_logic_vector(255 downto 0);  -- l4_rx_data
-    l4_rx_empty           : out std_logic_vector(4 downto 0);  -- l4_rx_empty
-    l4_rx_fcs_error       : out std_logic;  -- l4_rx_fcs_error
-    l4_rx_fcs_valid       : out std_logic;  -- l4_rx_fcs_valid
-    l4_tx_startofpacket   : in  std_logic                      := 'X';  -- l4_tx_startofpacket
-    l4_tx_endofpacket     : in  std_logic                      := 'X';  -- l4_tx_endofpacket
-    l4_tx_valid           : in  std_logic                      := 'X';  -- l4_tx_valid
-    l4_tx_ready           : out std_logic;  -- l4_tx_ready
-    l4_tx_empty           : in  std_logic_vector(4 downto 0)   := (others => 'X');  -- l4_tx_empty
-    l4_tx_data            : in  std_logic_vector(255 downto 0) := (others => 'X');  -- l4_tx_data
-    l4_tx_error           : in  std_logic                      := 'X';  -- l4_tx_error
-    clk_ref               : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- clk_ref
-    clk_rxmac             : out std_logic_vector(0 downto 0);  -- clk_rxmac
-    clk_status            : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- clk_status
-    clk_txmac             : out std_logic_vector(0 downto 0);  -- clk_txmac
-    reconfig_address      : in  std_logic_vector(11 downto 0)  := (others => 'X');  -- reconfig_address
-    reconfig_clk          : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_clk
-    reconfig_read         : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_read
-    reconfig_readdata     : out std_logic_vector(31 downto 0);  -- reconfig_readdata
-    reconfig_reset        : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_reset
-    reconfig_waitrequest  : out std_logic_vector(0 downto 0);  -- reconfig_waitrequest
-    reconfig_write        : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_write
-    reconfig_writedata    : in  std_logic_vector(31 downto 0)  := (others => 'X');  -- reconfig_writedata
-    reset_async           : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reset_async
-    reset_status          : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reset_status
-    rx_pcs_ready          : out std_logic_vector(0 downto 0);  -- rx_pcs_ready
-    rx_serial             : in  std_logic_vector(3 downto 0)   := (others => 'X');  -- rx_serial
-    rx_inc_octetsOK       : out std_logic_vector(15 downto 0);  -- rx_inc_octetsOK
-    rx_inc_octetsOK_valid : out std_logic_vector(0 downto 0);  -- rx_inc_octetsOK_valid
-    rx_inc_runt           : out std_logic_vector(0 downto 0);  -- rx_inc_runt
-    rx_inc_64             : out std_logic_vector(0 downto 0);  -- rx_inc_64
-    rx_inc_127            : out std_logic_vector(0 downto 0);  -- rx_inc_127
-    rx_inc_255            : out std_logic_vector(0 downto 0);  -- rx_inc_255
-    rx_inc_511            : out std_logic_vector(0 downto 0);  -- rx_inc_511
-    rx_inc_1023           : out std_logic_vector(0 downto 0);  -- rx_inc_1023
-    rx_inc_1518           : out std_logic_vector(0 downto 0);  -- rx_inc_1518
-    rx_inc_max            : out std_logic_vector(0 downto 0);  -- rx_inc_max
-    rx_inc_over           : out std_logic_vector(0 downto 0);  -- rx_inc_over
-    rx_inc_mcast_data_err : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_data_err
-    rx_inc_mcast_data_ok  : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_data_ok
-    rx_inc_bcast_data_err : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_data_err
-    rx_inc_bcast_data_ok  : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_data_ok
-    rx_inc_ucast_data_err : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_data_err
-    rx_inc_ucast_data_ok  : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_data_ok
-    rx_inc_mcast_ctrl     : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_ctrl
-    rx_inc_bcast_ctrl     : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_ctrl
-    rx_inc_ucast_ctrl     : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_ctrl
-    rx_inc_pause          : out std_logic_vector(0 downto 0);  -- rx_inc_pause
-    rx_inc_fcs_err        : out std_logic_vector(0 downto 0);  -- rx_inc_fcs_err
-    rx_inc_fragment       : out std_logic_vector(0 downto 0);  -- rx_inc_fragment
-    rx_inc_jabber         : out std_logic_vector(0 downto 0);  -- rx_inc_jabber
-    rx_inc_sizeok_fcserr  : out std_logic_vector(0 downto 0);  -- rx_inc_sizeok_fcserr
-    rx_inc_pause_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_pause_ctrl_err
-    rx_inc_mcast_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_ctrl_err
-    rx_inc_bcast_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_ctrl_err
-    rx_inc_ucast_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_ctrl_err
-    status_write          : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- status_write
-    status_read           : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- status_read
-    status_addr           : in  std_logic_vector(15 downto 0)  := (others => 'X');  -- status_addr
-    status_writedata      : in  std_logic_vector(31 downto 0)  := (others => 'X');  -- status_writedata
-    status_readdata       : out std_logic_vector(31 downto 0);  -- status_readdata
-    status_readdata_valid : out std_logic_vector(0 downto 0);  -- status_readdata_valid
-    status_waitrequest    : out std_logic_vector(0 downto 0);  -- status_waitrequest
-    status_read_timeout   : out std_logic_vector(0 downto 0);  -- status_read_timeout
-    tx_lanes_stable       : out std_logic_vector(0 downto 0);  -- tx_lanes_stable
-    tx_pll_locked         : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- tx_pll_locked
-    tx_serial             : out std_logic_vector(3 downto 0);  -- tx_serial
-    tx_serial_clk         : in  std_logic_vector(3 downto 0)   := (others => 'X');  -- tx_serial_clk
-    tx_inc_octetsOK       : out std_logic_vector(15 downto 0);  -- tx_inc_octetsOK
-    tx_inc_octetsOK_valid : out std_logic_vector(0 downto 0);  -- tx_inc_octetsOK_valid
-    tx_inc_64             : out std_logic_vector(0 downto 0);  -- tx_inc_64
-    tx_inc_127            : out std_logic_vector(0 downto 0);  -- tx_inc_127
-    tx_inc_255            : out std_logic_vector(0 downto 0);  -- tx_inc_255
-    tx_inc_511            : out std_logic_vector(0 downto 0);  -- tx_inc_511
-    tx_inc_1023           : out std_logic_vector(0 downto 0);  -- tx_inc_1023
-    tx_inc_1518           : out std_logic_vector(0 downto 0);  -- tx_inc_1518
-    tx_inc_max            : out std_logic_vector(0 downto 0);  -- tx_inc_max
-    tx_inc_over           : out std_logic_vector(0 downto 0);  -- tx_inc_over
-    tx_inc_mcast_data_err : out std_logic_vector(0 downto 0);  -- tx_inc_mcast_data_err
-    tx_inc_mcast_data_ok  : out std_logic_vector(0 downto 0);  -- tx_inc_mcast_data_ok
-    tx_inc_bcast_data_err : out std_logic_vector(0 downto 0);  -- tx_inc_bcast_data_err
-    tx_inc_bcast_data_ok  : out std_logic_vector(0 downto 0);  -- tx_inc_bcast_data_ok
-    tx_inc_ucast_data_err : out std_logic_vector(0 downto 0);  -- tx_inc_ucast_data_err
-    tx_inc_ucast_data_ok  : out std_logic_vector(0 downto 0);  -- tx_inc_ucast_data_ok
-    tx_inc_mcast_ctrl     : out std_logic_vector(0 downto 0);  -- tx_inc_mcast_ctrl
-    tx_inc_bcast_ctrl     : out std_logic_vector(0 downto 0);  -- tx_inc_bcast_ctrl
-    tx_inc_ucast_ctrl     : out std_logic_vector(0 downto 0);  -- tx_inc_ucast_ctrl
-    tx_inc_pause          : out std_logic_vector(0 downto 0);  -- tx_inc_pause
-    tx_inc_fcs_err        : out std_logic_vector(0 downto 0);  -- tx_inc_fcs_err
-    tx_inc_fragment       : out std_logic_vector(0 downto 0);  -- tx_inc_fragment
-    tx_inc_jabber         : out std_logic_vector(0 downto 0);  -- tx_inc_jabber
-    tx_inc_sizeok_fcserr  : out std_logic_vector(0 downto 0)  -- tx_inc_sizeok_fcserr
-  );
+    port (
+      l4_rx_error           : out std_logic_vector(5 downto 0);  -- l4_rx_error
+      l4_rx_status          : out std_logic_vector(2 downto 0);  -- l4_rx_status
+      l4_rx_valid           : out std_logic;  -- l4_rx_valid
+      l4_rx_startofpacket   : out std_logic;  -- l4_rx_startofpacket
+      l4_rx_endofpacket     : out std_logic;  -- l4_rx_endofpacket
+      l4_rx_data            : out std_logic_vector(255 downto 0);  -- l4_rx_data
+      l4_rx_empty           : out std_logic_vector(4 downto 0);  -- l4_rx_empty
+      l4_rx_fcs_error       : out std_logic;  -- l4_rx_fcs_error
+      l4_rx_fcs_valid       : out std_logic;  -- l4_rx_fcs_valid
+      l4_tx_startofpacket   : in  std_logic                      := 'X';  -- l4_tx_startofpacket
+      l4_tx_endofpacket     : in  std_logic                      := 'X';  -- l4_tx_endofpacket
+      l4_tx_valid           : in  std_logic                      := 'X';  -- l4_tx_valid
+      l4_tx_ready           : out std_logic;  -- l4_tx_ready
+      l4_tx_empty           : in  std_logic_vector(4 downto 0)   := (others => 'X');  -- l4_tx_empty
+      l4_tx_data            : in  std_logic_vector(255 downto 0) := (others => 'X');  -- l4_tx_data
+      l4_tx_error           : in  std_logic                      := 'X';  -- l4_tx_error
+      clk_ref               : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- clk_ref
+      clk_rxmac             : out std_logic_vector(0 downto 0);  -- clk_rxmac
+      clk_status            : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- clk_status
+      clk_txmac             : out std_logic_vector(0 downto 0);  -- clk_txmac
+      reconfig_address      : in  std_logic_vector(11 downto 0)  := (others => 'X');  -- reconfig_address
+      reconfig_clk          : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_clk
+      reconfig_read         : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_read
+      reconfig_readdata     : out std_logic_vector(31 downto 0);  -- reconfig_readdata
+      reconfig_reset        : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_reset
+      reconfig_waitrequest  : out std_logic_vector(0 downto 0);  -- reconfig_waitrequest
+      reconfig_write        : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reconfig_write
+      reconfig_writedata    : in  std_logic_vector(31 downto 0)  := (others => 'X');  -- reconfig_writedata
+      reset_async           : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reset_async
+      reset_status          : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- reset_status
+      rx_pcs_ready          : out std_logic_vector(0 downto 0);  -- rx_pcs_ready
+      rx_serial             : in  std_logic_vector(3 downto 0)   := (others => 'X');  -- rx_serial
+      rx_inc_octetsOK       : out std_logic_vector(15 downto 0);  -- rx_inc_octetsOK
+      rx_inc_octetsOK_valid : out std_logic_vector(0 downto 0);  -- rx_inc_octetsOK_valid
+      rx_inc_runt           : out std_logic_vector(0 downto 0);  -- rx_inc_runt
+      rx_inc_64             : out std_logic_vector(0 downto 0);  -- rx_inc_64
+      rx_inc_127            : out std_logic_vector(0 downto 0);  -- rx_inc_127
+      rx_inc_255            : out std_logic_vector(0 downto 0);  -- rx_inc_255
+      rx_inc_511            : out std_logic_vector(0 downto 0);  -- rx_inc_511
+      rx_inc_1023           : out std_logic_vector(0 downto 0);  -- rx_inc_1023
+      rx_inc_1518           : out std_logic_vector(0 downto 0);  -- rx_inc_1518
+      rx_inc_max            : out std_logic_vector(0 downto 0);  -- rx_inc_max
+      rx_inc_over           : out std_logic_vector(0 downto 0);  -- rx_inc_over
+      rx_inc_mcast_data_err : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_data_err
+      rx_inc_mcast_data_ok  : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_data_ok
+      rx_inc_bcast_data_err : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_data_err
+      rx_inc_bcast_data_ok  : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_data_ok
+      rx_inc_ucast_data_err : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_data_err
+      rx_inc_ucast_data_ok  : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_data_ok
+      rx_inc_mcast_ctrl     : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_ctrl
+      rx_inc_bcast_ctrl     : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_ctrl
+      rx_inc_ucast_ctrl     : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_ctrl
+      rx_inc_pause          : out std_logic_vector(0 downto 0);  -- rx_inc_pause
+      rx_inc_fcs_err        : out std_logic_vector(0 downto 0);  -- rx_inc_fcs_err
+      rx_inc_fragment       : out std_logic_vector(0 downto 0);  -- rx_inc_fragment
+      rx_inc_jabber         : out std_logic_vector(0 downto 0);  -- rx_inc_jabber
+      rx_inc_sizeok_fcserr  : out std_logic_vector(0 downto 0);  -- rx_inc_sizeok_fcserr
+      rx_inc_pause_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_pause_ctrl_err
+      rx_inc_mcast_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_mcast_ctrl_err
+      rx_inc_bcast_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_bcast_ctrl_err
+      rx_inc_ucast_ctrl_err : out std_logic_vector(0 downto 0);  -- rx_inc_ucast_ctrl_err
+      status_write          : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- status_write
+      status_read           : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- status_read
+      status_addr           : in  std_logic_vector(15 downto 0)  := (others => 'X');  -- status_addr
+      status_writedata      : in  std_logic_vector(31 downto 0)  := (others => 'X');  -- status_writedata
+      status_readdata       : out std_logic_vector(31 downto 0);  -- status_readdata
+      status_readdata_valid : out std_logic_vector(0 downto 0);  -- status_readdata_valid
+      status_waitrequest    : out std_logic_vector(0 downto 0);  -- status_waitrequest
+      status_read_timeout   : out std_logic_vector(0 downto 0);  -- status_read_timeout
+      tx_lanes_stable       : out std_logic_vector(0 downto 0);  -- tx_lanes_stable
+      tx_pll_locked         : in  std_logic_vector(0 downto 0)   := (others => 'X');  -- tx_pll_locked
+      tx_serial             : out std_logic_vector(3 downto 0);  -- tx_serial
+      tx_serial_clk         : in  std_logic_vector(3 downto 0)   := (others => 'X');  -- tx_serial_clk
+      tx_inc_octetsOK       : out std_logic_vector(15 downto 0);  -- tx_inc_octetsOK
+      tx_inc_octetsOK_valid : out std_logic_vector(0 downto 0);  -- tx_inc_octetsOK_valid
+      tx_inc_64             : out std_logic_vector(0 downto 0);  -- tx_inc_64
+      tx_inc_127            : out std_logic_vector(0 downto 0);  -- tx_inc_127
+      tx_inc_255            : out std_logic_vector(0 downto 0);  -- tx_inc_255
+      tx_inc_511            : out std_logic_vector(0 downto 0);  -- tx_inc_511
+      tx_inc_1023           : out std_logic_vector(0 downto 0);  -- tx_inc_1023
+      tx_inc_1518           : out std_logic_vector(0 downto 0);  -- tx_inc_1518
+      tx_inc_max            : out std_logic_vector(0 downto 0);  -- tx_inc_max
+      tx_inc_over           : out std_logic_vector(0 downto 0);  -- tx_inc_over
+      tx_inc_mcast_data_err : out std_logic_vector(0 downto 0);  -- tx_inc_mcast_data_err
+      tx_inc_mcast_data_ok  : out std_logic_vector(0 downto 0);  -- tx_inc_mcast_data_ok
+      tx_inc_bcast_data_err : out std_logic_vector(0 downto 0);  -- tx_inc_bcast_data_err
+      tx_inc_bcast_data_ok  : out std_logic_vector(0 downto 0);  -- tx_inc_bcast_data_ok
+      tx_inc_ucast_data_err : out std_logic_vector(0 downto 0);  -- tx_inc_ucast_data_err
+      tx_inc_ucast_data_ok  : out std_logic_vector(0 downto 0);  -- tx_inc_ucast_data_ok
+      tx_inc_mcast_ctrl     : out std_logic_vector(0 downto 0);  -- tx_inc_mcast_ctrl
+      tx_inc_bcast_ctrl     : out std_logic_vector(0 downto 0);  -- tx_inc_bcast_ctrl
+      tx_inc_ucast_ctrl     : out std_logic_vector(0 downto 0);  -- tx_inc_ucast_ctrl
+      tx_inc_pause          : out std_logic_vector(0 downto 0);  -- tx_inc_pause
+      tx_inc_fcs_err        : out std_logic_vector(0 downto 0);  -- tx_inc_fcs_err
+      tx_inc_fragment       : out std_logic_vector(0 downto 0);  -- tx_inc_fragment
+      tx_inc_jabber         : out std_logic_vector(0 downto 0);  -- tx_inc_jabber
+      tx_inc_sizeok_fcserr  : out std_logic_vector(0 downto 0)  -- tx_inc_sizeok_fcserr
+    );
   end component arria10_40g_mac;
 
 
@@ -294,84 +294,84 @@ begin
     -- Latency adapter: adapt RL=0 (OpenCL kernel) to RL=1 (downstream).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_tx_a : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 0,
-      g_out_latency => 1
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
-
-      snk_in    => dp_latency_adapter_tx_a_snk_in_arr(mac),
-      snk_out   => dp_latency_adapter_tx_a_snk_out_arr(mac),
-
-      src_out   => dp_latency_adapter_tx_a_src_out_arr(mac),
-      src_in    => dp_latency_adapter_tx_a_src_in_arr(mac)
-    );
+      generic map (
+        g_in_latency  => 0,
+        g_out_latency => 1
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
+
+        snk_in    => dp_latency_adapter_tx_a_snk_in_arr(mac),
+        snk_out   => dp_latency_adapter_tx_a_snk_out_arr(mac),
+
+        src_out   => dp_latency_adapter_tx_a_src_out_arr(mac),
+        src_in    => dp_latency_adapter_tx_a_src_in_arr(mac)
+      );
 
     ----------------------------------------------------------------------------
     -- dp_xonoff: discard all TX frames until 40G MAC TX side is ready
     ----------------------------------------------------------------------------
     u_dp_xonoff : entity dp_lib.dp_xonoff
-    port map (
-      clk           => kernel_clk,
-      rst           => kernel_reset,
+      port map (
+        clk           => kernel_clk,
+        rst           => kernel_reset,
 
-      in_sosi       => dp_latency_adapter_tx_a_src_out_arr(mac),
-      in_siso       => dp_latency_adapter_tx_a_src_in_arr(mac),
+        in_sosi       => dp_latency_adapter_tx_a_src_out_arr(mac),
+        in_siso       => dp_latency_adapter_tx_a_src_in_arr(mac),
 
-      out_sosi      => dp_xonoff_src_out_arr(mac),
-      out_siso      => dp_xonoff_src_in_arr(mac)  -- flush control via out_siso.xon
-    );
+        out_sosi      => dp_xonoff_src_out_arr(mac),
+        out_siso      => dp_xonoff_src_in_arr(mac)  -- flush control via out_siso.xon
+      );
 
     ----------------------------------------------------------------------------
     -- TX FIFO
     ----------------------------------------------------------------------------
     u_dp_fifo_fill_eop : entity dp_lib.dp_fifo_fill_eop
-    generic map (
-      g_data_w         => c_data_w,
-      g_use_dual_clock => true,
-      g_empty_w        => 8,
-      g_use_empty      => true,
-      g_use_bsn        => false,
-      g_bsn_w          => 64,
-      g_use_channel    => false,
-      g_use_sync       => false,
-      g_fifo_size      => c_tx_fifo_size,
-      g_fifo_fill      => c_tx_fifo_fill
-   )
-    port map (
-      wr_clk  => kernel_clk,
-      wr_rst  => kernel_reset,
-
-      rd_clk  => clk_txmac_arr(mac),
-      rd_rst  => rst_txmac_arr(mac),
-
-      snk_in  => dp_xonoff_src_out_arr(mac),
-      snk_out => dp_xonoff_src_in_arr(mac),
-
-      src_out => dp_fifo_fill_eop_src_out_arr(mac),
-      src_in  => dp_fifo_fill_eop_src_in_arr(mac)
-    );
+      generic map (
+        g_data_w         => c_data_w,
+        g_use_dual_clock => true,
+        g_empty_w        => 8,
+        g_use_empty      => true,
+        g_use_bsn        => false,
+        g_bsn_w          => 64,
+        g_use_channel    => false,
+        g_use_sync       => false,
+        g_fifo_size      => c_tx_fifo_size,
+        g_fifo_fill      => c_tx_fifo_fill
+      )
+      port map (
+        wr_clk  => kernel_clk,
+        wr_rst  => kernel_reset,
+
+        rd_clk  => clk_txmac_arr(mac),
+        rd_rst  => rst_txmac_arr(mac),
+
+        snk_in  => dp_xonoff_src_out_arr(mac),
+        snk_out => dp_xonoff_src_in_arr(mac),
+
+        src_out => dp_fifo_fill_eop_src_out_arr(mac),
+        src_in  => dp_fifo_fill_eop_src_in_arr(mac)
+      );
 
     ----------------------------------------------------------------------------
     -- Latency adapter: adapt RL=1 (upstream) to RL=0 (MAC TX interface).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_tx_b : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => 0
-    )
-    port map (
-      clk       => clk_txmac_arr(mac),
-      rst       => rst_txmac_arr(mac),
-
-      snk_in    => dp_fifo_fill_eop_src_out_arr(mac),
-      snk_out   => dp_fifo_fill_eop_src_in_arr(mac),
-
-      src_out   => dp_latency_adapter_tx_b_src_out_arr(mac),
-      src_in    => dp_latency_adapter_tx_b_src_in_arr(mac)
-    );
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => 0
+      )
+      port map (
+        clk       => clk_txmac_arr(mac),
+        rst       => rst_txmac_arr(mac),
+
+        snk_in    => dp_fifo_fill_eop_src_out_arr(mac),
+        snk_out   => dp_fifo_fill_eop_src_in_arr(mac),
+
+        src_out   => dp_latency_adapter_tx_b_src_out_arr(mac),
+        src_in    => dp_latency_adapter_tx_b_src_in_arr(mac)
+      );
 
     ----------------------------------------------------------------------------
     -- 40G MAC IP
@@ -381,110 +381,110 @@ begin
 
     u_arria10_40g_mac : arria10_40g_mac
       port map (
-         reset_async(0)         => mm_rst,
-         clk_txmac(0)           => clk_txmac_arr(mac),  -- MAC + PCS clock - at least 312.5Mhz
-         clk_rxmac(0)           => clk_rxmac_arr(mac),  -- MAC + PCS clock - at least 312.5Mhz
-         clk_ref(0)             => clk_ref_r,
-         rx_pcs_ready(0)        => rx_pcs_ready_arr(mac),
-
-         tx_serial_clk          => serial_clk_2arr(mac),
-         tx_pll_locked(0)       => pll_locked_arr(mac),
-
-         clk_status(0)          => mm_clk,
-         reset_status(0)        => mm_rst,
-         status_addr            => (others => '0'),
-         status_read            => (others => '0'),
-         status_write           => (others => '0'),
-         status_writedata       => (others => '0'),
---       status_readdata        => status_readdata_eth,
---       status_read_timeout    => status_read_timeout,
---       status_readdata_valid  => status_readdata_valid_eth,
-
-         reconfig_clk(0)        => mm_clk,
-         reconfig_reset(0)      => mm_rst,
-         reconfig_write         => (others => '0'),
-         reconfig_read          => (others => '0'),
-         reconfig_address       => (others => '0'),
-         reconfig_writedata     => (others => '0'),
---       reconfig_readdata      => reco_readdata[31:0],
---       reconfig_waitrequest   => reco_waitrequest,
-
-         l4_tx_data             => l4_tx_sosi_arr(mac).data(255 downto 0),
-         l4_tx_empty            => l4_tx_sosi_arr(mac).empty(4 downto 0),
-         l4_tx_startofpacket    => l4_tx_sosi_arr(mac).sop,
-         l4_tx_endofpacket      => l4_tx_sosi_arr(mac).eop,
-         l4_tx_ready            => l4_tx_siso_arr(mac).ready,
-         l4_tx_valid            => l4_tx_sosi_arr(mac).valid,
-         l4_tx_error            => '0',
-
-         l4_rx_data             => l4_rx_sosi_arr(mac).data(255 downto 0),
-         l4_rx_empty            => l4_rx_sosi_arr(mac).empty(4 downto 0),
-         l4_rx_startofpacket    => l4_rx_sosi_arr(mac).sop,
-         l4_rx_endofpacket      => l4_rx_sosi_arr(mac).eop,
-  --       l4_rx_error            => ,
-         l4_rx_valid            => l4_rx_sosi_arr(mac).valid,
-
-  --        l4_rx_status                  (),
-  --        l4_rx_fcs_error               (),
-  --        l4_rx_fcs_valid               (),
-  --        rx_inc_octetsOK               (),
-  --        rx_inc_octetsOK_valid         (),
-  --        rx_inc_runt                   (),
-  --        rx_inc_64                     (),
-  --        rx_inc_127                    (),
-  --        rx_inc_255                    (),
-  --        rx_inc_511                    (),
-  --        rx_inc_1023                   (),
-  --        rx_inc_1518                   (),
-  --        rx_inc_max                    (),
-  --        rx_inc_over                   (),
-  --        rx_inc_mcast_data_err         (),
-  --        rx_inc_mcast_data_ok          (),
-  --        rx_inc_bcast_data_err         (),
-  --        rx_inc_bcast_data_ok          (),
-  --        rx_inc_ucast_data_err         (),
-  --        rx_inc_ucast_data_ok          (),
-  --        rx_inc_mcast_ctrl             (),
-  --        rx_inc_bcast_ctrl             (),
-  --        rx_inc_ucast_ctrl             (),
-  --        rx_inc_pause                  (),
-  --        rx_inc_fcs_err                (),
-  --        rx_inc_fragment               (),
-  --        rx_inc_jabber                 (),
-  --        rx_inc_sizeok_fcserr          (),
-  --        rx_inc_pause_ctrl_err         (),
-  --        rx_inc_mcast_ctrl_err         (),
-  --        rx_inc_bcast_ctrl_err         (),
-  --        rx_inc_ucast_ctrl_err         (),
-  --        status_waitrequest            (),
-         tx_lanes_stable(0)     => l4_tx_siso_arr(mac).xon,
-  --        tx_inc_octetsOK               (),
-  --        tx_inc_octetsOK_valid         (),
-  --        tx_inc_64                     (),
-  --        tx_inc_127                    (),
-  --        tx_inc_255                    (),
-  --        tx_inc_511                    (),
-  --        tx_inc_1023                   (),
-  --        tx_inc_1518                   (),
-  --        tx_inc_max                    (),
-  --        tx_inc_over                   (),
-  --        tx_inc_mcast_data_err         (),
-  --        tx_inc_mcast_data_ok          (),
-  --        tx_inc_bcast_data_err         (),
-  --        tx_inc_bcast_data_ok          (),
-  --        tx_inc_ucast_data_err         (),
-  --        tx_inc_ucast_data_ok          (),
-  --        tx_inc_mcast_ctrl             (),
-  --        tx_inc_bcast_ctrl             (),
-  --        tx_inc_ucast_ctrl             (),
-  --        tx_inc_pause                  (),
-  --        tx_inc_fcs_err                (),
-  --        tx_inc_fragment               (),
-  --        tx_inc_jabber                 (),
-  --        tx_inc_sizeok_fcserr          (),
-
-         tx_serial              => tx_serial_r(4 * (mac + 1) - 1 downto 4 * mac),
-         rx_serial              => rx_serial_r(4 * (mac + 1) - 1 downto 4 * mac)
+        reset_async(0)         => mm_rst,
+        clk_txmac(0)           => clk_txmac_arr(mac),  -- MAC + PCS clock - at least 312.5Mhz
+        clk_rxmac(0)           => clk_rxmac_arr(mac),  -- MAC + PCS clock - at least 312.5Mhz
+        clk_ref(0)             => clk_ref_r,
+        rx_pcs_ready(0)        => rx_pcs_ready_arr(mac),
+
+        tx_serial_clk          => serial_clk_2arr(mac),
+        tx_pll_locked(0)       => pll_locked_arr(mac),
+
+        clk_status(0)          => mm_clk,
+        reset_status(0)        => mm_rst,
+        status_addr            => (others => '0'),
+        status_read            => (others => '0'),
+        status_write           => (others => '0'),
+        status_writedata       => (others => '0'),
+        --       status_readdata        => status_readdata_eth,
+        --       status_read_timeout    => status_read_timeout,
+        --       status_readdata_valid  => status_readdata_valid_eth,
+
+        reconfig_clk(0)        => mm_clk,
+        reconfig_reset(0)      => mm_rst,
+        reconfig_write         => (others => '0'),
+        reconfig_read          => (others => '0'),
+        reconfig_address       => (others => '0'),
+        reconfig_writedata     => (others => '0'),
+        --       reconfig_readdata      => reco_readdata[31:0],
+        --       reconfig_waitrequest   => reco_waitrequest,
+
+        l4_tx_data             => l4_tx_sosi_arr(mac).data(255 downto 0),
+        l4_tx_empty            => l4_tx_sosi_arr(mac).empty(4 downto 0),
+        l4_tx_startofpacket    => l4_tx_sosi_arr(mac).sop,
+        l4_tx_endofpacket      => l4_tx_sosi_arr(mac).eop,
+        l4_tx_ready            => l4_tx_siso_arr(mac).ready,
+        l4_tx_valid            => l4_tx_sosi_arr(mac).valid,
+        l4_tx_error            => '0',
+
+        l4_rx_data             => l4_rx_sosi_arr(mac).data(255 downto 0),
+        l4_rx_empty            => l4_rx_sosi_arr(mac).empty(4 downto 0),
+        l4_rx_startofpacket    => l4_rx_sosi_arr(mac).sop,
+        l4_rx_endofpacket      => l4_rx_sosi_arr(mac).eop,
+        --       l4_rx_error            => ,
+        l4_rx_valid            => l4_rx_sosi_arr(mac).valid,
+
+        --        l4_rx_status                  (),
+        --        l4_rx_fcs_error               (),
+        --        l4_rx_fcs_valid               (),
+        --        rx_inc_octetsOK               (),
+        --        rx_inc_octetsOK_valid         (),
+        --        rx_inc_runt                   (),
+        --        rx_inc_64                     (),
+        --        rx_inc_127                    (),
+        --        rx_inc_255                    (),
+        --        rx_inc_511                    (),
+        --        rx_inc_1023                   (),
+        --        rx_inc_1518                   (),
+        --        rx_inc_max                    (),
+        --        rx_inc_over                   (),
+        --        rx_inc_mcast_data_err         (),
+        --        rx_inc_mcast_data_ok          (),
+        --        rx_inc_bcast_data_err         (),
+        --        rx_inc_bcast_data_ok          (),
+        --        rx_inc_ucast_data_err         (),
+        --        rx_inc_ucast_data_ok          (),
+        --        rx_inc_mcast_ctrl             (),
+        --        rx_inc_bcast_ctrl             (),
+        --        rx_inc_ucast_ctrl             (),
+        --        rx_inc_pause                  (),
+        --        rx_inc_fcs_err                (),
+        --        rx_inc_fragment               (),
+        --        rx_inc_jabber                 (),
+        --        rx_inc_sizeok_fcserr          (),
+        --        rx_inc_pause_ctrl_err         (),
+        --        rx_inc_mcast_ctrl_err         (),
+        --        rx_inc_bcast_ctrl_err         (),
+        --        rx_inc_ucast_ctrl_err         (),
+        --        status_waitrequest            (),
+        tx_lanes_stable(0)     => l4_tx_siso_arr(mac).xon,
+        --        tx_inc_octetsOK               (),
+        --        tx_inc_octetsOK_valid         (),
+        --        tx_inc_64                     (),
+        --        tx_inc_127                    (),
+        --        tx_inc_255                    (),
+        --        tx_inc_511                    (),
+        --        tx_inc_1023                   (),
+        --        tx_inc_1518                   (),
+        --        tx_inc_max                    (),
+        --        tx_inc_over                   (),
+        --        tx_inc_mcast_data_err         (),
+        --        tx_inc_mcast_data_ok          (),
+        --        tx_inc_bcast_data_err         (),
+        --        tx_inc_bcast_data_ok          (),
+        --        tx_inc_ucast_data_err         (),
+        --        tx_inc_ucast_data_ok          (),
+        --        tx_inc_mcast_ctrl             (),
+        --        tx_inc_bcast_ctrl             (),
+        --        tx_inc_ucast_ctrl             (),
+        --        tx_inc_pause                  (),
+        --        tx_inc_fcs_err                (),
+        --        tx_inc_fragment               (),
+        --        tx_inc_jabber                 (),
+        --        tx_inc_sizeok_fcserr          (),
+
+        tx_serial              => tx_serial_r(4 * (mac + 1) - 1 downto 4 * mac),
+        rx_serial              => rx_serial_r(4 * (mac + 1) - 1 downto 4 * mac)
       );
 
 
@@ -494,49 +494,49 @@ begin
     ----------------------------------------------------------------------------
     rst_rxmac_arr(mac) <= not rx_pcs_ready_arr(mac);
     u_dp_fifo_dc : entity dp_lib.dp_fifo_dc
-    generic map (
-      g_data_w         => c_data_w,
-      g_empty_w        => 8,
-      g_use_empty      => true,
-      g_use_bsn        => false,
-      g_bsn_w          => 64,
-      g_use_channel    => false,
-      g_use_sync       => false,
-      g_fifo_size      => c_rx_fifo_size
-   )
-    port map (
-      wr_clk  => clk_rxmac_arr(mac),
-      wr_rst  => rst_rxmac_arr(mac),
-
-      rd_clk  => kernel_clk,
-      rd_rst  => kernel_reset,
-
-      snk_in  => l4_rx_sosi_arr(mac),
-      snk_out => OPEN,
-
-      src_out => dp_fifo_dc_src_out_arr(mac),
-      src_in  => dp_fifo_dc_src_in_arr(mac)
-    );
+      generic map (
+        g_data_w         => c_data_w,
+        g_empty_w        => 8,
+        g_use_empty      => true,
+        g_use_bsn        => false,
+        g_bsn_w          => 64,
+        g_use_channel    => false,
+        g_use_sync       => false,
+        g_fifo_size      => c_rx_fifo_size
+      )
+      port map (
+        wr_clk  => clk_rxmac_arr(mac),
+        wr_rst  => rst_rxmac_arr(mac),
+
+        rd_clk  => kernel_clk,
+        rd_rst  => kernel_reset,
+
+        snk_in  => l4_rx_sosi_arr(mac),
+        snk_out => OPEN,
+
+        src_out => dp_fifo_dc_src_out_arr(mac),
+        src_in  => dp_fifo_dc_src_in_arr(mac)
+      );
 
 
     ----------------------------------------------------------------------------
     -- Latency adapter: adapt RL=1 (dp_fifo_dc) to RL=0 (OpenCL kernel).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_rx : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => 0
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
-
-      snk_in    => dp_fifo_dc_src_out_arr(mac),
-      snk_out   => dp_fifo_dc_src_in_arr(mac),
-
-      src_out   => dp_latency_adapter_rx_src_out_arr(mac),
-      src_in    => dp_latency_adapter_rx_src_in_arr(mac)
-    );
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => 0
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
+
+        snk_in    => dp_fifo_dc_src_out_arr(mac),
+        snk_out   => dp_fifo_dc_src_in_arr(mac),
+
+        src_out   => dp_latency_adapter_rx_src_out_arr(mac),
+        src_in    => dp_latency_adapter_rx_src_in_arr(mac)
+      );
 
     ----------------------------------------------------------------------------
     -- Data mapping
@@ -559,28 +559,28 @@ begin
     -------------------------------------------------------------------------------
 
     u_common_areset_txmac : entity common_lib.common_areset
-    generic map (
-      g_rst_level => '1',
-      g_delay_len => 3
-    )
-    port map (
-      in_rst    => kernel_reset,
-      clk       => clk_txmac_arr(mac),
-      out_rst   => rst_txmac_arr(mac)
-    );
+      generic map (
+        g_rst_level => '1',
+        g_delay_len => 3
+      )
+      port map (
+        in_rst    => kernel_reset,
+        clk       => clk_txmac_arr(mac),
+        out_rst   => rst_txmac_arr(mac)
+      );
 
 
     -------------------------------------------------------------------------------
     -- PLL for clock generation, every mac needs its own, due to clock nework limitations
     -------------------------------------------------------------------------------
     u_arria10_40g_atx_pll : arria10_40g_atx_pll
-    port map (
-      pll_cal_busy  => OPEN,
-      pll_locked    => pll_locked_arr(mac),
-      pll_powerdown => mm_rst,
-      pll_refclk0   => clk_ref_r,
-      tx_serial_clk => serial_clk_arr(mac)
-    );
+      port map (
+        pll_cal_busy  => OPEN,
+        pll_locked    => pll_locked_arr(mac),
+        pll_powerdown => mm_rst,
+        pll_refclk0   => clk_ref_r,
+        tx_serial_clk => serial_clk_arr(mac)
+      );
 
     gen_serial_clk_arr : for i in 0 to 3 generate
       serial_clk_2arr(mac)(i) <= serial_clk_arr(mac);
diff --git a/applications/ta2/ip/ta2_unb2b_ddr/ta2_unb2b_ddr.vhd b/applications/ta2/ip/ta2_unb2b_ddr/ta2_unb2b_ddr.vhd
index 5f484fa675..da12e9d785 100644
--- a/applications/ta2/ip/ta2_unb2b_ddr/ta2_unb2b_ddr.vhd
+++ b/applications/ta2/ip/ta2_unb2b_ddr/ta2_unb2b_ddr.vhd
@@ -32,11 +32,11 @@
 --   . This core was developed for use on the Uniboard2b.
 --   . The curret implementation only works with ddr4_8g_1600m
 library IEEE, common_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use tech_ddr_lib.tech_ddr_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use tech_ddr_lib.tech_ddr_component_pkg.all;
 
 entity ta2_unb2b_ddr is
   generic (
@@ -199,80 +199,80 @@ architecture str of ta2_unb2b_ddr is
 
   -- MM Pipe stage component
   component ta2_unb2b_ddr_pipe_stage is
-       generic (
-           DATA_WIDTH        : integer := 32;
-           SYMBOL_WIDTH      : integer := 8;
-           HDL_ADDR_WIDTH    : integer := 10;
-           BURSTCOUNT_WIDTH  : integer := 1;
-           PIPELINE_COMMAND  : integer := 1;
-           PIPELINE_RESPONSE : integer := 1;
-           SYNC_RESET        : integer := 0
-       );
-       port (
-           clk              : in  std_logic                                     := 'X';  -- clk
-           m0_waitrequest   : in  std_logic                                     := 'X';  -- waitrequest
-           m0_readdata      : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- readdata
-           m0_readdatavalid : in  std_logic                                     := 'X';  -- readdatavalid
-           m0_burstcount    : out std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0);  -- burstcount
-           m0_writedata     : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- writedata
-           m0_address       : out std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0);  -- address
-           m0_write         : out std_logic;  -- write
-           m0_read          : out std_logic;  -- read
-           m0_byteenable    : out std_logic_vector(63 downto 0);  -- byteenable
-           m0_debugaccess   : out std_logic;  -- debugaccess
-           reset            : in  std_logic                                     := 'X';  -- reset
-           s0_waitrequest   : out std_logic;  -- waitrequest
-           s0_readdata      : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- readdata
-           s0_readdatavalid : out std_logic;  -- readdatavalid
-           s0_burstcount    : in  std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0) := (others => 'X');  -- burstcount
-           s0_writedata     : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- writedata
-           s0_address       : in  std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0)   := (others => 'X');  -- address
-           s0_write         : in  std_logic                                     := 'X';  -- write
-           s0_read          : in  std_logic                                     := 'X';  -- read
-           s0_byteenable    : in  std_logic_vector(63 downto 0)                 := (others => 'X');  -- byteenable
-           s0_debugaccess   : in  std_logic                                     := 'X'  -- debugaccess
-       );
-   end component ta2_unb2b_ddr_pipe_stage;
-
-   -- MM clock cross component
-   component ta2_unb2b_ddr_clock_cross is
-       generic (
-           DATA_WIDTH          : integer := 32;
-           SYMBOL_WIDTH        : integer := 8;
-           HDL_ADDR_WIDTH      : integer := 10;
-           BURSTCOUNT_WIDTH    : integer := 1;
-           COMMAND_FIFO_DEPTH  : integer := 4;
-           RESPONSE_FIFO_DEPTH : integer := 4;
-           MASTER_SYNC_DEPTH   : integer := 2;
-           SLAVE_SYNC_DEPTH    : integer := 2
-       );
-       port (
-           m0_waitrequest   : in  std_logic                                     := 'X';  -- waitrequest
-           m0_readdata      : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- readdata
-           m0_readdatavalid : in  std_logic                                     := 'X';  -- readdatavalid
-           m0_burstcount    : out std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0);  -- burstcount
-           m0_writedata     : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- writedata
-           m0_address       : out std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0);  -- address
-           m0_write         : out std_logic;  -- write
-           m0_read          : out std_logic;  -- read
-           m0_byteenable    : out std_logic_vector(63 downto 0);  -- byteenable
-           m0_debugaccess   : out std_logic;  -- debugaccess
-           m0_clk           : in  std_logic                                     := 'X';  -- clk
-           m0_reset         : in  std_logic                                     := 'X';  -- reset
-           s0_waitrequest   : out std_logic;  -- waitrequest
-           s0_readdata      : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- readdata
-           s0_readdatavalid : out std_logic;  -- readdatavalid
-           s0_burstcount    : in  std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0) := (others => 'X');  -- burstcount
-           s0_writedata     : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- writedata
-           s0_address       : in  std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0)   := (others => 'X');  -- address
-           s0_write         : in  std_logic                                     := 'X';  -- write
-           s0_read          : in  std_logic                                     := 'X';  -- read
-           s0_byteenable    : in  std_logic_vector(63 downto 0)                 := (others => 'X');  -- byteenable
-           s0_debugaccess   : in  std_logic                                     := 'X';  -- debugaccess
-           s0_clk           : in  std_logic                                     := 'X';  -- clk
-           s0_reset         : in  std_logic                                     := 'X'  -- reset
-       );
-   end component ta2_unb2b_ddr_clock_cross;
+    generic (
+      DATA_WIDTH        : integer := 32;
+      SYMBOL_WIDTH      : integer := 8;
+      HDL_ADDR_WIDTH    : integer := 10;
+      BURSTCOUNT_WIDTH  : integer := 1;
+      PIPELINE_COMMAND  : integer := 1;
+      PIPELINE_RESPONSE : integer := 1;
+      SYNC_RESET        : integer := 0
+    );
+    port (
+      clk              : in  std_logic                                     := 'X';  -- clk
+      m0_waitrequest   : in  std_logic                                     := 'X';  -- waitrequest
+      m0_readdata      : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- readdata
+      m0_readdatavalid : in  std_logic                                     := 'X';  -- readdatavalid
+      m0_burstcount    : out std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0);  -- burstcount
+      m0_writedata     : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- writedata
+      m0_address       : out std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0);  -- address
+      m0_write         : out std_logic;  -- write
+      m0_read          : out std_logic;  -- read
+      m0_byteenable    : out std_logic_vector(63 downto 0);  -- byteenable
+      m0_debugaccess   : out std_logic;  -- debugaccess
+      reset            : in  std_logic                                     := 'X';  -- reset
+      s0_waitrequest   : out std_logic;  -- waitrequest
+      s0_readdata      : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- readdata
+      s0_readdatavalid : out std_logic;  -- readdatavalid
+      s0_burstcount    : in  std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0) := (others => 'X');  -- burstcount
+      s0_writedata     : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- writedata
+      s0_address       : in  std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0)   := (others => 'X');  -- address
+      s0_write         : in  std_logic                                     := 'X';  -- write
+      s0_read          : in  std_logic                                     := 'X';  -- read
+      s0_byteenable    : in  std_logic_vector(63 downto 0)                 := (others => 'X');  -- byteenable
+      s0_debugaccess   : in  std_logic                                     := 'X'  -- debugaccess
+    );
+  end component ta2_unb2b_ddr_pipe_stage;
+
+  -- MM clock cross component
+  component ta2_unb2b_ddr_clock_cross is
+    generic (
+      DATA_WIDTH          : integer := 32;
+      SYMBOL_WIDTH        : integer := 8;
+      HDL_ADDR_WIDTH      : integer := 10;
+      BURSTCOUNT_WIDTH    : integer := 1;
+      COMMAND_FIFO_DEPTH  : integer := 4;
+      RESPONSE_FIFO_DEPTH : integer := 4;
+      MASTER_SYNC_DEPTH   : integer := 2;
+      SLAVE_SYNC_DEPTH    : integer := 2
+    );
+    port (
+      m0_waitrequest   : in  std_logic                                     := 'X';  -- waitrequest
+      m0_readdata      : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- readdata
+      m0_readdatavalid : in  std_logic                                     := 'X';  -- readdatavalid
+      m0_burstcount    : out std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0);  -- burstcount
+      m0_writedata     : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- writedata
+      m0_address       : out std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0);  -- address
+      m0_write         : out std_logic;  -- write
+      m0_read          : out std_logic;  -- read
+      m0_byteenable    : out std_logic_vector(63 downto 0);  -- byteenable
+      m0_debugaccess   : out std_logic;  -- debugaccess
+      m0_clk           : in  std_logic                                     := 'X';  -- clk
+      m0_reset         : in  std_logic                                     := 'X';  -- reset
+      s0_waitrequest   : out std_logic;  -- waitrequest
+      s0_readdata      : out std_logic_vector(DATA_WIDTH - 1 downto 0);  -- readdata
+      s0_readdatavalid : out std_logic;  -- readdatavalid
+      s0_burstcount    : in  std_logic_vector(BURSTCOUNT_WIDTH - 1 downto 0) := (others => 'X');  -- burstcount
+      s0_writedata     : in  std_logic_vector(DATA_WIDTH - 1 downto 0)       := (others => 'X');  -- writedata
+      s0_address       : in  std_logic_vector(HDL_ADDR_WIDTH - 1 downto 0)   := (others => 'X');  -- address
+      s0_write         : in  std_logic                                     := 'X';  -- write
+      s0_read          : in  std_logic                                     := 'X';  -- read
+      s0_byteenable    : in  std_logic_vector(63 downto 0)                 := (others => 'X');  -- byteenable
+      s0_debugaccess   : in  std_logic                                     := 'X';  -- debugaccess
+      s0_clk           : in  std_logic                                     := 'X';  -- clk
+      s0_reset         : in  std_logic                                     := 'X'  -- reset
+    );
+  end component ta2_unb2b_ddr_clock_cross;
 
 
 begin
@@ -280,77 +280,77 @@ begin
   gen_MB_I : if g_use_MB_I generate
 
     u_mb_I_clock_cross : ta2_unb2b_ddr_clock_cross
-        generic map (
-            DATA_WIDTH          => c_data_w,
-            SYMBOL_WIDTH        => c_symbol_w,
-            HDL_ADDR_WIDTH      => c_addr_w,
-            BURSTCOUNT_WIDTH    => c_burstcount_w,
-            COMMAND_FIFO_DEPTH  => c_command_fifo_depth,
-            RESPONSE_FIFO_DEPTH => c_response_fifo_depth,
-            MASTER_SYNC_DEPTH   => c_master_sync_depth,
-            SLAVE_SYNC_DEPTH    => c_slave_sync_depth
-        )
-        port map (
-            m0_waitrequest   => mb_I_pipe_stage_s0_waitrequest,
-            m0_readdata      => mb_I_pipe_stage_s0_readdata,
-            m0_readdatavalid => mb_I_pipe_stage_s0_readdatavalid,
-            m0_burstcount    => mb_I_pipe_stage_s0_burstcount,
-            m0_writedata     => mb_I_pipe_stage_s0_writedata,
-            m0_address       => mb_I_pipe_stage_s0_address,
-            m0_write         => mb_I_pipe_stage_s0_write,
-            m0_read          => mb_I_pipe_stage_s0_read,
-            m0_byteenable    => mb_I_pipe_stage_s0_byteenable,
-            m0_debugaccess   => mb_I_pipe_stage_s0_debugaccess,
-            m0_clk           => mb_I_emif_usr_clk,
-            m0_reset         => mb_I_emif_usr_reset,
-            s0_waitrequest   => mem0_waitrequest,
-            s0_readdata      => mem0_readdata,
-            s0_readdatavalid => mem0_readdatavalid,
-            s0_burstcount    => mem0_burstcount,
-            s0_writedata     => mem0_writedata,
-            s0_address       => mem0_address,
-            s0_write         => mem0_write,
-            s0_read          => mem0_read,
-            s0_byteenable    => mem0_byteenable,
-            s0_debugaccess   => mem0_debugaccess,
-            s0_clk           => kernel_clk,
-            s0_reset         => kernel_reset
-        );
+      generic map (
+        DATA_WIDTH          => c_data_w,
+        SYMBOL_WIDTH        => c_symbol_w,
+        HDL_ADDR_WIDTH      => c_addr_w,
+        BURSTCOUNT_WIDTH    => c_burstcount_w,
+        COMMAND_FIFO_DEPTH  => c_command_fifo_depth,
+        RESPONSE_FIFO_DEPTH => c_response_fifo_depth,
+        MASTER_SYNC_DEPTH   => c_master_sync_depth,
+        SLAVE_SYNC_DEPTH    => c_slave_sync_depth
+      )
+      port map (
+        m0_waitrequest   => mb_I_pipe_stage_s0_waitrequest,
+        m0_readdata      => mb_I_pipe_stage_s0_readdata,
+        m0_readdatavalid => mb_I_pipe_stage_s0_readdatavalid,
+        m0_burstcount    => mb_I_pipe_stage_s0_burstcount,
+        m0_writedata     => mb_I_pipe_stage_s0_writedata,
+        m0_address       => mb_I_pipe_stage_s0_address,
+        m0_write         => mb_I_pipe_stage_s0_write,
+        m0_read          => mb_I_pipe_stage_s0_read,
+        m0_byteenable    => mb_I_pipe_stage_s0_byteenable,
+        m0_debugaccess   => mb_I_pipe_stage_s0_debugaccess,
+        m0_clk           => mb_I_emif_usr_clk,
+        m0_reset         => mb_I_emif_usr_reset,
+        s0_waitrequest   => mem0_waitrequest,
+        s0_readdata      => mem0_readdata,
+        s0_readdatavalid => mem0_readdatavalid,
+        s0_burstcount    => mem0_burstcount,
+        s0_writedata     => mem0_writedata,
+        s0_address       => mem0_address,
+        s0_write         => mem0_write,
+        s0_read          => mem0_read,
+        s0_byteenable    => mem0_byteenable,
+        s0_debugaccess   => mem0_debugaccess,
+        s0_clk           => kernel_clk,
+        s0_reset         => kernel_reset
+      );
 
     u_mb_I_pipe_stage : ta2_unb2b_ddr_pipe_stage
-        generic map (
-            DATA_WIDTH        => c_data_w,
-            SYMBOL_WIDTH      => c_symbol_w,
-            HDL_ADDR_WIDTH    => c_addr_w,
-            BURSTCOUNT_WIDTH  => c_burstcount_w,
-            PIPELINE_COMMAND  => c_pipeline_command,
-            PIPELINE_RESPONSE => c_pipeline_response,
-            SYNC_RESET        => c_sync_reset
-        )
-        port map (
-            clk              => mb_I_emif_usr_clk,  -- clk.clk
-            m0_waitrequest   => mb_I_pipe_stage_m0_waitrequest,
-            m0_readdata      => mb_I_pipe_stage_m0_readdata,
-            m0_readdatavalid => mb_I_pipe_stage_m0_readdatavalid,
-            m0_burstcount    => mb_I_pipe_stage_m0_burstcount,
-            m0_writedata     => mb_I_pipe_stage_m0_writedata,
-            m0_address       => mb_I_pipe_stage_m0_address,
-            m0_write         => mb_I_pipe_stage_m0_write,
-            m0_read          => mb_I_pipe_stage_m0_read,
-            m0_byteenable    => mb_I_pipe_stage_m0_byteenable,
-            m0_debugaccess   => mb_I_pipe_stage_m0_debugaccess,
-            reset            => mb_I_emif_usr_reset,  -- reset.reset
-            s0_waitrequest   => mb_I_pipe_stage_s0_waitrequest,  -- s0.waitrequest
-            s0_readdata      => mb_I_pipe_stage_s0_readdata,  -- .readdata
-            s0_readdatavalid => mb_I_pipe_stage_s0_readdatavalid,  -- .readdatavalid
-            s0_burstcount    => mb_I_pipe_stage_s0_burstcount,  -- .burstcount
-            s0_writedata     => mb_I_pipe_stage_s0_writedata,  -- .writedata
-            s0_address       => mb_I_pipe_stage_s0_address,  -- .address
-            s0_write         => mb_I_pipe_stage_s0_write,  -- .write
-            s0_read          => mb_I_pipe_stage_s0_read,  -- .read
-            s0_byteenable    => mb_I_pipe_stage_s0_byteenable,  -- .byteenable
-            s0_debugaccess   => mb_I_pipe_stage_s0_debugaccess  -- .debugaccess
-        );
+      generic map (
+        DATA_WIDTH        => c_data_w,
+        SYMBOL_WIDTH      => c_symbol_w,
+        HDL_ADDR_WIDTH    => c_addr_w,
+        BURSTCOUNT_WIDTH  => c_burstcount_w,
+        PIPELINE_COMMAND  => c_pipeline_command,
+        PIPELINE_RESPONSE => c_pipeline_response,
+        SYNC_RESET        => c_sync_reset
+      )
+      port map (
+        clk              => mb_I_emif_usr_clk,  -- clk.clk
+        m0_waitrequest   => mb_I_pipe_stage_m0_waitrequest,
+        m0_readdata      => mb_I_pipe_stage_m0_readdata,
+        m0_readdatavalid => mb_I_pipe_stage_m0_readdatavalid,
+        m0_burstcount    => mb_I_pipe_stage_m0_burstcount,
+        m0_writedata     => mb_I_pipe_stage_m0_writedata,
+        m0_address       => mb_I_pipe_stage_m0_address,
+        m0_write         => mb_I_pipe_stage_m0_write,
+        m0_read          => mb_I_pipe_stage_m0_read,
+        m0_byteenable    => mb_I_pipe_stage_m0_byteenable,
+        m0_debugaccess   => mb_I_pipe_stage_m0_debugaccess,
+        reset            => mb_I_emif_usr_reset,  -- reset.reset
+        s0_waitrequest   => mb_I_pipe_stage_s0_waitrequest,  -- s0.waitrequest
+        s0_readdata      => mb_I_pipe_stage_s0_readdata,  -- .readdata
+        s0_readdatavalid => mb_I_pipe_stage_s0_readdatavalid,  -- .readdatavalid
+        s0_burstcount    => mb_I_pipe_stage_s0_burstcount,  -- .burstcount
+        s0_writedata     => mb_I_pipe_stage_s0_writedata,  -- .writedata
+        s0_address       => mb_I_pipe_stage_s0_address,  -- .address
+        s0_write         => mb_I_pipe_stage_s0_write,  -- .write
+        s0_read          => mb_I_pipe_stage_s0_read,  -- .read
+        s0_byteenable    => mb_I_pipe_stage_s0_byteenable,  -- .byteenable
+        s0_debugaccess   => mb_I_pipe_stage_s0_debugaccess  -- .debugaccess
+      );
 
     mb_I_pipe_stage_m0_waitrequest                    <= not mb_I_amm_ready_0;
     mb_I_pipe_stage_m0_readdatavalid                  <= mb_I_amm_readdatavalid_0;
@@ -369,40 +369,40 @@ begin
     gen_I_ip_arria10_e1sg_ddr4_8g_1600 : if g_ddr_MB_I.name = "DDR4" and c_gigabytes_MB_I = 8 and g_ddr_MB_I.mts = 1600 generate
 
       u_ip_arria10_e1sg_ddr4_8g_1600 : ip_arria10_e1sg_ddr4_8g_1600
-      port map (
-        amm_ready_0         => mb_I_amm_ready_0,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-        amm_read_0          => mb_I_amm_read_0,  -- .read
-        amm_write_0         => mb_I_amm_write_0,  -- .write
-        amm_address_0       => mb_I_amm_address_0,  -- .address
-        amm_readdata_0      => mb_I_amm_readdata_0,  -- .readdata
-        amm_writedata_0     => mb_I_amm_writedata_0,  -- .writedata
-        amm_burstcount_0    => mb_I_amm_burstcount_0,  -- .burstcount
-        amm_byteenable_0    => mb_I_amm_byteenable_0,  -- .byteenable
-        amm_readdatavalid_0 => mb_I_amm_readdatavalid_0,  -- .readdatavalid
-        emif_usr_clk        => mb_I_emif_usr_clk,  -- emif_usr_clk_clock_source.clk
-        emif_usr_reset_n    => mb_I_emif_usr_reset_n,  -- emif_usr_reset_reset_source.reset_n
-        global_reset_n      => mb_I_ref_rst_n,  -- global_reset_reset_sink.reset_n
-        mem_ck              => mb_I_ou.ck(g_ddr_MB_I.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-        mem_ck_n            => mb_I_ou.ck_n(g_ddr_MB_I.ck_w - 1 downto 0),  -- .mem_ck_n
-        mem_a               => mb_I_ou.a(g_ddr_MB_I.a_w - 1 downto 0),  -- .mem_a
-     sl(mem_act_n)          => mb_I_ou.act_n,  -- .mem_act_n
-        mem_ba              => mb_I_ou.ba(g_ddr_MB_I.ba_w - 1 downto 0),  -- .mem_ba
-        mem_bg              => mb_I_ou.bg(g_ddr_MB_I.bg_w - 1 downto 0),  -- .mem_bg
-        mem_cke             => mb_I_ou.cke(g_ddr_MB_I.cke_w - 1 downto 0),  -- .mem_cke
-        mem_cs_n            => mb_I_ou.cs_n(g_ddr_MB_I.cs_w - 1 downto 0),  -- .mem_cs_n
-        mem_odt             => mb_I_ou.odt(g_ddr_MB_I.odt_w - 1 downto 0),  -- .mem_odt
-     sl(mem_reset_n)        => mb_I_ou.reset_n,  -- .mem_reset_n
-     sl(mem_par)            => mb_I_ou.par,  -- .mem_par
-        mem_alert_n         => slv(mb_I_in.alert_n),  -- .mem_alert_n
-        mem_dqs             => mb_I_io.dqs(g_ddr_MB_I.dqs_w - 1 downto 0),  -- .mem_dqs
-        mem_dqs_n           => mb_I_io.dqs_n(g_ddr_MB_I.dqs_w - 1 downto 0),  -- .mem_dqs_n
-        mem_dq              => mb_I_io.dq(g_ddr_MB_I.dq_w - 1 downto 0),  -- .mem_dq
-        mem_dbi_n           => mb_I_io.dbi_n(g_ddr_MB_I.dbi_w - 1 downto 0),  -- .mem_dbi_n
-        oct_rzqin           => mb_I_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-        pll_ref_clk         => mb_I_ref_clk,  -- pll_ref_clk_clock_sink.clk
-        local_cal_success   => OPEN,  -- status_conduit_end.local_cal_success
-        local_cal_fail      => open  -- .local_cal_fail
-      );
+        port map (
+          amm_ready_0         => mb_I_amm_ready_0,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+          amm_read_0          => mb_I_amm_read_0,  -- .read
+          amm_write_0         => mb_I_amm_write_0,  -- .write
+          amm_address_0       => mb_I_amm_address_0,  -- .address
+          amm_readdata_0      => mb_I_amm_readdata_0,  -- .readdata
+          amm_writedata_0     => mb_I_amm_writedata_0,  -- .writedata
+          amm_burstcount_0    => mb_I_amm_burstcount_0,  -- .burstcount
+          amm_byteenable_0    => mb_I_amm_byteenable_0,  -- .byteenable
+          amm_readdatavalid_0 => mb_I_amm_readdatavalid_0,  -- .readdatavalid
+          emif_usr_clk        => mb_I_emif_usr_clk,  -- emif_usr_clk_clock_source.clk
+          emif_usr_reset_n    => mb_I_emif_usr_reset_n,  -- emif_usr_reset_reset_source.reset_n
+          global_reset_n      => mb_I_ref_rst_n,  -- global_reset_reset_sink.reset_n
+          mem_ck              => mb_I_ou.ck(g_ddr_MB_I.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+          mem_ck_n            => mb_I_ou.ck_n(g_ddr_MB_I.ck_w - 1 downto 0),  -- .mem_ck_n
+          mem_a               => mb_I_ou.a(g_ddr_MB_I.a_w - 1 downto 0),  -- .mem_a
+          sl(mem_act_n)          => mb_I_ou.act_n,  -- .mem_act_n
+          mem_ba              => mb_I_ou.ba(g_ddr_MB_I.ba_w - 1 downto 0),  -- .mem_ba
+          mem_bg              => mb_I_ou.bg(g_ddr_MB_I.bg_w - 1 downto 0),  -- .mem_bg
+          mem_cke             => mb_I_ou.cke(g_ddr_MB_I.cke_w - 1 downto 0),  -- .mem_cke
+          mem_cs_n            => mb_I_ou.cs_n(g_ddr_MB_I.cs_w - 1 downto 0),  -- .mem_cs_n
+          mem_odt             => mb_I_ou.odt(g_ddr_MB_I.odt_w - 1 downto 0),  -- .mem_odt
+          sl(mem_reset_n)        => mb_I_ou.reset_n,  -- .mem_reset_n
+          sl(mem_par)            => mb_I_ou.par,  -- .mem_par
+          mem_alert_n         => slv(mb_I_in.alert_n),  -- .mem_alert_n
+          mem_dqs             => mb_I_io.dqs(g_ddr_MB_I.dqs_w - 1 downto 0),  -- .mem_dqs
+          mem_dqs_n           => mb_I_io.dqs_n(g_ddr_MB_I.dqs_w - 1 downto 0),  -- .mem_dqs_n
+          mem_dq              => mb_I_io.dq(g_ddr_MB_I.dq_w - 1 downto 0),  -- .mem_dq
+          mem_dbi_n           => mb_I_io.dbi_n(g_ddr_MB_I.dbi_w - 1 downto 0),  -- .mem_dbi_n
+          oct_rzqin           => mb_I_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+          pll_ref_clk         => mb_I_ref_clk,  -- pll_ref_clk_clock_sink.clk
+          local_cal_success   => OPEN,  -- status_conduit_end.local_cal_success
+          local_cal_fail      => open  -- .local_cal_fail
+        );
 
     end generate;
 
@@ -412,77 +412,77 @@ begin
   gen_MB_II : if g_use_MB_II generate
 
     u_mb_II_clock_cross : ta2_unb2b_ddr_clock_cross
-        generic map (
-            DATA_WIDTH          => c_data_w,
-            SYMBOL_WIDTH        => c_symbol_w,
-            HDL_ADDR_WIDTH      => c_addr_w,
-            BURSTCOUNT_WIDTH    => c_burstcount_w,
-            COMMAND_FIFO_DEPTH  => c_command_fifo_depth,
-            RESPONSE_FIFO_DEPTH => c_response_fifo_depth,
-            MASTER_SYNC_DEPTH   => c_master_sync_depth,
-            SLAVE_SYNC_DEPTH    => c_slave_sync_depth
-        )
-        port map (
-            m0_waitrequest   => mb_II_pipe_stage_s0_waitrequest,
-            m0_readdata      => mb_II_pipe_stage_s0_readdata,
-            m0_readdatavalid => mb_II_pipe_stage_s0_readdatavalid,
-            m0_burstcount    => mb_II_pipe_stage_s0_burstcount,
-            m0_writedata     => mb_II_pipe_stage_s0_writedata,
-            m0_address       => mb_II_pipe_stage_s0_address,
-            m0_write         => mb_II_pipe_stage_s0_write,
-            m0_read          => mb_II_pipe_stage_s0_read,
-            m0_byteenable    => mb_II_pipe_stage_s0_byteenable,
-            m0_debugaccess   => mb_II_pipe_stage_s0_debugaccess,
-            m0_clk           => mb_II_emif_usr_clk,
-            m0_reset         => mb_II_emif_usr_reset,
-            s0_waitrequest   => mem1_waitrequest,
-            s0_readdata      => mem1_readdata,
-            s0_readdatavalid => mem1_readdatavalid,
-            s0_burstcount    => mem1_burstcount,
-            s0_writedata     => mem1_writedata,
-            s0_address       => mem1_address,
-            s0_write         => mem1_write,
-            s0_read          => mem1_read,
-            s0_byteenable    => mem1_byteenable,
-            s0_debugaccess   => mem1_debugaccess,
-            s0_clk           => kernel_clk,
-            s0_reset         => kernel_reset
-        );
+      generic map (
+        DATA_WIDTH          => c_data_w,
+        SYMBOL_WIDTH        => c_symbol_w,
+        HDL_ADDR_WIDTH      => c_addr_w,
+        BURSTCOUNT_WIDTH    => c_burstcount_w,
+        COMMAND_FIFO_DEPTH  => c_command_fifo_depth,
+        RESPONSE_FIFO_DEPTH => c_response_fifo_depth,
+        MASTER_SYNC_DEPTH   => c_master_sync_depth,
+        SLAVE_SYNC_DEPTH    => c_slave_sync_depth
+      )
+      port map (
+        m0_waitrequest   => mb_II_pipe_stage_s0_waitrequest,
+        m0_readdata      => mb_II_pipe_stage_s0_readdata,
+        m0_readdatavalid => mb_II_pipe_stage_s0_readdatavalid,
+        m0_burstcount    => mb_II_pipe_stage_s0_burstcount,
+        m0_writedata     => mb_II_pipe_stage_s0_writedata,
+        m0_address       => mb_II_pipe_stage_s0_address,
+        m0_write         => mb_II_pipe_stage_s0_write,
+        m0_read          => mb_II_pipe_stage_s0_read,
+        m0_byteenable    => mb_II_pipe_stage_s0_byteenable,
+        m0_debugaccess   => mb_II_pipe_stage_s0_debugaccess,
+        m0_clk           => mb_II_emif_usr_clk,
+        m0_reset         => mb_II_emif_usr_reset,
+        s0_waitrequest   => mem1_waitrequest,
+        s0_readdata      => mem1_readdata,
+        s0_readdatavalid => mem1_readdatavalid,
+        s0_burstcount    => mem1_burstcount,
+        s0_writedata     => mem1_writedata,
+        s0_address       => mem1_address,
+        s0_write         => mem1_write,
+        s0_read          => mem1_read,
+        s0_byteenable    => mem1_byteenable,
+        s0_debugaccess   => mem1_debugaccess,
+        s0_clk           => kernel_clk,
+        s0_reset         => kernel_reset
+      );
 
     u_mb_II_pipe_stage : ta2_unb2b_ddr_pipe_stage
-        generic map (
-            DATA_WIDTH        => c_data_w,
-            SYMBOL_WIDTH      => c_symbol_w,
-            HDL_ADDR_WIDTH    => c_addr_w,
-            BURSTCOUNT_WIDTH  => c_burstcount_w,
-            PIPELINE_COMMAND  => c_pipeline_command,
-            PIPELINE_RESPONSE => c_pipeline_response,
-            SYNC_RESET        => c_sync_reset
-        )
-        port map (
-            clk              => mb_II_emif_usr_clk,  -- clk.clk
-            m0_waitrequest   => mb_II_pipe_stage_m0_waitrequest,
-            m0_readdata      => mb_II_pipe_stage_m0_readdata,
-            m0_readdatavalid => mb_II_pipe_stage_m0_readdatavalid,
-            m0_burstcount    => mb_II_pipe_stage_m0_burstcount,
-            m0_writedata     => mb_II_pipe_stage_m0_writedata,
-            m0_address       => mb_II_pipe_stage_m0_address,
-            m0_write         => mb_II_pipe_stage_m0_write,
-            m0_read          => mb_II_pipe_stage_m0_read,
-            m0_byteenable    => mb_II_pipe_stage_m0_byteenable,
-            m0_debugaccess   => mb_II_pipe_stage_m0_debugaccess,
-            reset            => mb_II_emif_usr_reset,  -- reset.reset
-            s0_waitrequest   => mb_II_pipe_stage_s0_waitrequest,  -- s0.waitrequest
-            s0_readdata      => mb_II_pipe_stage_s0_readdata,  -- .readdata
-            s0_readdatavalid => mb_II_pipe_stage_s0_readdatavalid,  -- .readdatavalid
-            s0_burstcount    => mb_II_pipe_stage_s0_burstcount,  -- .burstcount
-            s0_writedata     => mb_II_pipe_stage_s0_writedata,  -- .writedata
-            s0_address       => mb_II_pipe_stage_s0_address,  -- .address
-            s0_write         => mb_II_pipe_stage_s0_write,  -- .write
-            s0_read          => mb_II_pipe_stage_s0_read,  -- .read
-            s0_byteenable    => mb_II_pipe_stage_s0_byteenable,  -- .byteenable
-            s0_debugaccess   => mb_II_pipe_stage_s0_debugaccess  -- .debugaccess
-        );
+      generic map (
+        DATA_WIDTH        => c_data_w,
+        SYMBOL_WIDTH      => c_symbol_w,
+        HDL_ADDR_WIDTH    => c_addr_w,
+        BURSTCOUNT_WIDTH  => c_burstcount_w,
+        PIPELINE_COMMAND  => c_pipeline_command,
+        PIPELINE_RESPONSE => c_pipeline_response,
+        SYNC_RESET        => c_sync_reset
+      )
+      port map (
+        clk              => mb_II_emif_usr_clk,  -- clk.clk
+        m0_waitrequest   => mb_II_pipe_stage_m0_waitrequest,
+        m0_readdata      => mb_II_pipe_stage_m0_readdata,
+        m0_readdatavalid => mb_II_pipe_stage_m0_readdatavalid,
+        m0_burstcount    => mb_II_pipe_stage_m0_burstcount,
+        m0_writedata     => mb_II_pipe_stage_m0_writedata,
+        m0_address       => mb_II_pipe_stage_m0_address,
+        m0_write         => mb_II_pipe_stage_m0_write,
+        m0_read          => mb_II_pipe_stage_m0_read,
+        m0_byteenable    => mb_II_pipe_stage_m0_byteenable,
+        m0_debugaccess   => mb_II_pipe_stage_m0_debugaccess,
+        reset            => mb_II_emif_usr_reset,  -- reset.reset
+        s0_waitrequest   => mb_II_pipe_stage_s0_waitrequest,  -- s0.waitrequest
+        s0_readdata      => mb_II_pipe_stage_s0_readdata,  -- .readdata
+        s0_readdatavalid => mb_II_pipe_stage_s0_readdatavalid,  -- .readdatavalid
+        s0_burstcount    => mb_II_pipe_stage_s0_burstcount,  -- .burstcount
+        s0_writedata     => mb_II_pipe_stage_s0_writedata,  -- .writedata
+        s0_address       => mb_II_pipe_stage_s0_address,  -- .address
+        s0_write         => mb_II_pipe_stage_s0_write,  -- .write
+        s0_read          => mb_II_pipe_stage_s0_read,  -- .read
+        s0_byteenable    => mb_II_pipe_stage_s0_byteenable,  -- .byteenable
+        s0_debugaccess   => mb_II_pipe_stage_s0_debugaccess  -- .debugaccess
+      );
 
     mb_II_pipe_stage_m0_waitrequest                    <= not mb_II_amm_ready_0;
     mb_II_pipe_stage_m0_readdatavalid                  <= mb_II_amm_readdatavalid_0;
@@ -501,40 +501,40 @@ begin
     gen_II_ip_arria10_e1sg_ddr4_8g_1600 : if g_ddr_MB_II.name = "DDR4" and c_gigabytes_MB_II = 8 and g_ddr_MB_II.mts = 1600 generate
 
       u_ip_arria10_e1sg_ddr4_8g_1600 : ip_arria10_e1sg_ddr4_8g_1600
-      port map (
-        amm_ready_0         => mb_II_amm_ready_0,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-        amm_read_0          => mb_II_amm_read_0,  -- .read
-        amm_write_0         => mb_II_amm_write_0,  -- .write
-        amm_address_0       => mb_II_amm_address_0,  -- .address
-        amm_readdata_0      => mb_II_amm_readdata_0,  -- .readdata
-        amm_writedata_0     => mb_II_amm_writedata_0,  -- .writedata
-        amm_burstcount_0    => mb_II_amm_burstcount_0,  -- .burstcount
-        amm_byteenable_0    => mb_II_amm_byteenable_0,  -- .byteenable
-        amm_readdatavalid_0 => mb_II_amm_readdatavalid_0,  -- .readdatavalid
-        emif_usr_clk        => mb_II_emif_usr_clk,  -- emif_usr_clk_clock_source.clk
-        emif_usr_reset_n    => mb_II_emif_usr_reset_n,  -- emif_usr_reset_reset_source.reset_n
-        global_reset_n      => mb_II_ref_rst_n,  -- global_reset_reset_sink.reset_n
-        mem_ck              => mb_II_ou.ck(g_ddr_MB_II.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-        mem_ck_n            => mb_II_ou.ck_n(g_ddr_MB_II.ck_w - 1 downto 0),  -- .mem_ck_n
-        mem_a               => mb_II_ou.a(g_ddr_MB_II.a_w - 1 downto 0),  -- .mem_a
-     sl(mem_act_n)          => mb_II_ou.act_n,  -- .mem_act_n
-        mem_ba              => mb_II_ou.ba(g_ddr_MB_II.ba_w - 1 downto 0),  -- .mem_ba
-        mem_bg              => mb_II_ou.bg(g_ddr_MB_II.bg_w - 1 downto 0),  -- .mem_bg
-        mem_cke             => mb_II_ou.cke(g_ddr_MB_II.cke_w - 1 downto 0),  -- .mem_cke
-        mem_cs_n            => mb_II_ou.cs_n(g_ddr_MB_II.cs_w - 1 downto 0),  -- .mem_cs_n
-        mem_odt             => mb_II_ou.odt(g_ddr_MB_II.odt_w - 1 downto 0),  -- .mem_odt
-     sl(mem_reset_n)        => mb_II_ou.reset_n,  -- .mem_reset_n
-     sl(mem_par)            => mb_II_ou.par,  -- .mem_par
-        mem_alert_n         => slv(mb_II_in.alert_n),  -- .mem_alert_n
-        mem_dqs             => mb_II_io.dqs(g_ddr_MB_II.dqs_w - 1 downto 0),  -- .mem_dqs
-        mem_dqs_n           => mb_II_io.dqs_n(g_ddr_MB_II.dqs_w - 1 downto 0),  -- .mem_dqs_n
-        mem_dq              => mb_II_io.dq(g_ddr_MB_II.dq_w - 1 downto 0),  -- .mem_dq
-        mem_dbi_n           => mb_II_io.dbi_n(g_ddr_MB_II.dbi_w - 1 downto 0),  -- .mem_dbi_n
-        oct_rzqin           => mb_II_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-        pll_ref_clk         => mb_II_ref_clk,  -- pll_ref_clk_clock_sink.clk
-        local_cal_success   => OPEN,  -- status_conduit_end.local_cal_success
-        local_cal_fail      => open  -- .local_cal_fail
-      );
+        port map (
+          amm_ready_0         => mb_II_amm_ready_0,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+          amm_read_0          => mb_II_amm_read_0,  -- .read
+          amm_write_0         => mb_II_amm_write_0,  -- .write
+          amm_address_0       => mb_II_amm_address_0,  -- .address
+          amm_readdata_0      => mb_II_amm_readdata_0,  -- .readdata
+          amm_writedata_0     => mb_II_amm_writedata_0,  -- .writedata
+          amm_burstcount_0    => mb_II_amm_burstcount_0,  -- .burstcount
+          amm_byteenable_0    => mb_II_amm_byteenable_0,  -- .byteenable
+          amm_readdatavalid_0 => mb_II_amm_readdatavalid_0,  -- .readdatavalid
+          emif_usr_clk        => mb_II_emif_usr_clk,  -- emif_usr_clk_clock_source.clk
+          emif_usr_reset_n    => mb_II_emif_usr_reset_n,  -- emif_usr_reset_reset_source.reset_n
+          global_reset_n      => mb_II_ref_rst_n,  -- global_reset_reset_sink.reset_n
+          mem_ck              => mb_II_ou.ck(g_ddr_MB_II.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+          mem_ck_n            => mb_II_ou.ck_n(g_ddr_MB_II.ck_w - 1 downto 0),  -- .mem_ck_n
+          mem_a               => mb_II_ou.a(g_ddr_MB_II.a_w - 1 downto 0),  -- .mem_a
+          sl(mem_act_n)          => mb_II_ou.act_n,  -- .mem_act_n
+          mem_ba              => mb_II_ou.ba(g_ddr_MB_II.ba_w - 1 downto 0),  -- .mem_ba
+          mem_bg              => mb_II_ou.bg(g_ddr_MB_II.bg_w - 1 downto 0),  -- .mem_bg
+          mem_cke             => mb_II_ou.cke(g_ddr_MB_II.cke_w - 1 downto 0),  -- .mem_cke
+          mem_cs_n            => mb_II_ou.cs_n(g_ddr_MB_II.cs_w - 1 downto 0),  -- .mem_cs_n
+          mem_odt             => mb_II_ou.odt(g_ddr_MB_II.odt_w - 1 downto 0),  -- .mem_odt
+          sl(mem_reset_n)        => mb_II_ou.reset_n,  -- .mem_reset_n
+          sl(mem_par)            => mb_II_ou.par,  -- .mem_par
+          mem_alert_n         => slv(mb_II_in.alert_n),  -- .mem_alert_n
+          mem_dqs             => mb_II_io.dqs(g_ddr_MB_II.dqs_w - 1 downto 0),  -- .mem_dqs
+          mem_dqs_n           => mb_II_io.dqs_n(g_ddr_MB_II.dqs_w - 1 downto 0),  -- .mem_dqs_n
+          mem_dq              => mb_II_io.dq(g_ddr_MB_II.dq_w - 1 downto 0),  -- .mem_dq
+          mem_dbi_n           => mb_II_io.dbi_n(g_ddr_MB_II.dbi_w - 1 downto 0),  -- .mem_dbi_n
+          oct_rzqin           => mb_II_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+          pll_ref_clk         => mb_II_ref_clk,  -- pll_ref_clk_clock_sink.clk
+          local_cal_success   => OPEN,  -- status_conduit_end.local_cal_success
+          local_cal_fail      => open  -- .local_cal_fail
+        );
 
     end generate;
 
diff --git a/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd b/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd
index 0948a6c745..babd3730a0 100644
--- a/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd
+++ b/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd
@@ -42,12 +42,12 @@
 --   | [0:15]    | payload | ADC channel 0 sample                                   |
 --   +-----------+---------+--------------------------------------------------------+
 library IEEE, common_lib, dp_lib, tech_pll_lib, technology_lib, tech_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity ta2_unb2b_jesd204b is
   generic (
@@ -109,32 +109,32 @@ begin
   jesd204b_disable_arr <= (others => '0');
 
   u_jesd204b: entity tech_jesd204b_lib.tech_jesd204b
-  generic map(
-    g_sim                => c_sim,
-    g_nof_streams        => c_nof_streams_jesd204b
-  )
-  port map(
-    jesd204b_refclk      => jesd204b_refclk,
-    jesd204b_sysref      => jesd204b_sysref,
-    jesd204b_sync_n_arr  => i_jesd204b_sync_n_arr,
-
-    jesd204b_disable_arr => jesd204b_disable_arr,
-
-    rx_sosi_arr          => jesd204b_rx_sosi_arr,
-    rx_clk               => jesd204b_rx_clk,
-    rx_rst               => jesd204b_rx_rst,
-
-    -- MM
-    mm_clk               => mm_clk,
-    mm_rst               => mm_rst,
-
-    jesd204b_mosi        => jesd204b_mosi,
-    jesd204b_miso        => jesd204b_miso,
-
-     -- Serial
-    serial_tx_arr        => open,
-    serial_rx_arr        => jesd204b_serial_rx_arr
-  );
+    generic map(
+      g_sim                => c_sim,
+      g_nof_streams        => c_nof_streams_jesd204b
+    )
+    port map(
+      jesd204b_refclk      => jesd204b_refclk,
+      jesd204b_sysref      => jesd204b_sysref,
+      jesd204b_sync_n_arr  => i_jesd204b_sync_n_arr,
+
+      jesd204b_disable_arr => jesd204b_disable_arr,
+
+      rx_sosi_arr          => jesd204b_rx_sosi_arr,
+      rx_clk               => jesd204b_rx_clk,
+      rx_rst               => jesd204b_rx_rst,
+
+      -- MM
+      mm_clk               => mm_clk,
+      mm_rst               => mm_rst,
+
+      jesd204b_mosi        => jesd204b_mosi,
+      jesd204b_miso        => jesd204b_miso,
+
+      -- Serial
+      serial_tx_arr        => open,
+      serial_rx_arr        => jesd204b_serial_rx_arr
+    );
 
   gen_streams: for stream in 0 to g_nof_streams - 1 generate
     ---------------------------------------------------------------------------------------
@@ -147,46 +147,46 @@ begin
     dp_fifo_dc_rx_snk_in_arr(stream).valid <= dp_fifo_dc_rx_snk_out_arr(stream).ready and jesd204b_rx_sosi_arr(stream).valid;
 
     u_dp_fifo_dc_rx : entity dp_lib.dp_fifo_dc
-    generic map (
-      g_technology  => c_tech_arria10_e1sg,
-      g_data_w      => 16,
-      g_empty_w     => 1,
-      g_use_empty   => false,
-      g_use_ctrl    => false,
-      g_fifo_size   => c_rx_fifo_size
-    )
-    port map (
-      wr_rst      => jesd204b_rx_rst,
-      wr_clk      => jesd204b_rx_clk,
-      rd_rst      => kernel_reset,
-      rd_clk      => kernel_clk,
-
-      snk_out     => dp_fifo_dc_rx_snk_out_arr(stream),
-      snk_in      => dp_fifo_dc_rx_snk_in_arr(stream),
-
-      src_in      => dp_fifo_dc_rx_src_in_arr(stream),
-      src_out     => dp_fifo_dc_rx_src_out_arr(stream)
-    );
+      generic map (
+        g_technology  => c_tech_arria10_e1sg,
+        g_data_w      => 16,
+        g_empty_w     => 1,
+        g_use_empty   => false,
+        g_use_ctrl    => false,
+        g_fifo_size   => c_rx_fifo_size
+      )
+      port map (
+        wr_rst      => jesd204b_rx_rst,
+        wr_clk      => jesd204b_rx_clk,
+        rd_rst      => kernel_reset,
+        rd_clk      => kernel_clk,
+
+        snk_out     => dp_fifo_dc_rx_snk_out_arr(stream),
+        snk_in      => dp_fifo_dc_rx_snk_in_arr(stream),
+
+        src_in      => dp_fifo_dc_rx_src_in_arr(stream),
+        src_out     => dp_fifo_dc_rx_src_out_arr(stream)
+      );
 
 
     ----------------------------------------------------------------------------
     -- Latency adapter: adapt RL=1 (Upstream) to RL=0 (OpenCL kernel).
     ----------------------------------------------------------------------------
     u_dp_latency_adapter_rx : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => 0
-    )
-    port map (
-      clk       => kernel_clk,
-      rst       => kernel_reset,
-
-      snk_in    => dp_fifo_dc_rx_src_out_arr(stream),
-      snk_out   => dp_fifo_dc_rx_src_in_arr(stream),
-
-      src_out   => dp_latency_adapter_rx_src_out_arr(stream),
-      src_in    => dp_latency_adapter_rx_src_in_arr(stream)
-    );
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => 0
+      )
+      port map (
+        clk       => kernel_clk,
+        rst       => kernel_reset,
+
+        snk_in    => dp_fifo_dc_rx_src_out_arr(stream),
+        snk_out   => dp_fifo_dc_rx_src_in_arr(stream),
+
+        src_out   => dp_latency_adapter_rx_src_out_arr(stream),
+        src_in    => dp_latency_adapter_rx_src_in_arr(stream)
+      );
 
 
     ----------------------------------------------------------------------------
diff --git a/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b_ip_wrapper.vhd b/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b_ip_wrapper.vhd
index 13f12f6820..c464ced2e9 100644
--- a/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b_ip_wrapper.vhd
+++ b/applications/ta2/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b_ip_wrapper.vhd
@@ -24,7 +24,7 @@
 -- Purpose:
 -- . Instantiates ta2_unb2b_10GbE component
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ta2_unb2b_jesd204b_ip_wrapper is
   port (
diff --git a/applications/ta2/ip/ta2_unb2b_mm_io/ta2_unb2b_mm_io.vhd b/applications/ta2/ip/ta2_unb2b_mm_io/ta2_unb2b_mm_io.vhd
index cd59a76a88..4a5da38f4e 100644
--- a/applications/ta2/ip/ta2_unb2b_mm_io/ta2_unb2b_mm_io.vhd
+++ b/applications/ta2/ip/ta2_unb2b_mm_io/ta2_unb2b_mm_io.vhd
@@ -61,12 +61,12 @@
 --     registers are rddata = wrdata.
 -- --------------------------------------------------------------------------
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity ta2_unb2b_mm_io is
   generic (
@@ -148,7 +148,7 @@ begin
   -----------------------------------------------------------------------------
   -- dual clock FIFOs
   -----------------------------------------------------------------------------
-    u_dp_fifo_dc_wr : entity dp_lib.dp_fifo_dc
+  u_dp_fifo_dc_wr : entity dp_lib.dp_fifo_dc
     generic map (
       g_technology  => c_tech_arria10_e1sg,
       g_data_w      => c_wr_data_w,
@@ -172,7 +172,7 @@ begin
     );
 
 
-    u_dp_fifo_dc_rd : entity dp_lib.dp_fifo_dc
+  u_dp_fifo_dc_rd : entity dp_lib.dp_fifo_dc
     generic map (
       g_technology  => c_tech_arria10_e1sg,
       g_data_w      => c_rd_data_w,
@@ -195,69 +195,69 @@ begin
       src_out     => rd_sosi
     );
 
-gen_no_opencl : if not g_use_opencl generate
-  -- simulate an OpenCL kernel response (rl=0)
-  p_is_reading : process(kernel_clk)
-  begin
-    if rising_edge(kernel_clk) then
-      if cnt >= c_cnt_max then
-        cnt <= 0;
-        is_reading <= false;
-      else
-        cnt <= cnt + 1;
-      end if;
-      if in_sosi.valid = '1' then
-        is_reading <= true;
-        cnt <= 0;
+  gen_no_opencl : if not g_use_opencl generate
+    -- simulate an OpenCL kernel response (rl=0)
+    p_is_reading : process(kernel_clk)
+    begin
+      if rising_edge(kernel_clk) then
+        if cnt >= c_cnt_max then
+          cnt <= 0;
+          is_reading <= false;
+        else
+          cnt <= cnt + 1;
+        end if;
+        if in_sosi.valid = '1' then
+          is_reading <= true;
+          cnt <= 0;
+        end if;
       end if;
-    end if;
-  end process;
+    end process;
 
-  p_stim_st : process(out_sosi, in_siso, is_reading)
-  begin
-    in_sosi.valid <= '0';
-    if out_sosi.valid = '1' then
-      if out_sosi.data(64) = '1' then  -- Write request
-        if TO_UINT(out_sosi.data(63 downto 56)) = 0 then
-          reg_a <= out_sosi.data(31 downto 0);
-        elsif TO_UINT(out_sosi.data(63 downto 56)) = 1 then
-          reg_b <= TO_UVEC(TO_UINT(out_sosi.data(31 downto 24)) + 1, 8) & out_sosi.data(23 downto 0);  -- wrdata +1 to make distinguishable
-        elsif TO_UINT(out_sosi.data(63 downto 56)) = 2 then
-          reg_c <= out_sosi.data(31 downto 0);
-        elsif TO_UINT(out_sosi.data(63 downto 56)) = 3 then
-          reg_d <= TO_UVEC(TO_UINT(out_sosi.data(31 downto 24)) + 2, 8) & out_sosi.data(23 downto 0);  -- wrdata +2 to make distinguishable
-        end if;
-        out_siso.ready <= '1';
-      else  -- read request
-        if not is_reading then
-          out_siso.ready <= '1';
-          in_sosi.valid <= '1';
+    p_stim_st : process(out_sosi, in_siso, is_reading)
+    begin
+      in_sosi.valid <= '0';
+      if out_sosi.valid = '1' then
+        if out_sosi.data(64) = '1' then  -- Write request
           if TO_UINT(out_sosi.data(63 downto 56)) = 0 then
-            in_sosi.data(31 downto 0) <= reg_a;
+            reg_a <= out_sosi.data(31 downto 0);
           elsif TO_UINT(out_sosi.data(63 downto 56)) = 1 then
-            in_sosi.data(31 downto 0) <= reg_b;
+            reg_b <= TO_UVEC(TO_UINT(out_sosi.data(31 downto 24)) + 1, 8) & out_sosi.data(23 downto 0);  -- wrdata +1 to make distinguishable
           elsif TO_UINT(out_sosi.data(63 downto 56)) = 2 then
-            in_sosi.data(31 downto 0) <= reg_c;
+            reg_c <= out_sosi.data(31 downto 0);
           elsif TO_UINT(out_sosi.data(63 downto 56)) = 3 then
-            in_sosi.data(31 downto 0) <= reg_d;
-          else
-            in_sosi.data(31 downto 0) <= (others => '0');
+            reg_d <= TO_UVEC(TO_UINT(out_sosi.data(31 downto 24)) + 2, 8) & out_sosi.data(23 downto 0);  -- wrdata +2 to make distinguishable
+          end if;
+          out_siso.ready <= '1';
+        else  -- read request
+          if not is_reading then
+            out_siso.ready <= '1';
+            in_sosi.valid <= '1';
+            if TO_UINT(out_sosi.data(63 downto 56)) = 0 then
+              in_sosi.data(31 downto 0) <= reg_a;
+            elsif TO_UINT(out_sosi.data(63 downto 56)) = 1 then
+              in_sosi.data(31 downto 0) <= reg_b;
+            elsif TO_UINT(out_sosi.data(63 downto 56)) = 2 then
+              in_sosi.data(31 downto 0) <= reg_c;
+            elsif TO_UINT(out_sosi.data(63 downto 56)) = 3 then
+              in_sosi.data(31 downto 0) <= reg_d;
+            else
+              in_sosi.data(31 downto 0) <= (others => '0');
+            end if;
           end if;
         end if;
       end if;
-    end if;
-  end process;
-
-  src_out <= c_dp_sosi_rst;
-  snk_out <= c_dp_siso_rdy;
-end generate;
-
-gen_opencl : if g_use_opencl generate
-  src_out <= out_sosi;
-  out_siso <= src_in;
-  snk_out <= in_siso;
-  in_sosi <= snk_in;
-end generate;
+    end process;
+
+    src_out <= c_dp_sosi_rst;
+    snk_out <= c_dp_siso_rdy;
+  end generate;
+
+  gen_opencl : if g_use_opencl generate
+    src_out <= out_sosi;
+    out_siso <= src_in;
+    snk_out <= in_siso;
+    in_sosi <= snk_in;
+  end generate;
 
 
 end str;
diff --git a/applications/ta2/ip/ta2_unb2b_mm_io/tb_ta2_unb2b_mm_io.vhd b/applications/ta2/ip/ta2_unb2b_mm_io/tb_ta2_unb2b_mm_io.vhd
index 17aa38a00b..0e9dd123ca 100644
--- a/applications/ta2/ip/ta2_unb2b_mm_io/tb_ta2_unb2b_mm_io.vhd
+++ b/applications/ta2/ip/ta2_unb2b_mm_io/tb_ta2_unb2b_mm_io.vhd
@@ -27,15 +27,15 @@
 -- . Usage -> as 10; run -a
 -- --------------------------------------------------------------------------
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_ta2_unb2b_mm_io is
 end tb_ta2_unb2b_mm_io;
@@ -75,28 +75,28 @@ begin
   end process;
 
   u_dut : entity work.ta2_unb2b_mm_io
-  generic map(
-    g_use_opencl => false
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst       => rst,
-    mm_clk       => clk,
-
-    mm_mosi     => mm_mosi,
-    mm_miso     => mm_miso,
-
-    -- Streaming clock domain
-    kernel_reset     => rst,
-    kernel_clk       => clk,
-
-    -- ST sinks
-    snk_out  => in_siso,
-    snk_in   => in_sosi,
-    -- ST source
-    src_in   => out_siso,
-    src_out  => out_sosi
-  );
+    generic map(
+      g_use_opencl => false
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst       => rst,
+      mm_clk       => clk,
+
+      mm_mosi     => mm_mosi,
+      mm_miso     => mm_miso,
+
+      -- Streaming clock domain
+      kernel_reset     => rst,
+      kernel_clk       => clk,
+
+      -- ST sinks
+      snk_out  => in_siso,
+      snk_in   => in_sosi,
+      -- ST source
+      src_in   => out_siso,
+      src_out  => out_sosi
+    );
 
 
   p_stim_mm : process
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/node_unb1_bn_capture.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/node_unb1_bn_capture.vhd
index c886d0d656..361f96d35f 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/node_unb1_bn_capture.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/node_unb1_bn_capture.vhd
@@ -27,16 +27,16 @@
 --    the MM interface
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, i2c_lib, ppsh_lib, aduh_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.unb1_bn_capture_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use i2c_lib.i2c_commander_aduh_pkg.all;
-use aduh_lib.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.unb1_bn_capture_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use i2c_lib.i2c_commander_aduh_pkg.all;
+  use aduh_lib.aduh_dd_pkg.all;
 
 entity node_unb1_bn_capture is
   generic (
@@ -190,67 +190,67 @@ begin
   -- . input from 4 signal paths A, B, C and D of 8b @ 800 MSps each
   -- . output 4 signal streams via sp_sosi_arr with 4 samples per 32b data word
   u_input: entity work.unb1_bn_capture_input
-  generic map (
-    g_sim            => g_sim,
-    g_bn_capture     => g_bn_capture,
-    g_use_phy        => g_use_phy,
-    g_nof_dp_phs_clk => g_nof_dp_phs_clk,
-    g_ai             => g_ai
-  )
-  port map (
-    -- ADC Interface
-    -- . ADU_AB
-    ADC_BI_A                  => ADC_BI_A,
-    ADC_BI_B                  => ADC_BI_B,
-    ADC_BI_A_CLK              => ADC_BI_A_CLK,
-    ADC_BI_A_CLK_RST          => ADC_BI_A_CLK_RST,
-
-    -- . ADU_CD
-    ADC_BI_C                  => ADC_BI_C,
-    ADC_BI_D                  => ADC_BI_D,
-    ADC_BI_D_CLK              => ADC_BI_D_CLK,
-    ADC_BI_D_CLK_RST          => ADC_BI_D_CLK_RST,
-
-    -- Clocks and reset
-    mm_rst                    => mm_rst,
-    mm_clk                    => mm_clk,
-    dp_rst                    => dp_rst,
-    dp_clk                    => dp_clk,
-    dp_phs_clk_vec            => dp_phs_clk_vec,
-    dp_pps                    => dp_pps,
-
-    -- MM bsn source
-    reg_bsn_source_mosi       => reg_bsn_source_mosi,
-    reg_bsn_source_miso       => reg_bsn_source_miso,
-
-    -- MM bsn schedule WG
-    reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
-
-    -- MM aduh quad
-    reg_adc_quad_mosi         => reg_adc_quad_mosi,
-    reg_adc_quad_miso         => reg_adc_quad_miso,
-
-    -- MM wideband waveform generator ports [A, B, C, B]
-    reg_wg_mosi_arr           => reg_wg_mosi_arr,
-    reg_wg_miso_arr           => reg_wg_miso_arr,
-    ram_wg_mosi_arr           => ram_wg_mosi_arr,
-    ram_wg_miso_arr           => ram_wg_miso_arr,
-
-    -- MM DP shiftram
-    reg_dp_shiftram_mosi      => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso      => reg_dp_shiftram_miso,
-
-    -- MM signal path data monitors [A, B, C, B]
-    reg_mon_mosi_arr          => reg_mon_mosi_arr,
-    reg_mon_miso_arr          => reg_mon_miso_arr,
-    ram_mon_mosi_arr          => ram_mon_mosi_arr,
-    ram_mon_miso_arr          => ram_mon_miso_arr,
-
-    -- Streaming output (can be from ADU or from internal WG)
-    sp_sosi_arr               => i_sp_sosi_arr,
-    sp_siso_arr               => sp_siso_arr
-  );
+    generic map (
+      g_sim            => g_sim,
+      g_bn_capture     => g_bn_capture,
+      g_use_phy        => g_use_phy,
+      g_nof_dp_phs_clk => g_nof_dp_phs_clk,
+      g_ai             => g_ai
+    )
+    port map (
+      -- ADC Interface
+      -- . ADU_AB
+      ADC_BI_A                  => ADC_BI_A,
+      ADC_BI_B                  => ADC_BI_B,
+      ADC_BI_A_CLK              => ADC_BI_A_CLK,
+      ADC_BI_A_CLK_RST          => ADC_BI_A_CLK_RST,
+
+      -- . ADU_CD
+      ADC_BI_C                  => ADC_BI_C,
+      ADC_BI_D                  => ADC_BI_D,
+      ADC_BI_D_CLK              => ADC_BI_D_CLK,
+      ADC_BI_D_CLK_RST          => ADC_BI_D_CLK_RST,
+
+      -- Clocks and reset
+      mm_rst                    => mm_rst,
+      mm_clk                    => mm_clk,
+      dp_rst                    => dp_rst,
+      dp_clk                    => dp_clk,
+      dp_phs_clk_vec            => dp_phs_clk_vec,
+      dp_pps                    => dp_pps,
+
+      -- MM bsn source
+      reg_bsn_source_mosi       => reg_bsn_source_mosi,
+      reg_bsn_source_miso       => reg_bsn_source_miso,
+
+      -- MM bsn schedule WG
+      reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
+
+      -- MM aduh quad
+      reg_adc_quad_mosi         => reg_adc_quad_mosi,
+      reg_adc_quad_miso         => reg_adc_quad_miso,
+
+      -- MM wideband waveform generator ports [A, B, C, B]
+      reg_wg_mosi_arr           => reg_wg_mosi_arr,
+      reg_wg_miso_arr           => reg_wg_miso_arr,
+      ram_wg_mosi_arr           => ram_wg_mosi_arr,
+      ram_wg_miso_arr           => ram_wg_miso_arr,
+
+      -- MM DP shiftram
+      reg_dp_shiftram_mosi      => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso      => reg_dp_shiftram_miso,
+
+      -- MM signal path data monitors [A, B, C, B]
+      reg_mon_mosi_arr          => reg_mon_mosi_arr,
+      reg_mon_miso_arr          => reg_mon_miso_arr,
+      ram_mon_mosi_arr          => ram_mon_mosi_arr,
+      ram_mon_miso_arr          => ram_mon_miso_arr,
+
+      -- Streaming output (can be from ADU or from internal WG)
+      sp_sosi_arr               => i_sp_sosi_arr,
+      sp_siso_arr               => sp_siso_arr
+    );
 
 
   ------------------------------------------------------------------------------
@@ -258,62 +258,62 @@ begin
   ------------------------------------------------------------------------------
 
   u_i2c_adu_ab : entity i2c_lib.i2c_commander
-  generic map (
-    g_sim                    => g_sim,
-    g_i2c_cmdr               => c_i2c_cmdr_aduh_protocol_commander,
-    g_i2c_mm                 => func_i2c_sel_a_b(g_sim, c_i2c_cmdr_aduh_i2c_mm_sim, c_i2c_cmdr_aduh_i2c_mm),  -- use full address range in sim to avoid warnings
-    g_i2c_phy                => func_i2c_calculate_phy(g_bn_capture.mm_clk_freq / 10**6),
-    g_protocol_ram_init_file => "data/adu_protocol_ram_init.hex",
-    g_use_result_ram         => c_use_result_ram
-  )
-  port map (
-    rst                      => mm_rst,
-    clk                      => mm_clk,
-    sync                     => '1',
-
-    -- Memory Mapped slave interfaces
-    commander_mosi           => reg_commander_mosi_arr(0),
-    commander_miso           => reg_commander_miso_arr(0),
-    protocol_mosi            => ram_protocol_mosi_arr(0),
-    protocol_miso            => ram_protocol_miso_arr(0),
-    result_mosi              => ram_result_mosi_arr(0),
-    result_miso              => ram_result_miso_arr(0),
-
-    -- I2C interface
-    scl                      => ADC_AB_SCL,
-    sda                      => ADC_AB_SDA
-  );
+    generic map (
+      g_sim                    => g_sim,
+      g_i2c_cmdr               => c_i2c_cmdr_aduh_protocol_commander,
+      g_i2c_mm                 => func_i2c_sel_a_b(g_sim, c_i2c_cmdr_aduh_i2c_mm_sim, c_i2c_cmdr_aduh_i2c_mm),  -- use full address range in sim to avoid warnings
+      g_i2c_phy                => func_i2c_calculate_phy(g_bn_capture.mm_clk_freq / 10**6),
+      g_protocol_ram_init_file => "data/adu_protocol_ram_init.hex",
+      g_use_result_ram         => c_use_result_ram
+    )
+    port map (
+      rst                      => mm_rst,
+      clk                      => mm_clk,
+      sync                     => '1',
+
+      -- Memory Mapped slave interfaces
+      commander_mosi           => reg_commander_mosi_arr(0),
+      commander_miso           => reg_commander_miso_arr(0),
+      protocol_mosi            => ram_protocol_mosi_arr(0),
+      protocol_miso            => ram_protocol_miso_arr(0),
+      result_mosi              => ram_result_mosi_arr(0),
+      result_miso              => ram_result_miso_arr(0),
+
+      -- I2C interface
+      scl                      => ADC_AB_SCL,
+      sda                      => ADC_AB_SDA
+    );
 
   ------------------------------------------------------------------------------
   -- 2b) I2C control for ADU CD
   ------------------------------------------------------------------------------
 
   u_i2c_adu_cd : entity i2c_lib.i2c_commander
-  generic map (
-    g_sim                    => g_sim,
-    g_i2c_cmdr               => c_i2c_cmdr_aduh_protocol_commander,
-    g_i2c_mm                 => func_i2c_sel_a_b(g_sim, c_i2c_cmdr_aduh_i2c_mm_sim, c_i2c_cmdr_aduh_i2c_mm),  -- use full address range in sim to avoid warnings
-    g_i2c_phy                => func_i2c_calculate_phy(g_bn_capture.mm_clk_freq / 10**6),
-    g_protocol_ram_init_file => "data/adu_protocol_ram_init.hex",
-    g_use_result_ram         => c_use_result_ram
-  )
-  port map (
-    rst                      => mm_rst,
-    clk                      => mm_clk,
-    sync                     => '1',
-
-    -- Memory Mapped slave interfaces
-    commander_mosi           => reg_commander_mosi_arr(1),
-    commander_miso           => reg_commander_miso_arr(1),
-    protocol_mosi            => ram_protocol_mosi_arr(1),
-    protocol_miso            => ram_protocol_miso_arr(1),
-    result_mosi              => ram_result_mosi_arr(1),
-    result_miso              => ram_result_miso_arr(1),
-
-    -- I2C interface
-    scl                      => ADC_CD_SCL,
-    sda                      => ADC_CD_SDA
-  );
+    generic map (
+      g_sim                    => g_sim,
+      g_i2c_cmdr               => c_i2c_cmdr_aduh_protocol_commander,
+      g_i2c_mm                 => func_i2c_sel_a_b(g_sim, c_i2c_cmdr_aduh_i2c_mm_sim, c_i2c_cmdr_aduh_i2c_mm),  -- use full address range in sim to avoid warnings
+      g_i2c_phy                => func_i2c_calculate_phy(g_bn_capture.mm_clk_freq / 10**6),
+      g_protocol_ram_init_file => "data/adu_protocol_ram_init.hex",
+      g_use_result_ram         => c_use_result_ram
+    )
+    port map (
+      rst                      => mm_rst,
+      clk                      => mm_clk,
+      sync                     => '1',
+
+      -- Memory Mapped slave interfaces
+      commander_mosi           => reg_commander_mosi_arr(1),
+      commander_miso           => reg_commander_miso_arr(1),
+      protocol_mosi            => ram_protocol_mosi_arr(1),
+      protocol_miso            => ram_protocol_miso_arr(1),
+      result_mosi              => ram_result_mosi_arr(1),
+      result_miso              => ram_result_miso_arr(1),
+
+      -- I2C interface
+      scl                      => ADC_CD_SCL,
+      sda                      => ADC_CD_SDA
+    );
 
   ------------------------------------------------------------------------------
   -- 3) Feed each SP through a flusher that is controlled by a scheduler
@@ -321,80 +321,80 @@ begin
 
   gen_flushers: for i in 0 to g_ai.nof_sp - 1 generate
     u_dp_flush: entity dp_lib.dp_flush
-    generic map (
-      g_framed_xon    => true,
-      g_framed_xoff   => true
-    )
-    port map (
-      rst          => dp_rst,
-      clk          => dp_clk,
-      -- ST sink
-      snk_in       => i_sp_sosi_arr(i),
-      snk_out      => sp_siso_arr(i),
-      -- ST source
-      src_in       => scheduled_sp_siso_arr(i),
-      src_out      => scheduled_sp_sosi_arr(i),
-      -- Enable flush
-      flush_en     => sp_flush_en
-    );
+      generic map (
+        g_framed_xon    => true,
+        g_framed_xoff   => true
+      )
+      port map (
+        rst          => dp_rst,
+        clk          => dp_clk,
+        -- ST sink
+        snk_in       => i_sp_sosi_arr(i),
+        snk_out      => sp_siso_arr(i),
+        -- ST source
+        src_in       => scheduled_sp_siso_arr(i),
+        src_out      => scheduled_sp_sosi_arr(i),
+        -- Enable flush
+        flush_en     => sp_flush_en
+      );
   end generate;
 
   -- Convert the schedulers trigger on/off pulses to flush disable/enable level
   u_sp_flush_switch : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',
-    g_priority_lo  => false,
-    g_or_high      => false,
-    g_and_low      => false
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    switch_high => dp_bsn_trigger_sp_off,
-    switch_low  => dp_bsn_trigger_sp_on,
-    out_level   => sp_flush_en
-  );
+    generic map (
+      g_rst_level    => '1',
+      g_priority_lo  => false,
+      g_or_high      => false,
+      g_and_low      => false
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      switch_high => dp_bsn_trigger_sp_off,
+      switch_low  => dp_bsn_trigger_sp_on,
+      out_level   => sp_flush_en
+    );
 
   u_bsn_trigger_sp_on : entity dp_lib.mms_dp_bsn_scheduler
-  generic map (
-    g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
-    g_bsn_w              => c_dp_stream_bsn_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_bsn_scheduler_sp_on_mosi,
-    reg_miso    => reg_bsn_scheduler_sp_on_miso,
-
-    -- Streaming clock domain
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    snk_in      => i_sp_sosi_arr(0),  -- only uses eop (= block sync), bsn[]
-    trigger_out => dp_bsn_trigger_sp_on
-  );
+    generic map (
+      g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
+      g_bsn_w              => c_dp_stream_bsn_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_bsn_scheduler_sp_on_mosi,
+      reg_miso    => reg_bsn_scheduler_sp_on_miso,
+
+      -- Streaming clock domain
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      snk_in      => i_sp_sosi_arr(0),  -- only uses eop (= block sync), bsn[]
+      trigger_out => dp_bsn_trigger_sp_on
+    );
 
   u_bsn_trigger_sp_off : entity dp_lib.mms_dp_bsn_scheduler
-  generic map (
-    g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
-    g_bsn_w              => c_dp_stream_bsn_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_bsn_scheduler_sp_off_mosi,
-    reg_miso    => reg_bsn_scheduler_sp_off_miso,
-
-    -- Streaming clock domain
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    snk_in      => i_sp_sosi_arr(0),  -- only uses eop (= block sync), bsn[]
-    trigger_out => dp_bsn_trigger_sp_off
-  );
+    generic map (
+      g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
+      g_bsn_w              => c_dp_stream_bsn_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_bsn_scheduler_sp_off_mosi,
+      reg_miso    => reg_bsn_scheduler_sp_off_miso,
+
+      -- Streaming clock domain
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      snk_in      => i_sp_sosi_arr(0),  -- only uses eop (= block sync), bsn[]
+      trigger_out => dp_bsn_trigger_sp_off
+    );
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture.vhd
index 0020141698..41d06966ee 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture.vhd
@@ -22,18 +22,18 @@
 -- Purpose: Capture ADC samples from ADU on a BN
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, ppsh_lib, eth_lib, tech_tse_lib, aduh_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use aduh_lib.aduh_dd_pkg.all;
-use work.unb1_bn_capture_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use aduh_lib.aduh_dd_pkg.all;
+  use work.unb1_bn_capture_pkg.all;
 
 entity unb1_bn_capture is
   generic (
@@ -197,550 +197,550 @@ begin
   -----------------------------------------------------------------------------
 
   u_sopc : entity work.sopc_unb1_bn_capture
-  port map (
-    -- 1) global signals:
-    clk_0                                         => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
-    reset_n                                       => xo_rst_n,
-    mm_clk                                        => mm_clk,  -- PLL clk[0] = 50 MHz system clock that the NIOS2 and the MM bus run on
-    cal_clk                                       => OPEN,  -- PLL clk[1] = 40 MHz calibration clock for the IO reconfiguration
-    tse_clk                                       => eth1g_tse_clk,  -- PLL clk[2] = 125 MHz calibration clock for the TSE
-
-    -- the_altpll_0
-    locked_from_the_altpll_0                      => mm_locked,
-    phasedone_from_the_altpll_0                   => OPEN,
-    areset_to_the_altpll_0                        => xo_rst,
-
-    -- the_avs_eth_0
-    coe_clk_export_from_the_avs_eth_0             => OPEN,
-    coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-    coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-    coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-    coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-    coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-    coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-    coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-    coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_eth_reg_addr_w - 1 downto 0),
-    coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-    coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-    coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-    coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-    coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-    coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_eth_ram_addr_w - 1 downto 0),
-    coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-    coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-    coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-    coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-    -- the_reg_unb_sens
-    coe_clk_export_from_the_reg_unb_sens                => OPEN,
-    coe_reset_export_from_the_reg_unb_sens              => OPEN,
-    coe_address_export_from_the_reg_unb_sens            => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_unb_sens               => reg_unb_sens_mosi.rd,
-    coe_readdata_export_to_the_reg_unb_sens             => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.wr,
-    coe_writedata_export_from_the_reg_unb_sens          => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_bsn_source
-    coe_clk_export_from_the_reg_bsn_source              => OPEN,
-    coe_reset_export_from_the_reg_bsn_source            => OPEN,
-    coe_address_export_from_the_reg_bsn_source          => reg_bsn_source_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_bsn_source_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_bsn_source             => reg_bsn_source_mosi.rd,
-    coe_readdata_export_to_the_reg_bsn_source           => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_bsn_source            => reg_bsn_source_mosi.wr,
-    coe_writedata_export_from_the_reg_bsn_source        => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_bsn_scheduler_wg
-    coe_clk_export_from_the_reg_bsn_scheduler_wg        => OPEN,
-    coe_reset_export_from_the_reg_bsn_scheduler_wg      => OPEN,
-    coe_address_export_from_the_reg_bsn_scheduler_wg    => reg_bsn_scheduler_wg_mosi.address(0),  -- reg_bsn_scheduler_adr_w = 1
-    coe_read_export_from_the_reg_bsn_scheduler_wg       => reg_bsn_scheduler_wg_mosi.rd,
-    coe_readdata_export_to_the_reg_bsn_scheduler_wg     => reg_bsn_scheduler_wg_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_bsn_scheduler_wg      => reg_bsn_scheduler_wg_mosi.wr,
-    coe_writedata_export_from_the_reg_bsn_scheduler_wg  => reg_bsn_scheduler_wg_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_adc_quad
-    coe_clk_export_from_the_reg_adc_quad                => OPEN,
-    coe_reset_export_from_the_reg_adc_quad              => OPEN,
-    coe_address_export_from_the_reg_adc_quad            => reg_adc_quad_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_adc_quad_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_adc_quad               => reg_adc_quad_mosi.rd,
-    coe_readdata_export_to_the_reg_adc_quad             => reg_adc_quad_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_adc_quad              => reg_adc_quad_mosi.wr,
-    coe_writedata_export_from_the_reg_adc_quad          => reg_adc_quad_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diag_wg_0
-    coe_clk_export_from_the_reg_diag_wg_0               => OPEN,
-    coe_reset_export_from_the_reg_diag_wg_0             => OPEN,
-    coe_address_export_from_the_reg_diag_wg_0           => reg_wg_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_diag_wg_0              => reg_wg_mosi_arr(0).rd,
-    coe_readdata_export_to_the_reg_diag_wg_0            => reg_wg_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_diag_wg_0             => reg_wg_mosi_arr(0).wr,
-    coe_writedata_export_from_the_reg_diag_wg_0         => reg_wg_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diag_wg_1
-    coe_clk_export_from_the_reg_diag_wg_1               => OPEN,
-    coe_reset_export_from_the_reg_diag_wg_1             => OPEN,
-    coe_address_export_from_the_reg_diag_wg_1           => reg_wg_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_diag_wg_1              => reg_wg_mosi_arr(1).rd,
-    coe_readdata_export_to_the_reg_diag_wg_1            => reg_wg_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_diag_wg_1             => reg_wg_mosi_arr(1).wr,
-    coe_writedata_export_from_the_reg_diag_wg_1         => reg_wg_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diag_wg_2
-    coe_clk_export_from_the_reg_diag_wg_2               => OPEN,
-    coe_reset_export_from_the_reg_diag_wg_2             => OPEN,
-    coe_address_export_from_the_reg_diag_wg_2           => reg_wg_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_diag_wg_2              => reg_wg_mosi_arr(2).rd,
-    coe_readdata_export_to_the_reg_diag_wg_2            => reg_wg_miso_arr(2).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_diag_wg_2             => reg_wg_mosi_arr(2).wr,
-    coe_writedata_export_from_the_reg_diag_wg_2         => reg_wg_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diag_wg_3
-    coe_clk_export_from_the_reg_diag_wg_3               => OPEN,
-    coe_reset_export_from_the_reg_diag_wg_3             => OPEN,
-    coe_address_export_from_the_reg_diag_wg_3           => reg_wg_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_diag_wg_3              => reg_wg_mosi_arr(3).rd,
-    coe_readdata_export_to_the_reg_diag_wg_3            => reg_wg_miso_arr(3).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_diag_wg_3             => reg_wg_mosi_arr(3).wr,
-    coe_writedata_export_from_the_reg_diag_wg_3         => reg_wg_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_diag_wg_0
-    coe_clk_export_from_the_ram_diag_wg_0               => OPEN,
-    coe_reset_export_from_the_ram_diag_wg_0             => OPEN,
-    coe_address_export_from_the_ram_diag_wg_0           => ram_wg_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_diag_wg_0              => ram_wg_mosi_arr(0).rd,
-    coe_readdata_export_to_the_ram_diag_wg_0            => ram_wg_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_diag_wg_0             => ram_wg_mosi_arr(0).wr,
-    coe_writedata_export_from_the_ram_diag_wg_0         => ram_wg_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_diag_wg_1
-    coe_clk_export_from_the_ram_diag_wg_1               => OPEN,
-    coe_reset_export_from_the_ram_diag_wg_1             => OPEN,
-    coe_address_export_from_the_ram_diag_wg_1           => ram_wg_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_diag_wg_1              => ram_wg_mosi_arr(1).rd,
-    coe_readdata_export_to_the_ram_diag_wg_1            => ram_wg_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_diag_wg_1             => ram_wg_mosi_arr(1).wr,
-    coe_writedata_export_from_the_ram_diag_wg_1         => ram_wg_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_diag_wg_2
-    coe_clk_export_from_the_ram_diag_wg_2               => OPEN,
-    coe_reset_export_from_the_ram_diag_wg_2             => OPEN,
-    coe_address_export_from_the_ram_diag_wg_2           => ram_wg_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_diag_wg_2              => ram_wg_mosi_arr(2).rd,
-    coe_readdata_export_to_the_ram_diag_wg_2            => ram_wg_miso_arr(2).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_diag_wg_2             => ram_wg_mosi_arr(2).wr,
-    coe_writedata_export_from_the_ram_diag_wg_2         => ram_wg_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_diag_wg_3
-    coe_clk_export_from_the_ram_diag_wg_3               => OPEN,
-    coe_reset_export_from_the_ram_diag_wg_3             => OPEN,
-    coe_address_export_from_the_ram_diag_wg_3           => ram_wg_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_diag_wg_3              => ram_wg_mosi_arr(3).rd,
-    coe_readdata_export_to_the_ram_diag_wg_3            => ram_wg_miso_arr(3).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_diag_wg_3             => ram_wg_mosi_arr(3).wr,
-    coe_writedata_export_from_the_ram_diag_wg_3         => ram_wg_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_dp_shiftram
-    coe_clk_export_from_the_reg_dp_shiftram             => OPEN,
-    coe_reset_export_from_the_reg_dp_shiftram           => OPEN,
-    coe_address_export_from_the_reg_dp_shiftram         => reg_dp_shiftram_mosi.address(c_reg_dp_shiftram_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_dp_shiftram            => reg_dp_shiftram_mosi.rd,
-    coe_readdata_export_to_the_reg_dp_shiftram          => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_dp_shiftram           => reg_dp_shiftram_mosi.wr,
-    coe_writedata_export_from_the_reg_dp_shiftram       => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_aduh_mon_0
-    coe_clk_export_from_the_reg_aduh_mon_0              => OPEN,
-    coe_reset_export_from_the_reg_aduh_mon_0            => OPEN,
-    coe_address_export_from_the_reg_aduh_mon_0          => reg_mon_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_aduh_mon_0             => reg_mon_mosi_arr(0).rd,
-    coe_readdata_export_to_the_reg_aduh_mon_0           => reg_mon_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_aduh_mon_0            => reg_mon_mosi_arr(0).wr,
-    coe_writedata_export_from_the_reg_aduh_mon_0        => reg_mon_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_aduh_mon_1
-    coe_clk_export_from_the_reg_aduh_mon_1              => OPEN,
-    coe_reset_export_from_the_reg_aduh_mon_1            => OPEN,
-    coe_address_export_from_the_reg_aduh_mon_1          => reg_mon_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_aduh_mon_1             => reg_mon_mosi_arr(1).rd,
-    coe_readdata_export_to_the_reg_aduh_mon_1           => reg_mon_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_aduh_mon_1            => reg_mon_mosi_arr(1).wr,
-    coe_writedata_export_from_the_reg_aduh_mon_1        => reg_mon_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_aduh_mon_2
-    coe_clk_export_from_the_reg_aduh_mon_2              => OPEN,
-    coe_reset_export_from_the_reg_aduh_mon_2            => OPEN,
-    coe_address_export_from_the_reg_aduh_mon_2          => reg_mon_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_aduh_mon_2             => reg_mon_mosi_arr(2).rd,
-    coe_readdata_export_to_the_reg_aduh_mon_2           => reg_mon_miso_arr(2).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_aduh_mon_2            => reg_mon_mosi_arr(2).wr,
-    coe_writedata_export_from_the_reg_aduh_mon_2        => reg_mon_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_aduh_mon_3
-    coe_clk_export_from_the_reg_aduh_mon_3              => OPEN,
-    coe_reset_export_from_the_reg_aduh_mon_3            => OPEN,
-    coe_address_export_from_the_reg_aduh_mon_3          => reg_mon_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_aduh_mon_3             => reg_mon_mosi_arr(3).rd,
-    coe_readdata_export_to_the_reg_aduh_mon_3           => reg_mon_miso_arr(3).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_aduh_mon_3            => reg_mon_mosi_arr(3).wr,
-    coe_writedata_export_from_the_reg_aduh_mon_3        => reg_mon_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_aduh_mon_0
-    coe_clk_export_from_the_ram_aduh_mon_0              => OPEN,
-    coe_reset_export_from_the_ram_aduh_mon_0            => OPEN,
-    coe_address_export_from_the_ram_aduh_mon_0          => ram_mon_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_aduh_mon_0             => ram_mon_mosi_arr(0).rd,
-    coe_readdata_export_to_the_ram_aduh_mon_0           => ram_mon_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_aduh_mon_0            => ram_mon_mosi_arr(0).wr,
-    coe_writedata_export_from_the_ram_aduh_mon_0        => ram_mon_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_aduh_mon_1
-    coe_clk_export_from_the_ram_aduh_mon_1              => OPEN,
-    coe_reset_export_from_the_ram_aduh_mon_1            => OPEN,
-    coe_address_export_from_the_ram_aduh_mon_1          => ram_mon_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_aduh_mon_1             => ram_mon_mosi_arr(1).rd,
-    coe_readdata_export_to_the_ram_aduh_mon_1           => ram_mon_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_aduh_mon_1            => ram_mon_mosi_arr(1).wr,
-    coe_writedata_export_from_the_ram_aduh_mon_1        => ram_mon_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_aduh_mon_2
-    coe_clk_export_from_the_ram_aduh_mon_2              => OPEN,
-    coe_reset_export_from_the_ram_aduh_mon_2            => OPEN,
-    coe_address_export_from_the_ram_aduh_mon_2          => ram_mon_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_aduh_mon_2             => ram_mon_mosi_arr(2).rd,
-    coe_readdata_export_to_the_ram_aduh_mon_2           => ram_mon_miso_arr(2).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_aduh_mon_2            => ram_mon_mosi_arr(2).wr,
-    coe_writedata_export_from_the_ram_aduh_mon_2        => ram_mon_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_aduh_mon_3
-    coe_clk_export_from_the_ram_aduh_mon_3              => OPEN,
-    coe_reset_export_from_the_ram_aduh_mon_3            => OPEN,
-    coe_address_export_from_the_ram_aduh_mon_3          => ram_mon_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_aduh_mon_3             => ram_mon_mosi_arr(3).rd,
-    coe_readdata_export_to_the_ram_aduh_mon_3           => ram_mon_miso_arr(3).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_aduh_mon_3            => ram_mon_mosi_arr(3).wr,
-    coe_writedata_export_from_the_ram_aduh_mon_3        => ram_mon_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_adu_i2c_commander_ab
-    coe_clk_export_from_the_reg_adu_i2c_commander_ab        => OPEN,
-    coe_reset_export_from_the_reg_adu_i2c_commander_ab      => OPEN,
-    coe_address_export_from_the_reg_adu_i2c_commander_ab    => reg_commander_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.reg_i2c_commander_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_adu_i2c_commander_ab       => reg_commander_mosi_arr(0).rd,
-    coe_readdata_export_to_the_reg_adu_i2c_commander_ab     => reg_commander_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_adu_i2c_commander_ab      => reg_commander_mosi_arr(0).wr,
-    coe_writedata_export_from_the_reg_adu_i2c_commander_ab  => reg_commander_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_adu_i2c_commander_cd
-    coe_clk_export_from_the_reg_adu_i2c_commander_cd        => OPEN,
-    coe_reset_export_from_the_reg_adu_i2c_commander_cd      => OPEN,
-    coe_address_export_from_the_reg_adu_i2c_commander_cd    => reg_commander_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.reg_i2c_commander_adr_w - 1 downto 0),
-    coe_read_export_from_the_reg_adu_i2c_commander_cd       => reg_commander_mosi_arr(1).rd,
-    coe_readdata_export_to_the_reg_adu_i2c_commander_cd     => reg_commander_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_adu_i2c_commander_cd      => reg_commander_mosi_arr(1).wr,
-    coe_writedata_export_from_the_reg_adu_i2c_commander_cd  => reg_commander_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_adu_i2c_protocol_ab
-    coe_clk_export_from_the_ram_adu_i2c_protocol_ab         => OPEN,
-    coe_reset_export_from_the_ram_adu_i2c_protocol_ab       => OPEN,
-    coe_address_export_from_the_ram_adu_i2c_protocol_ab     => ram_protocol_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_protocol_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_adu_i2c_protocol_ab        => ram_protocol_mosi_arr(0).rd,
-    coe_readdata_export_to_the_ram_adu_i2c_protocol_ab      => ram_protocol_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_adu_i2c_protocol_ab       => ram_protocol_mosi_arr(0).wr,
-    coe_writedata_export_from_the_ram_adu_i2c_protocol_ab   => ram_protocol_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_adu_i2c_protocol_cd
-    coe_clk_export_from_the_ram_adu_i2c_protocol_cd         => OPEN,
-    coe_reset_export_from_the_ram_adu_i2c_protocol_cd       => OPEN,
-    coe_address_export_from_the_ram_adu_i2c_protocol_cd     => ram_protocol_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_protocol_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_adu_i2c_protocol_cd        => ram_protocol_mosi_arr(1).rd,
-    coe_readdata_export_to_the_ram_adu_i2c_protocol_cd      => ram_protocol_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_adu_i2c_protocol_cd       => ram_protocol_mosi_arr(1).wr,
-    coe_writedata_export_from_the_ram_adu_i2c_protocol_cd   => ram_protocol_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_adu_i2c_result_ab
-    coe_clk_export_from_the_ram_adu_i2c_result_ab           => OPEN,
-    coe_reset_export_from_the_ram_adu_i2c_result_ab         => OPEN,
-    coe_address_export_from_the_ram_adu_i2c_result_ab       => ram_result_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_result_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_adu_i2c_result_ab          => ram_result_mosi_arr(0).rd,
-    coe_readdata_export_to_the_ram_adu_i2c_result_ab        => ram_result_miso_arr(0).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_adu_i2c_result_ab         => ram_result_mosi_arr(0).wr,
-    coe_writedata_export_from_the_ram_adu_i2c_result_ab     => ram_result_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_adu_i2c_result_cd
-    coe_clk_export_from_the_ram_adu_i2c_result_cd           => OPEN,
-    coe_reset_export_from_the_ram_adu_i2c_result_cd         => OPEN,
-    coe_address_export_from_the_ram_adu_i2c_result_cd       => ram_result_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_result_adr_w - 1 downto 0),
-    coe_read_export_from_the_ram_adu_i2c_result_cd          => ram_result_mosi_arr(1).rd,
-    coe_readdata_export_to_the_ram_adu_i2c_result_cd        => ram_result_miso_arr(1).rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_ram_adu_i2c_result_cd         => ram_result_mosi_arr(1).wr,
-    coe_writedata_export_from_the_ram_adu_i2c_result_cd     => ram_result_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_bsn_scheduler_sp_on
-    coe_clk_export_from_the_reg_bsn_scheduler_sp_on         => OPEN,
-    coe_reset_export_from_the_reg_bsn_scheduler_sp_on       => OPEN,
-    coe_address_export_from_the_reg_bsn_scheduler_sp_on     => reg_bsn_scheduler_sp_on_mosi.address(0),
-    coe_read_export_from_the_reg_bsn_scheduler_sp_on        => reg_bsn_scheduler_sp_on_mosi.rd,
-    coe_readdata_export_to_the_reg_bsn_scheduler_sp_on      => reg_bsn_scheduler_sp_on_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_bsn_scheduler_sp_on       => reg_bsn_scheduler_sp_on_mosi.wr,
-    coe_writedata_export_from_the_reg_bsn_scheduler_sp_on   => reg_bsn_scheduler_sp_on_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_bsn_scheduler_sp_off
-    coe_clk_export_from_the_reg_bsn_scheduler_sp_off         => OPEN,
-    coe_reset_export_from_the_reg_bsn_scheduler_sp_off       => OPEN,
-    coe_address_export_from_the_reg_bsn_scheduler_sp_off     => reg_bsn_scheduler_sp_off_mosi.address(0),
-    coe_read_export_from_the_reg_bsn_scheduler_sp_off        => reg_bsn_scheduler_sp_off_mosi.rd,
-    coe_readdata_export_to_the_reg_bsn_scheduler_sp_off      => reg_bsn_scheduler_sp_off_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_bsn_scheduler_sp_off       => reg_bsn_scheduler_sp_off_mosi.wr,
-    coe_writedata_export_from_the_reg_bsn_scheduler_sp_off   => reg_bsn_scheduler_sp_off_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_pio_debug_wave
-    out_port_from_the_pio_debug_wave                    => pout_debug_wave,
-
-    -- the_pio_pps
-    coe_clk_export_from_the_pio_pps               => OPEN,
-    coe_reset_export_from_the_pio_pps             => OPEN,
-    coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-    coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-    coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-    coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_pio_system_info: actually a avs_common_mm instance
-    coe_clk_export_from_the_pio_system_info             => OPEN,
-    coe_reset_export_from_the_pio_system_info           => OPEN,
-    coe_address_export_from_the_pio_system_info         => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-    coe_read_export_from_the_pio_system_info            => reg_unb_system_info_mosi.rd,
-    coe_readdata_export_to_the_pio_system_info          => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wr,
-    coe_writedata_export_from_the_pio_system_info       => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_rom_system_info
-    coe_clk_export_from_the_rom_system_info             => OPEN,
-    coe_reset_export_from_the_rom_system_info           => OPEN,
-    coe_address_export_from_the_rom_system_info         => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-    coe_read_export_from_the_rom_system_info            => rom_unb_system_info_mosi.rd,
-    coe_readdata_export_to_the_rom_system_info          => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wr,
-    coe_writedata_export_from_the_rom_system_info       => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_pio_wdi
-    out_port_from_the_pio_wdi                           => pout_wdi,
-
-    -- the_reg_wdi
-    coe_clk_export_from_the_reg_wdi                 => OPEN,
-    coe_reset_export_from_the_reg_wdi               => OPEN,
-    coe_address_export_from_the_reg_wdi             => reg_wdi_mosi.address(0),
-    coe_read_export_from_the_reg_wdi                => reg_wdi_mosi.rd,
-    coe_readdata_export_to_the_reg_wdi              => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_wdi               => reg_wdi_mosi.wr,
-    coe_writedata_export_from_the_reg_wdi           => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
-  );
+    port map (
+      -- 1) global signals:
+      clk_0                                         => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
+      reset_n                                       => xo_rst_n,
+      mm_clk                                        => mm_clk,  -- PLL clk[0] = 50 MHz system clock that the NIOS2 and the MM bus run on
+      cal_clk                                       => OPEN,  -- PLL clk[1] = 40 MHz calibration clock for the IO reconfiguration
+      tse_clk                                       => eth1g_tse_clk,  -- PLL clk[2] = 125 MHz calibration clock for the TSE
+
+      -- the_altpll_0
+      locked_from_the_altpll_0                      => mm_locked,
+      phasedone_from_the_altpll_0                   => OPEN,
+      areset_to_the_altpll_0                        => xo_rst,
+
+      -- the_avs_eth_0
+      coe_clk_export_from_the_avs_eth_0             => OPEN,
+      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_eth_reg_addr_w - 1 downto 0),
+      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_eth_ram_addr_w - 1 downto 0),
+      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+      -- the_reg_unb_sens
+      coe_clk_export_from_the_reg_unb_sens                => OPEN,
+      coe_reset_export_from_the_reg_unb_sens              => OPEN,
+      coe_address_export_from_the_reg_unb_sens            => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_unb_sens               => reg_unb_sens_mosi.rd,
+      coe_readdata_export_to_the_reg_unb_sens             => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.wr,
+      coe_writedata_export_from_the_reg_unb_sens          => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_bsn_source
+      coe_clk_export_from_the_reg_bsn_source              => OPEN,
+      coe_reset_export_from_the_reg_bsn_source            => OPEN,
+      coe_address_export_from_the_reg_bsn_source          => reg_bsn_source_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_bsn_source_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_bsn_source             => reg_bsn_source_mosi.rd,
+      coe_readdata_export_to_the_reg_bsn_source           => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_bsn_source            => reg_bsn_source_mosi.wr,
+      coe_writedata_export_from_the_reg_bsn_source        => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_bsn_scheduler_wg
+      coe_clk_export_from_the_reg_bsn_scheduler_wg        => OPEN,
+      coe_reset_export_from_the_reg_bsn_scheduler_wg      => OPEN,
+      coe_address_export_from_the_reg_bsn_scheduler_wg    => reg_bsn_scheduler_wg_mosi.address(0),  -- reg_bsn_scheduler_adr_w = 1
+      coe_read_export_from_the_reg_bsn_scheduler_wg       => reg_bsn_scheduler_wg_mosi.rd,
+      coe_readdata_export_to_the_reg_bsn_scheduler_wg     => reg_bsn_scheduler_wg_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_bsn_scheduler_wg      => reg_bsn_scheduler_wg_mosi.wr,
+      coe_writedata_export_from_the_reg_bsn_scheduler_wg  => reg_bsn_scheduler_wg_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_adc_quad
+      coe_clk_export_from_the_reg_adc_quad                => OPEN,
+      coe_reset_export_from_the_reg_adc_quad              => OPEN,
+      coe_address_export_from_the_reg_adc_quad            => reg_adc_quad_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_adc_quad_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_adc_quad               => reg_adc_quad_mosi.rd,
+      coe_readdata_export_to_the_reg_adc_quad             => reg_adc_quad_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_adc_quad              => reg_adc_quad_mosi.wr,
+      coe_writedata_export_from_the_reg_adc_quad          => reg_adc_quad_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diag_wg_0
+      coe_clk_export_from_the_reg_diag_wg_0               => OPEN,
+      coe_reset_export_from_the_reg_diag_wg_0             => OPEN,
+      coe_address_export_from_the_reg_diag_wg_0           => reg_wg_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_diag_wg_0              => reg_wg_mosi_arr(0).rd,
+      coe_readdata_export_to_the_reg_diag_wg_0            => reg_wg_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_diag_wg_0             => reg_wg_mosi_arr(0).wr,
+      coe_writedata_export_from_the_reg_diag_wg_0         => reg_wg_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diag_wg_1
+      coe_clk_export_from_the_reg_diag_wg_1               => OPEN,
+      coe_reset_export_from_the_reg_diag_wg_1             => OPEN,
+      coe_address_export_from_the_reg_diag_wg_1           => reg_wg_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_diag_wg_1              => reg_wg_mosi_arr(1).rd,
+      coe_readdata_export_to_the_reg_diag_wg_1            => reg_wg_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_diag_wg_1             => reg_wg_mosi_arr(1).wr,
+      coe_writedata_export_from_the_reg_diag_wg_1         => reg_wg_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diag_wg_2
+      coe_clk_export_from_the_reg_diag_wg_2               => OPEN,
+      coe_reset_export_from_the_reg_diag_wg_2             => OPEN,
+      coe_address_export_from_the_reg_diag_wg_2           => reg_wg_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_diag_wg_2              => reg_wg_mosi_arr(2).rd,
+      coe_readdata_export_to_the_reg_diag_wg_2            => reg_wg_miso_arr(2).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_diag_wg_2             => reg_wg_mosi_arr(2).wr,
+      coe_writedata_export_from_the_reg_diag_wg_2         => reg_wg_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diag_wg_3
+      coe_clk_export_from_the_reg_diag_wg_3               => OPEN,
+      coe_reset_export_from_the_reg_diag_wg_3             => OPEN,
+      coe_address_export_from_the_reg_diag_wg_3           => reg_wg_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.reg_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_diag_wg_3              => reg_wg_mosi_arr(3).rd,
+      coe_readdata_export_to_the_reg_diag_wg_3            => reg_wg_miso_arr(3).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_diag_wg_3             => reg_wg_mosi_arr(3).wr,
+      coe_writedata_export_from_the_reg_diag_wg_3         => reg_wg_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_diag_wg_0
+      coe_clk_export_from_the_ram_diag_wg_0               => OPEN,
+      coe_reset_export_from_the_ram_diag_wg_0             => OPEN,
+      coe_address_export_from_the_ram_diag_wg_0           => ram_wg_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_diag_wg_0              => ram_wg_mosi_arr(0).rd,
+      coe_readdata_export_to_the_ram_diag_wg_0            => ram_wg_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_diag_wg_0             => ram_wg_mosi_arr(0).wr,
+      coe_writedata_export_from_the_ram_diag_wg_0         => ram_wg_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_diag_wg_1
+      coe_clk_export_from_the_ram_diag_wg_1               => OPEN,
+      coe_reset_export_from_the_ram_diag_wg_1             => OPEN,
+      coe_address_export_from_the_ram_diag_wg_1           => ram_wg_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_diag_wg_1              => ram_wg_mosi_arr(1).rd,
+      coe_readdata_export_to_the_ram_diag_wg_1            => ram_wg_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_diag_wg_1             => ram_wg_mosi_arr(1).wr,
+      coe_writedata_export_from_the_ram_diag_wg_1         => ram_wg_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_diag_wg_2
+      coe_clk_export_from_the_ram_diag_wg_2               => OPEN,
+      coe_reset_export_from_the_ram_diag_wg_2             => OPEN,
+      coe_address_export_from_the_ram_diag_wg_2           => ram_wg_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_diag_wg_2              => ram_wg_mosi_arr(2).rd,
+      coe_readdata_export_to_the_ram_diag_wg_2            => ram_wg_miso_arr(2).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_diag_wg_2             => ram_wg_mosi_arr(2).wr,
+      coe_writedata_export_from_the_ram_diag_wg_2         => ram_wg_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_diag_wg_3
+      coe_clk_export_from_the_ram_diag_wg_3               => OPEN,
+      coe_reset_export_from_the_ram_diag_wg_3             => OPEN,
+      coe_address_export_from_the_ram_diag_wg_3           => ram_wg_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_diag_wg_3              => ram_wg_mosi_arr(3).rd,
+      coe_readdata_export_to_the_ram_diag_wg_3            => ram_wg_miso_arr(3).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_diag_wg_3             => ram_wg_mosi_arr(3).wr,
+      coe_writedata_export_from_the_ram_diag_wg_3         => ram_wg_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_dp_shiftram
+      coe_clk_export_from_the_reg_dp_shiftram             => OPEN,
+      coe_reset_export_from_the_reg_dp_shiftram           => OPEN,
+      coe_address_export_from_the_reg_dp_shiftram         => reg_dp_shiftram_mosi.address(c_reg_dp_shiftram_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_dp_shiftram            => reg_dp_shiftram_mosi.rd,
+      coe_readdata_export_to_the_reg_dp_shiftram          => reg_dp_shiftram_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_dp_shiftram           => reg_dp_shiftram_mosi.wr,
+      coe_writedata_export_from_the_reg_dp_shiftram       => reg_dp_shiftram_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_aduh_mon_0
+      coe_clk_export_from_the_reg_aduh_mon_0              => OPEN,
+      coe_reset_export_from_the_reg_aduh_mon_0            => OPEN,
+      coe_address_export_from_the_reg_aduh_mon_0          => reg_mon_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_aduh_mon_0             => reg_mon_mosi_arr(0).rd,
+      coe_readdata_export_to_the_reg_aduh_mon_0           => reg_mon_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_aduh_mon_0            => reg_mon_mosi_arr(0).wr,
+      coe_writedata_export_from_the_reg_aduh_mon_0        => reg_mon_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_aduh_mon_1
+      coe_clk_export_from_the_reg_aduh_mon_1              => OPEN,
+      coe_reset_export_from_the_reg_aduh_mon_1            => OPEN,
+      coe_address_export_from_the_reg_aduh_mon_1          => reg_mon_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_aduh_mon_1             => reg_mon_mosi_arr(1).rd,
+      coe_readdata_export_to_the_reg_aduh_mon_1           => reg_mon_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_aduh_mon_1            => reg_mon_mosi_arr(1).wr,
+      coe_writedata_export_from_the_reg_aduh_mon_1        => reg_mon_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_aduh_mon_2
+      coe_clk_export_from_the_reg_aduh_mon_2              => OPEN,
+      coe_reset_export_from_the_reg_aduh_mon_2            => OPEN,
+      coe_address_export_from_the_reg_aduh_mon_2          => reg_mon_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_aduh_mon_2             => reg_mon_mosi_arr(2).rd,
+      coe_readdata_export_to_the_reg_aduh_mon_2           => reg_mon_miso_arr(2).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_aduh_mon_2            => reg_mon_mosi_arr(2).wr,
+      coe_writedata_export_from_the_reg_aduh_mon_2        => reg_mon_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_aduh_mon_3
+      coe_clk_export_from_the_reg_aduh_mon_3              => OPEN,
+      coe_reset_export_from_the_reg_aduh_mon_3            => OPEN,
+      coe_address_export_from_the_reg_aduh_mon_3          => reg_mon_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.reg_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_aduh_mon_3             => reg_mon_mosi_arr(3).rd,
+      coe_readdata_export_to_the_reg_aduh_mon_3           => reg_mon_miso_arr(3).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_aduh_mon_3            => reg_mon_mosi_arr(3).wr,
+      coe_writedata_export_from_the_reg_aduh_mon_3        => reg_mon_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_aduh_mon_0
+      coe_clk_export_from_the_ram_aduh_mon_0              => OPEN,
+      coe_reset_export_from_the_ram_aduh_mon_0            => OPEN,
+      coe_address_export_from_the_ram_aduh_mon_0          => ram_mon_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_aduh_mon_0             => ram_mon_mosi_arr(0).rd,
+      coe_readdata_export_to_the_ram_aduh_mon_0           => ram_mon_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_aduh_mon_0            => ram_mon_mosi_arr(0).wr,
+      coe_writedata_export_from_the_ram_aduh_mon_0        => ram_mon_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_aduh_mon_1
+      coe_clk_export_from_the_ram_aduh_mon_1              => OPEN,
+      coe_reset_export_from_the_ram_aduh_mon_1            => OPEN,
+      coe_address_export_from_the_ram_aduh_mon_1          => ram_mon_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_aduh_mon_1             => ram_mon_mosi_arr(1).rd,
+      coe_readdata_export_to_the_ram_aduh_mon_1           => ram_mon_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_aduh_mon_1            => ram_mon_mosi_arr(1).wr,
+      coe_writedata_export_from_the_ram_aduh_mon_1        => ram_mon_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_aduh_mon_2
+      coe_clk_export_from_the_ram_aduh_mon_2              => OPEN,
+      coe_reset_export_from_the_ram_aduh_mon_2            => OPEN,
+      coe_address_export_from_the_ram_aduh_mon_2          => ram_mon_mosi_arr(2).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_aduh_mon_2             => ram_mon_mosi_arr(2).rd,
+      coe_readdata_export_to_the_ram_aduh_mon_2           => ram_mon_miso_arr(2).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_aduh_mon_2            => ram_mon_mosi_arr(2).wr,
+      coe_writedata_export_from_the_ram_aduh_mon_2        => ram_mon_mosi_arr(2).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_aduh_mon_3
+      coe_clk_export_from_the_ram_aduh_mon_3              => OPEN,
+      coe_reset_export_from_the_ram_aduh_mon_3            => OPEN,
+      coe_address_export_from_the_ram_aduh_mon_3          => ram_mon_mosi_arr(3).address(c_unb1_board_peripherals_mm_reg_default.ram_aduh_mon_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_aduh_mon_3             => ram_mon_mosi_arr(3).rd,
+      coe_readdata_export_to_the_ram_aduh_mon_3           => ram_mon_miso_arr(3).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_aduh_mon_3            => ram_mon_mosi_arr(3).wr,
+      coe_writedata_export_from_the_ram_aduh_mon_3        => ram_mon_mosi_arr(3).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_adu_i2c_commander_ab
+      coe_clk_export_from_the_reg_adu_i2c_commander_ab        => OPEN,
+      coe_reset_export_from_the_reg_adu_i2c_commander_ab      => OPEN,
+      coe_address_export_from_the_reg_adu_i2c_commander_ab    => reg_commander_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.reg_i2c_commander_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_adu_i2c_commander_ab       => reg_commander_mosi_arr(0).rd,
+      coe_readdata_export_to_the_reg_adu_i2c_commander_ab     => reg_commander_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_adu_i2c_commander_ab      => reg_commander_mosi_arr(0).wr,
+      coe_writedata_export_from_the_reg_adu_i2c_commander_ab  => reg_commander_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_adu_i2c_commander_cd
+      coe_clk_export_from_the_reg_adu_i2c_commander_cd        => OPEN,
+      coe_reset_export_from_the_reg_adu_i2c_commander_cd      => OPEN,
+      coe_address_export_from_the_reg_adu_i2c_commander_cd    => reg_commander_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.reg_i2c_commander_adr_w - 1 downto 0),
+      coe_read_export_from_the_reg_adu_i2c_commander_cd       => reg_commander_mosi_arr(1).rd,
+      coe_readdata_export_to_the_reg_adu_i2c_commander_cd     => reg_commander_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_adu_i2c_commander_cd      => reg_commander_mosi_arr(1).wr,
+      coe_writedata_export_from_the_reg_adu_i2c_commander_cd  => reg_commander_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_adu_i2c_protocol_ab
+      coe_clk_export_from_the_ram_adu_i2c_protocol_ab         => OPEN,
+      coe_reset_export_from_the_ram_adu_i2c_protocol_ab       => OPEN,
+      coe_address_export_from_the_ram_adu_i2c_protocol_ab     => ram_protocol_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_protocol_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_adu_i2c_protocol_ab        => ram_protocol_mosi_arr(0).rd,
+      coe_readdata_export_to_the_ram_adu_i2c_protocol_ab      => ram_protocol_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_adu_i2c_protocol_ab       => ram_protocol_mosi_arr(0).wr,
+      coe_writedata_export_from_the_ram_adu_i2c_protocol_ab   => ram_protocol_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_adu_i2c_protocol_cd
+      coe_clk_export_from_the_ram_adu_i2c_protocol_cd         => OPEN,
+      coe_reset_export_from_the_ram_adu_i2c_protocol_cd       => OPEN,
+      coe_address_export_from_the_ram_adu_i2c_protocol_cd     => ram_protocol_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_protocol_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_adu_i2c_protocol_cd        => ram_protocol_mosi_arr(1).rd,
+      coe_readdata_export_to_the_ram_adu_i2c_protocol_cd      => ram_protocol_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_adu_i2c_protocol_cd       => ram_protocol_mosi_arr(1).wr,
+      coe_writedata_export_from_the_ram_adu_i2c_protocol_cd   => ram_protocol_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_adu_i2c_result_ab
+      coe_clk_export_from_the_ram_adu_i2c_result_ab           => OPEN,
+      coe_reset_export_from_the_ram_adu_i2c_result_ab         => OPEN,
+      coe_address_export_from_the_ram_adu_i2c_result_ab       => ram_result_mosi_arr(0).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_result_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_adu_i2c_result_ab          => ram_result_mosi_arr(0).rd,
+      coe_readdata_export_to_the_ram_adu_i2c_result_ab        => ram_result_miso_arr(0).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_adu_i2c_result_ab         => ram_result_mosi_arr(0).wr,
+      coe_writedata_export_from_the_ram_adu_i2c_result_ab     => ram_result_mosi_arr(0).wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_adu_i2c_result_cd
+      coe_clk_export_from_the_ram_adu_i2c_result_cd           => OPEN,
+      coe_reset_export_from_the_ram_adu_i2c_result_cd         => OPEN,
+      coe_address_export_from_the_ram_adu_i2c_result_cd       => ram_result_mosi_arr(1).address(c_unb1_board_peripherals_mm_reg_default.ram_i2c_result_adr_w - 1 downto 0),
+      coe_read_export_from_the_ram_adu_i2c_result_cd          => ram_result_mosi_arr(1).rd,
+      coe_readdata_export_to_the_ram_adu_i2c_result_cd        => ram_result_miso_arr(1).rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_ram_adu_i2c_result_cd         => ram_result_mosi_arr(1).wr,
+      coe_writedata_export_from_the_ram_adu_i2c_result_cd     => ram_result_mosi_arr(1).wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_bsn_scheduler_sp_on
+      coe_clk_export_from_the_reg_bsn_scheduler_sp_on         => OPEN,
+      coe_reset_export_from_the_reg_bsn_scheduler_sp_on       => OPEN,
+      coe_address_export_from_the_reg_bsn_scheduler_sp_on     => reg_bsn_scheduler_sp_on_mosi.address(0),
+      coe_read_export_from_the_reg_bsn_scheduler_sp_on        => reg_bsn_scheduler_sp_on_mosi.rd,
+      coe_readdata_export_to_the_reg_bsn_scheduler_sp_on      => reg_bsn_scheduler_sp_on_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_bsn_scheduler_sp_on       => reg_bsn_scheduler_sp_on_mosi.wr,
+      coe_writedata_export_from_the_reg_bsn_scheduler_sp_on   => reg_bsn_scheduler_sp_on_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_bsn_scheduler_sp_off
+      coe_clk_export_from_the_reg_bsn_scheduler_sp_off         => OPEN,
+      coe_reset_export_from_the_reg_bsn_scheduler_sp_off       => OPEN,
+      coe_address_export_from_the_reg_bsn_scheduler_sp_off     => reg_bsn_scheduler_sp_off_mosi.address(0),
+      coe_read_export_from_the_reg_bsn_scheduler_sp_off        => reg_bsn_scheduler_sp_off_mosi.rd,
+      coe_readdata_export_to_the_reg_bsn_scheduler_sp_off      => reg_bsn_scheduler_sp_off_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_bsn_scheduler_sp_off       => reg_bsn_scheduler_sp_off_mosi.wr,
+      coe_writedata_export_from_the_reg_bsn_scheduler_sp_off   => reg_bsn_scheduler_sp_off_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_pio_debug_wave
+      out_port_from_the_pio_debug_wave                    => pout_debug_wave,
+
+      -- the_pio_pps
+      coe_clk_export_from_the_pio_pps               => OPEN,
+      coe_reset_export_from_the_pio_pps             => OPEN,
+      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_pio_system_info: actually a avs_common_mm instance
+      coe_clk_export_from_the_pio_system_info             => OPEN,
+      coe_reset_export_from_the_pio_system_info           => OPEN,
+      coe_address_export_from_the_pio_system_info         => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+      coe_read_export_from_the_pio_system_info            => reg_unb_system_info_mosi.rd,
+      coe_readdata_export_to_the_pio_system_info          => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wr,
+      coe_writedata_export_from_the_pio_system_info       => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_rom_system_info
+      coe_clk_export_from_the_rom_system_info             => OPEN,
+      coe_reset_export_from_the_rom_system_info           => OPEN,
+      coe_address_export_from_the_rom_system_info         => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+      coe_read_export_from_the_rom_system_info            => rom_unb_system_info_mosi.rd,
+      coe_readdata_export_to_the_rom_system_info          => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wr,
+      coe_writedata_export_from_the_rom_system_info       => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_pio_wdi
+      out_port_from_the_pio_wdi                           => pout_wdi,
+
+      -- the_reg_wdi
+      coe_clk_export_from_the_reg_wdi                 => OPEN,
+      coe_reset_export_from_the_reg_wdi               => OPEN,
+      coe_address_export_from_the_reg_wdi             => reg_wdi_mosi.address(0),
+      coe_read_export_from_the_reg_wdi                => reg_wdi_mosi.rd,
+      coe_readdata_export_to_the_reg_wdi              => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_wdi               => reg_wdi_mosi.wr,
+      coe_writedata_export_from_the_reg_wdi           => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
 
---  u_ctrl : ENTITY unb_common_lib.ctrl_unb_common
---  GENERIC MAP (
---    -- General
---    g_sim              => g_sim,
---    g_design_name      => g_design_name,
---    g_fw_version       => g_fw_version,
---    g_stamp_date       => g_stamp_date,
---    g_stamp_time       => g_stamp_time,
---    g_stamp_svn        => g_stamp_svn,
---    g_design_note      => g_design_note,
---    g_mm_clk_freq      => g_bn_capture.mm_clk_freq,   -- must match PLL setting in sopc_bn_capture
---    g_dp_clk_freq      => g_bn_capture.dp_clk_freq,
---    g_dp_phs_clk_vec_w => g_nof_dp_phs_clk,
---    -- Use PHY Interface
---    g_use_phy          => g_use_phy,
---    -- Auxiliary Interface
---    g_aux              => g_aux
---  )
+  --  u_ctrl : ENTITY unb_common_lib.ctrl_unb_common
+  --  GENERIC MAP (
+  --    -- General
+  --    g_sim              => g_sim,
+  --    g_design_name      => g_design_name,
+  --    g_fw_version       => g_fw_version,
+  --    g_stamp_date       => g_stamp_date,
+  --    g_stamp_time       => g_stamp_time,
+  --    g_stamp_svn        => g_stamp_svn,
+  --    g_design_note      => g_design_note,
+  --    g_mm_clk_freq      => g_bn_capture.mm_clk_freq,   -- must match PLL setting in sopc_bn_capture
+  --    g_dp_clk_freq      => g_bn_capture.dp_clk_freq,
+  --    g_dp_phs_clk_vec_w => g_nof_dp_phs_clk,
+  --    -- Use PHY Interface
+  --    g_use_phy          => g_use_phy,
+  --    -- Auxiliary Interface
+  --    g_aux              => g_aux
+  --  )
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
-    g_dp_phs_clk_vec_w        => c_nof_dp_phs_clk,
-    g_dp_clk_use_pll          => c_dp_clk_use_pll,
-    g_use_phy                 => c_use_phy,
-    g_aux                     => c_unb1_board_aux
-  )
-  port map (
-    -- System
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-    dp_phs_clk_vec           => dp_phs_clk_vec,  -- divided and phase shifted dp_clk
-
-    this_chip_id             => this_chip_id,
-
-     -- PIOs
-    pout_debug_wave          => pout_debug_wave,
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- ppsh
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
+      g_dp_phs_clk_vec_w        => c_nof_dp_phs_clk,
+      g_dp_clk_use_pll          => c_dp_clk_use_pll,
+      g_use_phy                 => c_use_phy,
+      g_aux                     => c_unb1_board_aux
+    )
+    port map (
+      -- System
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+      dp_phs_clk_vec           => dp_phs_clk_vec,  -- divided and phase shifted dp_clk
+
+      this_chip_id             => this_chip_id,
+
+      -- PIOs
+      pout_debug_wave          => pout_debug_wave,
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- ppsh
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
 
   -----------------------------------------------------------------------------
   -- Specific node function
   -----------------------------------------------------------------------------
   u_node : entity work.node_unb1_bn_capture
-  generic map (
-    -- General
-    g_sim            => g_sim,
-    -- BN capture specific
-    g_bn_capture     => c_bn_capture,
-    -- Use PHY Interface
-    g_use_phy        => c_use_phy,
-    -- Auxiliary Interface
-    g_aux            => c_unb1_board_aux,
-    -- ADC Interface
-    g_nof_dp_phs_clk => c_nof_dp_phs_clk,
-    g_ai             => c_ai
-  )
-  port map (
-    --
-    -- >>> SOPC system with conduit peripheral MM bus
-    --
-    -- System
-    mm_rst                    => mm_rst,
-    mm_clk                    => mm_clk,
-
-    dp_rst                    => dp_rst,
-    dp_clk                    => dp_clk,
-    dp_phs_clk_vec            => dp_phs_clk_vec,
-    dp_pps                    => dp_pps,
-
-    ext_clk                   => CLK,
-
-    -- MM bsn source
-    reg_bsn_source_mosi       => reg_bsn_source_mosi,
-    reg_bsn_source_miso       => reg_bsn_source_miso,
-
-    -- MM bsn schedule WG
-    reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
-
-    -- MM aduh quad
-    reg_adc_quad_mosi         => reg_adc_quad_mosi,
-    reg_adc_quad_miso         => reg_adc_quad_miso,
-
-    -- MM wideband waveform generator registers [0,1,2,3] for signal paths [A,B,C,D]
-    reg_wg_mosi_arr           => reg_wg_mosi_arr,
-    reg_wg_miso_arr           => reg_wg_miso_arr,
-    ram_wg_mosi_arr           => ram_wg_mosi_arr,
-    ram_wg_miso_arr           => ram_wg_miso_arr,
-
-    -- MM DP shiftram
-    reg_dp_shiftram_mosi      => reg_dp_shiftram_mosi,
-    reg_dp_shiftram_miso      => reg_dp_shiftram_miso,
-
-    -- MM signal path monitors for [A, B, C, D]
-    reg_mon_mosi_arr          => reg_mon_mosi_arr,
-    reg_mon_miso_arr          => reg_mon_miso_arr,
-    ram_mon_mosi_arr          => ram_mon_mosi_arr,
-    ram_mon_miso_arr          => ram_mon_miso_arr,
-
-    -- MM registers [0,1] for I2C access with ADUs [AB,CD]
-    reg_commander_mosi_arr    => reg_commander_mosi_arr,
-    reg_commander_miso_arr    => reg_commander_miso_arr,
-    ram_protocol_mosi_arr     => ram_protocol_mosi_arr,
-    ram_protocol_miso_arr     => ram_protocol_miso_arr,
-    ram_result_mosi_arr       => ram_result_mosi_arr,
-    ram_result_miso_arr       => ram_result_miso_arr,
-
-    -- MM registers to enable and disable signal path
-    reg_bsn_scheduler_sp_on_mosi  => reg_bsn_scheduler_sp_on_mosi,
-    reg_bsn_scheduler_sp_on_miso  => reg_bsn_scheduler_sp_on_miso,
-    reg_bsn_scheduler_sp_off_mosi => reg_bsn_scheduler_sp_off_mosi,
-    reg_bsn_scheduler_sp_off_miso => reg_bsn_scheduler_sp_off_miso,
-    --
-    -- >>> Node FPGA pins
-    --
-    -- ADC Interface
-    ADC_BI_A               => ADC_BI_A,
-    ADC_BI_B               => ADC_BI_B,
-    ADC_BI_A_CLK           => ADC_BI_A_CLK,
-    ADC_BI_A_CLK_RST       => ADC_BI_A_CLK_RST,
-    ADC_BI_C               => ADC_BI_C,
-    ADC_BI_D               => ADC_BI_D,
-    ADC_BI_D_CLK           => ADC_BI_D_CLK,
-    ADC_BI_D_CLK_RST       => ADC_BI_D_CLK_RST,
-    ADC_AB_SCL             => ADC_AB_SCL,  -- I2C AB
-    ADC_AB_SDA             => ADC_AB_SDA,
-    ADC_CD_SCL             => ADC_CD_SCL,  -- I2C CD
-    ADC_CD_SDA             => ADC_CD_SDA
-  );
+    generic map (
+      -- General
+      g_sim            => g_sim,
+      -- BN capture specific
+      g_bn_capture     => c_bn_capture,
+      -- Use PHY Interface
+      g_use_phy        => c_use_phy,
+      -- Auxiliary Interface
+      g_aux            => c_unb1_board_aux,
+      -- ADC Interface
+      g_nof_dp_phs_clk => c_nof_dp_phs_clk,
+      g_ai             => c_ai
+    )
+    port map (
+      --
+      -- >>> SOPC system with conduit peripheral MM bus
+      --
+      -- System
+      mm_rst                    => mm_rst,
+      mm_clk                    => mm_clk,
+
+      dp_rst                    => dp_rst,
+      dp_clk                    => dp_clk,
+      dp_phs_clk_vec            => dp_phs_clk_vec,
+      dp_pps                    => dp_pps,
+
+      ext_clk                   => CLK,
+
+      -- MM bsn source
+      reg_bsn_source_mosi       => reg_bsn_source_mosi,
+      reg_bsn_source_miso       => reg_bsn_source_miso,
+
+      -- MM bsn schedule WG
+      reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
+
+      -- MM aduh quad
+      reg_adc_quad_mosi         => reg_adc_quad_mosi,
+      reg_adc_quad_miso         => reg_adc_quad_miso,
+
+      -- MM wideband waveform generator registers [0,1,2,3] for signal paths [A,B,C,D]
+      reg_wg_mosi_arr           => reg_wg_mosi_arr,
+      reg_wg_miso_arr           => reg_wg_miso_arr,
+      ram_wg_mosi_arr           => ram_wg_mosi_arr,
+      ram_wg_miso_arr           => ram_wg_miso_arr,
+
+      -- MM DP shiftram
+      reg_dp_shiftram_mosi      => reg_dp_shiftram_mosi,
+      reg_dp_shiftram_miso      => reg_dp_shiftram_miso,
+
+      -- MM signal path monitors for [A, B, C, D]
+      reg_mon_mosi_arr          => reg_mon_mosi_arr,
+      reg_mon_miso_arr          => reg_mon_miso_arr,
+      ram_mon_mosi_arr          => ram_mon_mosi_arr,
+      ram_mon_miso_arr          => ram_mon_miso_arr,
+
+      -- MM registers [0,1] for I2C access with ADUs [AB,CD]
+      reg_commander_mosi_arr    => reg_commander_mosi_arr,
+      reg_commander_miso_arr    => reg_commander_miso_arr,
+      ram_protocol_mosi_arr     => ram_protocol_mosi_arr,
+      ram_protocol_miso_arr     => ram_protocol_miso_arr,
+      ram_result_mosi_arr       => ram_result_mosi_arr,
+      ram_result_miso_arr       => ram_result_miso_arr,
+
+      -- MM registers to enable and disable signal path
+      reg_bsn_scheduler_sp_on_mosi  => reg_bsn_scheduler_sp_on_mosi,
+      reg_bsn_scheduler_sp_on_miso  => reg_bsn_scheduler_sp_on_miso,
+      reg_bsn_scheduler_sp_off_mosi => reg_bsn_scheduler_sp_off_mosi,
+      reg_bsn_scheduler_sp_off_miso => reg_bsn_scheduler_sp_off_miso,
+      --
+      -- >>> Node FPGA pins
+      --
+      -- ADC Interface
+      ADC_BI_A               => ADC_BI_A,
+      ADC_BI_B               => ADC_BI_B,
+      ADC_BI_A_CLK           => ADC_BI_A_CLK,
+      ADC_BI_A_CLK_RST       => ADC_BI_A_CLK_RST,
+      ADC_BI_C               => ADC_BI_C,
+      ADC_BI_D               => ADC_BI_D,
+      ADC_BI_D_CLK           => ADC_BI_D_CLK,
+      ADC_BI_D_CLK_RST       => ADC_BI_D_CLK_RST,
+      ADC_AB_SCL             => ADC_AB_SCL,  -- I2C AB
+      ADC_AB_SDA             => ADC_AB_SDA,
+      ADC_CD_SCL             => ADC_CD_SCL,  -- I2C CD
+      ADC_CD_SDA             => ADC_CD_SDA
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_input.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_input.vhd
index 922af3e858..9504de03c4 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_input.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_input.vhd
@@ -23,17 +23,17 @@
 
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, diag_lib, ppsh_lib, aduh_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.unb1_bn_capture_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use aduh_lib.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.unb1_bn_capture_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use aduh_lib.aduh_dd_pkg.all;
 
 entity unb1_bn_capture_input is
   generic (
@@ -150,82 +150,82 @@ begin
 
   use_adc : if g_use_phy.adc /= 0 generate
     u_aduh_quad : entity aduh_lib.mms_aduh_quad
-    generic map (
-      -- General
-      g_sim                => g_sim,
-      g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
-      -- ADC Interface
-      g_nof_dp_phs_clk     => g_nof_dp_phs_clk,
-      g_ai                 => g_ai
-    )
-    port map (
-      -- ADC Interface
-      -- . ADU_AB
-      ADC_BI_A         => ADC_BI_A,
-      ADC_BI_B         => ADC_BI_B,
-      ADC_BI_A_CLK     => ADC_BI_A_CLK,  -- lvds clock from ADU_AB
-      ADC_BI_A_CLK_RST => ADC_BI_A_CLK_RST,  -- release synchronises ADU_AB DCLK divider
-
-      -- . ADU_CD
-      ADC_BI_C         => ADC_BI_C,
-      ADC_BI_D         => ADC_BI_D,
-      ADC_BI_D_CLK     => ADC_BI_D_CLK,  -- lvds clock from ADU_CD
-      ADC_BI_D_CLK_RST => ADC_BI_D_CLK_RST,  -- release synchronises ADU_CD DCLK divider
-
-      -- MM clock domain
-      mm_rst           => mm_rst,
-      mm_clk           => mm_clk,
-
-      reg_mosi         => reg_adc_quad_mosi,
-      reg_miso         => reg_adc_quad_miso,
-
-      -- Streaming clock domain
-      dp_rst           => dp_rst,
-      dp_clk           => dp_clk,
-      dp_phs_clk_vec   => dp_phs_clk_vec,
-
-      -- . data
-      aduh_sosi_arr    => aduh_sosi_arr
-    );
+      generic map (
+        -- General
+        g_sim                => g_sim,
+        g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
+        -- ADC Interface
+        g_nof_dp_phs_clk     => g_nof_dp_phs_clk,
+        g_ai                 => g_ai
+      )
+      port map (
+        -- ADC Interface
+        -- . ADU_AB
+        ADC_BI_A         => ADC_BI_A,
+        ADC_BI_B         => ADC_BI_B,
+        ADC_BI_A_CLK     => ADC_BI_A_CLK,  -- lvds clock from ADU_AB
+        ADC_BI_A_CLK_RST => ADC_BI_A_CLK_RST,  -- release synchronises ADU_AB DCLK divider
+
+        -- . ADU_CD
+        ADC_BI_C         => ADC_BI_C,
+        ADC_BI_D         => ADC_BI_D,
+        ADC_BI_D_CLK     => ADC_BI_D_CLK,  -- lvds clock from ADU_CD
+        ADC_BI_D_CLK_RST => ADC_BI_D_CLK_RST,  -- release synchronises ADU_CD DCLK divider
+
+        -- MM clock domain
+        mm_rst           => mm_rst,
+        mm_clk           => mm_clk,
+
+        reg_mosi         => reg_adc_quad_mosi,
+        reg_miso         => reg_adc_quad_miso,
+
+        -- Streaming clock domain
+        dp_rst           => dp_rst,
+        dp_clk           => dp_clk,
+        dp_phs_clk_vec   => dp_phs_clk_vec,
+
+        -- . data
+        aduh_sosi_arr    => aduh_sosi_arr
+      );
   end generate;
 
   gen_wg : for I in 0 to g_ai.nof_sp - 1 generate
     u_sp : entity diag_lib.mms_diag_wg_wideband
-    generic map (
-      g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
-      g_buf_dir            => c_wg_buf_directory,
-
-      -- Wideband parameters
-      g_wideband_factor    => c_wideband_factor,
-
-      -- Basic WG parameters, see diag_wg.vhd for their meaning
-      g_buf_dat_w          => c_wg_buf_dat_w,
-      g_buf_addr_w         => c_wg_buf_addr_w,
-      g_calc_support       => true,
-      g_calc_gain_w        => 1,
-      g_calc_dat_w         => c_wg_buf_dat_w
-    )
-    port map (
-      -- Memory-mapped clock domain
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-
-      reg_mosi            => reg_wg_mosi_arr(I),
-      reg_miso            => reg_wg_miso_arr(I),
-
-      buf_mosi            => ram_wg_mosi_arr(I),
-      buf_miso            => ram_wg_miso_arr(I),
-
-      -- Streaming clock domain
-      st_rst              => dp_rst,
-      st_clk              => dp_clk,
-      st_restart          => dp_bsn_trigger_wg,
-
-      out_ovr             => wg_ovr( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               ),
-      out_dat             => wg_dat( (I + 1) * c_wideband_factor * c_wg_buf_dat_w - 1 downto I * c_wideband_factor * c_wg_buf_dat_w),
-      out_val             => wg_val( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               ),
-      out_sync            => wg_sync((I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               )
-    );
+      generic map (
+        g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
+        g_buf_dir            => c_wg_buf_directory,
+
+        -- Wideband parameters
+        g_wideband_factor    => c_wideband_factor,
+
+        -- Basic WG parameters, see diag_wg.vhd for their meaning
+        g_buf_dat_w          => c_wg_buf_dat_w,
+        g_buf_addr_w         => c_wg_buf_addr_w,
+        g_calc_support       => true,
+        g_calc_gain_w        => 1,
+        g_calc_dat_w         => c_wg_buf_dat_w
+      )
+      port map (
+        -- Memory-mapped clock domain
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+
+        reg_mosi            => reg_wg_mosi_arr(I),
+        reg_miso            => reg_wg_miso_arr(I),
+
+        buf_mosi            => ram_wg_mosi_arr(I),
+        buf_miso            => ram_wg_miso_arr(I),
+
+        -- Streaming clock domain
+        st_rst              => dp_rst,
+        st_clk              => dp_clk,
+        st_restart          => dp_bsn_trigger_wg,
+
+        out_ovr             => wg_ovr( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               ),
+        out_dat             => wg_dat( (I + 1) * c_wideband_factor * c_wg_buf_dat_w - 1 downto I * c_wideband_factor * c_wg_buf_dat_w),
+        out_val             => wg_val( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               ),
+        out_sync            => wg_sync((I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               )
+      );
 
     -- wires
     -- . all wideband samples will be valid in parallel, so using vector_or() or vector_and() is fine
@@ -234,7 +234,7 @@ begin
     wg_sosi_arr(I).valid <=       vector_or(wg_val( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               ));
     wg_sosi_arr(I).sync  <=       vector_or(wg_sync((I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               ));
     wg_sosi_arr(I).err   <= TO_DP_ERROR(c_unb1_board_ok) when
-                                  vector_or(wg_ovr( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               )) = '0' else
+                              vector_or(wg_ovr( (I + 1) * c_wideband_factor               - 1 downto I * c_wideband_factor               )) = '0' else
                             TO_DP_ERROR(2**c_unb1_board_error_adc_bi);  -- pass ADC or WG overflow info on as an error signal
   end generate;
 
@@ -278,75 +278,75 @@ begin
   --   is ensured by g_use_sync_in=TRUE and bs_sosi.sync.
   -----------------------------------------------------------------------------
   u_dp_shiftram : entity dp_lib.dp_shiftram
-  generic map (
-    g_nof_streams => g_ai.nof_sp,  -- 4 signal paths
-    g_nof_words   => 2048,
-    g_data_w      => c_wideband_factor * g_ai.port_w,  -- 4 concatenated timesamples
-    g_use_sync_in => true
-  )
-  port map (
-    dp_rst   => dp_rst,
-    dp_clk   => dp_clk,
+    generic map (
+      g_nof_streams => g_ai.nof_sp,  -- 4 signal paths
+      g_nof_words   => 2048,
+      g_data_w      => c_wideband_factor * g_ai.port_w,  -- 4 concatenated timesamples
+      g_use_sync_in => true
+    )
+    port map (
+      dp_rst   => dp_rst,
+      dp_clk   => dp_clk,
 
-    mm_rst   => mm_rst,
-    mm_clk   => mm_clk,
+      mm_rst   => mm_rst,
+      mm_clk   => mm_clk,
 
-    sync_in  => bs_sosi.sync,
+      sync_in  => bs_sosi.sync,
 
-    reg_mosi => reg_dp_shiftram_mosi,
-    reg_miso => reg_dp_shiftram_miso,
+      reg_mosi => reg_dp_shiftram_mosi,
+      reg_miso => reg_dp_shiftram_miso,
 
-    snk_in_arr => func_dp_stream_arr_reverse_range(mux_sosi_arr),
+      snk_in_arr => func_dp_stream_arr_reverse_range(mux_sosi_arr),
 
-    func_dp_stream_arr_reverse_range(src_out_arr) => dp_shiftram_src_out_arr
-  );
+      func_dp_stream_arr_reverse_range(src_out_arr) => dp_shiftram_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Timestamp
   -----------------------------------------------------------------------------
   u_bsn_sosi : entity dp_lib.mms_dp_bsn_source
-  generic map (
-    g_cross_clock_domain     => c_bn_capture_mm_cross_clock_domain,
-    g_block_size             => c_bs_block_size,
-    g_nof_block_per_sync     => c_bs_nof_block_per_sync,
-    g_bsn_w                  => c_bs_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    dp_pps            => dp_pps,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => reg_bsn_source_mosi,
-    reg_miso          => reg_bsn_source_miso,
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi
-  );
+    generic map (
+      g_cross_clock_domain     => c_bn_capture_mm_cross_clock_domain,
+      g_block_size             => c_bs_block_size,
+      g_nof_block_per_sync     => c_bs_nof_block_per_sync,
+      g_bsn_w                  => c_bs_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      dp_pps            => dp_pps,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => reg_bsn_source_mosi,
+      reg_miso          => reg_bsn_source_miso,
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi
+    );
 
   u_bsn_trigger_wg : entity dp_lib.mms_dp_bsn_scheduler
-  generic map (
-    g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
-    g_bsn_w              => c_bs_bsn_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    reg_mosi    => reg_bsn_scheduler_wg_mosi,
-    reg_miso    => reg_bsn_scheduler_wg_miso,
-
-    -- Streaming clock domain
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
-    trigger_out => dp_bsn_trigger_wg
-  );
+    generic map (
+      g_cross_clock_domain => c_bn_capture_mm_cross_clock_domain,
+      g_bsn_w              => c_bs_bsn_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      reg_mosi    => reg_bsn_scheduler_wg_mosi,
+      reg_miso    => reg_bsn_scheduler_wg_miso,
+
+      -- Streaming clock domain
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      snk_in      => bs_sosi,  -- only uses eop (= block sync), bsn[]
+      trigger_out => dp_bsn_trigger_wg
+    );
 
   gen_sosi_ctrl : for I in 0 to g_ai.nof_sp - 1 generate
     p_sosi : process(dp_shiftram_src_out_arr, bs_sosi)
@@ -371,17 +371,17 @@ begin
   -----------------------------------------------------------------------------
   gen_sp_siso_rdy : for I in 0 to g_ai.nof_sp - 1 generate
     u_dp_ready : entity dp_lib.dp_ready
-     generic map (
-      g_ready_latency => 1
+      generic map (
+        g_ready_latency => 1
       )
-    port map (
-      rst     => dp_rst,
-      clk     => dp_clk,
+      port map (
+        rst     => dp_rst,
+        clk     => dp_clk,
 
-      snk_in  => dp_shiftram_src_out_timestamped_arr(I),
+        snk_in  => dp_shiftram_src_out_timestamped_arr(I),
 
-      src_out => sp_sosi_arr(I),
-      src_in  => sp_siso_arr(I)
+        src_out => sp_sosi_arr(I),
+        src_in  => sp_siso_arr(I)
       );
   end generate;
 
@@ -390,43 +390,43 @@ begin
   -----------------------------------------------------------------------------
   gen_mon : for I in 0 to g_ai.nof_sp - 1 generate
     u_sp : entity aduh_lib.mms_aduh_monitor
-    generic map (
-      g_cross_clock_domain   => c_bn_capture_mm_cross_clock_domain,
-      g_symbol_w             => g_ai.port_w,
-      g_nof_symbols_per_data => c_wideband_factor,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-      g_nof_accumulations    => g_bn_capture.sp.nof_samples_per_sync,  -- integration time in symbols, defines internal accumulator widths
-      g_buffer_nof_symbols   => g_bn_capture.sp.monitor_buffer_nof_samples,
-      g_buffer_use_sync      => g_bn_capture.sp.monitor_buffer_use_sync
-    )
-    port map (
-      -- Memory-mapped clock domain
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
+      generic map (
+        g_cross_clock_domain   => c_bn_capture_mm_cross_clock_domain,
+        g_symbol_w             => g_ai.port_w,
+        g_nof_symbols_per_data => c_wideband_factor,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+        g_nof_accumulations    => g_bn_capture.sp.nof_samples_per_sync,  -- integration time in symbols, defines internal accumulator widths
+        g_buffer_nof_symbols   => g_bn_capture.sp.monitor_buffer_nof_samples,
+        g_buffer_use_sync      => g_bn_capture.sp.monitor_buffer_use_sync
+      )
+      port map (
+        -- Memory-mapped clock domain
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
 
-      reg_mosi       => reg_mon_mosi_arr(I),  -- read only access to the signal path data mean sum and power sum registers
-      reg_miso       => reg_mon_miso_arr(I),
-      buf_mosi       => ram_mon_mosi_arr(I),  -- read and overwrite access to the signal path data buffers
-      buf_miso       => ram_mon_miso_arr(I),
+        reg_mosi       => reg_mon_mosi_arr(I),  -- read only access to the signal path data mean sum and power sum registers
+        reg_miso       => reg_mon_miso_arr(I),
+        buf_mosi       => ram_mon_mosi_arr(I),  -- read and overwrite access to the signal path data buffers
+        buf_miso       => ram_mon_miso_arr(I),
 
-      -- Streaming clock domain
-      st_rst         => dp_rst,
-      st_clk         => dp_clk,
+        -- Streaming clock domain
+        st_rst         => dp_rst,
+        st_clk         => dp_clk,
 
-      in_sosi        => dp_shiftram_src_out_timestamped_arr(I)
-    );
+        in_sosi        => dp_shiftram_src_out_timestamped_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- Scope monitor for Wave Window
   -----------------------------------------------------------------------------
   u_quad_scope : entity aduh_lib.aduh_quad_scope
-  generic map (
-    g_sim  => g_sim,
-    g_ai   => g_ai
-  )
-  port map (
-    DCLK        => dp_clk,
-    sp_sosi_arr => dp_shiftram_src_out_timestamped_arr
-  );
+    generic map (
+      g_sim  => g_sim,
+      g_ai   => g_ai
+    )
+    port map (
+      DCLK        => dp_clk,
+      sp_sosi_arr => dp_shiftram_src_out_timestamped_arr
+    );
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_mux.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_mux.vhd
index b8dd6fbcd4..711b6efc00 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_mux.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_mux.vhd
@@ -22,10 +22,10 @@
 -- Purpose: Multiplex the 4 signal streams into 1 wide stream
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity bn_capture_mux is
   generic (
@@ -43,11 +43,11 @@ entity bn_capture_mux is
     -- ST sinks (input signal paths)
     in_siso_arr    : out t_dp_siso_arr(0 to g_nof_input - 1);
     in_sosi_arr    : in  t_dp_sosi_arr(0 to g_nof_input - 1);  -- = [0:3] = Signal Paths [A[31:0], B[31:0], C[31:0], D[31:0]]
-                                                             -- and e.g. A[31:0] = [A(t0), A(t1), A(t2), A(t3)], so 4 8b samples in time per one 32b word
+    -- and e.g. A[31:0] = [A(t0), A(t1), A(t2), A(t3)], so 4 8b samples in time per one 32b word
     -- ST source (multiplexed output signal paths)
     mux_wide_siso  : in  t_dp_siso := c_dp_siso_rdy;
     mux_wide_sosi  : out t_dp_sosi  -- = Signal Paths A[255:0], B[255:0], C[255:0], D[255:0] multiplexed in time
-                                                             -- and e.g. A[255:0] = [A(t0), A(t1), ..., A(t31)], so 4*8=32 8b samples in time per one 256b word
+  -- and e.g. A[255:0] = [A(t0), A(t1), ..., A(t31)], so 4*8=32 8b samples in time per one 256b word
   );
 end bn_capture_mux;
 
@@ -56,61 +56,61 @@ architecture str of bn_capture_mux is
 
   signal wide_siso_arr  : t_dp_siso_arr(0 to g_nof_input - 1);
   signal wide_sosi_arr  : t_dp_sosi_arr(0 to g_nof_input - 1);  -- = [0:3] = Signal Paths [A,B,C,D]
-                                                              -- and e.g. A[255:0] = [A(t0), A(t1), ..., A(t31)], so 4*8=32 800M samples in time per one 256b word
+-- and e.g. A[255:0] = [A(t0), A(t1), ..., A(t31)], so 4*8=32 800M samples in time per one 256b word
 begin
 
   gen_fifo : for I in 0 to g_nof_input - 1 generate
     u_n2w : entity dp_lib.dp_fifo_dc_mixed_widths
+      generic map (
+        g_wr_data_w    => g_in_data_w,
+        g_rd_data_w    => g_mux_data_w,
+        g_use_ctrl     => true,
+        g_wr_fifo_size => g_in_fifo_size,
+        g_rd_fifo_rl   => 1
+      )
+      port map (
+        wr_rst         => in_rst,
+        wr_clk         => in_clk,
+        rd_rst         => mux_wide_rst,
+        rd_clk         => mux_wide_clk,
+        -- ST sink
+        snk_out        => in_siso_arr(I),
+        snk_in         => in_sosi_arr(I),
+        -- Monitor FIFO filling
+        wr_usedw       => OPEN,
+        rd_usedw       => OPEN,
+        rd_emp         => OPEN,
+        -- ST source
+        src_in         => wide_siso_arr(I),
+        src_out        => wide_sosi_arr(I)
+      );
+  end generate;
+
+  gen_mux : entity dp_lib.dp_mux
     generic map (
-      g_wr_data_w    => g_in_data_w,
-      g_rd_data_w    => g_mux_data_w,
-      g_use_ctrl     => true,
-      g_wr_fifo_size => g_in_fifo_size,
-      g_rd_fifo_rl   => 1
+      g_data_w          => g_mux_data_w,
+      g_empty_w         => 1,
+      g_in_channel_w    => 1,
+      g_error_w         => 1,
+      g_use_empty       => false,
+      g_use_in_channel  => false,
+      g_use_error       => false,
+      g_mode            => 1,
+      g_nof_input       => g_nof_input,
+      g_use_fifo        => false,
+      g_fifo_size       => array_init(1024, g_nof_input),  -- dummy value must match g_nof_input
+      g_fifo_fill       => array_init(   0, g_nof_input)  -- dummy value must match g_nof_input
     )
     port map (
-      wr_rst         => in_rst,
-      wr_clk         => in_clk,
-      rd_rst         => mux_wide_rst,
-      rd_clk         => mux_wide_clk,
-      -- ST sink
-      snk_out        => in_siso_arr(I),
-      snk_in         => in_sosi_arr(I),
-      -- Monitor FIFO filling
-      wr_usedw       => OPEN,
-      rd_usedw       => OPEN,
-      rd_emp         => OPEN,
+      rst         => mux_wide_rst,
+      clk         => mux_wide_clk,
+      -- ST sinks
+      snk_out_arr => wide_siso_arr,
+      snk_in_arr  => wide_sosi_arr,
       -- ST source
-      src_in         => wide_siso_arr(I),
-      src_out        => wide_sosi_arr(I)
+      src_in      => mux_wide_siso,
+      src_out     => mux_wide_sosi
     );
-  end generate;
-
-  gen_mux : entity dp_lib.dp_mux
-  generic map (
-    g_data_w          => g_mux_data_w,
-    g_empty_w         => 1,
-    g_in_channel_w    => 1,
-    g_error_w         => 1,
-    g_use_empty       => false,
-    g_use_in_channel  => false,
-    g_use_error       => false,
-    g_mode            => 1,
-    g_nof_input       => g_nof_input,
-    g_use_fifo        => false,
-    g_fifo_size       => array_init(1024, g_nof_input),  -- dummy value must match g_nof_input
-    g_fifo_fill       => array_init(   0, g_nof_input)  -- dummy value must match g_nof_input
-  )
-  port map (
-    rst         => mux_wide_rst,
-    clk         => mux_wide_clk,
-    -- ST sinks
-    snk_out_arr => wide_siso_arr,
-    snk_in_arr  => wide_sosi_arr,
-    -- ST source
-    src_in      => mux_wide_siso,
-    src_out     => mux_wide_sosi
-  );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_pkg.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_pkg.vhd
index 1534c16a81..541532127f 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_pkg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_pkg.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 package unb1_bn_capture_pkg is
 
@@ -47,9 +47,11 @@ package unb1_bn_capture_pkg is
     sp          : t_c_bn_capture_sp;
   end record;
 
-  constant c_bn_capture : t_c_bn_capture := (c_unb1_board_mm_clk_freq_50M,  -- must match PLL setting in sopc_bn_capture
-                                             c_unb1_board_ext_clk_freq_200M,
-                                             c_bn_capture_sp);
+  constant c_bn_capture : t_c_bn_capture := (
+    c_unb1_board_mm_clk_freq_50M,  -- must match PLL setting in sopc_bn_capture
+    c_unb1_board_ext_clk_freq_200M,
+    c_bn_capture_sp
+  );
 
 end unb1_bn_capture_pkg;
 
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage.vhd
index 6c014a6fe2..23ba7fc600 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage.vhd
@@ -22,12 +22,12 @@
 -- Purpose: Store the 4 multiplexed signal streams into one DDR3 and readback
 
 library IEEE, common_lib, unb_common_lib, dp_lib, ddr3_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ddr3_lib.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ddr3_lib.ddr3_pkg.all;
 
 entity bn_capture_storage is
   generic (
@@ -57,7 +57,7 @@ entity bn_capture_storage is
     -- ST sink (multiplexed input signal paths)
     mux_wide_siso   : out t_dp_siso := c_dp_siso_rdy;
     mux_wide_sosi   : in  t_dp_sosi;  -- = Signal Paths A[255:0], B[255:0], C[255:0], D[255:0] multiplexed in time
-                                       -- and e.g. A[255:0] = [A(t0), A(t1), ..., A(t31)], so 4*8=32 8b samples in time per one 256b word
+    -- and e.g. A[255:0] = [A(t0), A(t1), ..., A(t31)], so 4*8=32 8b samples in time per one 256b word
     -- MM registers
     ctrl_mosi       : in  t_mem_mosi := c_mem_mosi_rst;
     ctrl_miso       : out t_mem_miso;
@@ -114,56 +114,56 @@ begin
   phy_rst <= i_phy_rst;
 
   u_ddr3 : entity ddr3_lib.ddr3
-  generic map(
-    g_sim           => g_sim,
-    g_phy           => 0,
-    g_ddr           => g_ddr,
-    g_mts           => 800,
-    g_wr_data_w     => c_ddr3_ctlr_data_w,
-    g_rd_data_w     => c_word_w,
-    g_wr_fifo_depth => c_wr_fifo_depth,
-    g_rd_fifo_depth => c_rd_fifo_depth / 8,
-    g_wr_use_ctrl   => true
-  )
-  port map (
-    ctlr_ref_clk       => ext_clk,
-    ctlr_rst           => dp_rst,
-
-    ctlr_gen_clk       => i_phy_clk,
-    ctlr_gen_rst       => i_phy_rst,
-    ctlr_gen_clk_2x    => phy_clk_2x,
-    ctlr_gen_rst_2x    => phy_rst_2x,
-
-    ctlr_init_done     => ctlr_init_done,
-    ctlr_rdy           => ctlr_rdy,
-
-    dvr_start_addr     => dvr_start_addr,
-    dvr_end_addr       => dvr_end_addr,
-
-    dvr_en             => dvr_en,
-    dvr_wr_not_rd      => dvr_wr_not_rd,
-    dvr_done           => dvr_done,
-    --dvr_flush          => dvr_flush,
-
-    wr_clk             => dp_clk,
-    wr_rst             => dp_rst,
-
-    wr_sosi            => mux_wide_sosi,
-    wr_siso            => mux_wide_siso,
-
-    -- ddr3 rd FIFO ST interface to the dp->mm adapter
-    rd_sosi            => rd_sosi,
-    rd_siso            => rd_siso,
-
-    rd_clk             => mm_clk,
-    rd_rst             => mm_rst,
-
-    rd_fifo_usedw      => rd_usedw,
-
-    phy_in             => ddr3_in,
-    phy_io             => ddr3_io,
-    phy_ou             => ddr3_ou
-  );
+    generic map(
+      g_sim           => g_sim,
+      g_phy           => 0,
+      g_ddr           => g_ddr,
+      g_mts           => 800,
+      g_wr_data_w     => c_ddr3_ctlr_data_w,
+      g_rd_data_w     => c_word_w,
+      g_wr_fifo_depth => c_wr_fifo_depth,
+      g_rd_fifo_depth => c_rd_fifo_depth / 8,
+      g_wr_use_ctrl   => true
+    )
+    port map (
+      ctlr_ref_clk       => ext_clk,
+      ctlr_rst           => dp_rst,
+
+      ctlr_gen_clk       => i_phy_clk,
+      ctlr_gen_rst       => i_phy_rst,
+      ctlr_gen_clk_2x    => phy_clk_2x,
+      ctlr_gen_rst_2x    => phy_rst_2x,
+
+      ctlr_init_done     => ctlr_init_done,
+      ctlr_rdy           => ctlr_rdy,
+
+      dvr_start_addr     => dvr_start_addr,
+      dvr_end_addr       => dvr_end_addr,
+
+      dvr_en             => dvr_en,
+      dvr_wr_not_rd      => dvr_wr_not_rd,
+      dvr_done           => dvr_done,
+      --dvr_flush          => dvr_flush,
+
+      wr_clk             => dp_clk,
+      wr_rst             => dp_rst,
+
+      wr_sosi            => mux_wide_sosi,
+      wr_siso            => mux_wide_siso,
+
+      -- ddr3 rd FIFO ST interface to the dp->mm adapter
+      rd_sosi            => rd_sosi,
+      rd_siso            => rd_siso,
+
+      rd_clk             => mm_clk,
+      rd_rst             => mm_rst,
+
+      rd_fifo_usedw      => rd_usedw,
+
+      phy_in             => ddr3_in,
+      phy_io             => ddr3_io,
+      phy_ou             => ddr3_ou
+    );
 
   -- Flush ddr3 module's FIFO (keep sinking the stream but simply discard the
   -- data) after reset to prevent ddr3 write fifo from filling up - which would
@@ -205,22 +205,22 @@ begin
 
 
   u_dp_fifo_to_mm : entity dp_lib.dp_fifo_to_mm
-  generic map(
-    g_fifo_size => c_rd_fifo_depth
-  )
-  port map (
-     rst       => mm_rst,
-     clk       => mm_clk,
-
-     snk_out   => rd_siso,
-     snk_in    => rd_sosi,
-     usedw     => rd_usedw,  -- used words from rd FIFO
-
-     mm_rd     => mm_rd,
-     mm_rddata => mm_rd_data,
-     mm_rdval  => mm_rd_val,
-     mm_usedw  => mm_rd_usedw  -- resized to 32 bits
-  );
+    generic map(
+      g_fifo_size => c_rd_fifo_depth
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+
+      snk_out   => rd_siso,
+      snk_in    => rd_sosi,
+      usedw     => rd_usedw,  -- used words from rd FIFO
+
+      mm_rd     => mm_rd,
+      mm_rddata => mm_rd_data,
+      mm_rdval  => mm_rd_val,
+      mm_usedw  => mm_rd_usedw  -- resized to 32 bits
+    );
 
   -- DDR3 streaming read output to mm bus
   data_miso.rddata(c_word_w - 1 downto 0) <= mm_rd_data;
@@ -228,30 +228,30 @@ begin
   mm_rd                                 <= data_mosi.rd;
 
   u_storage_reg : entity work.bn_capture_storage_reg
-  generic map(
-    g_ddr => g_ddr
-  )
-  port map (
-     mm_rst         => mm_rst,
-     mm_clk         => mm_clk,
-     st_rst         => i_phy_rst,
-     st_clk         => i_phy_clk,
-
-     sla_in         => ctrl_mosi,
-     sla_out        => ctrl_miso,
-
-     st_en_evt      => dvr_en,
-     st_wr_not_rd   => dvr_wr_not_rd,
-
-     st_start_addr  => dvr_start_addr,
-     st_end_addr    => dvr_end_addr,
-
-     st_done        => dvr_done,
-     st_init_done   => ctlr_init_done,
-     st_ctlr_rdy    => ctlr_rdy,
-
-     mm_rd_usedw    => mm_rd_usedw
-  );
+    generic map(
+      g_ddr => g_ddr
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => i_phy_rst,
+      st_clk         => i_phy_clk,
+
+      sla_in         => ctrl_mosi,
+      sla_out        => ctrl_miso,
+
+      st_en_evt      => dvr_en,
+      st_wr_not_rd   => dvr_wr_not_rd,
+
+      st_start_addr  => dvr_start_addr,
+      st_end_addr    => dvr_end_addr,
+
+      st_done        => dvr_done,
+      st_init_done   => ctlr_init_done,
+      st_ctlr_rdy    => ctlr_rdy,
+
+      mm_rd_usedw    => mm_rd_usedw
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage_reg.vhd b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage_reg.vhd
index 756ce1b7f7..982adfdbdd 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage_reg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/src/vhdl/unb1_bn_capture_storage_reg.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, ddr3_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use ddr3_lib.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use ddr3_lib.ddr3_pkg.all;
 
 entity bn_capture_storage_reg is
   generic (
     g_ddr             : t_c_ddr3_phy
- );
+  );
   port (
     -- Clocks and reset
     mm_rst            : in  std_logic;  -- reset synchronous with mm_clk
@@ -53,17 +53,19 @@ entity bn_capture_storage_reg is
 
     -- MM registers
     mm_rd_usedw       : in  std_logic_vector(31 downto 0)
-   );
+  );
 end bn_capture_storage_reg;
 
 
 architecture rtl of bn_capture_storage_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(8),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 8,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(8),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 8,
+    init_sl  => '0'
+  );
   -- Registers in mm_clk domain
   signal mm_en_evt         : std_logic;
   signal mm_wr_not_rd      : std_logic;
@@ -158,59 +160,59 @@ begin
   ------------------------------------------------------------------------------
 
   u_spulse_en_evt : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_en_evt,
-    in_busy   => OPEN,
-    out_rst   => st_rst,
-    out_clk   => st_clk,
-    out_pulse => st_en_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_en_evt,
+      in_busy   => OPEN,
+      out_rst   => st_rst,
+      out_clk   => st_clk,
+      out_pulse => st_en_evt
+    );
 
   u_async_wr_not_rd : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => st_rst,
-    clk  => st_clk,
-    din  => mm_wr_not_rd,
-    dout => st_wr_not_rd
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => st_rst,
+      clk  => st_clk,
+      din  => mm_wr_not_rd,
+      dout => st_wr_not_rd
+    );
 
   u_async_done : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => st_done,
-    dout => mm_done
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => st_done,
+      dout => mm_done
+    );
 
   u_async_init_done : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => st_init_done,
-    dout => mm_init_done
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => st_init_done,
+      dout => mm_init_done
+    );
 
   u_async_rdy : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => st_ctlr_rdy,
-    dout => mm_ctlr_rdy
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => st_ctlr_rdy,
+      dout => mm_ctlr_rdy
+    );
 
   -- Address range should be set before asserting the DDR3 enable bit.
 
diff --git a/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_node_unb1_bn_capture.vhd b/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_node_unb1_bn_capture.vhd
index 87e60073de..a1346b7655 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_node_unb1_bn_capture.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_node_unb1_bn_capture.vhd
@@ -41,22 +41,22 @@
 
 
 library IEEE, common_lib, dp_lib, unb1_board_lib, diag_lib, aduh_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use work.unb1_bn_capture_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use aduh_lib.aduh_dd_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use i2c_lib.i2c_commander_pkg.all;
-use i2c_lib.i2c_commander_aduh_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use work.unb1_bn_capture_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use aduh_lib.aduh_dd_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use i2c_lib.i2c_commander_pkg.all;
+  use i2c_lib.i2c_commander_aduh_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
 
 entity tb_node_unb1_bn_capture is
   generic (
@@ -84,9 +84,11 @@ architecture tb of tb_node_unb1_bn_capture is
   constant c_fw_version            : t_unb1_board_fw_version := (1, 0);
 
   constant c_bn_capture_sp_sim     : t_c_bn_capture_sp := (800, 1024, 48 * 1024, 4 * 1024, true);  -- 800 MSps, block size 1024 samples, 48 blocks per sync interval, monitor buffer 4096 samples using sync
-  constant c_bn_capture            : t_c_bn_capture := (c_unb1_board_mm_clk_freq_50M,
-                                                        c_unb1_board_ext_clk_freq_200M,
-                                                        c_bn_capture_sp_sim);
+  constant c_bn_capture            : t_c_bn_capture := (
+    c_unb1_board_mm_clk_freq_50M,
+    c_unb1_board_ext_clk_freq_200M,
+    c_bn_capture_sp_sim
+  );
 
 
   constant c_eth_clk_period        : time := 40 ns;  -- 25 MHz XO on UniBoard
@@ -309,7 +311,7 @@ begin
       proc_mem_mm_bus_wr(0, v_bsn, mm_clk, reg_bsn_scheduler_wg_mosi);  -- first write low then high part
       proc_mem_mm_bus_wr(1,     0, mm_clk, reg_bsn_scheduler_wg_mosi);  -- assume v_bsn < 2**31-1
 
-      -- Continue forever with WG data
+    -- Continue forever with WG data
     end if;
     proc_common_wait_some_cycles(mm_clk, 1000);
     reg_input_stimuli_done <= '1';
@@ -389,134 +391,134 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim                     => c_sim,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_bn_capture.mm_clk_freq,
-    g_dp_clk_freq             => c_bn_capture.dp_clk_freq,
-    g_use_phy                 => g_use_phy,
-    g_aux                     => c_unb1_board_aux
-  )
-  port map (
-    -- System
-    -- Clock an reset signals
-    -- System
-    cs_sim                   => OPEN,
-    xo_clk                   => OPEN,
-    xo_rst_n                 => OPEN,
-
-    mm_clk                   => mm_clk,  -- 50 MHz
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,  -- 200 MHz from CLK system clock
-    dp_pps                   => dp_pps,  -- PPS in dp_clk domain
-    dp_rst_in                => dp_rst,  -- externally wire OUT dp_rst to dp_rst_in to avoid delta cycle difference on dp_clk
-    dp_clk_in                => dp_clk,  -- externally wire OUT dp_clk to dp_clk_in to avoid delta cycle difference on dp_clk (due to dp_clk <= i_dp_clk assignment)
-
-     -- PIOs
-    pout_debug_wave          => pout_debug_wave,
-    pout_wdi                 => pout_wdi,
-
-    -- eth1g
-    eth1g_tse_clk            => '0',
-    eth1g_mm_rst             => '1',
-    eth1g_tse_mosi           => c_mem_mosi_rst,
-    eth1g_tse_miso           => OPEN,
-    eth1g_reg_mosi           => c_mem_mosi_rst,
-    eth1g_reg_miso           => OPEN,
-    eth1g_reg_interrupt      => OPEN,
-    eth1g_ram_mosi           => c_mem_mosi_rst,
-    eth1g_ram_miso           => OPEN,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => ext_clk,
-    PPS                      => ext_pps,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . 1GbE Control Interface
-    ETH_clk                  => eth_clk,
-    ETH_SGIN                 => eth_rxp,
-    ETH_SGOUT                => eth_txp
-  );
+    generic map (
+      g_sim                     => c_sim,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_bn_capture.mm_clk_freq,
+      g_dp_clk_freq             => c_bn_capture.dp_clk_freq,
+      g_use_phy                 => g_use_phy,
+      g_aux                     => c_unb1_board_aux
+    )
+    port map (
+      -- System
+      -- Clock an reset signals
+      -- System
+      cs_sim                   => OPEN,
+      xo_clk                   => OPEN,
+      xo_rst_n                 => OPEN,
+
+      mm_clk                   => mm_clk,  -- 50 MHz
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,  -- 200 MHz from CLK system clock
+      dp_pps                   => dp_pps,  -- PPS in dp_clk domain
+      dp_rst_in                => dp_rst,  -- externally wire OUT dp_rst to dp_rst_in to avoid delta cycle difference on dp_clk
+      dp_clk_in                => dp_clk,  -- externally wire OUT dp_clk to dp_clk_in to avoid delta cycle difference on dp_clk (due to dp_clk <= i_dp_clk assignment)
+
+      -- PIOs
+      pout_debug_wave          => pout_debug_wave,
+      pout_wdi                 => pout_wdi,
+
+      -- eth1g
+      eth1g_tse_clk            => '0',
+      eth1g_mm_rst             => '1',
+      eth1g_tse_mosi           => c_mem_mosi_rst,
+      eth1g_tse_miso           => OPEN,
+      eth1g_reg_mosi           => c_mem_mosi_rst,
+      eth1g_reg_miso           => OPEN,
+      eth1g_reg_interrupt      => OPEN,
+      eth1g_ram_mosi           => c_mem_mosi_rst,
+      eth1g_ram_miso           => OPEN,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => ext_clk,
+      PPS                      => ext_pps,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . 1GbE Control Interface
+      ETH_clk                  => eth_clk,
+      ETH_SGIN                 => eth_rxp,
+      ETH_SGOUT                => eth_txp
+    );
 
   u_node : entity work.node_unb1_bn_capture
-  generic map (
-    -- General
-    g_sim         => c_sim,
-    -- BN capture specific
-    g_bn_capture  => c_bn_capture,
-    -- Use PHY Interface
-    g_use_phy     => g_use_phy,
-    -- Auxiliary Interface
-    g_aux         => c_unb1_board_aux,
-    -- ADC Interface
-    g_ai          => c_ai
-  )
-  port map (
-    --
-    -- >>> SOPC system with conduit peripheral MM bus
-    --
-    -- System
-    mm_rst                 => mm_rst,
-    mm_clk                 => mm_clk,
-
-    dp_rst                 => dp_rst,
-    dp_clk                 => dp_clk,
-    dp_pps                 => dp_pps,
-
-    ext_clk                => ext_clk,
-
-    -- MM bsn source
-    reg_bsn_source_mosi       => reg_bsn_source_mosi,
-    reg_bsn_source_miso       => reg_bsn_source_miso,
-
-    -- MM bsn schedule WG
-    reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
-
-    -- MM registers [0,1,2,3] for wideband waveform generators [A,B,C,D]
-    reg_wg_mosi_arr           => reg_wg_mosi_arr,
-    reg_wg_miso_arr           => reg_wg_miso_arr,
-    ram_wg_mosi_arr           => ram_wg_mosi_arr,
-    ram_wg_miso_arr           => ram_wg_miso_arr,
-
-    -- MM registers [0,1] for I2C access with ADU AB and with ADU CD
-    reg_commander_mosi_arr    => reg_commander_mosi_arr,
-    reg_commander_miso_arr    => reg_commander_miso_arr,
-    ram_protocol_mosi_arr     => ram_protocol_mosi_arr,
-    ram_protocol_miso_arr     => ram_protocol_miso_arr,
-    ram_result_mosi_arr       => ram_result_mosi_arr,
-    ram_result_miso_arr       => ram_result_miso_arr,
-
-    -- MM registers to enable and disable signal path
-    reg_bsn_scheduler_sp_on_mosi  => reg_bsn_scheduler_sp_on_mosi,
-    reg_bsn_scheduler_sp_on_miso  => reg_bsn_scheduler_sp_on_miso,
-    reg_bsn_scheduler_sp_off_mosi => reg_bsn_scheduler_sp_off_mosi,
-    reg_bsn_scheduler_sp_off_miso => reg_bsn_scheduler_sp_off_miso,
-
-    -- ADC Interface
-    ADC_BI_A               => DIG_A,
-    ADC_BI_B               => DIG_B,
-    ADC_BI_A_CLK           => DCLK_AB,
-    ADC_BI_A_CLK_RST       => DCLK_RST_AB,
-    ADC_BI_C               => DIG_C,
-    ADC_BI_D               => DIG_D,
-    ADC_BI_D_CLK           => DCLK_CD,
-    ADC_BI_D_CLK_RST       => DCLK_RST_CD,
-
-    ADC_AB_SCL             => ADC_AB_SCL,
-    ADC_AB_SDA             => ADC_AB_SDA,
-    ADC_CD_SCL             => ADC_CD_SCL,
-    ADC_CD_SDA             => ADC_CD_SDA
-  );
+    generic map (
+      -- General
+      g_sim         => c_sim,
+      -- BN capture specific
+      g_bn_capture  => c_bn_capture,
+      -- Use PHY Interface
+      g_use_phy     => g_use_phy,
+      -- Auxiliary Interface
+      g_aux         => c_unb1_board_aux,
+      -- ADC Interface
+      g_ai          => c_ai
+    )
+    port map (
+      --
+      -- >>> SOPC system with conduit peripheral MM bus
+      --
+      -- System
+      mm_rst                 => mm_rst,
+      mm_clk                 => mm_clk,
+
+      dp_rst                 => dp_rst,
+      dp_clk                 => dp_clk,
+      dp_pps                 => dp_pps,
+
+      ext_clk                => ext_clk,
+
+      -- MM bsn source
+      reg_bsn_source_mosi       => reg_bsn_source_mosi,
+      reg_bsn_source_miso       => reg_bsn_source_miso,
+
+      -- MM bsn schedule WG
+      reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
+
+      -- MM registers [0,1,2,3] for wideband waveform generators [A,B,C,D]
+      reg_wg_mosi_arr           => reg_wg_mosi_arr,
+      reg_wg_miso_arr           => reg_wg_miso_arr,
+      ram_wg_mosi_arr           => ram_wg_mosi_arr,
+      ram_wg_miso_arr           => ram_wg_miso_arr,
+
+      -- MM registers [0,1] for I2C access with ADU AB and with ADU CD
+      reg_commander_mosi_arr    => reg_commander_mosi_arr,
+      reg_commander_miso_arr    => reg_commander_miso_arr,
+      ram_protocol_mosi_arr     => ram_protocol_mosi_arr,
+      ram_protocol_miso_arr     => ram_protocol_miso_arr,
+      ram_result_mosi_arr       => ram_result_mosi_arr,
+      ram_result_miso_arr       => ram_result_miso_arr,
+
+      -- MM registers to enable and disable signal path
+      reg_bsn_scheduler_sp_on_mosi  => reg_bsn_scheduler_sp_on_mosi,
+      reg_bsn_scheduler_sp_on_miso  => reg_bsn_scheduler_sp_on_miso,
+      reg_bsn_scheduler_sp_off_mosi => reg_bsn_scheduler_sp_off_mosi,
+      reg_bsn_scheduler_sp_off_miso => reg_bsn_scheduler_sp_off_miso,
+
+      -- ADC Interface
+      ADC_BI_A               => DIG_A,
+      ADC_BI_B               => DIG_B,
+      ADC_BI_A_CLK           => DCLK_AB,
+      ADC_BI_A_CLK_RST       => DCLK_RST_AB,
+      ADC_BI_C               => DIG_C,
+      ADC_BI_D               => DIG_D,
+      ADC_BI_D_CLK           => DCLK_CD,
+      ADC_BI_D_CLK_RST       => DCLK_RST_CD,
+
+      ADC_AB_SCL             => ADC_AB_SCL,
+      ADC_AB_SDA             => ADC_AB_SDA,
+      ADC_CD_SCL             => ADC_CD_SCL,
+      ADC_CD_SDA             => ADC_CD_SDA
+    );
 
 
   -----------------------------------------------------------------------------
@@ -536,36 +538,36 @@ begin
 
   -- National ADC
   u_adc_AB : entity aduh_lib.adu_half
-  port map (
-    AI              => TO_SINT(ANA_A),
-    AQ              => TO_SINT(ANA_B),
-    AOVR            => ANA_OVR,
-    CLK             => SCLK,
-    DCLK            => DCLK_AB,
-    DCLK_RST        => DCLK_RST_AB,
-    DI              => DIG_A,
-    DQ              => DIG_B,
-    OVR             => DIG_OVR_AB,
-    SCL             => ADC_AB_SCL,
-    SDA             => ADC_AB_SDA,
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      AI              => TO_SINT(ANA_A),
+      AQ              => TO_SINT(ANA_B),
+      AOVR            => ANA_OVR,
+      CLK             => SCLK,
+      DCLK            => DCLK_AB,
+      DCLK_RST        => DCLK_RST_AB,
+      DI              => DIG_A,
+      DQ              => DIG_B,
+      OVR             => DIG_OVR_AB,
+      SCL             => ADC_AB_SCL,
+      SDA             => ADC_AB_SDA,
+      test_pattern_en => test_pattern_en
+    );
 
   u_adc_CD : entity aduh_lib.adu_half
-  port map (
-    AI              => TO_SINT(ANA_C),
-    AQ              => TO_SINT(ANA_D),
-    AOVR            => ANA_OVR,
-    CLK             => SCLK,
-    DCLK            => DCLK_CD,
-    DCLK_RST        => DCLK_RST_CD,
-    DI              => DIG_C,
-    DQ              => DIG_D,
-    OVR             => DIG_OVR_CD,
-    SCL             => ADC_CD_SCL,
-    SDA             => ADC_CD_SDA,
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      AI              => TO_SINT(ANA_C),
+      AQ              => TO_SINT(ANA_D),
+      AOVR            => ANA_OVR,
+      CLK             => SCLK,
+      DCLK            => DCLK_CD,
+      DCLK_RST        => DCLK_RST_CD,
+      DI              => DIG_C,
+      DQ              => DIG_D,
+      OVR             => DIG_OVR_CD,
+      SCL             => ADC_CD_SCL,
+      SDA             => ADC_CD_SDA,
+      test_pattern_en => test_pattern_en
+    );
 
   ------------------------------------------------------------------------------
   -- 1GbE Loopback model
diff --git a/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture.vhd b/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture.vhd
index 2c11bf9501..5bc0f5c08c 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture.vhd
@@ -36,20 +36,20 @@
 
 
 library IEEE, common_lib, dp_lib, i2c_lib, unb1_board_lib, diag_lib, aduh_lib, ddr3_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use work.unb1_bn_capture_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use aduh_lib.aduh_dd_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use ddr3_lib.ddr3_pkg.all;
-use i2c_lib.i2c_dev_unb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use work.unb1_bn_capture_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use aduh_lib.aduh_dd_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use ddr3_lib.ddr3_pkg.all;
+  use i2c_lib.i2c_dev_unb_pkg.all;
 
 
 entity tb_bn_capture is
@@ -77,9 +77,11 @@ architecture tb of tb_bn_capture is
   constant c_fw_version         : t_unb_fw_version := (1, 0);
 
   constant c_bn_capture_sp_sim  : t_c_bn_capture_sp := (800, 1024, 1024 * 1024, 1024, true);  -- 800 MSps, block size 1024 samples, nof blocks per sync interval, monitor buffer nof samples using sync
-  constant c_bn_capture         : t_c_bn_capture := (c_unb_mm_clk_freq_50M,
-                                                     c_unb_ext_clk_freq_200M,
-                                                     c_bn_capture_sp_sim);
+  constant c_bn_capture         : t_c_bn_capture := (
+    c_unb_mm_clk_freq_50M,
+    c_unb_ext_clk_freq_200M,
+    c_bn_capture_sp_sim
+  );
 
   constant c_ddr                : t_c_ddr3_phy := c_ddr3_phy_4g;  -- use c_ddr3_phy_4g or c_ddr3_phy_1g dependent on what was generated with the MegaWizard
 
@@ -176,14 +178,14 @@ begin
   sens_sda <= 'H';  -- pull up
 
   u_sens_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_max1618_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_max1618_temp
-  );
+    generic map (
+      g_address => c_max1618_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_max1618_temp
+    );
 
   VERSION <= c_version;
   ID <= c_id_bn0;
@@ -193,69 +195,69 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.bn_capture
-  generic map (
-    -- General
-    g_sim         => c_sim,
-    g_fw_version  => c_fw_version,
-    -- BN capture specific
-    g_bn_capture  => c_bn_capture,
-    -- Use PHY Interface
-    g_use_phy     => g_use_phy,
-    -- Auxiliary Interface
-    g_aux         => c_unb_aux,
-    -- DDR3 Interface
-    g_ddr         => c_ddr,
-    -- ADC Interface
-    g_nof_dp_phs_clk => c_nof_dp_phs_clk,
-    g_ai             => c_ai
-  )
-  port map (
-    -- GENERAL
-    CLK                    => ext_clk,
-    PPS                    => ext_pps,
-    WDI                    => WDI,
-    INTA                   => INTA,
-    INTB                   => INTB,
-
-    -- Others
-    VERSION                => VERSION,
-    ID                     => ID,
-    TESTIO                 => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc                => sens_scl,
-    sens_sd                => sens_sda,
-
-    -- 1GbE Control Interface
-    ETH_clk                => eth_clk,
-    ETH_SGIN               => eth_rxp,
-    ETH_SGOUT              => eth_txp,
-
-    -- SO-DIMM Memory Bank I = ddr3_I
-    MB_I_IN                => MB_I_in,
-    MB_I_IO                => MB_I_io,
-    MB_I_OU                => MB_I_ou,
-
-    -- SO-DIMM Memory Bank II = ddr3_II
-    MB_II_IN               => MB_II_in,
-    MB_II_IO               => MB_II_io,
-    MB_II_OU               => MB_II_ou,
-
-    -- ADC Interface
-    ADC_BI_A               => DIG_A,
-    ADC_BI_B               => DIG_B,
-    ADC_BI_A_CLK           => DCLK_AB,
-    ADC_BI_A_CLK_RST       => DCLK_RST_AB,
-    ADC_BI_C               => DIG_C,
-    ADC_BI_D               => DIG_D,
-    ADC_BI_D_CLK           => DCLK_CD,
-    ADC_BI_D_CLK_RST       => DCLK_RST_CD,
-
-    ADC_AB_SCL             => ADC_AB_SCL,
-    ADC_AB_SDA             => ADC_AB_SDA,
-    ADC_CD_SCL             => ADC_CD_SCL,
-    ADC_CD_SDA             => ADC_CD_SDA
-  );
+    generic map (
+      -- General
+      g_sim         => c_sim,
+      g_fw_version  => c_fw_version,
+      -- BN capture specific
+      g_bn_capture  => c_bn_capture,
+      -- Use PHY Interface
+      g_use_phy     => g_use_phy,
+      -- Auxiliary Interface
+      g_aux         => c_unb_aux,
+      -- DDR3 Interface
+      g_ddr         => c_ddr,
+      -- ADC Interface
+      g_nof_dp_phs_clk => c_nof_dp_phs_clk,
+      g_ai             => c_ai
+    )
+    port map (
+      -- GENERAL
+      CLK                    => ext_clk,
+      PPS                    => ext_pps,
+      WDI                    => WDI,
+      INTA                   => INTA,
+      INTB                   => INTB,
+
+      -- Others
+      VERSION                => VERSION,
+      ID                     => ID,
+      TESTIO                 => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc                => sens_scl,
+      sens_sd                => sens_sda,
+
+      -- 1GbE Control Interface
+      ETH_clk                => eth_clk,
+      ETH_SGIN               => eth_rxp,
+      ETH_SGOUT              => eth_txp,
+
+      -- SO-DIMM Memory Bank I = ddr3_I
+      MB_I_IN                => MB_I_in,
+      MB_I_IO                => MB_I_io,
+      MB_I_OU                => MB_I_ou,
+
+      -- SO-DIMM Memory Bank II = ddr3_II
+      MB_II_IN               => MB_II_in,
+      MB_II_IO               => MB_II_io,
+      MB_II_OU               => MB_II_ou,
+
+      -- ADC Interface
+      ADC_BI_A               => DIG_A,
+      ADC_BI_B               => DIG_B,
+      ADC_BI_A_CLK           => DCLK_AB,
+      ADC_BI_A_CLK_RST       => DCLK_RST_AB,
+      ADC_BI_C               => DIG_C,
+      ADC_BI_D               => DIG_D,
+      ADC_BI_D_CLK           => DCLK_CD,
+      ADC_BI_D_CLK_RST       => DCLK_RST_CD,
+
+      ADC_AB_SCL             => ADC_AB_SCL,
+      ADC_AB_SDA             => ADC_AB_SDA,
+      ADC_CD_SCL             => ADC_CD_SCL,
+      ADC_CD_SDA             => ADC_CD_SDA
+    );
 
 
   -----------------------------------------------------------------------------
@@ -278,36 +280,36 @@ begin
 
   -- National ADC
   u_adc_AB : entity aduh_lib.adu_half
-  port map (
-    AI              => TO_SINT(ANA_A),
-    AQ              => TO_SINT(ANA_B),
-    AOVR            => ANA_OVR,
-    CLK             => SCLK,
-    DCLK            => DCLK_AB,
-    DCLK_RST        => DCLK_RST_AB,
-    DI              => DIG_A,
-    DQ              => DIG_B,
-    OVR             => DIG_OVR_AB,
-    SCL             => ADC_AB_SCL,
-    SDA             => ADC_AB_SDA,
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      AI              => TO_SINT(ANA_A),
+      AQ              => TO_SINT(ANA_B),
+      AOVR            => ANA_OVR,
+      CLK             => SCLK,
+      DCLK            => DCLK_AB,
+      DCLK_RST        => DCLK_RST_AB,
+      DI              => DIG_A,
+      DQ              => DIG_B,
+      OVR             => DIG_OVR_AB,
+      SCL             => ADC_AB_SCL,
+      SDA             => ADC_AB_SDA,
+      test_pattern_en => test_pattern_en
+    );
 
   u_adc_CD : entity aduh_lib.adu_half
-  port map (
-    AI              => TO_SINT(ANA_C),
-    AQ              => TO_SINT(ANA_D),
-    AOVR            => ANA_OVR,
-    CLK             => SCLK,
-    DCLK            => DCLK_CD,
-    DCLK_RST        => DCLK_RST_CD,
-    DI              => DIG_C,
-    DQ              => DIG_D,
-    OVR             => DIG_OVR_CD,
-    SCL             => ADC_CD_SCL,
-    SDA             => ADC_CD_SDA,
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      AI              => TO_SINT(ANA_C),
+      AQ              => TO_SINT(ANA_D),
+      AOVR            => ANA_OVR,
+      CLK             => SCLK,
+      DCLK            => DCLK_CD,
+      DCLK_RST        => DCLK_RST_CD,
+      DI              => DIG_C,
+      DQ              => DIG_D,
+      OVR             => DIG_OVR_CD,
+      SCL             => ADC_CD_SCL,
+      SDA             => ADC_CD_SDA,
+      test_pattern_en => test_pattern_en
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture_input.vhd b/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture_input.vhd
index a2a8d46e47..bf5d27c553 100644
--- a/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture_input.vhd
+++ b/boards/uniboard1/designs/unb1_bn_capture/tb/vhdl/tb_unb1_bn_capture_input.vhd
@@ -49,19 +49,19 @@
 --   that the tb has run.
 
 library IEEE, common_lib, dp_lib, diag_lib, aduh_lib, unb1_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use work.unb1_bn_capture_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use aduh_lib.aduh_dd_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use work.unb1_bn_capture_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use aduh_lib.aduh_dd_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
 
 entity tb_unb1_bn_capture_input is
 end tb_unb1_bn_capture_input;
@@ -71,9 +71,11 @@ architecture tb of tb_unb1_bn_capture_input is
   constant c_sim                : boolean := true;
 
   constant c_bn_capture_sp_sim  : t_c_bn_capture_sp := (800, 1024, 48 * 1024, 4 * 1024, true);  -- 800 MSps, block size 1024 samples, 48 blocks per sync interval, monitor buffer 4096 samples using sync
-  constant c_bn_capture         : t_c_bn_capture := (c_unb1_board_mm_clk_freq_50M,
-                                                     c_unb1_board_ext_clk_freq_200M,
-                                                     c_bn_capture_sp_sim);
+  constant c_bn_capture         : t_c_bn_capture := (
+    c_unb1_board_mm_clk_freq_50M,
+    c_unb1_board_ext_clk_freq_200M,
+    c_bn_capture_sp_sim
+  );
 
   constant c_ram_wg_dat_w       : natural :=    c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_dat_w;
   constant c_ram_wg_size        : natural := 2**c_unb1_board_peripherals_mm_reg_default.ram_diag_wg_adr_w;
@@ -462,7 +464,7 @@ begin
     -- Read ADUH monitor buffer at BSN
     for K in 0 to c_bsn_schedule_nof_events - 1 loop
       while unsigned(current_bsn) < c_bsn_schedule_aduh_monitor + K * c_nof_block_per_sync loop
-      proc_common_wait_some_cycles(mm_clk, 1);
+        proc_common_wait_some_cycles(mm_clk, 1);
       end loop;
 
       -- Read the RAM waveform buffer for all 4 wideband waveform generators
@@ -520,95 +522,95 @@ begin
 
   -- National ADC
   u_adc_AB : entity aduh_lib.adu_half
-  port map (
-    AI              => TO_SINT(ANA_A),
-    AQ              => TO_SINT(ANA_B),
-    CLK             => SCLK,
-    DCLK            => DCLK_AB,
-    DCLK_RST        => DCLK_RST_AB,
-    DI              => DIG_A,
-    DQ              => DIG_B,
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      AI              => TO_SINT(ANA_A),
+      AQ              => TO_SINT(ANA_B),
+      CLK             => SCLK,
+      DCLK            => DCLK_AB,
+      DCLK_RST        => DCLK_RST_AB,
+      DI              => DIG_A,
+      DQ              => DIG_B,
+      test_pattern_en => test_pattern_en
+    );
 
   u_adc_CD : entity aduh_lib.adu_half
-  port map (
-    AI              => TO_SINT(ANA_C),
-    AQ              => TO_SINT(ANA_D),
-    CLK             => SCLK,
-    DCLK            => DCLK_CD,
-    DCLK_RST        => DCLK_RST_CD,
-    DI              => DIG_C,
-    DQ              => DIG_D,
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      AI              => TO_SINT(ANA_C),
+      AQ              => TO_SINT(ANA_D),
+      CLK             => SCLK,
+      DCLK            => DCLK_CD,
+      DCLK_RST        => DCLK_RST_CD,
+      DI              => DIG_C,
+      DQ              => DIG_D,
+      test_pattern_en => test_pattern_en
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
 
   dut : entity work.unb1_bn_capture_input
-  generic map (
-    g_sim            => c_sim,
-    g_bn_capture     => c_bn_capture,
-    g_nof_dp_phs_clk => dp_phs_clk_vec'LENGTH,
-    g_ai             => c_ai
-  )
-  port map (
-    -- ADC Interface
-    -- . ADU_AB
-    ADC_BI_A               => DIG_A,
-    ADC_BI_B               => DIG_B,
-    ADC_BI_A_CLK           => DCLK_AB,
-    ADC_BI_A_CLK_RST       => DCLK_RST_AB,
-
-    -- . ADU_CD
-    ADC_BI_C               => DIG_C,
-    ADC_BI_D               => DIG_D,
-    ADC_BI_D_CLK           => DCLK_CD,
-    ADC_BI_D_CLK_RST       => DCLK_RST_CD,
-
-    -- Clocks and reset
-    mm_rst                 => mm_rst,
-    mm_clk                 => mm_clk,
-    dp_rst                 => dp_rst,
-    dp_clk                 => dp_clk,
-    dp_phs_clk_vec         => dp_phs_clk_vec,
-    dp_pps                 => dp_pps,
-
-    -- MM bsn source
-    reg_bsn_source_mosi    => reg_bsn_source_mosi,
-    reg_bsn_source_miso    => reg_bsn_source_miso,
-
-    -- MM bsn schedule WG
-    reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
-    reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
-
-    -- MM aduh quad
-    reg_adc_quad_mosi      => reg_adc_quad_mosi,
-    reg_adc_quad_miso      => reg_adc_quad_miso,
-
-    -- MM waveform generators
-    reg_wg_mosi_arr        => reg_wg_mosi_arr,
-    reg_wg_miso_arr        => reg_wg_miso_arr,
-    ram_wg_mosi_arr        => ram_wg_mosi_arr,
-    ram_wg_miso_arr        => ram_wg_miso_arr,
-
-    -- MM signal path monitors
-    reg_mon_mosi_arr       => reg_mon_mosi_arr,
-    reg_mon_miso_arr       => reg_mon_miso_arr,
-    ram_mon_mosi_arr       => ram_mon_mosi_arr,
-    ram_mon_miso_arr       => ram_mon_miso_arr,
-
-    -- Streaming output (can be from ADU or from internal WG)
-    sp_sosi_arr            => sp_sosi_arr,
-    sp_siso_arr            => sp_siso_arr
-  );
-
-  ------------------------------------------------------------------------------
-  -- Verify
-  ------------------------------------------------------------------------------
-
-  -- View sp_sosi_arr in Wave Window with aduh_quad_scope in unb1_bn_capture_input
+    generic map (
+      g_sim            => c_sim,
+      g_bn_capture     => c_bn_capture,
+      g_nof_dp_phs_clk => dp_phs_clk_vec'LENGTH,
+      g_ai             => c_ai
+    )
+    port map (
+      -- ADC Interface
+      -- . ADU_AB
+      ADC_BI_A               => DIG_A,
+      ADC_BI_B               => DIG_B,
+      ADC_BI_A_CLK           => DCLK_AB,
+      ADC_BI_A_CLK_RST       => DCLK_RST_AB,
+
+      -- . ADU_CD
+      ADC_BI_C               => DIG_C,
+      ADC_BI_D               => DIG_D,
+      ADC_BI_D_CLK           => DCLK_CD,
+      ADC_BI_D_CLK_RST       => DCLK_RST_CD,
+
+      -- Clocks and reset
+      mm_rst                 => mm_rst,
+      mm_clk                 => mm_clk,
+      dp_rst                 => dp_rst,
+      dp_clk                 => dp_clk,
+      dp_phs_clk_vec         => dp_phs_clk_vec,
+      dp_pps                 => dp_pps,
+
+      -- MM bsn source
+      reg_bsn_source_mosi    => reg_bsn_source_mosi,
+      reg_bsn_source_miso    => reg_bsn_source_miso,
+
+      -- MM bsn schedule WG
+      reg_bsn_scheduler_wg_mosi => reg_bsn_scheduler_wg_mosi,
+      reg_bsn_scheduler_wg_miso => reg_bsn_scheduler_wg_miso,
+
+      -- MM aduh quad
+      reg_adc_quad_mosi      => reg_adc_quad_mosi,
+      reg_adc_quad_miso      => reg_adc_quad_miso,
+
+      -- MM waveform generators
+      reg_wg_mosi_arr        => reg_wg_mosi_arr,
+      reg_wg_miso_arr        => reg_wg_miso_arr,
+      ram_wg_mosi_arr        => ram_wg_mosi_arr,
+      ram_wg_miso_arr        => ram_wg_miso_arr,
+
+      -- MM signal path monitors
+      reg_mon_mosi_arr       => reg_mon_mosi_arr,
+      reg_mon_miso_arr       => reg_mon_miso_arr,
+      ram_mon_mosi_arr       => ram_mon_mosi_arr,
+      ram_mon_miso_arr       => ram_mon_miso_arr,
+
+      -- Streaming output (can be from ADU or from internal WG)
+      sp_sosi_arr            => sp_sosi_arr,
+      sp_siso_arr            => sp_siso_arr
+    );
+
+------------------------------------------------------------------------------
+-- Verify
+------------------------------------------------------------------------------
+
+-- View sp_sosi_arr in Wave Window with aduh_quad_scope in unb1_bn_capture_input
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/node_unb1_bn_terminal_bg.vhd b/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/node_unb1_bn_terminal_bg.vhd
index 6835c5e073..ad9600822b 100644
--- a/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/node_unb1_bn_terminal_bg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/node_unb1_bn_terminal_bg.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib, unb1_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity node_unb1_bn_terminal_bg is
   generic(
@@ -136,28 +136,28 @@ begin
   -----------------------------------------------------------------------------
   gen_bg : if g_use_bg = true generate
     u_bg : entity diag_lib.mms_diag_block_gen
-    generic map(
-      g_nof_streams      => g_usr_nof_streams,
-      g_buf_dat_w        => g_usr_data_w,
-      g_buf_addr_w       => ceil_log2(g_usr_block_len),
-      g_file_name_prefix => "UNUSED"
-    )
-    port map(
-      -- System
-      mm_rst           => mm_rst,
-      mm_clk           => mm_clk,
-      dp_rst           => dp_rst,
-      dp_clk           => dp_clk,
-      en_sync          => dp_pps,
-      -- MM interface
-      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-      reg_bg_ctrl_miso => reg_diag_bg_miso,
-      ram_bg_data_mosi => ram_diag_bg_mosi,
-      ram_bg_data_miso => ram_diag_bg_miso,
-      -- ST interface
-      out_siso_arr     => bg_siso_arr,
-      out_sosi_arr     => bg_sosi_arr
-    );
+      generic map(
+        g_nof_streams      => g_usr_nof_streams,
+        g_buf_dat_w        => g_usr_data_w,
+        g_buf_addr_w       => ceil_log2(g_usr_block_len),
+        g_file_name_prefix => "UNUSED"
+      )
+      port map(
+        -- System
+        mm_rst           => mm_rst,
+        mm_clk           => mm_clk,
+        dp_rst           => dp_rst,
+        dp_clk           => dp_clk,
+        en_sync          => dp_pps,
+        -- MM interface
+        reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+        reg_bg_ctrl_miso => reg_diag_bg_miso,
+        ram_bg_data_mosi => ram_diag_bg_mosi,
+        ram_bg_data_miso => ram_diag_bg_miso,
+        -- ST interface
+        out_siso_arr     => bg_siso_arr,
+        out_sosi_arr     => bg_sosi_arr
+      );
   end generate;
 
   no_bg : if g_use_bg = false generate
@@ -194,55 +194,55 @@ begin
     back_tx_usr_sosi_2arr <= bg_sosi_2arr;
 
     u_terminals_back: entity unb1_board_lib.unb1_board_terminals_back
-    generic map (
-      g_sim              => g_sim,
-      g_sim_level        => g_sim_level,
-      -- System
-      g_nof_bus            => c_unb1_board_nof_uniboard,  -- = 4 Uniboard in subrack, this UniBoard and 3 other UniBoards, so each UniBoard can be indexed by logical index 3:0
-      -- User
-      g_usr_use_complex    => true,
-      g_usr_data_w         => g_usr_data_w,
-      g_usr_frame_len      => g_usr_block_len,
-      g_usr_nof_streams    => c_mesh_usr_nof_input,
-      -- Phy
-      g_phy_nof_serial     => g_back_nof_serial,
-      g_phy_gx_mbps        => g_back_gx_mbps,
-      g_phy_rx_fifo_size   => c_bram_m9k_fifo_depth,
-      -- Tx
-      g_tx_input_use_fifo  => true,
-      -- Rx
-      g_rx_output_use_fifo => false,  -- no need for Rx output FIFOs to ensure that dp_distribute does not get blocked due to substantial backpressure on another output
-      g_rx_timeout_w       => c_rx_timeout_w
-    )
-    port map (
-      bck_id                 => bck_id,
-
-      tr_clk                 => tr_back_clk,
-      cal_clk                => cal_clk,
-
-      mm_rst                 => mm_rst,
-      mm_clk                 => mm_clk,
-
-      dp_rst                 => dp_rst,
-      dp_clk                 => dp_clk,
-
-      -- User I/O side (4 uniboards)(4 i/o streams)
-      tx_usr_siso_2arr       => back_tx_usr_siso_2arr,
-      tx_usr_sosi_2arr       => back_tx_usr_sosi_2arr,
-      rx_usr_siso_2arr       => back_rx_usr_siso_2arr,
-      rx_usr_sosi_2arr       => back_rx_usr_sosi_2arr,
-
-      -- Serial (tr_nonbonded)
-      tx_serial_2arr         => back_tx_serial_2arr,  -- Tx
-      rx_serial_2arr         => back_rx_serial_2arr,  -- Rx
-
-      -- MM Control
-      reg_tr_nonbonded_mosi  => reg_back_tr_nonbonded_mosi,
-      reg_tr_nonbonded_miso  => reg_back_tr_nonbonded_miso,
-
-      reg_diagnostics_mosi   => reg_back_diagnostics_mosi,
-      reg_diagnostics_miso   => reg_back_diagnostics_miso
-    );
+      generic map (
+        g_sim              => g_sim,
+        g_sim_level        => g_sim_level,
+        -- System
+        g_nof_bus            => c_unb1_board_nof_uniboard,  -- = 4 Uniboard in subrack, this UniBoard and 3 other UniBoards, so each UniBoard can be indexed by logical index 3:0
+        -- User
+        g_usr_use_complex    => true,
+        g_usr_data_w         => g_usr_data_w,
+        g_usr_frame_len      => g_usr_block_len,
+        g_usr_nof_streams    => c_mesh_usr_nof_input,
+        -- Phy
+        g_phy_nof_serial     => g_back_nof_serial,
+        g_phy_gx_mbps        => g_back_gx_mbps,
+        g_phy_rx_fifo_size   => c_bram_m9k_fifo_depth,
+        -- Tx
+        g_tx_input_use_fifo  => true,
+        -- Rx
+        g_rx_output_use_fifo => false,  -- no need for Rx output FIFOs to ensure that dp_distribute does not get blocked due to substantial backpressure on another output
+        g_rx_timeout_w       => c_rx_timeout_w
+      )
+      port map (
+        bck_id                 => bck_id,
+
+        tr_clk                 => tr_back_clk,
+        cal_clk                => cal_clk,
+
+        mm_rst                 => mm_rst,
+        mm_clk                 => mm_clk,
+
+        dp_rst                 => dp_rst,
+        dp_clk                 => dp_clk,
+
+        -- User I/O side (4 uniboards)(4 i/o streams)
+        tx_usr_siso_2arr       => back_tx_usr_siso_2arr,
+        tx_usr_sosi_2arr       => back_tx_usr_sosi_2arr,
+        rx_usr_siso_2arr       => back_rx_usr_siso_2arr,
+        rx_usr_sosi_2arr       => back_rx_usr_sosi_2arr,
+
+        -- Serial (tr_nonbonded)
+        tx_serial_2arr         => back_tx_serial_2arr,  -- Tx
+        rx_serial_2arr         => back_rx_serial_2arr,  -- Rx
+
+        -- MM Control
+        reg_tr_nonbonded_mosi  => reg_back_tr_nonbonded_mosi,
+        reg_tr_nonbonded_miso  => reg_back_tr_nonbonded_miso,
+
+        reg_diagnostics_mosi   => reg_back_diagnostics_mosi,
+        reg_diagnostics_miso   => reg_back_diagnostics_miso
+      );
 
     -----------------------------------------------------------------------------
     -- Back terminals -> transpose -> mesh terminals
@@ -258,63 +258,63 @@ begin
   gen_mesh: if g_use_mesh = true generate
 
     u_terminals_mesh : entity unb1_board_lib.unb1_board_terminals_mesh
-    generic map (
-      g_sim                     => g_sim,
-      g_sim_level               => g_sim_level,
-      -- System
-      g_node_type               => e_bn,
-      g_nof_bus                 => c_unb1_board_nof_fn,  -- 4 to 4 nodes in mesh
-      -- User
-      g_usr_use_complex         => true,
-      g_usr_data_w              => g_usr_data_w,
-      g_usr_frame_len           => g_usr_block_len,
-      g_usr_nof_streams         => c_mesh_usr_nof_input,
-      -- Phy
-      g_phy_nof_serial          => g_mesh_nof_serial,
-      g_phy_gx_mbps             => g_mesh_gx_mbps,
-      g_phy_rx_fifo_size        => c_bram_m9k_fifo_depth,
-      g_phy_ena_reorder         => g_mesh_ena_reorder,
-      -- Tx
-      g_use_tx                  => true,  -- user Tx must be TRUE for BG in BN,
-      g_tx_input_use_fifo       => true,  -- Tx input uses FIFO to create slack for inserting DP Packet and Uthernet frame headers
-      -- Rx
-      g_use_rx                  => g_mesh_use_rx,  -- optionally do support diag Rx
-      g_rx_output_use_fifo      => false,  -- no user Rx
-      -- Monitoring
-      g_mon_select              => g_mesh_mon_select,
-      g_mon_nof_words           => g_mesh_mon_nof_words,
-      g_mon_use_sync            => g_mesh_mon_use_sync
-    )
-    port map (
-      chip_id                => chip_id,
-
-      mm_rst                 => mm_rst,
-      mm_clk                 => mm_clk,
-      dp_rst                 => dp_rst,
-      dp_clk                 => dp_clk,
-      dp_sync                => dp_pps,
-      tr_clk                 => tr_mesh_clk,
-      cal_clk                => cal_clk,
-
-      -- User interface (4 nodes)(4 input streams)
-      tx_usr_siso_2arr       => mesh_tx_usr_siso_2arr,
-      tx_usr_sosi_2arr       => mesh_tx_usr_sosi_2arr,  -- Tx (user Rx from FN to BN is unused)
-
-      -- Serial mesh interface (tr_nonbonded)
-      tx_serial_2arr         => mesh_tx_serial_2arr,  -- Tx
-      rx_serial_2arr         => mesh_rx_serial_2arr,  -- Rx
-
-      -- MM Control
-      reg_tr_nonbonded_mosi  => reg_mesh_tr_nonbonded_mosi,
-      reg_tr_nonbonded_miso  => reg_mesh_tr_nonbonded_miso,
-
-      reg_diagnostics_mosi   => reg_mesh_diagnostics_mosi,
-      reg_diagnostics_miso   => reg_mesh_diagnostics_miso,
-
-      -- . diag_data_buffer
-      ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-      ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
-    );
+      generic map (
+        g_sim                     => g_sim,
+        g_sim_level               => g_sim_level,
+        -- System
+        g_node_type               => e_bn,
+        g_nof_bus                 => c_unb1_board_nof_fn,  -- 4 to 4 nodes in mesh
+        -- User
+        g_usr_use_complex         => true,
+        g_usr_data_w              => g_usr_data_w,
+        g_usr_frame_len           => g_usr_block_len,
+        g_usr_nof_streams         => c_mesh_usr_nof_input,
+        -- Phy
+        g_phy_nof_serial          => g_mesh_nof_serial,
+        g_phy_gx_mbps             => g_mesh_gx_mbps,
+        g_phy_rx_fifo_size        => c_bram_m9k_fifo_depth,
+        g_phy_ena_reorder         => g_mesh_ena_reorder,
+        -- Tx
+        g_use_tx                  => true,  -- user Tx must be TRUE for BG in BN,
+        g_tx_input_use_fifo       => true,  -- Tx input uses FIFO to create slack for inserting DP Packet and Uthernet frame headers
+        -- Rx
+        g_use_rx                  => g_mesh_use_rx,  -- optionally do support diag Rx
+        g_rx_output_use_fifo      => false,  -- no user Rx
+        -- Monitoring
+        g_mon_select              => g_mesh_mon_select,
+        g_mon_nof_words           => g_mesh_mon_nof_words,
+        g_mon_use_sync            => g_mesh_mon_use_sync
+      )
+      port map (
+        chip_id                => chip_id,
+
+        mm_rst                 => mm_rst,
+        mm_clk                 => mm_clk,
+        dp_rst                 => dp_rst,
+        dp_clk                 => dp_clk,
+        dp_sync                => dp_pps,
+        tr_clk                 => tr_mesh_clk,
+        cal_clk                => cal_clk,
+
+        -- User interface (4 nodes)(4 input streams)
+        tx_usr_siso_2arr       => mesh_tx_usr_siso_2arr,
+        tx_usr_sosi_2arr       => mesh_tx_usr_sosi_2arr,  -- Tx (user Rx from FN to BN is unused)
+
+        -- Serial mesh interface (tr_nonbonded)
+        tx_serial_2arr         => mesh_tx_serial_2arr,  -- Tx
+        rx_serial_2arr         => mesh_rx_serial_2arr,  -- Rx
+
+        -- MM Control
+        reg_tr_nonbonded_mosi  => reg_mesh_tr_nonbonded_mosi,
+        reg_tr_nonbonded_miso  => reg_mesh_tr_nonbonded_miso,
+
+        reg_diagnostics_mosi   => reg_mesh_diagnostics_mosi,
+        reg_diagnostics_miso   => reg_mesh_diagnostics_miso,
+
+        -- . diag_data_buffer
+        ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+        ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
+      );
 
   end generate;
 
diff --git a/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/unb1_bn_terminal_bg.vhd b/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/unb1_bn_terminal_bg.vhd
index 295d507e43..d3dc4e71ba 100644
--- a/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/unb1_bn_terminal_bg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_terminal_bg/src/vhdl/unb1_bn_terminal_bg.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity unb1_bn_terminal_bg is
   generic (
@@ -40,7 +40,7 @@ entity unb1_bn_terminal_bg is
     g_stamp_svn     : natural := 0  -- SVN revision
   );
   port (
-   -- GENERAL
+    -- GENERAL
     CLK          : in    std_logic;  -- System Clock
     PPS          : in    std_logic;  -- System Sync
     WDI          : out   std_logic;  -- Watchdog Clear
@@ -88,17 +88,17 @@ end unb1_bn_terminal_bg;
 architecture str of unb1_bn_terminal_bg is
 
   constant c_fw_version    : t_unb1_board_fw_version := (1, 0);  -- firmware version x.y
-    -- Use PHY Interface
-    -- TYPE t_c_unb_use_phy IS RECORD
-    --   eth1g   : NATURAL;
-    --   tr_front: NATURAL;
-    --   tr_mesh : NATURAL;
-    --   tr_back : NATURAL;
-    --   ddr3_I  : NATURAL;
-    --   ddr3_II : NATURAL;
-    --   adc     : NATURAL;
-    --   wdi     : NATURAL;
-    -- END RECORD;
+  -- Use PHY Interface
+  -- TYPE t_c_unb_use_phy IS RECORD
+  --   eth1g   : NATURAL;
+  --   tr_front: NATURAL;
+  --   tr_mesh : NATURAL;
+  --   tr_back : NATURAL;
+  --   ddr3_I  : NATURAL;
+  --   ddr3_II : NATURAL;
+  --   adc     : NATURAL;
+  --   wdi     : NATURAL;
+  -- END RECORD;
   constant c_use_phy                : t_c_unb1_board_use_phy := (1, 0, 1, 1, 0, 0, 0, 1);
   -- Transceivers Interface
   constant c_tr_mesh                : t_c_unb1_board_tr := c_unb1_board_tr_mesh;
@@ -149,7 +149,7 @@ architecture str of unb1_bn_terminal_bg is
   signal rom_unb_system_info_mosi   : t_mem_mosi;
   signal rom_unb_system_info_miso   : t_mem_miso;
 
- -- WDI override
+  -- WDI override
   signal reg_wdi_mosi               : t_mem_mosi;
   signal reg_wdi_miso               : t_mem_miso;
 
@@ -209,232 +209,232 @@ begin
   -----------------------------------------------------------------------------
 
   u_sopc : entity work.sopc_unb1_bn_terminal_bg
-  port map (
-    -- 1) global signals:
-    clk_0                                         => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
-    reset_n                                       => xo_rst_n,
-    mm_clk                                        => mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
-    cal_clk                                       => cal_clk,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
-    tse_clk                                       => eth1g_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
-
-    -- the_altpll_0
-    areset_to_the_altpll_0                        => '0',
-    locked_from_the_altpll_0                      => mm_locked,
-    phasedone_from_the_altpll_0                   => OPEN,
-
-    -- the_avs_eth_0
-    coe_clk_export_from_the_avs_eth_0             => OPEN,
-    coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-    coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-    coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-    coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-    coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-    coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-    coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-    coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-    coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-    coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-    coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-    coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-    coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-    coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-    coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-    coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-    coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-    coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-    -- the_reg_unb_sens
-    coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-    coe_clk_export_from_the_reg_unb_sens          => OPEN,
-    coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-    coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_reg_unb_sens        => OPEN,
-    coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-    coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diag_bg
-    coe_address_export_from_the_reg_diag_bg       => reg_diag_bg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-    coe_clk_export_from_the_reg_diag_bg           => OPEN,
-    coe_read_export_from_the_reg_diag_bg          => reg_diag_bg_mosi.rd,
-    coe_readdata_export_to_the_reg_diag_bg        => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_reg_diag_bg         => OPEN,
-    coe_write_export_from_the_reg_diag_bg         => reg_diag_bg_mosi.wr,
-    coe_writedata_export_from_the_reg_diag_bg     => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_diag_bg
-    coe_address_export_from_the_ram_diag_bg       => ram_diag_bg_mosi.address(c_ram_diag_bg_addr_w - 1 downto 0),
-    coe_clk_export_from_the_ram_diag_bg           => OPEN,
-    coe_read_export_from_the_ram_diag_bg          => ram_diag_bg_mosi.rd,
-    coe_readdata_export_to_the_ram_diag_bg        => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_ram_diag_bg         => OPEN,
-    coe_write_export_from_the_ram_diag_bg         => ram_diag_bg_mosi.wr,
-    coe_writedata_export_from_the_ram_diag_bg     => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_tr_nonbonded_mesh
-    coe_address_export_from_the_reg_tr_nonbonded_mesh   => reg_mesh_tr_nonbonded_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tr_nonbonded_adr_w - 1 downto 0),
-    coe_clk_export_from_the_reg_tr_nonbonded_mesh       => OPEN,
-    coe_read_export_from_the_reg_tr_nonbonded_mesh      => reg_mesh_tr_nonbonded_mosi.rd,
-    coe_readdata_export_to_the_reg_tr_nonbonded_mesh    => reg_mesh_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_reg_tr_nonbonded_mesh     => OPEN,
-    coe_write_export_from_the_reg_tr_nonbonded_mesh     => reg_mesh_tr_nonbonded_mosi.wr,
-    coe_writedata_export_from_the_reg_tr_nonbonded_mesh => reg_mesh_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diagnostics_mesh
-    coe_address_export_from_the_reg_diagnostics_mesh   => reg_mesh_diagnostics_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diagnostics_adr_w - 1 downto 0),
-    coe_clk_export_from_the_reg_diagnostics_mesh       => OPEN,
-    coe_read_export_from_the_reg_diagnostics_mesh      => reg_mesh_diagnostics_mosi.rd,
-    coe_readdata_export_to_the_reg_diagnostics_mesh    => reg_mesh_diagnostics_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_reg_diagnostics_mesh     => OPEN,
-    coe_write_export_from_the_reg_diagnostics_mesh     => reg_mesh_diagnostics_mosi.wr,
-    coe_writedata_export_from_the_reg_diagnostics_mesh => reg_mesh_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_ram_diag_data_buffer
-    coe_address_export_from_the_ram_diag_data_buffer   => ram_mesh_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
-    coe_clk_export_from_the_ram_diag_data_buffer       => OPEN,
-    coe_read_export_from_the_ram_diag_data_buffer      => ram_mesh_diag_data_buf_mosi.rd,
-    coe_readdata_export_to_the_ram_diag_data_buffer    => ram_mesh_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_ram_diag_data_buffer     => OPEN,
-    coe_write_export_from_the_ram_diag_data_buffer     => ram_mesh_diag_data_buf_mosi.wr,
-    coe_writedata_export_from_the_ram_diag_data_buffer => ram_mesh_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_tr_nonbonded_back
-    coe_address_export_from_the_reg_tr_nonbonded_back   => reg_back_tr_nonbonded_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tr_nonbonded_adr_w - 1 downto 0),
-    coe_clk_export_from_the_reg_tr_nonbonded_back       => OPEN,
-    coe_read_export_from_the_reg_tr_nonbonded_back      => reg_back_tr_nonbonded_mosi.rd,
-    coe_readdata_export_to_the_reg_tr_nonbonded_back    => reg_back_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_reg_tr_nonbonded_back     => OPEN,
-    coe_write_export_from_the_reg_tr_nonbonded_back     => reg_back_tr_nonbonded_mosi.wr,
-    coe_writedata_export_from_the_reg_tr_nonbonded_back => reg_back_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_reg_diagnostics_back
-    coe_address_export_from_the_reg_diagnostics_back   => reg_back_diagnostics_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diagnostics_adr_w - 1 downto 0),
-    coe_clk_export_from_the_reg_diagnostics_back       => OPEN,
-    coe_read_export_from_the_reg_diagnostics_back      => reg_back_diagnostics_mosi.rd,
-    coe_readdata_export_to_the_reg_diagnostics_back    => reg_back_diagnostics_miso.rddata(c_word_w - 1 downto 0),
-    coe_reset_export_from_the_reg_diagnostics_back     => OPEN,
-    coe_write_export_from_the_reg_diagnostics_back     => reg_back_diagnostics_mosi.wr,
-    coe_writedata_export_from_the_reg_diagnostics_back => reg_back_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_pio_debug_wave
-    out_port_from_the_pio_debug_wave            => pout_debug_wave,
-
-    -- the_pio_pps
-    in_port_to_the_pio_pps                      => pin_pps,
-
-    -- the_pio_system_info: actually a avs_common_mm instance
-    coe_clk_export_from_the_pio_system_info             => OPEN,
-    coe_reset_export_from_the_pio_system_info           => OPEN,
-    coe_address_export_from_the_pio_system_info         => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-    coe_read_export_from_the_pio_system_info            => reg_unb_system_info_mosi.rd,
-    coe_readdata_export_to_the_pio_system_info          => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wr,
-    coe_writedata_export_from_the_pio_system_info       => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_rom_system_info
-    coe_clk_export_from_the_rom_system_info             => OPEN,
-    coe_reset_export_from_the_rom_system_info           => OPEN,
-    coe_address_export_from_the_rom_system_info         => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-    coe_read_export_from_the_rom_system_info            => rom_unb_system_info_mosi.rd,
-    coe_readdata_export_to_the_rom_system_info          => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wr,
-    coe_writedata_export_from_the_rom_system_info       => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-    -- the_pio_wdi
-    out_port_from_the_pio_wdi                   => pout_wdi,
-
-    -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-    coe_clk_export_from_the_reg_wdi               => OPEN,
-    coe_reset_export_from_the_reg_wdi             => OPEN,
-    coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
-    coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-    coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-    coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-    coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
-  );
+    port map (
+      -- 1) global signals:
+      clk_0                                         => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
+      reset_n                                       => xo_rst_n,
+      mm_clk                                        => mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
+      cal_clk                                       => cal_clk,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
+      tse_clk                                       => eth1g_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
+
+      -- the_altpll_0
+      areset_to_the_altpll_0                        => '0',
+      locked_from_the_altpll_0                      => mm_locked,
+      phasedone_from_the_altpll_0                   => OPEN,
+
+      -- the_avs_eth_0
+      coe_clk_export_from_the_avs_eth_0             => OPEN,
+      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+      -- the_reg_unb_sens
+      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+      coe_clk_export_from_the_reg_unb_sens          => OPEN,
+      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_reg_unb_sens        => OPEN,
+      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diag_bg
+      coe_address_export_from_the_reg_diag_bg       => reg_diag_bg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+      coe_clk_export_from_the_reg_diag_bg           => OPEN,
+      coe_read_export_from_the_reg_diag_bg          => reg_diag_bg_mosi.rd,
+      coe_readdata_export_to_the_reg_diag_bg        => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_reg_diag_bg         => OPEN,
+      coe_write_export_from_the_reg_diag_bg         => reg_diag_bg_mosi.wr,
+      coe_writedata_export_from_the_reg_diag_bg     => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_diag_bg
+      coe_address_export_from_the_ram_diag_bg       => ram_diag_bg_mosi.address(c_ram_diag_bg_addr_w - 1 downto 0),
+      coe_clk_export_from_the_ram_diag_bg           => OPEN,
+      coe_read_export_from_the_ram_diag_bg          => ram_diag_bg_mosi.rd,
+      coe_readdata_export_to_the_ram_diag_bg        => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_ram_diag_bg         => OPEN,
+      coe_write_export_from_the_ram_diag_bg         => ram_diag_bg_mosi.wr,
+      coe_writedata_export_from_the_ram_diag_bg     => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_tr_nonbonded_mesh
+      coe_address_export_from_the_reg_tr_nonbonded_mesh   => reg_mesh_tr_nonbonded_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tr_nonbonded_adr_w - 1 downto 0),
+      coe_clk_export_from_the_reg_tr_nonbonded_mesh       => OPEN,
+      coe_read_export_from_the_reg_tr_nonbonded_mesh      => reg_mesh_tr_nonbonded_mosi.rd,
+      coe_readdata_export_to_the_reg_tr_nonbonded_mesh    => reg_mesh_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_reg_tr_nonbonded_mesh     => OPEN,
+      coe_write_export_from_the_reg_tr_nonbonded_mesh     => reg_mesh_tr_nonbonded_mosi.wr,
+      coe_writedata_export_from_the_reg_tr_nonbonded_mesh => reg_mesh_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diagnostics_mesh
+      coe_address_export_from_the_reg_diagnostics_mesh   => reg_mesh_diagnostics_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diagnostics_adr_w - 1 downto 0),
+      coe_clk_export_from_the_reg_diagnostics_mesh       => OPEN,
+      coe_read_export_from_the_reg_diagnostics_mesh      => reg_mesh_diagnostics_mosi.rd,
+      coe_readdata_export_to_the_reg_diagnostics_mesh    => reg_mesh_diagnostics_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_reg_diagnostics_mesh     => OPEN,
+      coe_write_export_from_the_reg_diagnostics_mesh     => reg_mesh_diagnostics_mosi.wr,
+      coe_writedata_export_from_the_reg_diagnostics_mesh => reg_mesh_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_ram_diag_data_buffer
+      coe_address_export_from_the_ram_diag_data_buffer   => ram_mesh_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
+      coe_clk_export_from_the_ram_diag_data_buffer       => OPEN,
+      coe_read_export_from_the_ram_diag_data_buffer      => ram_mesh_diag_data_buf_mosi.rd,
+      coe_readdata_export_to_the_ram_diag_data_buffer    => ram_mesh_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_ram_diag_data_buffer     => OPEN,
+      coe_write_export_from_the_ram_diag_data_buffer     => ram_mesh_diag_data_buf_mosi.wr,
+      coe_writedata_export_from_the_ram_diag_data_buffer => ram_mesh_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_tr_nonbonded_back
+      coe_address_export_from_the_reg_tr_nonbonded_back   => reg_back_tr_nonbonded_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tr_nonbonded_adr_w - 1 downto 0),
+      coe_clk_export_from_the_reg_tr_nonbonded_back       => OPEN,
+      coe_read_export_from_the_reg_tr_nonbonded_back      => reg_back_tr_nonbonded_mosi.rd,
+      coe_readdata_export_to_the_reg_tr_nonbonded_back    => reg_back_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_reg_tr_nonbonded_back     => OPEN,
+      coe_write_export_from_the_reg_tr_nonbonded_back     => reg_back_tr_nonbonded_mosi.wr,
+      coe_writedata_export_from_the_reg_tr_nonbonded_back => reg_back_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_reg_diagnostics_back
+      coe_address_export_from_the_reg_diagnostics_back   => reg_back_diagnostics_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diagnostics_adr_w - 1 downto 0),
+      coe_clk_export_from_the_reg_diagnostics_back       => OPEN,
+      coe_read_export_from_the_reg_diagnostics_back      => reg_back_diagnostics_mosi.rd,
+      coe_readdata_export_to_the_reg_diagnostics_back    => reg_back_diagnostics_miso.rddata(c_word_w - 1 downto 0),
+      coe_reset_export_from_the_reg_diagnostics_back     => OPEN,
+      coe_write_export_from_the_reg_diagnostics_back     => reg_back_diagnostics_mosi.wr,
+      coe_writedata_export_from_the_reg_diagnostics_back => reg_back_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_pio_debug_wave
+      out_port_from_the_pio_debug_wave            => pout_debug_wave,
+
+      -- the_pio_pps
+      in_port_to_the_pio_pps                      => pin_pps,
+
+      -- the_pio_system_info: actually a avs_common_mm instance
+      coe_clk_export_from_the_pio_system_info             => OPEN,
+      coe_reset_export_from_the_pio_system_info           => OPEN,
+      coe_address_export_from_the_pio_system_info         => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+      coe_read_export_from_the_pio_system_info            => reg_unb_system_info_mosi.rd,
+      coe_readdata_export_to_the_pio_system_info          => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wr,
+      coe_writedata_export_from_the_pio_system_info       => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_rom_system_info
+      coe_clk_export_from_the_rom_system_info             => OPEN,
+      coe_reset_export_from_the_rom_system_info           => OPEN,
+      coe_address_export_from_the_rom_system_info         => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+      coe_read_export_from_the_rom_system_info            => rom_unb_system_info_mosi.rd,
+      coe_readdata_export_to_the_rom_system_info          => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wr,
+      coe_writedata_export_from_the_rom_system_info       => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+      -- the_pio_wdi
+      out_port_from_the_pio_wdi                   => pout_wdi,
+
+      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+      coe_clk_export_from_the_reg_wdi               => OPEN,
+      coe_reset_export_from_the_reg_wdi             => OPEN,
+      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
+      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim           => g_sim,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_stamp_svn     => g_stamp_svn,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy       => c_use_phy,
-    g_aux           => c_aux
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => this_bck_id,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_stamp_svn     => g_stamp_svn,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy       => c_use_phy,
+      g_aux           => c_aux
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => this_bck_id,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
 
 
@@ -443,72 +443,72 @@ begin
   -----------------------------------------------------------------------------
 
   u_node_bn_terminal_bg : entity work.node_unb1_bn_terminal_bg
-  generic map(
-    g_sim                     => g_sim,
-    -- Application interface
-    g_use_bg                  => true,
-    g_usr_nof_streams         => c_usr_nof_streams,
-    g_usr_block_len           => c_usr_block_len,
-    -- Terminals interface
-    g_use_mesh                => c_use_mesh,
-    g_use_back                => g_rev_multi_unb,
-    g_mesh_nof_serial         => c_mesh_nof_serial,
-    g_mesh_use_rx             => c_mesh_use_rx,
-    g_mesh_gx_mbps            => c_mesh_gx_mbps,
-    g_mesh_mon_select         => c_mesh_mon_select,
-    g_mesh_mon_nof_words      => c_mesh_mon_nof_words,
-    g_mesh_mon_use_sync       => c_mesh_mon_use_sync,
-    g_mesh_ena_reorder        => true,
-    -- Auxiliary Interface
-    g_aux                     => c_aux
-  )
-  port map(
-    -- System
-    mm_rst                 => mm_rst,
-    mm_clk                 => mm_clk,
-    dp_rst                 => dp_rst,
-    dp_clk                 => dp_clk,
-    dp_pps                 => dp_pps,
-    tr_mesh_clk            => SB_CLK,
-    tr_back_clk            => SA_CLK,
-    cal_clk                => cal_clk,
-
-    chip_id                => this_chip_id,
-    bck_id                 => this_bck_id,
-
-    in_sosi_arr            => in_sosi_arr,
-    in_siso_arr            => in_siso_arr,
-
-    -- MM interface
-    -- . block generator
-    reg_diag_bg_mosi       => reg_diag_bg_mosi,
-    reg_diag_bg_miso       => reg_diag_bg_miso,
-    ram_diag_bg_mosi       => ram_diag_bg_mosi,
-    ram_diag_bg_miso       => ram_diag_bg_miso,
-    -- . tr_nonbonded mesh
-    reg_mesh_tr_nonbonded_mosi  => reg_mesh_tr_nonbonded_mosi,
-    reg_mesh_tr_nonbonded_miso  => reg_mesh_tr_nonbonded_miso,
-    reg_mesh_diagnostics_mosi   => reg_mesh_diagnostics_mosi,
-    reg_mesh_diagnostics_miso   => reg_mesh_diagnostics_miso,
-
-    -- . tr_nonbonded back
-    reg_back_tr_nonbonded_mosi  => reg_back_tr_nonbonded_mosi,
-    reg_back_tr_nonbonded_miso  => reg_back_tr_nonbonded_miso,
-    reg_back_diagnostics_mosi   => reg_back_diagnostics_mosi,
-    reg_back_diagnostics_miso   => reg_back_diagnostics_miso,
-
-    -- . diag_data_buffer mesh
-    ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-    ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
-
-    -- Mesh interface
-    mesh_tx_serial_2arr         => mesh_tx_serial_2arr,
-    mesh_rx_serial_2arr         => mesh_rx_serial_2arr,
-
-    -- Back interface
-    back_tx_serial_2arr         => back_tx_serial_2arr,
-    back_rx_serial_2arr         => back_rx_serial_2arr
-  );
+    generic map(
+      g_sim                     => g_sim,
+      -- Application interface
+      g_use_bg                  => true,
+      g_usr_nof_streams         => c_usr_nof_streams,
+      g_usr_block_len           => c_usr_block_len,
+      -- Terminals interface
+      g_use_mesh                => c_use_mesh,
+      g_use_back                => g_rev_multi_unb,
+      g_mesh_nof_serial         => c_mesh_nof_serial,
+      g_mesh_use_rx             => c_mesh_use_rx,
+      g_mesh_gx_mbps            => c_mesh_gx_mbps,
+      g_mesh_mon_select         => c_mesh_mon_select,
+      g_mesh_mon_nof_words      => c_mesh_mon_nof_words,
+      g_mesh_mon_use_sync       => c_mesh_mon_use_sync,
+      g_mesh_ena_reorder        => true,
+      -- Auxiliary Interface
+      g_aux                     => c_aux
+    )
+    port map(
+      -- System
+      mm_rst                 => mm_rst,
+      mm_clk                 => mm_clk,
+      dp_rst                 => dp_rst,
+      dp_clk                 => dp_clk,
+      dp_pps                 => dp_pps,
+      tr_mesh_clk            => SB_CLK,
+      tr_back_clk            => SA_CLK,
+      cal_clk                => cal_clk,
+
+      chip_id                => this_chip_id,
+      bck_id                 => this_bck_id,
+
+      in_sosi_arr            => in_sosi_arr,
+      in_siso_arr            => in_siso_arr,
+
+      -- MM interface
+      -- . block generator
+      reg_diag_bg_mosi       => reg_diag_bg_mosi,
+      reg_diag_bg_miso       => reg_diag_bg_miso,
+      ram_diag_bg_mosi       => ram_diag_bg_mosi,
+      ram_diag_bg_miso       => ram_diag_bg_miso,
+      -- . tr_nonbonded mesh
+      reg_mesh_tr_nonbonded_mosi  => reg_mesh_tr_nonbonded_mosi,
+      reg_mesh_tr_nonbonded_miso  => reg_mesh_tr_nonbonded_miso,
+      reg_mesh_diagnostics_mosi   => reg_mesh_diagnostics_mosi,
+      reg_mesh_diagnostics_miso   => reg_mesh_diagnostics_miso,
+
+      -- . tr_nonbonded back
+      reg_back_tr_nonbonded_mosi  => reg_back_tr_nonbonded_mosi,
+      reg_back_tr_nonbonded_miso  => reg_back_tr_nonbonded_miso,
+      reg_back_diagnostics_mosi   => reg_back_diagnostics_mosi,
+      reg_back_diagnostics_miso   => reg_back_diagnostics_miso,
+
+      -- . diag_data_buffer mesh
+      ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+      ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
+
+      -- Mesh interface
+      mesh_tx_serial_2arr         => mesh_tx_serial_2arr,
+      mesh_rx_serial_2arr         => mesh_rx_serial_2arr,
+
+      -- Back interface
+      back_tx_serial_2arr         => back_tx_serial_2arr,
+      back_rx_serial_2arr         => back_rx_serial_2arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -521,42 +521,42 @@ begin
 
   gen_tr_mesh : if c_use_phy.tr_mesh /= 0 generate
     u_mesh_io : entity unb1_board_lib.unb1_board_mesh_io
-    generic map (
-      g_bus_w => c_tr_mesh.bus_w
-    )
-    port map (
-      tx_serial_2arr => mesh_tx_serial_2arr,
-      rx_serial_2arr => mesh_rx_serial_2arr,
-
-      -- Serial I/O
-      FN_BN_0_TX     => FN_BN_0_TX,
-      FN_BN_0_RX     => FN_BN_0_RX,
-      FN_BN_1_TX     => FN_BN_1_TX,
-      FN_BN_1_RX     => FN_BN_1_RX,
-      FN_BN_2_TX     => FN_BN_2_TX,
-      FN_BN_2_RX     => FN_BN_2_RX,
-      FN_BN_3_TX     => FN_BN_3_TX,
-      FN_BN_3_RX     => FN_BN_3_RX
-    );
+      generic map (
+        g_bus_w => c_tr_mesh.bus_w
+      )
+      port map (
+        tx_serial_2arr => mesh_tx_serial_2arr,
+        rx_serial_2arr => mesh_rx_serial_2arr,
+
+        -- Serial I/O
+        FN_BN_0_TX     => FN_BN_0_TX,
+        FN_BN_0_RX     => FN_BN_0_RX,
+        FN_BN_1_TX     => FN_BN_1_TX,
+        FN_BN_1_RX     => FN_BN_1_RX,
+        FN_BN_2_TX     => FN_BN_2_TX,
+        FN_BN_2_RX     => FN_BN_2_RX,
+        FN_BN_3_TX     => FN_BN_3_TX,
+        FN_BN_3_RX     => FN_BN_3_RX
+      );
   end generate;
 
   gen_tr_back : if c_use_phy.tr_back /= 0 generate
     u_back_io : entity unb1_board_lib.unb1_board_back_io
-    generic map (
-      g_bus_w => c_tr_back.bus_w
-    )
-    port map (
-      tx_serial_2arr => back_tx_serial_2arr,
-      rx_serial_2arr => back_rx_serial_2arr,
-
-      -- Serial I/O
-      BN_BI_0_TX     => BN_BI_0_TX,
-      BN_BI_0_RX     => BN_BI_0_RX,
-      BN_BI_1_TX     => BN_BI_1_TX,
-      BN_BI_1_RX     => BN_BI_1_RX,
-      BN_BI_2_TX     => BN_BI_2_TX,
-      BN_BI_2_RX     => BN_BI_2_RX
-    );
+      generic map (
+        g_bus_w => c_tr_back.bus_w
+      )
+      port map (
+        tx_serial_2arr => back_tx_serial_2arr,
+        rx_serial_2arr => back_rx_serial_2arr,
+
+        -- Serial I/O
+        BN_BI_0_TX     => BN_BI_0_TX,
+        BN_BI_0_RX     => BN_BI_0_RX,
+        BN_BI_1_TX     => BN_BI_1_TX,
+        BN_BI_1_RX     => BN_BI_1_RX,
+        BN_BI_2_TX     => BN_BI_2_TX,
+        BN_BI_2_RX     => BN_BI_2_RX
+      );
   end generate;
 
 
diff --git a/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd b/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd
index fc2e86fa11..ccfac622e9 100644
--- a/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd
@@ -28,17 +28,17 @@
 --   behaviour in the Wave window.
 
 library IEEE, common_lib, dp_lib, diag_lib, bf_lib, unb1_board_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use bf_lib.bf_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use diagnostics_lib.tb_diagnostics_trnb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use bf_lib.bf_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use diagnostics_lib.tb_diagnostics_trnb_pkg.all;
 
 entity tb_node_unb1_bn_terminal_bg is
   generic (
@@ -218,27 +218,27 @@ begin
   -- GENERATE BLOCK GENERATOR FOR STIMULI ON SOSI PORT
   ---------------------------------------------------------------
   u_block_generator : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams      => g_bf.nof_input_streams,
-    g_buf_dat_w        => c_nof_complex * g_bf.in_dat_w,
-    g_buf_addr_w       => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr   => g_bg_data_file_index_arr,
-    g_file_name_prefix => g_bg_data_file_name
-  )
-  port map(
-   -- Clocks and Reset
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => '1',
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => open,
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => open,
-    out_siso_arr     => in_siso_arr,
-    out_sosi_arr     => in_sosi_arr
-  );
+    generic map(
+      g_nof_streams      => g_bf.nof_input_streams,
+      g_buf_dat_w        => c_nof_complex * g_bf.in_dat_w,
+      g_buf_addr_w       => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr   => g_bg_data_file_index_arr,
+      g_file_name_prefix => g_bg_data_file_name
+    )
+    port map(
+      -- Clocks and Reset
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => '1',
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => open,
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => open,
+      out_siso_arr     => in_siso_arr,
+      out_sosi_arr     => in_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Stimuli for TRNB diagnostics
@@ -282,75 +282,75 @@ begin
   ------------------------------------------------------------------------------
 
   u_dut : entity work.node_unb1_bn_terminal_bg
-  generic map (
-    g_sim                     => c_sim,
-    g_sim_level               => g_sim_level,
-    -- Application interface
-    g_use_bg                  => g_use_bg,
---    g_bg_data_file_index_arr  => g_bg_data_file_index_arr,
---    g_bg_data_file_prefix     => g_bg_data_file_name,
-    g_use_back                => g_use_back,
-
-    -- Terminals interface
-    g_mesh_nof_serial         => g_mesh_nof_serial,
-    g_mesh_use_rx             => g_mesh_use_rx,
-    g_mesh_gx_mbps            => g_mesh_gx_mbps,
-    g_mesh_mon_select         => g_mesh_mon_select,
-    g_mesh_mon_nof_words      => g_mesh_mon_nof_words,
-    g_mesh_mon_use_sync       => g_mesh_mon_use_sync,
-    g_mesh_ena_reorder        => g_mesh_ena_reorder,
-    -- Auxiliary Interface
-    g_aux                     => c_unb1_board_aux
-  )
-  port map (
-    -- System
-    chip_id                     => TO_UVEC(g_chip_id, c_unb1_board_nof_chip_w),  -- BN chip ID 4, 5, 6, 7
-    bck_id                      => TO_UVEC(g_bck_id, c_unb1_board_nof_uniboard_w),  -- Backplane ID 0,1,2,3
-
-    mm_rst                      => mm_rst,
-    mm_clk                      => mm_clk,
-    dp_rst                      => dp_rst,
-    dp_clk                      => dp_clk,
-    dp_pps                      => dp_pps,
-    tr_mesh_clk                 => tr_clk,
-    tr_back_clk                 => tr_clk,
-    cal_clk                     => cal_clk,
-
-    -- Streaming data input
-    in_sosi_arr                 => in_sosi_arr,
-    in_siso_arr                 => in_siso_arr,
-
-    -- MM registers
-    -- . block generator
-    reg_diag_bg_mosi            => reg_diag_bg_mosi,
-    reg_diag_bg_miso            => reg_diag_bg_miso,
-    ram_diag_bg_mosi            => ram_diag_bg_mosi,
-    ram_diag_bg_miso            => ram_diag_bg_miso,
-    -- . tr_nonbonded
-    reg_mesh_tr_nonbonded_mosi  => reg_mesh_tr_nonbonded_mosi,
-    reg_mesh_tr_nonbonded_miso  => reg_mesh_tr_nonbonded_miso,
-    reg_mesh_diagnostics_mosi   => reg_mesh_diagnostics_mosi,
-    reg_mesh_diagnostics_miso   => reg_mesh_diagnostics_miso,
-
-    reg_back_tr_nonbonded_mosi  => reg_back_tr_nonbonded_mosi,
-    reg_back_tr_nonbonded_miso  => reg_back_tr_nonbonded_miso,
-    reg_back_diagnostics_mosi   => reg_back_diagnostics_mosi,
-    reg_back_diagnostics_miso   => reg_back_diagnostics_miso,
-
-    -- . rx terminals monitor buffers
-    ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-    ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
-
-    -- Mesh interface level
-    -- . Serial (tr_nonbonded)
-    mesh_tx_serial_2arr         => mesh_tx_serial_2arr,
-    mesh_rx_serial_2arr         => mesh_rx_serial_2arr,
-
-    -- Back interface level
-    -- . Serial (tr_nonbonded)
-    back_tx_serial_2arr         => back_tx_serial_2arr,
-    back_rx_serial_2arr         => back_rx_serial_2arr
-  );
+    generic map (
+      g_sim                     => c_sim,
+      g_sim_level               => g_sim_level,
+      -- Application interface
+      g_use_bg                  => g_use_bg,
+      --    g_bg_data_file_index_arr  => g_bg_data_file_index_arr,
+      --    g_bg_data_file_prefix     => g_bg_data_file_name,
+      g_use_back                => g_use_back,
+
+      -- Terminals interface
+      g_mesh_nof_serial         => g_mesh_nof_serial,
+      g_mesh_use_rx             => g_mesh_use_rx,
+      g_mesh_gx_mbps            => g_mesh_gx_mbps,
+      g_mesh_mon_select         => g_mesh_mon_select,
+      g_mesh_mon_nof_words      => g_mesh_mon_nof_words,
+      g_mesh_mon_use_sync       => g_mesh_mon_use_sync,
+      g_mesh_ena_reorder        => g_mesh_ena_reorder,
+      -- Auxiliary Interface
+      g_aux                     => c_unb1_board_aux
+    )
+    port map (
+      -- System
+      chip_id                     => TO_UVEC(g_chip_id, c_unb1_board_nof_chip_w),  -- BN chip ID 4, 5, 6, 7
+      bck_id                      => TO_UVEC(g_bck_id, c_unb1_board_nof_uniboard_w),  -- Backplane ID 0,1,2,3
+
+      mm_rst                      => mm_rst,
+      mm_clk                      => mm_clk,
+      dp_rst                      => dp_rst,
+      dp_clk                      => dp_clk,
+      dp_pps                      => dp_pps,
+      tr_mesh_clk                 => tr_clk,
+      tr_back_clk                 => tr_clk,
+      cal_clk                     => cal_clk,
+
+      -- Streaming data input
+      in_sosi_arr                 => in_sosi_arr,
+      in_siso_arr                 => in_siso_arr,
+
+      -- MM registers
+      -- . block generator
+      reg_diag_bg_mosi            => reg_diag_bg_mosi,
+      reg_diag_bg_miso            => reg_diag_bg_miso,
+      ram_diag_bg_mosi            => ram_diag_bg_mosi,
+      ram_diag_bg_miso            => ram_diag_bg_miso,
+      -- . tr_nonbonded
+      reg_mesh_tr_nonbonded_mosi  => reg_mesh_tr_nonbonded_mosi,
+      reg_mesh_tr_nonbonded_miso  => reg_mesh_tr_nonbonded_miso,
+      reg_mesh_diagnostics_mosi   => reg_mesh_diagnostics_mosi,
+      reg_mesh_diagnostics_miso   => reg_mesh_diagnostics_miso,
+
+      reg_back_tr_nonbonded_mosi  => reg_back_tr_nonbonded_mosi,
+      reg_back_tr_nonbonded_miso  => reg_back_tr_nonbonded_miso,
+      reg_back_diagnostics_mosi   => reg_back_diagnostics_mosi,
+      reg_back_diagnostics_miso   => reg_back_diagnostics_miso,
+
+      -- . rx terminals monitor buffers
+      ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+      ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
+
+      -- Mesh interface level
+      -- . Serial (tr_nonbonded)
+      mesh_tx_serial_2arr         => mesh_tx_serial_2arr,
+      mesh_rx_serial_2arr         => mesh_rx_serial_2arr,
+
+      -- Back interface level
+      -- . Serial (tr_nonbonded)
+      back_tx_serial_2arr         => back_tx_serial_2arr,
+      back_rx_serial_2arr         => back_rx_serial_2arr
+    );
 
 end tb;
 
diff --git a/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_tb_node_unb1_bn_terminal_bg.vhd b/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_tb_node_unb1_bn_terminal_bg.vhd
index 5c5218d842..72e5e41c73 100644
--- a/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_tb_node_unb1_bn_terminal_bg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_tb_node_unb1_bn_terminal_bg.vhd
@@ -33,12 +33,12 @@
 
 
 library IEEE, common_lib, dp_lib, bf_lib, unb_common_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use bf_lib.bf_pkg.all;
-use unb_common_lib.unb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use bf_lib.bf_pkg.all;
+  use unb_common_lib.unb_common_pkg.all;
 
 entity tb_tb_node_bn_terminal_bg is
   generic (
@@ -67,33 +67,33 @@ architecture tb of tb_tb_node_bn_terminal_bg is
 begin
 
   u_tb_node_bn_terminal_bg : entity work.tb_node_bn_terminal_bg
-  generic map (
-    -- Tb
-    g_sim_level               => 1,  -- 0 = simulate GX IP, 1 = use fast serial behavioural model
-    g_nof_sync                => g_nof_sync,
-    g_chip_id                 => 4,
-    -- Application
-    g_bf                      => c_bf,
-    g_bg_data_file_index_arr  => array_init(0, 16, 1),
-    g_bg_data_file_name       => "../../../../../../modules/Lofar/diag/src/data/bf_in_data",
-    -- Diagnostics - TRNB
-    g_diagnostics_en          => g_diagnostics_en,
-    -- Terminals interface
-    g_mesh_nof_serial         => c_mesh_nof_serial,
-    g_mesh_use_rx             => c_mesh_use_rx,
-    g_mesh_ena_reorder        => c_mesh_ena_reorder
-  )
-  port map (
-    tb_clk         => tb_clk,
-    tb_end         => tb_end,
-
-    -- Timing
-    dp_pps         => dp_pps,
-
-    -- Serial (tr_nonbonded)
-    mesh_tx_serial_2arr => mesh_tx_serial_2arr,
-    mesh_rx_serial_2arr => mesh_rx_serial_2arr
-  );
+    generic map (
+      -- Tb
+      g_sim_level               => 1,  -- 0 = simulate GX IP, 1 = use fast serial behavioural model
+      g_nof_sync                => g_nof_sync,
+      g_chip_id                 => 4,
+      -- Application
+      g_bf                      => c_bf,
+      g_bg_data_file_index_arr  => array_init(0, 16, 1),
+      g_bg_data_file_name       => "../../../../../../modules/Lofar/diag/src/data/bf_in_data",
+      -- Diagnostics - TRNB
+      g_diagnostics_en          => g_diagnostics_en,
+      -- Terminals interface
+      g_mesh_nof_serial         => c_mesh_nof_serial,
+      g_mesh_use_rx             => c_mesh_use_rx,
+      g_mesh_ena_reorder        => c_mesh_ena_reorder
+    )
+    port map (
+      tb_clk         => tb_clk,
+      tb_end         => tb_end,
+
+      -- Timing
+      dp_pps         => dp_pps,
+
+      -- Serial (tr_nonbonded)
+      mesh_tx_serial_2arr => mesh_tx_serial_2arr,
+      mesh_rx_serial_2arr => mesh_rx_serial_2arr
+    );
 
   ------------------------------------------------------------------------------
   -- External PPS
diff --git a/boards/uniboard1/designs/unb1_ddr3/src/vhdl/mmm_unb1_ddr3.vhd b/boards/uniboard1/designs/unb1_ddr3/src/vhdl/mmm_unb1_ddr3.vhd
index db070b1f80..a7627ae41c 100644
--- a/boards/uniboard1/designs/unb1_ddr3/src/vhdl/mmm_unb1_ddr3.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3/src/vhdl/mmm_unb1_ddr3.vhd
@@ -20,19 +20,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, dp_lib, eth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity mmm_unb1_ddr3 is
   generic (
@@ -173,40 +173,40 @@ begin
     i_cal_clk <= not i_cal_clk after c_cal_clk_period / 2;
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_io_ddr          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR")
-                                               port map(mm_rst, i_mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso );
+      port map(mm_rst, i_mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso );
 
     u_mm_file_reg_diag_data_buf   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF")
-                                               port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso );
 
     u_mm_file_ram_diag_data_buf   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF")
-                                               port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso );
+      port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso );
 
     u_mm_file_reg_diag_bg_ctrl    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_CTRL")
-                                               port map(mm_rst, i_mm_clk, reg_diag_bg_ctrl_mosi, reg_diag_bg_ctrl_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_bg_ctrl_mosi, reg_diag_bg_ctrl_miso );
 
     u_mm_file_ram_diag_bg_data    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_DATA")
-                                               port map(mm_rst, i_mm_clk, ram_diag_bg_data_mosi, ram_diag_bg_data_miso );
+      port map(mm_rst, i_mm_clk, ram_diag_bg_data_mosi, ram_diag_bg_data_miso );
 
     u_mm_file_reg_diag_tx_seq     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ")
-                                               port map(mm_rst, i_mm_clk, reg_diag_tx_seq_mosi, reg_diag_tx_seq_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_tx_seq_mosi, reg_diag_tx_seq_miso );
 
     u_mm_file_reg_diag_rx_seq     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ")
-                                               port map(mm_rst, i_mm_clk, reg_diag_rx_seq_mosi, reg_diag_rx_seq_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_rx_seq_mosi, reg_diag_rx_seq_miso );
 
 
     ----------------------------------------------------------------------------
@@ -250,153 +250,153 @@ begin
   ----------------------------------------------------------------------------
   gen_sopc : if g_sim = false generate
     u_sopc : entity work.sopc_unb1_ddr3
-    port map (
-      clk_0                                          => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
-      reset_n                                        => xo_rst_n,
-      mm_clk                                         => i_mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
-      cal_clk                                        => i_cal_clk,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
-      tse_clk                                        => i_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
-
-      -- the_altpll_0
-      areset_to_the_altpll_0                         => '0',
-      locked_from_the_altpll_0                       => mm_locked,
-      phasedone_from_the_altpll_0                    => OPEN,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0              => OPEN,
-      coe_reset_export_from_the_avs_eth_0            => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0      => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0        => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0    => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0         => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0       => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0    => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0      => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0        => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0    => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0         => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0       => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0                => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0      => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0        => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0    => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0         => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0       => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens           => OPEN,
-      coe_reset_export_from_the_reg_unb_sens         => OPEN,
-      coe_address_export_from_the_reg_unb_sens       => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens          => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens        => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens     => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave               => pout_debug_wave,
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps                => OPEN,
-      coe_reset_export_from_the_pio_pps              => OPEN,
-      coe_address_export_from_the_pio_pps            => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps               => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps             => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps              => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps          => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info        => OPEN,
-      coe_reset_export_from_the_pio_system_info      => OPEN,
-      coe_address_export_from_the_pio_system_info    => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info       => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info     => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info      => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info  => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info        => OPEN,
-      coe_reset_export_from_the_rom_system_info      => OPEN,
-      coe_address_export_from_the_rom_system_info    => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info       => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info     => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info      => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info  => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi
-      out_port_from_the_pio_wdi                      => pout_wdi,
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi                => OPEN,
-      coe_reset_export_from_the_reg_wdi              => OPEN,
-      coe_address_export_from_the_reg_wdi            => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi               => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi             => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi              => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi          => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_io_ddr
-      coe_clk_export_from_the_reg_io_ddr             => OPEN,
-      coe_reset_export_from_the_reg_io_ddr           => OPEN,
-      coe_address_export_from_the_reg_io_ddr         => reg_io_ddr_mosi.address(c_mm_reg_io_ddr_addr_w - 1 downto 0),
-      coe_read_export_from_the_reg_io_ddr            => reg_io_ddr_mosi.rd,
-      coe_readdata_export_to_the_reg_io_ddr          => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_io_ddr           => reg_io_ddr_mosi.wr,
-      coe_writedata_export_from_the_reg_io_ddr       => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_bg_data
-      coe_clk_export_from_the_ram_diag_bg_data       => OPEN,
-      coe_reset_export_from_the_ram_diag_bg_data     => OPEN,
-      coe_address_export_from_the_ram_diag_bg_data   => ram_diag_bg_data_mosi.address(c_mm_ram_diag_bg_data_addr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_bg_data      => ram_diag_bg_data_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_bg_data    => ram_diag_bg_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_bg_data     => ram_diag_bg_data_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_bg_data => ram_diag_bg_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buf
-      coe_clk_export_from_the_ram_diag_data_buf       => OPEN,
-      coe_reset_export_from_the_ram_diag_data_buf     => OPEN,
-      coe_address_export_from_the_ram_diag_data_buf   => ram_diag_data_buf_mosi.address(c_mm_ram_diag_data_buf_addr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_data_buf      => ram_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buf    => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_data_buf     => ram_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buf => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_bg_ctrl
-      coe_clk_export_from_the_reg_diag_bg_ctrl       => OPEN,
-      coe_reset_export_from_the_reg_diag_bg_ctrl     => OPEN,
-      coe_address_export_from_the_reg_diag_bg_ctrl   => reg_diag_bg_ctrl_mosi.address(c_mm_reg_diag_bg_ctrl_addr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_bg_ctrl      => reg_diag_bg_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_bg_ctrl    => reg_diag_bg_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_bg_ctrl     => reg_diag_bg_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_bg_ctrl => reg_diag_bg_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buf
-      coe_clk_export_from_the_reg_diag_data_buf       => OPEN,
-      coe_reset_export_from_the_reg_diag_data_buf     => OPEN,
-      coe_address_export_from_the_reg_diag_data_buf   => reg_diag_data_buf_mosi.address(c_mm_reg_diag_data_buf_addr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_data_buf      => reg_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_data_buf    => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_data_buf     => reg_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_data_buf => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_rx_seq
-      coe_clk_export_from_the_reg_diag_rx_seq       => OPEN,
-      coe_reset_export_from_the_reg_diag_rx_seq     => OPEN,
-      coe_address_export_from_the_reg_diag_rx_seq   => reg_diag_rx_seq_mosi.address(c_mm_reg_diag_rx_seq_addr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_rx_seq      => reg_diag_rx_seq_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_rx_seq    => reg_diag_rx_seq_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_rx_seq     => reg_diag_rx_seq_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_rx_seq => reg_diag_rx_seq_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_tx_seq
-      coe_clk_export_from_the_reg_diag_tx_seq       => OPEN,
-      coe_reset_export_from_the_reg_diag_tx_seq     => OPEN,
-      coe_address_export_from_the_reg_diag_tx_seq   => reg_diag_tx_seq_mosi.address(c_mm_reg_diag_tx_seq_addr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_tx_seq      => reg_diag_tx_seq_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_tx_seq    => reg_diag_tx_seq_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_tx_seq     => reg_diag_tx_seq_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_tx_seq => reg_diag_tx_seq_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        clk_0                                          => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
+        reset_n                                        => xo_rst_n,
+        mm_clk                                         => i_mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
+        cal_clk                                        => i_cal_clk,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
+        tse_clk                                        => i_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
+
+        -- the_altpll_0
+        areset_to_the_altpll_0                         => '0',
+        locked_from_the_altpll_0                       => mm_locked,
+        phasedone_from_the_altpll_0                    => OPEN,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0              => OPEN,
+        coe_reset_export_from_the_avs_eth_0            => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0      => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0        => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0    => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0         => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0       => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0    => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0      => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0        => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0    => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0         => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0       => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0                => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0      => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0        => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0    => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0         => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0       => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens           => OPEN,
+        coe_reset_export_from_the_reg_unb_sens         => OPEN,
+        coe_address_export_from_the_reg_unb_sens       => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens          => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens        => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens     => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave               => pout_debug_wave,
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps                => OPEN,
+        coe_reset_export_from_the_pio_pps              => OPEN,
+        coe_address_export_from_the_pio_pps            => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps               => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps             => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps              => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps          => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info        => OPEN,
+        coe_reset_export_from_the_pio_system_info      => OPEN,
+        coe_address_export_from_the_pio_system_info    => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info       => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info     => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info      => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info  => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info        => OPEN,
+        coe_reset_export_from_the_rom_system_info      => OPEN,
+        coe_address_export_from_the_rom_system_info    => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info       => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info     => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info      => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info  => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi
+        out_port_from_the_pio_wdi                      => pout_wdi,
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi                => OPEN,
+        coe_reset_export_from_the_reg_wdi              => OPEN,
+        coe_address_export_from_the_reg_wdi            => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi               => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi             => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi              => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi          => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_io_ddr
+        coe_clk_export_from_the_reg_io_ddr             => OPEN,
+        coe_reset_export_from_the_reg_io_ddr           => OPEN,
+        coe_address_export_from_the_reg_io_ddr         => reg_io_ddr_mosi.address(c_mm_reg_io_ddr_addr_w - 1 downto 0),
+        coe_read_export_from_the_reg_io_ddr            => reg_io_ddr_mosi.rd,
+        coe_readdata_export_to_the_reg_io_ddr          => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_io_ddr           => reg_io_ddr_mosi.wr,
+        coe_writedata_export_from_the_reg_io_ddr       => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_bg_data
+        coe_clk_export_from_the_ram_diag_bg_data       => OPEN,
+        coe_reset_export_from_the_ram_diag_bg_data     => OPEN,
+        coe_address_export_from_the_ram_diag_bg_data   => ram_diag_bg_data_mosi.address(c_mm_ram_diag_bg_data_addr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_bg_data      => ram_diag_bg_data_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_bg_data    => ram_diag_bg_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_bg_data     => ram_diag_bg_data_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_bg_data => ram_diag_bg_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buf
+        coe_clk_export_from_the_ram_diag_data_buf       => OPEN,
+        coe_reset_export_from_the_ram_diag_data_buf     => OPEN,
+        coe_address_export_from_the_ram_diag_data_buf   => ram_diag_data_buf_mosi.address(c_mm_ram_diag_data_buf_addr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_data_buf      => ram_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buf    => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_data_buf     => ram_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buf => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_bg_ctrl
+        coe_clk_export_from_the_reg_diag_bg_ctrl       => OPEN,
+        coe_reset_export_from_the_reg_diag_bg_ctrl     => OPEN,
+        coe_address_export_from_the_reg_diag_bg_ctrl   => reg_diag_bg_ctrl_mosi.address(c_mm_reg_diag_bg_ctrl_addr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_bg_ctrl      => reg_diag_bg_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_bg_ctrl    => reg_diag_bg_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_bg_ctrl     => reg_diag_bg_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_bg_ctrl => reg_diag_bg_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buf
+        coe_clk_export_from_the_reg_diag_data_buf       => OPEN,
+        coe_reset_export_from_the_reg_diag_data_buf     => OPEN,
+        coe_address_export_from_the_reg_diag_data_buf   => reg_diag_data_buf_mosi.address(c_mm_reg_diag_data_buf_addr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_data_buf      => reg_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_data_buf    => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_data_buf     => reg_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_data_buf => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_rx_seq
+        coe_clk_export_from_the_reg_diag_rx_seq       => OPEN,
+        coe_reset_export_from_the_reg_diag_rx_seq     => OPEN,
+        coe_address_export_from_the_reg_diag_rx_seq   => reg_diag_rx_seq_mosi.address(c_mm_reg_diag_rx_seq_addr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_rx_seq      => reg_diag_rx_seq_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_rx_seq    => reg_diag_rx_seq_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_rx_seq     => reg_diag_rx_seq_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_rx_seq => reg_diag_rx_seq_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_tx_seq
+        coe_clk_export_from_the_reg_diag_tx_seq       => OPEN,
+        coe_reset_export_from_the_reg_diag_tx_seq     => OPEN,
+        coe_address_export_from_the_reg_diag_tx_seq   => reg_diag_tx_seq_mosi.address(c_mm_reg_diag_tx_seq_addr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_tx_seq      => reg_diag_tx_seq_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_tx_seq    => reg_diag_tx_seq_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_tx_seq     => reg_diag_tx_seq_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_tx_seq => reg_diag_tx_seq_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3/src/vhdl/node_unb1_ddr3.vhd b/boards/uniboard1/designs/unb1_ddr3/src/vhdl/node_unb1_ddr3.vhd
index aa082048a3..d6da0849d3 100644
--- a/boards/uniboard1/designs/unb1_ddr3/src/vhdl/node_unb1_ddr3.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3/src/vhdl/node_unb1_ddr3.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity node_unb1_ddr3 is
   generic (
@@ -115,78 +115,78 @@ architecture str of node_unb1_ddr3 is
 begin
 
   u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-  generic map(
-    -- System
-    g_technology       => g_technology,
-    g_dp_data_w        => g_st_dat_w,
-    g_dp_seq_dat_w     => c_seq_dat_w,
-    g_dp_wr_fifo_depth => c_wr_fifo_depth,
-    g_dp_rd_fifo_depth => c_rd_fifo_depth,
-    -- IO_DDR
-    g_io_tech_ddr      => g_tech_ddr,
-    -- DIAG data buffer
-    g_db_use_db        => c_use_db,
-    g_db_buf_nof_data  => c_buf_nof_data
-  )
-  port map(
-    ---------------------------------------------------------------------------
-    -- System
-    ---------------------------------------------------------------------------
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-    dp_rst              => dp_rst,
-    dp_clk              => dp_clk,
-
-    ---------------------------------------------------------------------------
-    -- IO_DDR
-    ---------------------------------------------------------------------------
-    -- DDR reference clock
-    ctlr_ref_clk        => ddr_ref_clk,
-    ctlr_ref_rst        => ddr_ref_rst,
-
-    -- DDR controller clock domain
-    ctlr_clk_out        => ddr_out_clk,
-    ctlr_rst_out        => ddr_out_rst,
-
-    ctlr_clk_in         => dp_clk,
-    ctlr_rst_in         => dp_rst,
-
-    -- MM interface
-    reg_io_ddr_mosi     => reg_io_ddr_mosi,
-    reg_io_ddr_miso     => reg_io_ddr_miso,
-
-    -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-    wr_fifo_usedw       => OPEN,
-    rd_fifo_usedw       => OPEN,
-
-    -- DDR3 pass on termination control from master to slave controller
-    term_ctrl_out       => OPEN,
-    term_ctrl_in        => OPEN,
-
-    -- DDR3 PHY external interface
-    phy3_in             => MB_I_in,
-    phy3_io             => MB_I_io,
-    phy3_ou             => MB_I_ou,
-
-    ---------------------------------------------------------------------------
-    -- DIAG Tx seq
-    ---------------------------------------------------------------------------
-    -- MM interface
-    reg_tx_seq_mosi     => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso     => reg_diag_tx_seq_miso,
-
-    ---------------------------------------------------------------------------
-    -- DIAG rx seq with optional data buffer
-    ---------------------------------------------------------------------------
-    -- MM interface
-    reg_data_buf_mosi   => reg_diag_data_buf_mosi,
-    reg_data_buf_miso   => reg_diag_data_buf_miso,
-
-    ram_data_buf_mosi   => ram_diag_data_buf_mosi,
-    ram_data_buf_miso   => ram_diag_data_buf_miso,
-
-    reg_rx_seq_mosi     => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso     => reg_diag_rx_seq_miso
-  );
+    generic map(
+      -- System
+      g_technology       => g_technology,
+      g_dp_data_w        => g_st_dat_w,
+      g_dp_seq_dat_w     => c_seq_dat_w,
+      g_dp_wr_fifo_depth => c_wr_fifo_depth,
+      g_dp_rd_fifo_depth => c_rd_fifo_depth,
+      -- IO_DDR
+      g_io_tech_ddr      => g_tech_ddr,
+      -- DIAG data buffer
+      g_db_use_db        => c_use_db,
+      g_db_buf_nof_data  => c_buf_nof_data
+    )
+    port map(
+      ---------------------------------------------------------------------------
+      -- System
+      ---------------------------------------------------------------------------
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+      dp_rst              => dp_rst,
+      dp_clk              => dp_clk,
+
+      ---------------------------------------------------------------------------
+      -- IO_DDR
+      ---------------------------------------------------------------------------
+      -- DDR reference clock
+      ctlr_ref_clk        => ddr_ref_clk,
+      ctlr_ref_rst        => ddr_ref_rst,
+
+      -- DDR controller clock domain
+      ctlr_clk_out        => ddr_out_clk,
+      ctlr_rst_out        => ddr_out_rst,
+
+      ctlr_clk_in         => dp_clk,
+      ctlr_rst_in         => dp_rst,
+
+      -- MM interface
+      reg_io_ddr_mosi     => reg_io_ddr_mosi,
+      reg_io_ddr_miso     => reg_io_ddr_miso,
+
+      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+      wr_fifo_usedw       => OPEN,
+      rd_fifo_usedw       => OPEN,
+
+      -- DDR3 pass on termination control from master to slave controller
+      term_ctrl_out       => OPEN,
+      term_ctrl_in        => OPEN,
+
+      -- DDR3 PHY external interface
+      phy3_in             => MB_I_in,
+      phy3_io             => MB_I_io,
+      phy3_ou             => MB_I_ou,
+
+      ---------------------------------------------------------------------------
+      -- DIAG Tx seq
+      ---------------------------------------------------------------------------
+      -- MM interface
+      reg_tx_seq_mosi     => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso     => reg_diag_tx_seq_miso,
+
+      ---------------------------------------------------------------------------
+      -- DIAG rx seq with optional data buffer
+      ---------------------------------------------------------------------------
+      -- MM interface
+      reg_data_buf_mosi   => reg_diag_data_buf_mosi,
+      reg_data_buf_miso   => reg_diag_data_buf_miso,
+
+      ram_data_buf_mosi   => ram_diag_data_buf_mosi,
+      ram_data_buf_miso   => ram_diag_data_buf_miso,
+
+      reg_rx_seq_mosi     => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso     => reg_diag_rx_seq_miso
+    );
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3/src/vhdl/unb1_ddr3.vhd b/boards/uniboard1/designs/unb1_ddr3/src/vhdl/unb1_ddr3.vhd
index 0078bdb463..8ce0691833 100644
--- a/boards/uniboard1/designs/unb1_ddr3/src/vhdl/unb1_ddr3.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3/src/vhdl/unb1_ddr3.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, technology_lib, tech_ddr_lib, io_ddr_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_ddr3 is
   generic (
@@ -77,17 +77,17 @@ architecture str of unb1_ddr3 is
   constant c_design_name    : string := "unb1_ddr3";
   constant c_design_note    : string := "DDR3 reference design";
   constant c_fw_version     : t_unb1_board_fw_version := (0, 3);  -- firmware version x.y
-    -- Use PHY Interface
-    -- TYPE t_c_unb_use_phy IS RECORD
-    --   eth1g   : NATURAL;
-    --   tr_front: NATURAL;
-    --   tr_mesh : NATURAL;
-    --   tr_back : NATURAL;
-    --   ddr3_I  : NATURAL;
-    --   ddr3_II : NATURAL;
-    --   adc     : NATURAL;
-    --   wdi     : NATURAL;
-    -- END RECORD;
+  -- Use PHY Interface
+  -- TYPE t_c_unb_use_phy IS RECORD
+  --   eth1g   : NATURAL;
+  --   tr_front: NATURAL;
+  --   tr_mesh : NATURAL;
+  --   tr_back : NATURAL;
+  --   ddr3_I  : NATURAL;
+  --   ddr3_II : NATURAL;
+  --   adc     : NATURAL;
+  --   wdi     : NATURAL;
+  -- END RECORD;
   constant c_use_phy        : t_c_unb1_board_use_phy := (1, 0, 0, 0, 1, 0, 0, 1);
   constant c_aux            : t_c_unb1_board_aux     := c_unb1_board_aux;
   constant c_app_led_en     : boolean                := true;
@@ -143,9 +143,9 @@ architecture str of unb1_ddr3 is
   signal eth1g_reg_interrupt        : std_logic;  -- Interrupt
   signal eth1g_ram_mosi             : t_mem_mosi := c_mem_mosi_rst;  -- ETH rx frame and tx frame memory
   signal eth1g_ram_miso             : t_mem_miso;
---  SIGNAL eth1g_led                  : t_tech_tse_led;
+  --  SIGNAL eth1g_led                  : t_tech_tse_led;
 
-    -- . UniBoard I2C sens
+  -- . UniBoard I2C sens
   signal reg_unb_sens_mosi          : t_mem_mosi;
   signal reg_unb_sens_miso          : t_mem_miso;
 
@@ -178,244 +178,244 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    -- General
-    g_sim            => g_sim,
-    g_stamp_date     => g_stamp_date,
-    g_stamp_time     => g_stamp_time,
-    g_stamp_svn      => g_stamp_svn,
-    g_design_name    => c_design_name,
-    g_design_note    => c_design_note,
-    g_fw_version     => c_fw_version,
-    g_mm_clk_freq    => c_unb1_board_mm_clk_freq_50M,
-    g_dp_clk_use_pll => false,
-    g_app_led_red    => c_app_led_en,
-    g_app_led_green  => c_app_led_en,
-    g_use_phy        => c_use_phy,
-    g_aux            => c_aux
-  )
-  port map (
-    --
-    -- >>> SOPC system with conduit peripheral MM bus
-    --
-    -- System
-    cs_sim                 => cs_sim,
-    xo_clk                 => xo_clk,
-    xo_rst_n               => xo_rst_n,
-    mm_clk                 => mm_clk,
-    mm_locked              => mm_locked,
-    mm_rst                 => mm_rst,
-
-    dp_rst                 => OPEN,
-    dp_clk                 => OPEN,
-    dp_pps                 => dp_pps,
-    dp_rst_in              => dp_rst,
-    dp_clk_in              => dp_clk,
-
-    this_chip_id           => this_chip_id,
-    this_bck_id            => OPEN,
-
-    app_led_red            => app_led_red,
-    app_led_green          => app_led_green,
-
-    -- PIOs
-    pout_debug_wave        => pout_debug_wave,
-    pout_wdi               => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi           => reg_wdi_mosi,
-    reg_wdi_miso           => reg_wdi_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi          => reg_ppsh_mosi,
-    reg_ppsh_miso          => reg_ppsh_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-     -- UniBoard I2C sensors
-    reg_unb_sens_mosi      => reg_unb_sens_mosi,
-    reg_unb_sens_miso      => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk          => eth1g_tse_clk,
-    eth1g_mm_rst           => eth1g_mm_rst,
-    eth1g_tse_mosi         => eth1g_tse_mosi,
-    eth1g_tse_miso         => eth1g_tse_miso,
-    eth1g_reg_mosi         => eth1g_reg_mosi,
-    eth1g_reg_miso         => eth1g_reg_miso,
-    eth1g_reg_interrupt    => eth1g_reg_interrupt,
-    eth1g_ram_mosi         => eth1g_ram_mosi,
-    eth1g_ram_miso         => eth1g_ram_miso,
-
-    --
-    -- >>> Ctrl FPGA pins
-    --
-    -- General
-    CLK                    => CLK,
-    PPS                    => PPS,
-    WDI                    => WDI,
-    INTA                   => INTA,
-    INTB                   => INTB,
-
-    -- Others
-    VERSION                => VERSION,
-    ID                     => ID,
-    TESTIO                 => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc                => sens_sc,
-    sens_sd                => sens_sd,
-
-    ETH_clk                => ETH_clk,
-    ETH_SGIN               => ETH_SGIN,
-    ETH_SGOUT              => ETH_SGOUT
-  );
+    generic map (
+      -- General
+      g_sim            => g_sim,
+      g_stamp_date     => g_stamp_date,
+      g_stamp_time     => g_stamp_time,
+      g_stamp_svn      => g_stamp_svn,
+      g_design_name    => c_design_name,
+      g_design_note    => c_design_note,
+      g_fw_version     => c_fw_version,
+      g_mm_clk_freq    => c_unb1_board_mm_clk_freq_50M,
+      g_dp_clk_use_pll => false,
+      g_app_led_red    => c_app_led_en,
+      g_app_led_green  => c_app_led_en,
+      g_use_phy        => c_use_phy,
+      g_aux            => c_aux
+    )
+    port map (
+      --
+      -- >>> SOPC system with conduit peripheral MM bus
+      --
+      -- System
+      cs_sim                 => cs_sim,
+      xo_clk                 => xo_clk,
+      xo_rst_n               => xo_rst_n,
+      mm_clk                 => mm_clk,
+      mm_locked              => mm_locked,
+      mm_rst                 => mm_rst,
+
+      dp_rst                 => OPEN,
+      dp_clk                 => OPEN,
+      dp_pps                 => dp_pps,
+      dp_rst_in              => dp_rst,
+      dp_clk_in              => dp_clk,
+
+      this_chip_id           => this_chip_id,
+      this_bck_id            => OPEN,
+
+      app_led_red            => app_led_red,
+      app_led_green          => app_led_green,
+
+      -- PIOs
+      pout_debug_wave        => pout_debug_wave,
+      pout_wdi               => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi           => reg_wdi_mosi,
+      reg_wdi_miso           => reg_wdi_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi          => reg_ppsh_mosi,
+      reg_ppsh_miso          => reg_ppsh_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi      => reg_unb_sens_mosi,
+      reg_unb_sens_miso      => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk          => eth1g_tse_clk,
+      eth1g_mm_rst           => eth1g_mm_rst,
+      eth1g_tse_mosi         => eth1g_tse_mosi,
+      eth1g_tse_miso         => eth1g_tse_miso,
+      eth1g_reg_mosi         => eth1g_reg_mosi,
+      eth1g_reg_miso         => eth1g_reg_miso,
+      eth1g_reg_interrupt    => eth1g_reg_interrupt,
+      eth1g_ram_mosi         => eth1g_ram_mosi,
+      eth1g_ram_miso         => eth1g_ram_miso,
+
+      --
+      -- >>> Ctrl FPGA pins
+      --
+      -- General
+      CLK                    => CLK,
+      PPS                    => PPS,
+      WDI                    => WDI,
+      INTA                   => INTA,
+      INTB                   => INTB,
+
+      -- Others
+      VERSION                => VERSION,
+      ID                     => ID,
+      TESTIO                 => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc                => sens_sc,
+      sens_sd                => sens_sd,
+
+      ETH_clk                => ETH_clk,
+      ETH_SGIN               => ETH_SGIN,
+      ETH_SGOUT              => ETH_SGOUT
+    );
 
   u_mmm : entity work.mmm_unb1_ddr3
-  generic map(
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-  )
-  port map (
-    -- GENERAL
-    xo_clk                   => xo_clk,
-    xo_rst_n                 => xo_rst_n,
-    xo_rst                   => xo_rst,
-
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    cal_clk                  => cal_clk,
-
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- DDR3
-    reg_io_ddr_mosi          => reg_io_ddr_mosi,
-    reg_io_ddr_miso          => reg_io_ddr_miso,
-
-    -- Data Buffer Control
-    reg_diag_data_buf_mosi   => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso   => reg_diag_data_buf_miso,
-
-    -- Data Buffer Data
-    ram_diag_data_buf_mosi   => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso   => ram_diag_data_buf_miso,
-
-    -- Block Generator Control
-    reg_diag_bg_ctrl_mosi    => reg_diag_bg_ctrl_mosi,
-    reg_diag_bg_ctrl_miso    => reg_diag_bg_ctrl_miso,
-
-    -- Block Generator Data
-    ram_diag_bg_data_mosi    => ram_diag_bg_data_mosi,
-    ram_diag_bg_data_miso    => ram_diag_bg_data_miso,
-
-    -- TX Sequencer
-    reg_diag_tx_seq_mosi     => reg_diag_tx_seq_mosi,
-    reg_diag_tx_seq_miso     => reg_diag_tx_seq_miso,
-
-    -- RX Sequencer
-    reg_diag_rx_seq_mosi     => reg_diag_rx_seq_mosi,
-    reg_diag_rx_seq_miso     => reg_diag_rx_seq_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso
-  );
+    generic map(
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map (
+      -- GENERAL
+      xo_clk                   => xo_clk,
+      xo_rst_n                 => xo_rst_n,
+      xo_rst                   => xo_rst,
+
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      cal_clk                  => cal_clk,
+
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- DDR3
+      reg_io_ddr_mosi          => reg_io_ddr_mosi,
+      reg_io_ddr_miso          => reg_io_ddr_miso,
+
+      -- Data Buffer Control
+      reg_diag_data_buf_mosi   => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso   => reg_diag_data_buf_miso,
+
+      -- Data Buffer Data
+      ram_diag_data_buf_mosi   => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso   => ram_diag_data_buf_miso,
+
+      -- Block Generator Control
+      reg_diag_bg_ctrl_mosi    => reg_diag_bg_ctrl_mosi,
+      reg_diag_bg_ctrl_miso    => reg_diag_bg_ctrl_miso,
+
+      -- Block Generator Data
+      ram_diag_bg_data_mosi    => ram_diag_bg_data_mosi,
+      ram_diag_bg_data_miso    => ram_diag_bg_data_miso,
+
+      -- TX Sequencer
+      reg_diag_tx_seq_mosi     => reg_diag_tx_seq_mosi,
+      reg_diag_tx_seq_miso     => reg_diag_tx_seq_miso,
+
+      -- RX Sequencer
+      reg_diag_rx_seq_mosi     => reg_diag_rx_seq_mosi,
+      reg_diag_rx_seq_miso     => reg_diag_rx_seq_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso
+    );
 
   u_areset_ddr_ref_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1',
-    g_delay_len => 40
-  )
-  port map(
-    clk     => CLK,
-    in_rst  => mm_rst,
-    out_rst => ddr_ref_rst
-  );
+    generic map(
+      g_rst_level => '1',
+      g_delay_len => 40
+    )
+    port map(
+      clk     => CLK,
+      in_rst  => mm_rst,
+      out_rst => ddr_ref_rst
+    );
 
   u_node : entity work.node_unb1_ddr3
-  generic map (
-    g_sim                    => g_sim,
-    g_technology             => c_technology,
-    g_tech_ddr               => c_tech_ddr,
-    g_st_dat_w               => g_st_dat_w
-  )
-  port map (
-    -- System
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-
-    ddr_ref_clk              => CLK,
-    ddr_ref_rst              => ddr_ref_rst,
-
-    -- Clock outputs
-    ddr_out_clk              => dp_clk,
-    ddr_out_rst              => dp_rst,
-
-    -- MM interface
-    reg_io_ddr_mosi          => reg_io_ddr_mosi,
-    reg_io_ddr_miso          => reg_io_ddr_miso,
-
-    -- Data Buffer Control
-    reg_diag_data_buf_mosi   => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso   => reg_diag_data_buf_miso,
-
-    -- Data Buffer Data
-    ram_diag_data_buf_mosi   => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso   => ram_diag_data_buf_miso,
-
-    -- Block Generator Control
-    reg_diag_bg_ctrl_mosi    => reg_diag_bg_ctrl_mosi,
-    reg_diag_bg_ctrl_miso    => reg_diag_bg_ctrl_miso,
-
-    -- Block Generator Data
-    ram_diag_bg_data_mosi    => ram_diag_bg_data_mosi,
-    ram_diag_bg_data_miso    => ram_diag_bg_data_miso,
-
-    -- TX Sequencer
-    reg_diag_tx_seq_mosi     => reg_diag_tx_seq_mosi,
-    reg_diag_tx_seq_miso     => reg_diag_tx_seq_miso,
-
-    -- RX Sequencer
-    reg_diag_rx_seq_mosi     => reg_diag_rx_seq_mosi,
-    reg_diag_rx_seq_miso     => reg_diag_rx_seq_miso,
-
-    MB_I_IN                  => MB_I_IN,
-    MB_I_IO                  => MB_I_IO,
-    MB_I_OU                  => MB_I_OU
-  );
+    generic map (
+      g_sim                    => g_sim,
+      g_technology             => c_technology,
+      g_tech_ddr               => c_tech_ddr,
+      g_st_dat_w               => g_st_dat_w
+    )
+    port map (
+      -- System
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+
+      ddr_ref_clk              => CLK,
+      ddr_ref_rst              => ddr_ref_rst,
+
+      -- Clock outputs
+      ddr_out_clk              => dp_clk,
+      ddr_out_rst              => dp_rst,
+
+      -- MM interface
+      reg_io_ddr_mosi          => reg_io_ddr_mosi,
+      reg_io_ddr_miso          => reg_io_ddr_miso,
+
+      -- Data Buffer Control
+      reg_diag_data_buf_mosi   => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso   => reg_diag_data_buf_miso,
+
+      -- Data Buffer Data
+      ram_diag_data_buf_mosi   => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso   => ram_diag_data_buf_miso,
+
+      -- Block Generator Control
+      reg_diag_bg_ctrl_mosi    => reg_diag_bg_ctrl_mosi,
+      reg_diag_bg_ctrl_miso    => reg_diag_bg_ctrl_miso,
+
+      -- Block Generator Data
+      ram_diag_bg_data_mosi    => ram_diag_bg_data_mosi,
+      ram_diag_bg_data_miso    => ram_diag_bg_data_miso,
+
+      -- TX Sequencer
+      reg_diag_tx_seq_mosi     => reg_diag_tx_seq_mosi,
+      reg_diag_tx_seq_miso     => reg_diag_tx_seq_miso,
+
+      -- RX Sequencer
+      reg_diag_rx_seq_mosi     => reg_diag_rx_seq_mosi,
+      reg_diag_rx_seq_miso     => reg_diag_rx_seq_miso,
+
+      MB_I_IN                  => MB_I_IN,
+      MB_I_IO                  => MB_I_IO,
+      MB_I_OU                  => MB_I_OU
+    );
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_ddr3/tb/vhdl/tb_unb1_ddr3.vhd b/boards/uniboard1/designs/unb1_ddr3/tb/vhdl/tb_unb1_ddr3.vhd
index 9bcdac9295..5de437da71 100644
--- a/boards/uniboard1/designs/unb1_ddr3/tb/vhdl/tb_unb1_ddr3.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3/tb/vhdl/tb_unb1_ddr3.vhd
@@ -31,20 +31,20 @@
 --   > python tc_unb1_ddr3_seq.py --sim --unb 0 --fn 3 --rep 3
 
 library IEEE, common_lib, dp_lib, unb1_board_lib, diag_lib, tech_ddr_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use tech_ddr_lib.tech_ddr_mem_model_component_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use tech_ddr_lib.tech_ddr_mem_model_component_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 
 entity tb_unb1_ddr3 is
@@ -73,7 +73,7 @@ architecture tb of tb_unb1_ddr3 is
   constant c_ext_clk_period  : time := 5 ns;
   constant c_pps_period      : natural := 1000;
 
-   -- SO-DIMM Memory Bank I = ddr3_I
+  -- SO-DIMM Memory Bank I = ddr3_I
   signal MB_I_in             : t_tech_ddr3_phy_in;
   signal MB_I_io             : t_tech_ddr3_phy_io;
   signal MB_I_ou             : t_tech_ddr3_phy_ou;
@@ -100,7 +100,7 @@ architecture tb of tb_unb1_ddr3 is
 
 begin
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- System setup
   ----------------------------------------------------------------------------
   clk     <= not clk after c_ext_clk_period / 2;  -- External clock (200 MHz)
@@ -127,53 +127,53 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.unb1_ddr3
-  generic map (
-    g_sim           => c_sim,
-    g_sim_unb_nr    => c_unb_nr,
-    g_sim_node_nr   => c_node_nr,
-    -- Stamps are passed via QIP at compile start if $UNB_COMPILE_STAMPS is set
-    g_st_dat_w      => c_st_dat_w
-
-  )
-  port map (
-    -- GENERAL
-    CLK         => clk,
-    PPS         => pps,
-    WDI         => WDI,
-    INTA        => INTA,
-    INTB        => INTB,
-
-    sens_sc     => sens_scl,
-    sens_sd     => sens_sda,
-
-    -- Others
-    VERSION     => VERSION,
-    ID          => ID,
-    TESTIO      => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- SO-DIMM Memory Bank I = ddr3_I
-    MB_I_IN     => MB_I_in,
-    MB_I_IO     => MB_I_io,
-    MB_I_OU     => MB_I_ou
-   );
+    generic map (
+      g_sim           => c_sim,
+      g_sim_unb_nr    => c_unb_nr,
+      g_sim_node_nr   => c_node_nr,
+      -- Stamps are passed via QIP at compile start if $UNB_COMPILE_STAMPS is set
+      g_st_dat_w      => c_st_dat_w
+
+    )
+    port map (
+      -- GENERAL
+      CLK         => clk,
+      PPS         => pps,
+      WDI         => WDI,
+      INTA        => INTA,
+      INTB        => INTB,
+
+      sens_sc     => sens_scl,
+      sens_sd     => sens_sda,
+
+      -- Others
+      VERSION     => VERSION,
+      ID          => ID,
+      TESTIO      => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- SO-DIMM Memory Bank I = ddr3_I
+      MB_I_IN     => MB_I_in,
+      MB_I_IO     => MB_I_io,
+      MB_I_OU     => MB_I_ou
+    );
 
   ------------------------------------------------------------------------------
   -- DDR3 memory model
   ------------------------------------------------------------------------------
   u_tech_ddr_memory_model : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr
-  )
-  port map (
-    mem3_in => MB_I_ou,
-    mem3_io => MB_I_io,
-    mem3_ou => MB_I_in
-  );
+    generic map (
+      g_tech_ddr => c_ddr
+    )
+    port map (
+      mem3_in => MB_I_ou,
+      mem3_io => MB_I_io,
+      mem3_ou => MB_I_in
+    );
 
 end tb;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/tb_unb1_ddr3_reorder_dual_rank.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/tb_unb1_ddr3_reorder_dual_rank.vhd
index 8027e6e0dd..8cbf8b875d 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/tb_unb1_ddr3_reorder_dual_rank.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/tb_unb1_ddr3_reorder_dual_rank.vhd
@@ -20,20 +20,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb1_board_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_unb1_ddr3_reorder_dual_rank is
-    generic (
-      g_design_name : string       := "unb1_ddr3_reorder_dual_rank";
-      g_design_note : string       := "Reference Reorder";
-      g_sim_unb_nr  : natural      := 0;  -- UniBoard 0
-      g_sim_node_nr : natural      := 7;  -- Back node 3
-      g_tech_ddr    : t_c_tech_ddr := c_tech_ddr3_4g_800m_master
-    );
+  generic (
+    g_design_name : string       := "unb1_ddr3_reorder_dual_rank";
+    g_design_note : string       := "Reference Reorder";
+    g_sim_unb_nr  : natural      := 0;  -- UniBoard 0
+    g_sim_node_nr : natural      := 7;  -- Back node 3
+    g_tech_ddr    : t_c_tech_ddr := c_tech_ddr3_4g_800m_master
+  );
 end tb_unb1_ddr3_reorder_dual_rank;
 
 architecture tb of tb_unb1_ddr3_reorder_dual_rank is
@@ -46,6 +46,6 @@ begin
       g_sim_unb_nr  => g_sim_unb_nr,
       g_sim_node_nr => g_sim_node_nr,
       g_tech_ddr    => g_tech_ddr
-  );
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/unb1_ddr3_reorder_dual_rank.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/unb1_ddr3_reorder_dual_rank.vhd
index 0974f466bf..e4a72852b4 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/unb1_ddr3_reorder_dual_rank.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_dual_rank/unb1_ddr3_reorder_dual_rank.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_ddr3_reorder_dual_rank is
   generic (
@@ -75,37 +75,37 @@ architecture str of unb1_ddr3_reorder_dual_rank is
 
 begin
   u_revision : entity work.unb1_ddr3_reorder
-  generic map(
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_use_MB_I    => g_use_MB_I,
-    g_tech_ddr    => g_tech_ddr,
-    g_aux         => g_aux
-  )
-  port map(
-    CLK       => CLK,
-    PPS       => PPS,
-    WDI       => WDI,
-    INTA      => INTA,
-    INTB      => INTB,
-    VERSION   => VERSION,
-    ID        => ID,
-    TESTIO    => TESTIO,
-    sens_sc   => sens_sc,
-    sens_sd   => sens_sd,
-    ETH_clk   => ETH_clk,
-    ETH_SGIN  => ETH_SGIN,
-    ETH_SGOUT => ETH_SGOUT,
-    MB_I_IN   => MB_I_IN,
-    MB_I_IO   => MB_I_IO,
-    MB_I_OU   => MB_I_OU
-  );
+    generic map(
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_use_MB_I    => g_use_MB_I,
+      g_tech_ddr    => g_tech_ddr,
+      g_aux         => g_aux
+    )
+    port map(
+      CLK       => CLK,
+      PPS       => PPS,
+      WDI       => WDI,
+      INTA      => INTA,
+      INTB      => INTB,
+      VERSION   => VERSION,
+      ID        => ID,
+      TESTIO    => TESTIO,
+      sens_sc   => sens_sc,
+      sens_sd   => sens_sd,
+      ETH_clk   => ETH_clk,
+      ETH_SGIN  => ETH_SGIN,
+      ETH_SGOUT => ETH_SGOUT,
+      MB_I_IN   => MB_I_IN,
+      MB_I_IO   => MB_I_IO,
+      MB_I_OU   => MB_I_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/tb_unb1_ddr3_reorder_single_rank.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/tb_unb1_ddr3_reorder_single_rank.vhd
index e9092a7f9c..364ee94a00 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/tb_unb1_ddr3_reorder_single_rank.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/tb_unb1_ddr3_reorder_single_rank.vhd
@@ -20,20 +20,20 @@
 --
 -------------------------------------------------------------------------------
 library IEEE, common_lib, unb1_board_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_unb1_ddr3_reorder_single_rank is
-    generic (
-      g_design_name : string       := "unb1_ddr3_reorder_single_rank";
-      g_design_note : string       := "Reference Reorder";
-      g_sim_unb_nr  : natural      := 0;  -- UniBoard 0
-      g_sim_node_nr : natural      := 7;  -- Back node 3
-      g_tech_ddr    : t_c_tech_ddr := c_tech_ddr3_4g_single_rank_800m_master
-    );
+  generic (
+    g_design_name : string       := "unb1_ddr3_reorder_single_rank";
+    g_design_note : string       := "Reference Reorder";
+    g_sim_unb_nr  : natural      := 0;  -- UniBoard 0
+    g_sim_node_nr : natural      := 7;  -- Back node 3
+    g_tech_ddr    : t_c_tech_ddr := c_tech_ddr3_4g_single_rank_800m_master
+  );
 end tb_unb1_ddr3_reorder_single_rank;
 
 architecture tb of tb_unb1_ddr3_reorder_single_rank is
@@ -46,6 +46,6 @@ begin
       g_sim_unb_nr  => g_sim_unb_nr,
       g_sim_node_nr => g_sim_node_nr,
       g_tech_ddr    => g_tech_ddr
-  );
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/unb1_ddr3_reorder_single_rank.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/unb1_ddr3_reorder_single_rank.vhd
index 008e30d017..817b0d81ed 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/unb1_ddr3_reorder_single_rank.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/revisions/unb1_ddr3_reorder_single_rank/unb1_ddr3_reorder_single_rank.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_ddr3_reorder_single_rank is
   generic (
@@ -75,37 +75,37 @@ architecture str of unb1_ddr3_reorder_single_rank is
 
 begin
   u_revision : entity work.unb1_ddr3_reorder
-  generic map(
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_use_MB_I    => g_use_MB_I,
-    g_tech_ddr    => g_tech_ddr,
-    g_aux         => g_aux
-  )
-  port map(
-    CLK       => CLK,
-    PPS       => PPS,
-    WDI       => WDI,
-    INTA      => INTA,
-    INTB      => INTB,
-    VERSION   => VERSION,
-    ID        => ID,
-    TESTIO    => TESTIO,
-    sens_sc   => sens_sc,
-    sens_sd   => sens_sd,
-    ETH_clk   => ETH_clk,
-    ETH_SGIN  => ETH_SGIN,
-    ETH_SGOUT => ETH_SGOUT,
-    MB_I_IN   => MB_I_IN,
-    MB_I_IO   => MB_I_IO,
-    MB_I_OU   => MB_I_OU
-  );
+    generic map(
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_use_MB_I    => g_use_MB_I,
+      g_tech_ddr    => g_tech_ddr,
+      g_aux         => g_aux
+    )
+    port map(
+      CLK       => CLK,
+      PPS       => PPS,
+      WDI       => WDI,
+      INTA      => INTA,
+      INTB      => INTB,
+      VERSION   => VERSION,
+      ID        => ID,
+      TESTIO    => TESTIO,
+      sens_sc   => sens_sc,
+      sens_sd   => sens_sd,
+      ETH_clk   => ETH_clk,
+      ETH_SGIN  => ETH_SGIN,
+      ETH_SGOUT => ETH_SGOUT,
+      MB_I_IN   => MB_I_IN,
+      MB_I_IO   => MB_I_IO,
+      MB_I_OU   => MB_I_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/mmm_unb1_ddr3_reorder.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/mmm_unb1_ddr3_reorder.vhd
index e68a8ec5d0..74f8241810 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/mmm_unb1_ddr3_reorder.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/mmm_unb1_ddr3_reorder.vhd
@@ -20,24 +20,24 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, dp_lib, reorder_lib, eth_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use reorder_lib.reorder_pkg.all;
-use technology_lib.technology_select_pkg.all;
---USE tech_ddr_lib.tech_ddr_pkg.ALL;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use reorder_lib.reorder_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  --USE tech_ddr_lib.tech_ddr_pkg.ALL;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity mmm_unb1_ddr3_reorder is
   generic (
@@ -46,7 +46,7 @@ entity mmm_unb1_ddr3_reorder is
     g_sim_node_nr : natural  := 0;
     g_nof_streams : natural  := 4;
     g_reorder_seq : t_reorder_seq := c_reorder_seq
-   );
+  );
   port (
     -- GENERAL
     xo_clk                    : in  std_logic;
@@ -180,46 +180,46 @@ begin
     i_cal_clk <= not i_cal_clk after c_cal_clk_period / 2;
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_diag_bg         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-                                             port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
     u_mm_file_ram_diag_bg         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-                                             port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
     u_mm_file_reg_diag_tx_seq     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ")
-                                               port map(mm_rst, i_mm_clk, reg_diag_tx_seq_mosi, reg_diag_tx_seq_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_tx_seq_mosi, reg_diag_tx_seq_miso );
 
     u_mm_file_ram_diag_data_buf   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER")
-                                               port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
     u_mm_file_reg_diag_data_buf   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER")
-                                               port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
     u_mm_file_reg_diag_rx_seq     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ")
-                                               port map(mm_rst, i_mm_clk, reg_diag_rx_seq_mosi, reg_diag_rx_seq_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_rx_seq_mosi, reg_diag_rx_seq_miso );
 
     u_mm_file_ram_ss_ss_transp    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE")
-                                             port map(mm_rst, i_mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
+      port map(mm_rst, i_mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
 
     u_mm_file_reg_bsn_monitor     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR")
-                                             port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso);
+      port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso);
 
     u_mm_file_reg_io_ddr          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR")
-                                             port map(mm_rst, i_mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
+      port map(mm_rst, i_mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -243,10 +243,10 @@ begin
     p_switch : process(mm_bus_switch, eth1g_reg_proc_mosi, i_eth1g_reg_mosi)
     begin
       if mm_bus_switch = '1' then
-          eth1g_reg_mosi <= eth1g_reg_proc_mosi;
-        else
-          eth1g_reg_mosi <= i_eth1g_reg_mosi;
-        end if;
+        eth1g_reg_mosi <= eth1g_reg_proc_mosi;
+      else
+        eth1g_reg_mosi <= i_eth1g_reg_mosi;
+      end if;
     end process;
 
     ----------------------------------------------------------------------------
@@ -262,170 +262,170 @@ begin
   ----------------------------------------------------------------------------
   gen_sopc : if g_sim = false generate
     u_sopc : entity work.sopc_unb1_ddr3_reorder
-    port map (
-      clk_0                                              => xo_clk,
-      reset_n                                            => xo_rst_n,
-      mm_clk                                             => i_mm_clk,
-      tse_clk                                            => i_tse_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                           => mm_locked,
-      phasedone_from_the_altpll_0                        => OPEN,
-      areset_to_the_altpll_0                             => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0                  => OPEN,
-      coe_reset_export_from_the_avs_eth_0                => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0          => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0            => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0        => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0             => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0           => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0        => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0          => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0            => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0        => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0             => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0           => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0                    => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0          => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0            => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0        => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0             => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0           => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens               => OPEN,
-      coe_reset_export_from_the_reg_unb_sens             => OPEN,
-      coe_address_export_from_the_reg_unb_sens           => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens            => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens             => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave                   => OPEN,
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps                    => OPEN,
-      coe_reset_export_from_the_pio_pps                  => OPEN,
-      coe_address_export_from_the_pio_pps                => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps                   => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps                 => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps                  => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps              => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info            => OPEN,
-      coe_reset_export_from_the_pio_system_info          => OPEN,
-      coe_address_export_from_the_pio_system_info        => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info           => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info         => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info          => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info      => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info            => OPEN,
-      coe_reset_export_from_the_rom_system_info          => OPEN,
-      coe_address_export_from_the_rom_system_info        => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info           => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info         => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info          => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info      => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb_common.
-      out_port_from_the_pio_wdi                          => pout_wdi,
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi                    => OPEN,
-      coe_reset_export_from_the_reg_wdi                  => OPEN,
-      coe_address_export_from_the_reg_wdi                => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi                   => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi                 => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi                  => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi              => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_bg: entry for the register space of the block generator
-      coe_clk_export_from_the_reg_diag_bg                => OPEN,
-      coe_reset_export_from_the_reg_diag_bg              => OPEN,
-      coe_address_export_from_the_reg_diag_bg            => reg_diag_bg_mosi.address(c_reg_diag_bg_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_bg               => reg_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_bg             => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_bg              => reg_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_bg          => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_rx_seq: entry for the register space of the rx sequencer
-      coe_clk_export_from_the_reg_diag_rx_seq            => OPEN,
-      coe_reset_export_from_the_reg_diag_rx_seq          => OPEN,
-      coe_address_export_from_the_reg_diag_rx_seq        => reg_diag_rx_seq_mosi.address(c_reg_diag_rx_seq_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_rx_seq           => reg_diag_rx_seq_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_rx_seq         => reg_diag_rx_seq_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_rx_seq          => reg_diag_rx_seq_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_rx_seq      => reg_diag_rx_seq_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_tx_seq: entry for the register space of the tx sequencer
-      coe_clk_export_from_the_reg_diag_tx_seq            => OPEN,
-      coe_reset_export_from_the_reg_diag_tx_seq          => OPEN,
-      coe_address_export_from_the_reg_diag_tx_seq        => reg_diag_tx_seq_mosi.address(c_reg_diag_tx_seq_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_tx_seq           => reg_diag_tx_seq_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_tx_seq         => reg_diag_tx_seq_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_tx_seq          => reg_diag_tx_seq_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_tx_seq      => reg_diag_tx_seq_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_bg: entry for the ram space of the block generator
-      coe_clk_export_from_the_ram_diag_bg                => OPEN,
-      coe_reset_export_from_the_ram_diag_bg              => OPEN,
-      coe_address_export_from_the_ram_diag_bg            => ram_diag_bg_mosi.address(c_ram_diag_bg_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_bg               => ram_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_bg             => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_bg              => ram_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_bg          => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buf: register space for the databuffer
-      coe_clk_export_from_the_reg_diag_data_buffer       => OPEN,
-      coe_reset_export_from_the_reg_diag_data_buffer     => OPEN,
-      coe_address_export_from_the_reg_diag_data_buffer   => reg_diag_data_buf_mosi.address(c_reg_diag_data_buf_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_data_buffer      => reg_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_data_buffer    => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_data_buffer     => reg_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_data_buffer => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buf: ram space for the databuffer
-      coe_clk_export_from_the_ram_diag_data_buffer       => OPEN,
-      coe_reset_export_from_the_ram_diag_data_buffer     => OPEN,
-      coe_address_export_from_the_ram_diag_data_buffer   => ram_diag_data_buf_mosi.address(c_ram_diag_data_buf_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_data_buffer      => ram_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buffer    => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_data_buffer     => ram_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buffer => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_ss_ss_transp: ram space for the subband select unit
-      coe_clk_export_from_the_ram_ss_ss_wide             => OPEN,
-      coe_reset_export_from_the_ram_ss_ss_wide           => OPEN,
-      coe_address_export_from_the_ram_ss_ss_wide         => ram_ss_ss_transp_mosi.address(c_ram_ss_ss_transp_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_ss_ss_wide            => ram_ss_ss_transp_mosi.rd,
-      coe_readdata_export_to_the_ram_ss_ss_wide          => ram_ss_ss_transp_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_ss_ss_wide           => ram_ss_ss_transp_mosi.wr,
-      coe_writedata_export_from_the_ram_ss_ss_wide       => ram_ss_ss_transp_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_bsn_monitor
-      coe_address_export_from_the_reg_io_ddr             => reg_io_ddr_mosi.address(1 downto 0),
-      coe_clk_export_from_the_reg_io_ddr                 => OPEN,
-      coe_read_export_from_the_reg_io_ddr                => reg_io_ddr_mosi.rd,
-      coe_readdata_export_to_the_reg_io_ddr              => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_io_ddr               => OPEN,
-      coe_write_export_from_the_reg_io_ddr               => reg_io_ddr_mosi.wr,
-      coe_writedata_export_from_the_reg_io_ddr           => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_bsn_monitor
-      coe_address_export_from_the_reg_bsn_monitor        => reg_bsn_monitor_mosi.address(3 + c_unb1_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_bsn_monitor            => OPEN,
-      coe_read_export_from_the_reg_bsn_monitor           => reg_bsn_monitor_mosi.rd,
-      coe_readdata_export_to_the_reg_bsn_monitor         => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_bsn_monitor          => OPEN,
-      coe_write_export_from_the_reg_bsn_monitor          => reg_bsn_monitor_mosi.wr,
-      coe_writedata_export_from_the_reg_bsn_monitor      => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        clk_0                                              => xo_clk,
+        reset_n                                            => xo_rst_n,
+        mm_clk                                             => i_mm_clk,
+        tse_clk                                            => i_tse_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                           => mm_locked,
+        phasedone_from_the_altpll_0                        => OPEN,
+        areset_to_the_altpll_0                             => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0                  => OPEN,
+        coe_reset_export_from_the_avs_eth_0                => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0          => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0            => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0        => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0             => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0           => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0        => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0          => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0            => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0        => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0             => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0           => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0                    => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0          => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0            => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0        => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0             => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0           => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens               => OPEN,
+        coe_reset_export_from_the_reg_unb_sens             => OPEN,
+        coe_address_export_from_the_reg_unb_sens           => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens            => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens             => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave                   => OPEN,
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps                    => OPEN,
+        coe_reset_export_from_the_pio_pps                  => OPEN,
+        coe_address_export_from_the_pio_pps                => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps                   => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps                 => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps                  => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps              => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info            => OPEN,
+        coe_reset_export_from_the_pio_system_info          => OPEN,
+        coe_address_export_from_the_pio_system_info        => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info           => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info         => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info          => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info      => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info            => OPEN,
+        coe_reset_export_from_the_rom_system_info          => OPEN,
+        coe_address_export_from_the_rom_system_info        => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info           => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info         => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info          => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info      => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb_common.
+        out_port_from_the_pio_wdi                          => pout_wdi,
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi                    => OPEN,
+        coe_reset_export_from_the_reg_wdi                  => OPEN,
+        coe_address_export_from_the_reg_wdi                => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi                   => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi                 => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi                  => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi              => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_bg: entry for the register space of the block generator
+        coe_clk_export_from_the_reg_diag_bg                => OPEN,
+        coe_reset_export_from_the_reg_diag_bg              => OPEN,
+        coe_address_export_from_the_reg_diag_bg            => reg_diag_bg_mosi.address(c_reg_diag_bg_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_bg               => reg_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_bg             => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_bg              => reg_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_bg          => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_rx_seq: entry for the register space of the rx sequencer
+        coe_clk_export_from_the_reg_diag_rx_seq            => OPEN,
+        coe_reset_export_from_the_reg_diag_rx_seq          => OPEN,
+        coe_address_export_from_the_reg_diag_rx_seq        => reg_diag_rx_seq_mosi.address(c_reg_diag_rx_seq_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_rx_seq           => reg_diag_rx_seq_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_rx_seq         => reg_diag_rx_seq_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_rx_seq          => reg_diag_rx_seq_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_rx_seq      => reg_diag_rx_seq_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_tx_seq: entry for the register space of the tx sequencer
+        coe_clk_export_from_the_reg_diag_tx_seq            => OPEN,
+        coe_reset_export_from_the_reg_diag_tx_seq          => OPEN,
+        coe_address_export_from_the_reg_diag_tx_seq        => reg_diag_tx_seq_mosi.address(c_reg_diag_tx_seq_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_tx_seq           => reg_diag_tx_seq_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_tx_seq         => reg_diag_tx_seq_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_tx_seq          => reg_diag_tx_seq_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_tx_seq      => reg_diag_tx_seq_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_bg: entry for the ram space of the block generator
+        coe_clk_export_from_the_ram_diag_bg                => OPEN,
+        coe_reset_export_from_the_ram_diag_bg              => OPEN,
+        coe_address_export_from_the_ram_diag_bg            => ram_diag_bg_mosi.address(c_ram_diag_bg_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_bg               => ram_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_bg             => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_bg              => ram_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_bg          => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buf: register space for the databuffer
+        coe_clk_export_from_the_reg_diag_data_buffer       => OPEN,
+        coe_reset_export_from_the_reg_diag_data_buffer     => OPEN,
+        coe_address_export_from_the_reg_diag_data_buffer   => reg_diag_data_buf_mosi.address(c_reg_diag_data_buf_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_data_buffer      => reg_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_data_buffer    => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_data_buffer     => reg_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_data_buffer => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buf: ram space for the databuffer
+        coe_clk_export_from_the_ram_diag_data_buffer       => OPEN,
+        coe_reset_export_from_the_ram_diag_data_buffer     => OPEN,
+        coe_address_export_from_the_ram_diag_data_buffer   => ram_diag_data_buf_mosi.address(c_ram_diag_data_buf_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_data_buffer      => ram_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buffer    => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_data_buffer     => ram_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buffer => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_ss_ss_transp: ram space for the subband select unit
+        coe_clk_export_from_the_ram_ss_ss_wide             => OPEN,
+        coe_reset_export_from_the_ram_ss_ss_wide           => OPEN,
+        coe_address_export_from_the_ram_ss_ss_wide         => ram_ss_ss_transp_mosi.address(c_ram_ss_ss_transp_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_ss_ss_wide            => ram_ss_ss_transp_mosi.rd,
+        coe_readdata_export_to_the_ram_ss_ss_wide          => ram_ss_ss_transp_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_ss_ss_wide           => ram_ss_ss_transp_mosi.wr,
+        coe_writedata_export_from_the_ram_ss_ss_wide       => ram_ss_ss_transp_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_bsn_monitor
+        coe_address_export_from_the_reg_io_ddr             => reg_io_ddr_mosi.address(1 downto 0),
+        coe_clk_export_from_the_reg_io_ddr                 => OPEN,
+        coe_read_export_from_the_reg_io_ddr                => reg_io_ddr_mosi.rd,
+        coe_readdata_export_to_the_reg_io_ddr              => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_io_ddr               => OPEN,
+        coe_write_export_from_the_reg_io_ddr               => reg_io_ddr_mosi.wr,
+        coe_writedata_export_from_the_reg_io_ddr           => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_bsn_monitor
+        coe_address_export_from_the_reg_bsn_monitor        => reg_bsn_monitor_mosi.address(3 + c_unb1_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_bsn_monitor            => OPEN,
+        coe_read_export_from_the_reg_bsn_monitor           => reg_bsn_monitor_mosi.rd,
+        coe_readdata_export_to_the_reg_bsn_monitor         => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_bsn_monitor          => OPEN,
+        coe_write_export_from_the_reg_bsn_monitor          => reg_bsn_monitor_mosi.wr,
+        coe_writedata_export_from_the_reg_bsn_monitor      => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0)
+      );
 
   end generate;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/node_unb1_ddr3_reorder.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/node_unb1_ddr3_reorder.vhd
index 2e3956bcd0..e2e286368e 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/node_unb1_ddr3_reorder.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/node_unb1_ddr3_reorder.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib, reorder_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use reorder_lib.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use reorder_lib.reorder_pkg.all;
 
 entity node_unb1_ddr3_reorder is
   generic (
@@ -43,7 +43,7 @@ entity node_unb1_ddr3_reorder is
     g_frame_size_out : natural       := 176;
     g_reorder_seq    : t_reorder_seq := c_reorder_seq
   );
-port (
+  port (
     -- System
     mm_rst                : in    std_logic;
     mm_clk                : in    std_logic;
@@ -143,27 +143,27 @@ architecture str of node_unb1_ddr3_reorder is
 begin
 
   u_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => c_nof_bsn_streams,  -- Check one input and one output stream
-    g_cross_clock_domain => true,
-    g_bsn_w              => c_dp_stream_bsn_w,
-    g_cnt_sop_w          => c_word_w,
-    g_cnt_valid_w        => c_word_w,
-    g_log_first_bsn      => true
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    -- Streaming clock domain
-    dp_rst      => ddr_out_rst_i,
-    dp_clk      => ddr_out_clk_i,
-    in_siso_arr => (others => c_dp_siso_rdy),
-    in_sosi_arr => bsn_sosi_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_bsn_streams,  -- Check one input and one output stream
+      g_cross_clock_domain => true,
+      g_bsn_w              => c_dp_stream_bsn_w,
+      g_cnt_sop_w          => c_word_w,
+      g_cnt_valid_w        => c_word_w,
+      g_log_first_bsn      => true
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      -- Streaming clock domain
+      dp_rst      => ddr_out_rst_i,
+      dp_clk      => ddr_out_clk_i,
+      in_siso_arr => (others => c_dp_siso_rdy),
+      in_sosi_arr => bsn_sosi_arr
+    );
 
   bsn_sosi_arr(0) <= bg_sosi_arr(0);
   bsn_sosi_arr(1) <= to_mem_sosi;
@@ -174,113 +174,113 @@ begin
   -- TRANSPOSE UNIT
   ------------------------------------------------------------------------------
   u_transpose: entity reorder_lib.reorder_transpose
-  generic map(
-    g_nof_streams    => g_nof_streams,
-    g_in_dat_w       => g_in_dat_w,
-    g_frame_size_in  => g_frame_size_in,
-    g_frame_size_out => g_frame_size_out,
-    g_use_complex    => c_use_complex,
-    g_ena_pre_transp => g_ena_pre_transp,
-    g_reorder_seq    => g_reorder_seq
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => ddr_out_rst_i,
-    dp_clk                => ddr_out_clk_i,
-
-    -- ST sink
-    snk_out_arr           => bg_siso_arr,
-    snk_in_arr            => bg_sosi_arr,
-
-    -- ST source
-    src_in_arr            => db_siso_arr,
-    src_out_arr           => db_sosi_arr,
-
-    ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
-
-    -- Control interface to the external memory
-    dvr_miso              => ctlr_dvr_miso,
-    dvr_mosi              => ctlr_dvr_mosi,
-
-    -- Data interface to the external memory
-    to_mem_src_out        => to_mem_sosi,
-    to_mem_src_in         => to_mem_siso,
-
-    from_mem_snk_in       => from_mem_sosi,
-    from_mem_snk_out      => from_mem_siso
-
-  );
+    generic map(
+      g_nof_streams    => g_nof_streams,
+      g_in_dat_w       => g_in_dat_w,
+      g_frame_size_in  => g_frame_size_in,
+      g_frame_size_out => g_frame_size_out,
+      g_use_complex    => c_use_complex,
+      g_ena_pre_transp => g_ena_pre_transp,
+      g_reorder_seq    => g_reorder_seq
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => ddr_out_rst_i,
+      dp_clk                => ddr_out_clk_i,
+
+      -- ST sink
+      snk_out_arr           => bg_siso_arr,
+      snk_in_arr            => bg_sosi_arr,
+
+      -- ST source
+      src_in_arr            => db_siso_arr,
+      src_out_arr           => db_sosi_arr,
+
+      ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
+
+      -- Control interface to the external memory
+      dvr_miso              => ctlr_dvr_miso,
+      dvr_mosi              => ctlr_dvr_mosi,
+
+      -- Data interface to the external memory
+      to_mem_src_out        => to_mem_sosi,
+      to_mem_src_in         => to_mem_siso,
+
+      from_mem_snk_in       => from_mem_sosi,
+      from_mem_snk_out      => from_mem_siso
+
+    );
 
   ------------------------------------------------------------------------------
   -- DDR3 MODULE 0, MB_I
   ------------------------------------------------------------------------------
   u_ddr_mem_ctrl : entity io_ddr_lib.io_ddr
-  generic map(
-    g_technology             => g_tech_select_default,
-    g_tech_ddr               => g_tech_ddr,
-    g_cross_domain_dvr_ctlr  => false,
-    g_wr_data_w              => c_data_w,
-    g_wr_fifo_depth          => c_fifo_depth,
-    g_rd_fifo_depth          => c_fifo_depth,
-    g_rd_data_w              => c_data_w,
-    g_wr_flush_mode          => "SYN",
-    g_wr_flush_use_channel   => false,
-    g_wr_flush_start_channel => 0,
-    g_wr_flush_nof_channels  => 1
-  )
-  port map (
-    -- DDR reference clock
-    ctlr_ref_clk  => ddr_ref_clk,
-    ctlr_ref_rst  => ddr_ref_rst,
-
-    -- DDR controller clock domain
-    ctlr_clk_out  => ddr_out_clk_i,  -- output clock of the ddr controller is used as DP clk.
-    ctlr_rst_out  => ddr_out_rst_i,
-
-    ctlr_clk_in   => ddr_out_clk_i,
-    ctlr_rst_in   => ddr_out_rst_i,
-
-    -- MM clock + reset
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    -- MM register map for DDR controller status info
-    reg_io_ddr_mosi => reg_io_ddr_mosi,
-    reg_io_ddr_miso => reg_io_ddr_miso,
-
-    -- Driver clock domain
-    dvr_clk       => ddr_out_clk_i,
-    dvr_rst       => ddr_out_rst_i,
-
-    dvr_miso      => ctlr_dvr_miso,
-    dvr_mosi      => ctlr_dvr_mosi,
-
-    -- Write FIFO clock domain
-    wr_clk        => ddr_out_clk_i,
-    wr_rst        => ddr_out_rst_i,
-
-    wr_fifo_usedw => OPEN,
-    wr_sosi       => to_mem_sosi,
-    wr_siso       => to_mem_siso,
-
-    -- Read FIFO clock domain
-    rd_clk        => ddr_out_clk_i,
-    rd_rst        => ddr_out_rst_i,
-
-    rd_fifo_usedw => OPEN,
-    rd_sosi       => from_mem_sosi,
-    rd_siso       => from_mem_siso,
-
-    term_ctrl_out => OPEN,
-    term_ctrl_in  => OPEN,
-
-    phy3_in       => MB_I_IN,
-    phy3_io       => MB_I_IO,
-    phy3_ou       => MB_I_OU
-  );
+    generic map(
+      g_technology             => g_tech_select_default,
+      g_tech_ddr               => g_tech_ddr,
+      g_cross_domain_dvr_ctlr  => false,
+      g_wr_data_w              => c_data_w,
+      g_wr_fifo_depth          => c_fifo_depth,
+      g_rd_fifo_depth          => c_fifo_depth,
+      g_rd_data_w              => c_data_w,
+      g_wr_flush_mode          => "SYN",
+      g_wr_flush_use_channel   => false,
+      g_wr_flush_start_channel => 0,
+      g_wr_flush_nof_channels  => 1
+    )
+    port map (
+      -- DDR reference clock
+      ctlr_ref_clk  => ddr_ref_clk,
+      ctlr_ref_rst  => ddr_ref_rst,
+
+      -- DDR controller clock domain
+      ctlr_clk_out  => ddr_out_clk_i,  -- output clock of the ddr controller is used as DP clk.
+      ctlr_rst_out  => ddr_out_rst_i,
+
+      ctlr_clk_in   => ddr_out_clk_i,
+      ctlr_rst_in   => ddr_out_rst_i,
+
+      -- MM clock + reset
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      -- MM register map for DDR controller status info
+      reg_io_ddr_mosi => reg_io_ddr_mosi,
+      reg_io_ddr_miso => reg_io_ddr_miso,
+
+      -- Driver clock domain
+      dvr_clk       => ddr_out_clk_i,
+      dvr_rst       => ddr_out_rst_i,
+
+      dvr_miso      => ctlr_dvr_miso,
+      dvr_mosi      => ctlr_dvr_mosi,
+
+      -- Write FIFO clock domain
+      wr_clk        => ddr_out_clk_i,
+      wr_rst        => ddr_out_rst_i,
+
+      wr_fifo_usedw => OPEN,
+      wr_sosi       => to_mem_sosi,
+      wr_siso       => to_mem_siso,
+
+      -- Read FIFO clock domain
+      rd_clk        => ddr_out_clk_i,
+      rd_rst        => ddr_out_rst_i,
+
+      rd_fifo_usedw => OPEN,
+      rd_sosi       => from_mem_sosi,
+      rd_siso       => from_mem_siso,
+
+      term_ctrl_out => OPEN,
+      term_ctrl_in  => OPEN,
+
+      phy3_in       => MB_I_IN,
+      phy3_io       => MB_I_IO,
+      phy3_ou       => MB_I_OU
+    );
 
   ddr_out_clk <= ddr_out_clk_i;
   ddr_out_rst <= ddr_out_rst_i;
@@ -289,70 +289,70 @@ begin
   -- DIAG Block Generator
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    -- Generate configurations
-    g_use_usr_input      => false,
-    g_use_bg             => true,
-    g_use_tx_seq         => true,
-    -- General
-    g_nof_streams        => g_nof_streams,
-    -- BG settings
-    g_use_bg_buffer_ram  => false,
-    -- Tx_seq
-    g_seq_dat_w          => c_data_w
-  )
-  port map (
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => ddr_out_rst_i,
-    dp_clk           => ddr_out_clk_i,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_diag_tx_seq_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map (
+      -- Generate configurations
+      g_use_usr_input      => false,
+      g_use_bg             => true,
+      g_use_tx_seq         => true,
+      -- General
+      g_nof_streams        => g_nof_streams,
+      -- BG settings
+      g_use_bg_buffer_ram  => false,
+      -- Tx_seq
+      g_seq_dat_w          => c_data_w
+    )
+    port map (
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => ddr_out_rst_i,
+      dp_clk           => ddr_out_clk_i,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_diag_tx_seq_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- DIAG Rx seq with optional Data Buffer
   -----------------------------------------------------------------------------
   u_mms_diag_data_buffer: entity diag_lib.mms_diag_data_buffer
-  generic map (
-    -- Generate configurations
-    g_use_db       => c_use_db,
-    g_use_rx_seq   => c_use_rx_seq,
-    -- General
-    g_nof_streams  => g_nof_streams,
-    -- DB settings
-    g_data_type    => e_data,  -- define the sosi field that gets stored: e_data=data, e_complex=im&re, e_real=re, e_imag=im,
-    g_data_w       => c_data_w,
-    g_buf_nof_data => c_buf_nof_data,
-    g_buf_use_sync => c_buf_use_sync,  -- when TRUE start filling the buffer at the in_sync, else after the last word was read,
-    -- Rx_seq
-    g_use_steps    => c_use_steps,
-    g_seq_dat_w    => c_data_w
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => ddr_out_rst_i,
-    dp_clk            => ddr_out_clk_i,
-    -- MM interface
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_diag_rx_seq_miso,
-
-    -- ST interface
-    in_sosi_arr       => db_sosi_arr
-  );
+    generic map (
+      -- Generate configurations
+      g_use_db       => c_use_db,
+      g_use_rx_seq   => c_use_rx_seq,
+      -- General
+      g_nof_streams  => g_nof_streams,
+      -- DB settings
+      g_data_type    => e_data,  -- define the sosi field that gets stored: e_data=data, e_complex=im&re, e_real=re, e_imag=im,
+      g_data_w       => c_data_w,
+      g_buf_nof_data => c_buf_nof_data,
+      g_buf_use_sync => c_buf_use_sync,  -- when TRUE start filling the buffer at the in_sync, else after the last word was read,
+      -- Rx_seq
+      g_use_steps    => c_use_steps,
+      g_seq_dat_w    => c_data_w
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => ddr_out_rst_i,
+      dp_clk            => ddr_out_clk_i,
+      -- MM interface
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_diag_rx_seq_miso,
+
+      -- ST interface
+      in_sosi_arr       => db_sosi_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/unb1_ddr3_reorder.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/unb1_ddr3_reorder.vhd
index 07190743fb..10317ab1ea 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/unb1_ddr3_reorder.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/src/vhdl/unb1_ddr3_reorder.vhd
@@ -20,18 +20,18 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, technology_lib, tech_ddr_lib, diag_lib, reorder_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use eth_lib.eth_pkg.all;
-use diag_lib.diag_pkg.all;
-use reorder_lib.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use reorder_lib.reorder_pkg.all;
 
 entity unb1_ddr3_reorder is
   generic (
@@ -97,12 +97,14 @@ architecture str of unb1_ddr3_reorder is
   constant c_nof_blocks       : natural := sel_a_b(g_sim, 16, 800000);
   constant c_nof_streams      : natural := 1;
   constant c_in_dat_w         : natural := 64;
-  constant c_reorder_seq_conf : t_reorder_seq := (c_wr_chunksize,
-                                                  c_rd_chunksize,
-                                                  c_rd_nof_chunks,
-                                                  c_rd_interval,
-                                                  c_gapsize,
-                                                  c_nof_blocks);
+  constant c_reorder_seq_conf : t_reorder_seq := (
+    c_wr_chunksize,
+    c_rd_chunksize,
+    c_rd_nof_chunks,
+    c_rd_interval,
+    c_gapsize,
+    c_nof_blocks
+  );
 
   -- System
   signal cs_sim                   : std_logic;
@@ -175,7 +177,7 @@ architecture str of unb1_ddr3_reorder is
   signal reg_io_ddr_mosi          : t_mem_mosi;
   signal reg_io_ddr_miso          : t_mem_miso;
 
-   -- . UniBoard I2C sens
+  -- . UniBoard I2C sens
   signal reg_unb_sens_mosi        : t_mem_mosi;
   signal reg_unb_sens_miso        : t_mem_miso;
 
@@ -196,264 +198,264 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    -- General
-    g_sim            => g_sim,
-    g_stamp_date     => g_stamp_date,
-    g_stamp_time     => g_stamp_time,
-    g_stamp_svn      => g_stamp_svn,
-    g_design_name    => g_design_name,
-    g_design_note    => g_design_note,
-    g_fw_version     => c_fw_version,
-    g_mm_clk_freq    => c_unb1_board_mm_clk_freq_50M,
-    g_dp_clk_use_pll => false,
-    g_app_led_red    => c_app_led_en,
-    g_app_led_green  => c_app_led_en,
-    g_use_phy        => c_use_phy,
-    g_aux            => c_aux
-  )
-  port map (
-    --
-    -- >>> SOPC system with conduit peripheral MM bus
-    --
-    -- System
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => OPEN,  -- dp_rst,
-    dp_clk                   => OPEN,  -- dp_clk, -- dp_clk is now generated in the DDR controller
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    this_chip_id             => this_chip_id,
-    this_bck_id              => OPEN,
-
-    app_led_red              => app_led_red,
-    app_led_green            => app_led_green,
-
-    -- PIOs
-    pout_debug_wave          => pout_debug_wave,
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-     -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-
-    -- Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      -- General
+      g_sim            => g_sim,
+      g_stamp_date     => g_stamp_date,
+      g_stamp_time     => g_stamp_time,
+      g_stamp_svn      => g_stamp_svn,
+      g_design_name    => g_design_name,
+      g_design_note    => g_design_note,
+      g_fw_version     => c_fw_version,
+      g_mm_clk_freq    => c_unb1_board_mm_clk_freq_50M,
+      g_dp_clk_use_pll => false,
+      g_app_led_red    => c_app_led_en,
+      g_app_led_green  => c_app_led_en,
+      g_use_phy        => c_use_phy,
+      g_aux            => c_aux
+    )
+    port map (
+      --
+      -- >>> SOPC system with conduit peripheral MM bus
+      --
+      -- System
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => OPEN,  -- dp_rst,
+      dp_clk                   => OPEN,  -- dp_clk, -- dp_clk is now generated in the DDR controller
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      this_chip_id             => this_chip_id,
+      this_bck_id              => OPEN,
+
+      app_led_red              => app_led_red,
+      app_led_green            => app_led_green,
+
+      -- PIOs
+      pout_debug_wave          => pout_debug_wave,
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+
+      -- Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   u_mmm : entity work.mmm_unb1_ddr3_reorder
-  generic map(
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_nof_streams => c_nof_streams,
-    g_reorder_seq => c_reorder_seq_conf
-  )
-  port map (
-    -- GENERAL
-    xo_clk                    => xo_clk,
-    xo_rst_n                  => xo_rst_n,
-    xo_rst                    => xo_rst,
-
-    mm_rst                    => mm_rst,
-    mm_clk                    => mm_clk,
-    mm_locked                 => mm_locked,
-    cal_clk                   => cal_clk,
-
-    pout_wdi                  => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi              => reg_wdi_mosi,
-    reg_wdi_miso              => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi  => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso  => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi  => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso  => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi         => reg_unb_sens_mosi,
-    reg_unb_sens_miso         => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi             => reg_ppsh_mosi,
-    reg_ppsh_miso             => reg_ppsh_miso,
-
-    -- Blockgenerator
-    reg_diag_bg_mosi          => reg_diag_bg_mosi,
-    reg_diag_bg_miso          => reg_diag_bg_miso,
-    ram_diag_bg_mosi          => ram_diag_bg_mosi,
-    ram_diag_bg_miso          => ram_diag_bg_miso,
-
-    -- TX Sequencer
-    reg_diag_tx_seq_mosi      => reg_diag_tx_seq_mosi,
-    reg_diag_tx_seq_miso      => reg_diag_tx_seq_miso,
-
-    -- DDR3 transpose
-    ram_ss_ss_transp_mosi     => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso     => ram_ss_ss_transp_miso,
-
-    -- eth1g
-    eth1g_tse_clk             => eth1g_tse_clk,
-    eth1g_mm_rst              => eth1g_mm_rst,
-    eth1g_tse_mosi            => eth1g_tse_mosi,
-    eth1g_tse_miso            => eth1g_tse_miso,
-    eth1g_reg_mosi            => eth1g_reg_mosi,
-    eth1g_reg_miso            => eth1g_reg_miso,
-    eth1g_reg_interrupt       => eth1g_reg_interrupt,
-    eth1g_ram_mosi            => eth1g_ram_mosi,
-    eth1g_ram_miso            => eth1g_ram_miso,
-
-    -- Databuffers
-    ram_diag_data_buf_mosi    => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso    => ram_diag_data_buf_miso,
-    reg_diag_data_buf_mosi    => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso    => reg_diag_data_buf_miso,
-
-    -- RX Sequencer
-    reg_diag_rx_seq_mosi      => reg_diag_rx_seq_mosi,
-    reg_diag_rx_seq_miso      => reg_diag_rx_seq_miso,
-
-    -- BSN monitor
-    reg_bsn_monitor_mosi      => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso      => reg_bsn_monitor_miso,
-
-    -- IO DDR register map
-    reg_io_ddr_mosi           => reg_io_ddr_mosi,
-    reg_io_ddr_miso           => reg_io_ddr_miso
-
-  );
+    generic map(
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_nof_streams => c_nof_streams,
+      g_reorder_seq => c_reorder_seq_conf
+    )
+    port map (
+      -- GENERAL
+      xo_clk                    => xo_clk,
+      xo_rst_n                  => xo_rst_n,
+      xo_rst                    => xo_rst,
+
+      mm_rst                    => mm_rst,
+      mm_clk                    => mm_clk,
+      mm_locked                 => mm_locked,
+      cal_clk                   => cal_clk,
+
+      pout_wdi                  => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi              => reg_wdi_mosi,
+      reg_wdi_miso              => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi  => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso  => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi  => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso  => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi         => reg_unb_sens_mosi,
+      reg_unb_sens_miso         => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi             => reg_ppsh_mosi,
+      reg_ppsh_miso             => reg_ppsh_miso,
+
+      -- Blockgenerator
+      reg_diag_bg_mosi          => reg_diag_bg_mosi,
+      reg_diag_bg_miso          => reg_diag_bg_miso,
+      ram_diag_bg_mosi          => ram_diag_bg_mosi,
+      ram_diag_bg_miso          => ram_diag_bg_miso,
+
+      -- TX Sequencer
+      reg_diag_tx_seq_mosi      => reg_diag_tx_seq_mosi,
+      reg_diag_tx_seq_miso      => reg_diag_tx_seq_miso,
+
+      -- DDR3 transpose
+      ram_ss_ss_transp_mosi     => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso     => ram_ss_ss_transp_miso,
+
+      -- eth1g
+      eth1g_tse_clk             => eth1g_tse_clk,
+      eth1g_mm_rst              => eth1g_mm_rst,
+      eth1g_tse_mosi            => eth1g_tse_mosi,
+      eth1g_tse_miso            => eth1g_tse_miso,
+      eth1g_reg_mosi            => eth1g_reg_mosi,
+      eth1g_reg_miso            => eth1g_reg_miso,
+      eth1g_reg_interrupt       => eth1g_reg_interrupt,
+      eth1g_ram_mosi            => eth1g_ram_mosi,
+      eth1g_ram_miso            => eth1g_ram_miso,
+
+      -- Databuffers
+      ram_diag_data_buf_mosi    => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso    => ram_diag_data_buf_miso,
+      reg_diag_data_buf_mosi    => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso    => reg_diag_data_buf_miso,
+
+      -- RX Sequencer
+      reg_diag_rx_seq_mosi      => reg_diag_rx_seq_mosi,
+      reg_diag_rx_seq_miso      => reg_diag_rx_seq_miso,
+
+      -- BSN monitor
+      reg_bsn_monitor_mosi      => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso      => reg_bsn_monitor_miso,
+
+      -- IO DDR register map
+      reg_io_ddr_mosi           => reg_io_ddr_mosi,
+      reg_io_ddr_miso           => reg_io_ddr_miso
+
+    );
 
   u_areset_ddr_ref_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1',
-    g_delay_len => 40
-  )
-  port map(
-    clk     => CLK,
-    in_rst  => '0',
-    out_rst => ddr_ref_rst
-  );
+    generic map(
+      g_rst_level => '1',
+      g_delay_len => 40
+    )
+    port map(
+      clk     => CLK,
+      in_rst  => '0',
+      out_rst => ddr_ref_rst
+    );
 
   u_node : entity work.node_unb1_ddr3_reorder
-  generic map(
-    g_sim            => g_sim,
-    g_use_MB_I       => g_use_MB_I,
-    g_tech_ddr       => g_tech_ddr,
-    g_nof_streams    => c_nof_streams,
-    g_in_dat_w       => c_in_dat_w,
-    g_ena_pre_transp => c_ena_pre_transp,
-    g_frame_size_in  => c_frame_size_in,
-    g_frame_size_out => c_frame_size_out,
-    g_reorder_seq    => c_reorder_seq_conf
-  )
-  port map(
-    -- System
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    ddr_ref_clk           => CLK,  -- Provide external 200 MHZ clk to DDR controller
-    ddr_ref_rst           => ddr_ref_rst,
-
-    -- Clock outputs
-    ddr_out_clk           => dp_clk,
-    ddr_out_rst           => dp_rst,  -- dp_clk is generated by DDR controller
-
-    -- IO DDR register map
-    reg_io_ddr_mosi       => reg_io_ddr_mosi,
-    reg_io_ddr_miso       => reg_io_ddr_miso,
-
-    -- Reorder transpose
-    ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
-
-    -- BSN monitor
-    reg_bsn_monitor_mosi  => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso  => reg_bsn_monitor_miso,
-
-    -- Data Buffer Control
-    reg_diag_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso => reg_diag_data_buf_miso,
-
-    -- Data Buffer Data
-    ram_diag_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso => ram_diag_data_buf_miso,
-
-    -- Blockgenerator Control
-    reg_diag_bg_mosi      => reg_diag_bg_mosi,
-    reg_diag_bg_miso      => reg_diag_bg_miso,
-
-    -- Blockgenerator Data
-    ram_diag_bg_mosi      => ram_diag_bg_mosi,
-    ram_diag_bg_miso      => ram_diag_bg_miso,
-
-    -- TX Sequencer
-    reg_diag_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_diag_tx_seq_miso  => reg_diag_tx_seq_miso,
-
-    -- RX Sequencer
-    reg_diag_rx_seq_mosi  => reg_diag_rx_seq_mosi,
-    reg_diag_rx_seq_miso  => reg_diag_rx_seq_miso,
-
-    -- SO-DIMM Memory Bank I = ddr3_I
-    MB_I_in               =>  MB_I_IN,
-    MB_I_io               =>  MB_I_IO,
-    MB_I_ou               =>  MB_I_OU
-  );
+    generic map(
+      g_sim            => g_sim,
+      g_use_MB_I       => g_use_MB_I,
+      g_tech_ddr       => g_tech_ddr,
+      g_nof_streams    => c_nof_streams,
+      g_in_dat_w       => c_in_dat_w,
+      g_ena_pre_transp => c_ena_pre_transp,
+      g_frame_size_in  => c_frame_size_in,
+      g_frame_size_out => c_frame_size_out,
+      g_reorder_seq    => c_reorder_seq_conf
+    )
+    port map(
+      -- System
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      ddr_ref_clk           => CLK,  -- Provide external 200 MHZ clk to DDR controller
+      ddr_ref_rst           => ddr_ref_rst,
+
+      -- Clock outputs
+      ddr_out_clk           => dp_clk,
+      ddr_out_rst           => dp_rst,  -- dp_clk is generated by DDR controller
+
+      -- IO DDR register map
+      reg_io_ddr_mosi       => reg_io_ddr_mosi,
+      reg_io_ddr_miso       => reg_io_ddr_miso,
+
+      -- Reorder transpose
+      ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
+
+      -- BSN monitor
+      reg_bsn_monitor_mosi  => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso  => reg_bsn_monitor_miso,
+
+      -- Data Buffer Control
+      reg_diag_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso => reg_diag_data_buf_miso,
+
+      -- Data Buffer Data
+      ram_diag_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso => ram_diag_data_buf_miso,
+
+      -- Blockgenerator Control
+      reg_diag_bg_mosi      => reg_diag_bg_mosi,
+      reg_diag_bg_miso      => reg_diag_bg_miso,
+
+      -- Blockgenerator Data
+      ram_diag_bg_mosi      => ram_diag_bg_mosi,
+      ram_diag_bg_miso      => ram_diag_bg_miso,
+
+      -- TX Sequencer
+      reg_diag_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_diag_tx_seq_miso  => reg_diag_tx_seq_miso,
+
+      -- RX Sequencer
+      reg_diag_rx_seq_mosi  => reg_diag_rx_seq_mosi,
+      reg_diag_rx_seq_miso  => reg_diag_rx_seq_miso,
+
+      -- SO-DIMM Memory Bank I = ddr3_I
+      MB_I_in               =>  MB_I_IN,
+      MB_I_io               =>  MB_I_IO,
+      MB_I_ou               =>  MB_I_OU
+    );
 
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_ddr3_reorder/tb/vhdl/tb_unb1_ddr3_reorder.vhd b/boards/uniboard1/designs/unb1_ddr3_reorder/tb/vhdl/tb_unb1_ddr3_reorder.vhd
index 89a57d08b4..56ab74b0a3 100644
--- a/boards/uniboard1/designs/unb1_ddr3_reorder/tb/vhdl/tb_unb1_ddr3_reorder.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_reorder/tb/vhdl/tb_unb1_ddr3_reorder.vhd
@@ -27,29 +27,29 @@
 library ip_stratixiv_ddr3_mem_model_lib;
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib, dp_lib, io_ddr_lib, eth_lib, technology_lib, tech_ddr_lib, diag_lib, reorder_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use tech_ddr_lib.tech_ddr_mem_model_component_pkg.all;
-use eth_lib.eth_pkg.all;
-use diag_lib.diag_pkg.all;
-use reorder_lib.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use tech_ddr_lib.tech_ddr_mem_model_component_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use reorder_lib.reorder_pkg.all;
 
 entity tb_unb1_ddr3_reorder is
-    generic (
-      g_design_name : string       := "unb1_ddr3_reorder";
-      g_design_note : string       := "Reference Reorder";
-      g_sim_unb_nr  : natural      := 0;  -- UniBoard 0
-      g_sim_node_nr : natural      := 7;  -- Back node 3
-      g_tech_ddr    : t_c_tech_ddr := c_tech_ddr3_4g_single_rank_800m_master
-    );
+  generic (
+    g_design_name : string       := "unb1_ddr3_reorder";
+    g_design_note : string       := "Reference Reorder";
+    g_sim_unb_nr  : natural      := 0;  -- UniBoard 0
+    g_sim_node_nr : natural      := 7;  -- Back node 3
+    g_tech_ddr    : t_c_tech_ddr := c_tech_ddr3_4g_single_rank_800m_master
+  );
 end tb_unb1_ddr3_reorder;
 
 architecture tb of tb_unb1_ddr3_reorder is
@@ -126,7 +126,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_pps_period, '1', pps_rst, clk, pps);
 
- ------------------------------------------------------------------------------
+  ------------------------------------------------------------------------------
   -- 1GbE Loopback model
   ------------------------------------------------------------------------------
   eth_rxp <= transport eth_txp after c_cable_delay;
@@ -174,51 +174,51 @@ begin
   -- DDR3 memory model
   ------------------------------------------------------------------------------
   u_tech_ddr_memory_model : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => g_tech_ddr
-  )
-  port map (
-    mem3_in => phy_ou,
-    mem3_io => phy_io,
-    mem3_ou => phy_in
-  );
+    generic map (
+      g_tech_ddr => g_tech_ddr
+    )
+    port map (
+      mem3_in => phy_ou,
+      mem3_io => phy_io,
+      mem3_ou => phy_in
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard sensors
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/mmm_unb1_ddr3_transpose.vhd b/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/mmm_unb1_ddr3_transpose.vhd
index 7d0c3aabe1..7c6a3c70fb 100644
--- a/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/mmm_unb1_ddr3_transpose.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/mmm_unb1_ddr3_transpose.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, ddr3_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use common_lib.common_field_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use ddr3_lib.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use ddr3_lib.ddr3_pkg.all;
 
 
 entity mmm_unb_ddr3_transpose is
@@ -141,50 +141,50 @@ begin
     mm_locked <= '0', '1' after c_mm_clk_period * 5;
 
     u_mm_file_reg_unb_system_info  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-                                             port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
     u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-                                             port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
     u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_RE")
-                                             port map(mm_rst, i_mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
     u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_RE")
-                                             port map(mm_rst, i_mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
     u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_IM")
-                                             port map(mm_rst, i_mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
     u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_IM")
-                                             port map(mm_rst, i_mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
     u_mm_file_ram_ss_ss_transp     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE")
-                                             port map(mm_rst, i_mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
+      port map(mm_rst, i_mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
 
     u_mm_file_reg_bsn_monitor      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR")
-                                             port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
+      port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
 
     u_mm_file_reg_io_ddr           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR")
-                                             port map(mm_rst, i_mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
+      port map(mm_rst, i_mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -199,169 +199,169 @@ begin
   ----------------------------------------------------------------------------
   gen_sopc : if g_sim = false generate
     u_sopc : entity work.sopc_unb_ddr3_transpose
-    port map (
-      clk_0                                         => xo_clk,
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,
-      tse_clk                                       => eth1g_tse_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-      areset_to_the_altpll_0                        => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave              => OPEN,
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb_common.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_bg: entry for the register space of the block generator
-      coe_clk_export_from_the_reg_diag_bg       => OPEN,
-      coe_reset_export_from_the_reg_diag_bg     => OPEN,
-      coe_address_export_from_the_reg_diag_bg   => reg_diag_bg_mosi.address(c_reg_diag_bg_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_bg      => reg_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_bg    => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_bg     => reg_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_bg => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_bg: entry for the ram space of the block generator
-      coe_clk_export_from_the_ram_diag_bg       => OPEN,
-      coe_reset_export_from_the_ram_diag_bg     => OPEN,
-      coe_address_export_from_the_ram_diag_bg   => ram_diag_bg_mosi.address(c_ram_diag_bg_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_bg      => ram_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_bg    => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_bg     => ram_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_bg => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buf_im: register space for the imaginary databuffer
-      coe_clk_export_from_the_reg_diag_data_buffer_im       => OPEN,
-      coe_reset_export_from_the_reg_diag_data_buffer_im     => OPEN,
-      coe_address_export_from_the_reg_diag_data_buffer_im   => reg_diag_data_buf_im_mosi.address(c_reg_diag_data_buf_im_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_data_buffer_im      => reg_diag_data_buf_im_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_data_buffer_im    => reg_diag_data_buf_im_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_data_buffer_im     => reg_diag_data_buf_im_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_data_buffer_im => reg_diag_data_buf_im_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buf_im: ram space for the imaginary databuffer
-      coe_clk_export_from_the_ram_diag_data_buffer_im       => OPEN,
-      coe_reset_export_from_the_ram_diag_data_buffer_im     => OPEN,
-      coe_address_export_from_the_ram_diag_data_buffer_im   => ram_diag_data_buf_im_mosi.address(c_ram_diag_data_buf_im_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_data_buffer_im      => ram_diag_data_buf_im_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buffer_im    => ram_diag_data_buf_im_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_data_buffer_im     => ram_diag_data_buf_im_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buffer_im => ram_diag_data_buf_im_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buf_re: register space for the real databuffer
-      coe_clk_export_from_the_reg_diag_data_buffer_re       => OPEN,
-      coe_reset_export_from_the_reg_diag_data_buffer_re     => OPEN,
-      coe_address_export_from_the_reg_diag_data_buffer_re   => reg_diag_data_buf_re_mosi.address(c_reg_diag_data_buf_re_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_diag_data_buffer_re      => reg_diag_data_buf_re_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_data_buffer_re    => reg_diag_data_buf_re_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_diag_data_buffer_re     => reg_diag_data_buf_re_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_data_buffer_re => reg_diag_data_buf_re_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buf_re: ram space for the real databuffer
-      coe_clk_export_from_the_ram_diag_data_buffer_re       => OPEN,
-      coe_reset_export_from_the_ram_diag_data_buffer_re     => OPEN,
-      coe_address_export_from_the_ram_diag_data_buffer_re   => ram_diag_data_buf_re_mosi.address(c_ram_diag_data_buf_re_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_diag_data_buffer_re      => ram_diag_data_buf_re_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buffer_re    => ram_diag_data_buf_re_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_diag_data_buffer_re     => ram_diag_data_buf_re_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buffer_re => ram_diag_data_buf_re_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_ss_ss_transp: ram space for the subband select unit
-      coe_clk_export_from_the_ram_ss_ss_wide           => OPEN,
-      coe_reset_export_from_the_ram_ss_ss_wide         => OPEN,
-      coe_address_export_from_the_ram_ss_ss_wide       => ram_ss_ss_transp_mosi.address(c_ram_ss_ss_transp_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_ss_ss_wide          => ram_ss_ss_transp_mosi.rd,
-      coe_readdata_export_to_the_ram_ss_ss_wide        => ram_ss_ss_transp_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_ss_ss_wide         => ram_ss_ss_transp_mosi.wr,
-      coe_writedata_export_from_the_ram_ss_ss_wide     => ram_ss_ss_transp_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_io_ddr
-      coe_address_export_from_the_reg_io_ddr           => reg_io_ddr_mosi.address(1 downto 0),
-      coe_clk_export_from_the_reg_io_ddr               => OPEN,
-      coe_read_export_from_the_reg_io_ddr              => reg_io_ddr_mosi.rd,
-      coe_readdata_export_to_the_reg_io_ddr            => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_io_ddr             => OPEN,
-      coe_write_export_from_the_reg_io_ddr             => reg_io_ddr_mosi.wr,
-      coe_writedata_export_from_the_reg_io_ddr         => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_bsn_monitor
-      coe_address_export_from_the_reg_bsn_monitor      => reg_bsn_monitor_mosi.address(1 + c_unb1_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_bsn_monitor          => OPEN,
-      coe_read_export_from_the_reg_bsn_monitor         => reg_bsn_monitor_mosi.rd,
-      coe_readdata_export_to_the_reg_bsn_monitor       => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_bsn_monitor        => OPEN,
-      coe_write_export_from_the_reg_bsn_monitor        => reg_bsn_monitor_mosi.wr,
-      coe_writedata_export_from_the_reg_bsn_monitor    => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        clk_0                                         => xo_clk,
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,
+        tse_clk                                       => eth1g_tse_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+        areset_to_the_altpll_0                        => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave              => OPEN,
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb_common.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_bg: entry for the register space of the block generator
+        coe_clk_export_from_the_reg_diag_bg       => OPEN,
+        coe_reset_export_from_the_reg_diag_bg     => OPEN,
+        coe_address_export_from_the_reg_diag_bg   => reg_diag_bg_mosi.address(c_reg_diag_bg_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_bg      => reg_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_bg    => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_bg     => reg_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_bg => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_bg: entry for the ram space of the block generator
+        coe_clk_export_from_the_ram_diag_bg       => OPEN,
+        coe_reset_export_from_the_ram_diag_bg     => OPEN,
+        coe_address_export_from_the_ram_diag_bg   => ram_diag_bg_mosi.address(c_ram_diag_bg_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_bg      => ram_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_bg    => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_bg     => ram_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_bg => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buf_im: register space for the imaginary databuffer
+        coe_clk_export_from_the_reg_diag_data_buffer_im       => OPEN,
+        coe_reset_export_from_the_reg_diag_data_buffer_im     => OPEN,
+        coe_address_export_from_the_reg_diag_data_buffer_im   => reg_diag_data_buf_im_mosi.address(c_reg_diag_data_buf_im_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_data_buffer_im      => reg_diag_data_buf_im_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_data_buffer_im    => reg_diag_data_buf_im_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_data_buffer_im     => reg_diag_data_buf_im_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_data_buffer_im => reg_diag_data_buf_im_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buf_im: ram space for the imaginary databuffer
+        coe_clk_export_from_the_ram_diag_data_buffer_im       => OPEN,
+        coe_reset_export_from_the_ram_diag_data_buffer_im     => OPEN,
+        coe_address_export_from_the_ram_diag_data_buffer_im   => ram_diag_data_buf_im_mosi.address(c_ram_diag_data_buf_im_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_data_buffer_im      => ram_diag_data_buf_im_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buffer_im    => ram_diag_data_buf_im_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_data_buffer_im     => ram_diag_data_buf_im_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buffer_im => ram_diag_data_buf_im_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buf_re: register space for the real databuffer
+        coe_clk_export_from_the_reg_diag_data_buffer_re       => OPEN,
+        coe_reset_export_from_the_reg_diag_data_buffer_re     => OPEN,
+        coe_address_export_from_the_reg_diag_data_buffer_re   => reg_diag_data_buf_re_mosi.address(c_reg_diag_data_buf_re_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_diag_data_buffer_re      => reg_diag_data_buf_re_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_data_buffer_re    => reg_diag_data_buf_re_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_diag_data_buffer_re     => reg_diag_data_buf_re_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_data_buffer_re => reg_diag_data_buf_re_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buf_re: ram space for the real databuffer
+        coe_clk_export_from_the_ram_diag_data_buffer_re       => OPEN,
+        coe_reset_export_from_the_ram_diag_data_buffer_re     => OPEN,
+        coe_address_export_from_the_ram_diag_data_buffer_re   => ram_diag_data_buf_re_mosi.address(c_ram_diag_data_buf_re_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_diag_data_buffer_re      => ram_diag_data_buf_re_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buffer_re    => ram_diag_data_buf_re_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_diag_data_buffer_re     => ram_diag_data_buf_re_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buffer_re => ram_diag_data_buf_re_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_ss_ss_transp: ram space for the subband select unit
+        coe_clk_export_from_the_ram_ss_ss_wide           => OPEN,
+        coe_reset_export_from_the_ram_ss_ss_wide         => OPEN,
+        coe_address_export_from_the_ram_ss_ss_wide       => ram_ss_ss_transp_mosi.address(c_ram_ss_ss_transp_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_ss_ss_wide          => ram_ss_ss_transp_mosi.rd,
+        coe_readdata_export_to_the_ram_ss_ss_wide        => ram_ss_ss_transp_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_ss_ss_wide         => ram_ss_ss_transp_mosi.wr,
+        coe_writedata_export_from_the_ram_ss_ss_wide     => ram_ss_ss_transp_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_io_ddr
+        coe_address_export_from_the_reg_io_ddr           => reg_io_ddr_mosi.address(1 downto 0),
+        coe_clk_export_from_the_reg_io_ddr               => OPEN,
+        coe_read_export_from_the_reg_io_ddr              => reg_io_ddr_mosi.rd,
+        coe_readdata_export_to_the_reg_io_ddr            => reg_io_ddr_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_io_ddr             => OPEN,
+        coe_write_export_from_the_reg_io_ddr             => reg_io_ddr_mosi.wr,
+        coe_writedata_export_from_the_reg_io_ddr         => reg_io_ddr_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_bsn_monitor
+        coe_address_export_from_the_reg_bsn_monitor      => reg_bsn_monitor_mosi.address(1 + c_unb1_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_bsn_monitor          => OPEN,
+        coe_read_export_from_the_reg_bsn_monitor         => reg_bsn_monitor_mosi.rd,
+        coe_readdata_export_to_the_reg_bsn_monitor       => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_bsn_monitor        => OPEN,
+        coe_write_export_from_the_reg_bsn_monitor        => reg_bsn_monitor_mosi.wr,
+        coe_writedata_export_from_the_reg_bsn_monitor    => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 end str;
diff --git a/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/unb1_ddr3_transpose.vhd b/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/unb1_ddr3_transpose.vhd
index 9c38d3a1e3..ce5f0d1801 100644
--- a/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/unb1_ddr3_transpose.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_transpose/src/vhdl/unb1_ddr3_transpose.vhd
@@ -21,16 +21,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, eth_lib, diag_lib, dp_lib, ddr3_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use eth_lib.eth_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use ddr3_lib.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use ddr3_lib.ddr3_pkg.all;
 
 entity unb1_ddr3_transpose is
   generic (
@@ -90,12 +90,14 @@ architecture str of unb1_ddr3_transpose is
   constant c_gapsize                 : natural    := sel_a_b(g_sim,  0,  0);  -- 0);
   constant c_nof_blocks              : positive   := sel_a_b(g_sim,  4,  4);  -- 16);
 
-  constant c_ddr3_seq_conf           : t_ddr3_seq := (c_wr_chunksize,
-                                                      c_wr_nof_chunks,
-                                                      c_rd_chunksize,
-                                                      c_rd_nof_chunks,
-                                                      c_gapsize,
-                                                      c_nof_blocks);
+  constant c_ddr3_seq_conf           : t_ddr3_seq := (
+    c_wr_chunksize,
+    c_wr_nof_chunks,
+    c_rd_chunksize,
+    c_rd_nof_chunks,
+    c_gapsize,
+    c_nof_blocks
+  );
 
   constant c_blocksize               : positive := c_wr_nof_chunks * c_wr_chunksize;
 
@@ -220,337 +222,337 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim         => g_sim,
-    g_design_name => g_design_name,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_fw_version  => c_fw_version,
-    g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy     => c_use_phy,
-    g_dp_clk_use_pll => false,
-    g_aux         => c_unb1_board_aux
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => OPEN,  -- dp_rst,
-    dp_clk                   => OPEN,  -- dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-    -- . system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_design_name => g_design_name,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_fw_version  => c_fw_version,
+      g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy     => c_use_phy,
+      g_dp_clk_use_pll => false,
+      g_aux         => c_unb1_board_aux
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => OPEN,  -- dp_rst,
+      dp_clk                   => OPEN,  -- dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+      -- . system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb_ddr3_transpose
-  generic map (
-    g_sim           => g_sim,
-    g_sim_unb_nr    => g_sim_unb_nr,
-    g_sim_node_nr   => g_sim_node_nr,
-    g_frame_size_in => c_frame_size_in,
-    g_nof_streams   => c_nof_streams,
-    g_ddr3_seq      => c_ddr3_seq_conf
-   )
-  port map(
-    xo_clk                    => xo_clk,
-    xo_rst_n                  => xo_rst_n,
-    xo_rst                    => xo_rst,
-
-    mm_rst                    => mm_rst,
-    mm_clk                    => mm_clk,
-    mm_locked                 => mm_locked,
-
-    -- PIOs
-    pout_wdi                  => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi              => reg_wdi_mosi,
-    reg_wdi_miso              => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi  => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso  => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi  => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso  => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi         => reg_unb_sens_mosi,
-    reg_unb_sens_miso         => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi             => reg_ppsh_mosi,
-    reg_ppsh_miso             => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk             => eth1g_tse_clk,
-    eth1g_mm_rst              => eth1g_mm_rst,
-    eth1g_tse_mosi            => eth1g_tse_mosi,
-    eth1g_tse_miso            => eth1g_tse_miso,
-    eth1g_reg_mosi            => eth1g_reg_mosi,
-    eth1g_reg_miso            => eth1g_reg_miso,
-    eth1g_reg_interrupt       => eth1g_reg_interrupt,
-    eth1g_ram_mosi            => eth1g_ram_mosi,
-    eth1g_ram_miso            => eth1g_ram_miso,
-
-    -- Blockgenerator
-    reg_diag_bg_mosi          => reg_diag_bg_mosi,
-    reg_diag_bg_miso          => reg_diag_bg_miso,
-    ram_diag_bg_mosi          => ram_diag_bg_mosi,
-    ram_diag_bg_miso          => ram_diag_bg_miso,
-
-    -- DDR3 transpose
-    ram_ss_ss_transp_mosi     => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso     => ram_ss_ss_transp_miso,
-
-    -- Databuffers
-    ram_diag_data_buf_im_mosi => ram_diag_data_buf_im_mosi,
-    ram_diag_data_buf_im_miso => ram_diag_data_buf_im_miso,
-    reg_diag_data_buf_im_mosi => reg_diag_data_buf_im_mosi,
-    reg_diag_data_buf_im_miso => reg_diag_data_buf_im_miso,
-    ram_diag_data_buf_re_mosi => ram_diag_data_buf_re_mosi,
-    ram_diag_data_buf_re_miso => ram_diag_data_buf_re_miso,
-    reg_diag_data_buf_re_mosi => reg_diag_data_buf_re_mosi,
-    reg_diag_data_buf_re_miso => reg_diag_data_buf_re_miso,
-
-    -- BSN monitor
-    reg_bsn_monitor_mosi      => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso      => reg_bsn_monitor_miso,
-
-    reg_io_ddr_mosi           => reg_io_ddr_mosi,
-    reg_io_ddr_miso           => reg_io_ddr_miso
-
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_sim_unb_nr    => g_sim_unb_nr,
+      g_sim_node_nr   => g_sim_node_nr,
+      g_frame_size_in => c_frame_size_in,
+      g_nof_streams   => c_nof_streams,
+      g_ddr3_seq      => c_ddr3_seq_conf
+    )
+    port map(
+      xo_clk                    => xo_clk,
+      xo_rst_n                  => xo_rst_n,
+      xo_rst                    => xo_rst,
+
+      mm_rst                    => mm_rst,
+      mm_clk                    => mm_clk,
+      mm_locked                 => mm_locked,
+
+      -- PIOs
+      pout_wdi                  => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi              => reg_wdi_mosi,
+      reg_wdi_miso              => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi  => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso  => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi  => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso  => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi         => reg_unb_sens_mosi,
+      reg_unb_sens_miso         => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi             => reg_ppsh_mosi,
+      reg_ppsh_miso             => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk             => eth1g_tse_clk,
+      eth1g_mm_rst              => eth1g_mm_rst,
+      eth1g_tse_mosi            => eth1g_tse_mosi,
+      eth1g_tse_miso            => eth1g_tse_miso,
+      eth1g_reg_mosi            => eth1g_reg_mosi,
+      eth1g_reg_miso            => eth1g_reg_miso,
+      eth1g_reg_interrupt       => eth1g_reg_interrupt,
+      eth1g_ram_mosi            => eth1g_ram_mosi,
+      eth1g_ram_miso            => eth1g_ram_miso,
+
+      -- Blockgenerator
+      reg_diag_bg_mosi          => reg_diag_bg_mosi,
+      reg_diag_bg_miso          => reg_diag_bg_miso,
+      ram_diag_bg_mosi          => ram_diag_bg_mosi,
+      ram_diag_bg_miso          => ram_diag_bg_miso,
+
+      -- DDR3 transpose
+      ram_ss_ss_transp_mosi     => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso     => ram_ss_ss_transp_miso,
+
+      -- Databuffers
+      ram_diag_data_buf_im_mosi => ram_diag_data_buf_im_mosi,
+      ram_diag_data_buf_im_miso => ram_diag_data_buf_im_miso,
+      reg_diag_data_buf_im_mosi => reg_diag_data_buf_im_mosi,
+      reg_diag_data_buf_im_miso => reg_diag_data_buf_im_miso,
+      ram_diag_data_buf_re_mosi => ram_diag_data_buf_re_mosi,
+      ram_diag_data_buf_re_miso => ram_diag_data_buf_re_miso,
+      reg_diag_data_buf_re_mosi => reg_diag_data_buf_re_mosi,
+      reg_diag_data_buf_re_miso => reg_diag_data_buf_re_miso,
+
+      -- BSN monitor
+      reg_bsn_monitor_mosi      => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso      => reg_bsn_monitor_miso,
+
+      reg_io_ddr_mosi           => reg_io_ddr_mosi,
+      reg_io_ddr_miso           => reg_io_ddr_miso
+
+    );
 
   -----------------------------------------------------------------------------
   -- Node function
   -----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   u_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => 2,  -- Check one input and one output stream
-    g_cross_clock_domain => true,
-    g_bsn_w              => c_dp_stream_bsn_w,
-    g_cnt_sop_w          => c_word_w,
-    g_cnt_valid_w        => c_word_w,
-    g_log_first_bsn      => true
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    -- Streaming clock domain
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => (others => c_dp_siso_rdy),
-    in_sosi_arr => bsn_sosi_arr
-  );
+    generic map (
+      g_nof_streams        => 2,  -- Check one input and one output stream
+      g_cross_clock_domain => true,
+      g_bsn_w              => c_dp_stream_bsn_w,
+      g_cnt_sop_w          => c_word_w,
+      g_cnt_valid_w        => c_word_w,
+      g_log_first_bsn      => true
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      -- Streaming clock domain
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => (others => c_dp_siso_rdy),
+      in_sosi_arr => bsn_sosi_arr
+    );
 
   bsn_sosi_arr(0) <= bg_sosi_arr(0);
   bsn_sosi_arr(1) <= out_sosi_arr(0);
 
 
   u_areset_ddr_ref_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1',
-    g_delay_len => 40
-  )
-  port map(
-    clk     => CLK,
-    in_rst  => mm_rst,
-    out_rst => ddr_ref_rst
-  );
+    generic map(
+      g_rst_level => '1',
+      g_delay_len => 40
+    )
+    port map(
+      clk     => CLK,
+      in_rst  => mm_rst,
+      out_rst => ddr_ref_rst
+    );
 
 
   u_ddr3_T: entity ddr3_lib.ddr3_transpose
-  generic map(
-    g_sim                 => g_sim,
-    g_nof_streams         => c_nof_streams,
-    g_in_dat_w            => c_bg_buf_dat_w / c_nof_complex,
-    g_frame_size_in       => c_frame_size_in,
-    g_frame_size_out      => c_frame_size_out,
-    g_nof_blk_per_sync    => c_nof_blk_per_sync,
-    g_use_complex         => true,
-    g_ena_pre_transp      => c_ena_pre_transpose,
-    g_phy                 => c_phy,
-    g_mts                 => c_mts,
-    g_ddr3_seq            => c_ddr3_seq_conf
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_ref_clk            => CLK,
-    dp_ref_rst            => ddr_ref_rst,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    dp_out_clk            => dp_clk,
-    dp_out_rst            => dp_rst,
-
-    reg_io_ddr_mosi       => reg_io_ddr_mosi,
-    reg_io_ddr_miso       => reg_io_ddr_miso,
-
-    snk_out_arr           => bg_siso_arr,
-    snk_in_arr            => bg_sosi_arr,
-    -- ST source
-    src_in_arr            => out_siso_arr,
-    src_out_arr           => out_sosi_arr,
-
-    ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
-
-    ser_term_ctrl_out     => OPEN,
-    par_term_ctrl_out     => OPEN,
-
-    ser_term_ctrl_in      => OPEN,
-    par_term_ctrl_in      => OPEN,
-
-    phy_in                => MB_I_in,
-    phy_io                => MB_I_io,
-    phy_ou                => MB_I_ou
-  );
+    generic map(
+      g_sim                 => g_sim,
+      g_nof_streams         => c_nof_streams,
+      g_in_dat_w            => c_bg_buf_dat_w / c_nof_complex,
+      g_frame_size_in       => c_frame_size_in,
+      g_frame_size_out      => c_frame_size_out,
+      g_nof_blk_per_sync    => c_nof_blk_per_sync,
+      g_use_complex         => true,
+      g_ena_pre_transp      => c_ena_pre_transpose,
+      g_phy                 => c_phy,
+      g_mts                 => c_mts,
+      g_ddr3_seq            => c_ddr3_seq_conf
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_ref_clk            => CLK,
+      dp_ref_rst            => ddr_ref_rst,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      dp_out_clk            => dp_clk,
+      dp_out_rst            => dp_rst,
+
+      reg_io_ddr_mosi       => reg_io_ddr_mosi,
+      reg_io_ddr_miso       => reg_io_ddr_miso,
+
+      snk_out_arr           => bg_siso_arr,
+      snk_in_arr            => bg_sosi_arr,
+      -- ST source
+      src_in_arr            => out_siso_arr,
+      src_out_arr           => out_sosi_arr,
+
+      ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
+
+      ser_term_ctrl_out     => OPEN,
+      par_term_ctrl_out     => OPEN,
+
+      ser_term_ctrl_in      => OPEN,
+      par_term_ctrl_in      => OPEN,
+
+      phy_in                => MB_I_in,
+      phy_io                => MB_I_io,
+      phy_ou                => MB_I_ou
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_ddr3_transpose/tb/vhdl/tb_unb1_ddr3_transpose.vhd b/boards/uniboard1/designs/unb1_ddr3_transpose/tb/vhdl/tb_unb1_ddr3_transpose.vhd
index d0e39f72ac..607e008d9f 100644
--- a/boards/uniboard1/designs/unb1_ddr3_transpose/tb/vhdl/tb_unb1_ddr3_transpose.vhd
+++ b/boards/uniboard1/designs/unb1_ddr3_transpose/tb/vhdl/tb_unb1_ddr3_transpose.vhd
@@ -26,13 +26,13 @@
 
 
 library IEEE, tech_ddr_lib, common_lib, unb1_board_lib, i2c_lib, ddr3_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use ddr3_lib.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use ddr3_lib.ddr3_pkg.all;
 
 entity tb_unb1_ddr3_transpose is
 end tb_unb1_ddr3_transpose;
@@ -75,7 +75,7 @@ architecture tb of tb_unb1_ddr3_transpose is
   signal sens_scl            : std_logic;
   signal sens_sda            : std_logic;
 
-    -- Signals to interface with the DDR3 memory model.
+  -- Signals to interface with the DDR3 memory model.
   signal phy_in              : t_tech_ddr3_phy_in;
   signal phy_io              : t_tech_ddr3_phy_io;
   signal phy_ou              : t_tech_ddr3_phy_ou;
@@ -157,48 +157,48 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   -- DDR3 Model
   u_tech_ddr_memory_model : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_tech_ddr
-  )
-  port map (
-    -- DDR3 PHY interface
-    mem3_in => phy_ou,
-    mem3_io => phy_io
-  );
+    generic map (
+      g_tech_ddr => c_tech_ddr
+    )
+    port map (
+      -- DDR3 PHY interface
+      mem3_in => phy_ou,
+      mem3_io => phy_io
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/mmm_unb1_fn_terminal_db.vhd b/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/mmm_unb1_fn_terminal_db.vhd
index ef7d86f390..3be2fb96ad 100644
--- a/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/mmm_unb1_fn_terminal_db.vhd
+++ b/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/mmm_unb1_fn_terminal_db.vhd
@@ -20,21 +20,21 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity mmm_unb1_fn_terminal_db is
   generic (
@@ -108,7 +108,7 @@ end mmm_unb1_fn_terminal_db;
 architecture str of mmm_unb1_fn_terminal_db is
 
 
-   -- Simulation
+  -- Simulation
   constant c_mm_clk_period   : time := 100 ps;
   constant c_tse_clk_period  : time := 8 ns;
 
@@ -157,37 +157,37 @@ begin
     eth1g_mm_rst <= '1', '0' after c_tse_clk_period * 5;
 
     u_mm_file_reg_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                  port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                  port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                  port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                  port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_eth                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                  port map(mm_rst, i_mm_clk, i_eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, i_eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_reg_tr_nonbonded       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_NONBONDED")
-                                                  port map(mm_rst, i_mm_clk, reg_tr_nonbonded_mosi, reg_tr_nonbonded_miso );
+      port map(mm_rst, i_mm_clk, reg_tr_nonbonded_mosi, reg_tr_nonbonded_miso );
 
     u_mm_file_reg_diagnostics        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAGNOSTICS")
-                                                  port map(mm_rst, i_mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso );
+      port map(mm_rst, i_mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso );
 
     u_mm_file_ram_diag_data_buf      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER")
-                                                  port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
     u_mm_file_reg_diag_data_buf      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER")
-                                                  port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
     u_mm_file_ram_diag_data_buf_mesh : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_MESH")
-                                                  port map(mm_rst, i_mm_clk, ram_mesh_diag_data_buf_mosi, ram_mesh_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, ram_mesh_diag_data_buf_mosi, ram_mesh_diag_data_buf_miso);
 
     u_mm_file_reg_bsn_monitor        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR")
-                                                  port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
+      port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -212,10 +212,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_reg_mosi, i_eth1g_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_reg_mosi <= sim_eth1g_reg_mosi;
-        else
-          eth1g_reg_mosi <= i_eth1g_reg_mosi;
-        end if;
+        eth1g_reg_mosi <= sim_eth1g_reg_mosi;
+      else
+        eth1g_reg_mosi <= i_eth1g_reg_mosi;
+      end if;
     end process;
 
     ----------------------------------------------------------------------------
@@ -234,139 +234,139 @@ begin
   ----------------------------------------------------------------------------
   gen_sopc : if g_sim = false generate
     u_sopc : entity work.sopc_unb1_fn_terminal_db
-    port map (
-      -- 1) global signals:
-      clk_0                                                   => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
-      reset_n                                                 => xo_rst_n,
-      mm_clk                                                  => i_mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
-      cal_clk                                                 => cal_clk,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
-      tse_clk                                                 => i_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
-
-      -- the_altpll_0
-      areset_to_the_altpll_0                                  => '0',
-      locked_from_the_altpll_0                                => mm_locked,
-      phasedone_from_the_altpll_0                             => OPEN,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0                       => OPEN,
-      coe_reset_export_from_the_avs_eth_0                     => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0               => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0                 => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0             => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0                  => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0                => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0             => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0               => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0                 => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0             => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0                  => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0                => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0                         => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0               => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0                 => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0             => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0                  => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0                => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_address_export_from_the_reg_unb_sens                => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_unb_sens                    => OPEN,
-      coe_read_export_from_the_reg_unb_sens                   => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens                 => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_unb_sens                  => OPEN,
-      coe_write_export_from_the_reg_unb_sens                  => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_tr_nonbonded_mesh
-      coe_address_export_from_the_reg_tr_nonbonded_mesh       => reg_tr_nonbonded_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tr_nonbonded_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_tr_nonbonded_mesh           => OPEN,
-      coe_read_export_from_the_reg_tr_nonbonded_mesh          => reg_tr_nonbonded_mosi.rd,
-      coe_readdata_export_to_the_reg_tr_nonbonded_mesh        => reg_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_tr_nonbonded_mesh         => OPEN,
-      coe_write_export_from_the_reg_tr_nonbonded_mesh         => reg_tr_nonbonded_mosi.wr,
-      coe_writedata_export_from_the_reg_tr_nonbonded_mesh     => reg_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diagnostics_mesh
-      coe_address_export_from_the_reg_diagnostics_mesh        => reg_diagnostics_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diagnostics_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_diagnostics_mesh            => OPEN,
-      coe_read_export_from_the_reg_diagnostics_mesh           => reg_diagnostics_mosi.rd,
-      coe_readdata_export_to_the_reg_diagnostics_mesh         => reg_diagnostics_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_diagnostics_mesh          => OPEN,
-      coe_write_export_from_the_reg_diagnostics_mesh          => reg_diagnostics_mosi.wr,
-      coe_writedata_export_from_the_reg_diagnostics_mesh      => reg_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buffer
-      coe_address_export_from_the_ram_diag_data_buffer        => ram_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_diag_data_buffer            => OPEN,
-      coe_read_export_from_the_ram_diag_data_buffer           => ram_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buffer         => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_diag_data_buffer          => OPEN,
-      coe_write_export_from_the_ram_diag_data_buffer          => ram_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buffer      => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buffer
-      coe_address_export_from_the_reg_diag_data_buffer        => reg_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_diag_data_buffer            => OPEN,
-      coe_read_export_from_the_reg_diag_data_buffer           => reg_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_data_buffer         => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_diag_data_buffer          => OPEN,
-      coe_write_export_from_the_reg_diag_data_buffer          => reg_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_data_buffer      => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buffer_mesh
-      coe_address_export_from_the_ram_diag_data_buffer_mesh   => ram_mesh_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_diag_data_buffer_mesh       => OPEN,
-      coe_read_export_from_the_ram_diag_data_buffer_mesh      => ram_mesh_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buffer_mesh    => ram_mesh_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_diag_data_buffer_mesh     => OPEN,
-      coe_write_export_from_the_ram_diag_data_buffer_mesh     => ram_mesh_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buffer_mesh => ram_mesh_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_bsn_monitor
-      coe_address_export_from_the_reg_bsn_monitor             => reg_bsn_monitor_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_bsn_monitor                 => OPEN,
-      coe_read_export_from_the_reg_bsn_monitor                => reg_bsn_monitor_mosi.rd,
-      coe_readdata_export_to_the_reg_bsn_monitor              => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_bsn_monitor               => OPEN,
-      coe_write_export_from_the_reg_bsn_monitor               => reg_bsn_monitor_mosi.wr,
-      coe_writedata_export_from_the_reg_bsn_monitor           => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave                        => OPEN,
-
-      -- the_pio_pps
-      in_port_to_the_pio_pps                                  => pin_pps,
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info                 => OPEN,
-      coe_reset_export_from_the_pio_system_info               => OPEN,
-      coe_address_export_from_the_pio_system_info             => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info                => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info              => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info               => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info                 => OPEN,
-      coe_reset_export_from_the_rom_system_info               => OPEN,
-      coe_address_export_from_the_rom_system_info             => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info                => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info              => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info               => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi
-      out_port_from_the_pio_wdi                               => pout_wdi,
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi                         => OPEN,
-      coe_reset_export_from_the_reg_wdi                       => OPEN,
-      coe_address_export_from_the_reg_wdi                     => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi                        => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi                      => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi                       => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi                   => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        -- 1) global signals:
+        clk_0                                                   => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
+        reset_n                                                 => xo_rst_n,
+        mm_clk                                                  => i_mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
+        cal_clk                                                 => cal_clk,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
+        tse_clk                                                 => i_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
+
+        -- the_altpll_0
+        areset_to_the_altpll_0                                  => '0',
+        locked_from_the_altpll_0                                => mm_locked,
+        phasedone_from_the_altpll_0                             => OPEN,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0                       => OPEN,
+        coe_reset_export_from_the_avs_eth_0                     => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0               => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0                 => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0             => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0                  => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0                => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0             => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0               => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0                 => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0             => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0                  => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0                => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0                         => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0               => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0                 => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0             => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0                  => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0                => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_address_export_from_the_reg_unb_sens                => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_unb_sens                    => OPEN,
+        coe_read_export_from_the_reg_unb_sens                   => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens                 => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_unb_sens                  => OPEN,
+        coe_write_export_from_the_reg_unb_sens                  => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_tr_nonbonded_mesh
+        coe_address_export_from_the_reg_tr_nonbonded_mesh       => reg_tr_nonbonded_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tr_nonbonded_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_tr_nonbonded_mesh           => OPEN,
+        coe_read_export_from_the_reg_tr_nonbonded_mesh          => reg_tr_nonbonded_mosi.rd,
+        coe_readdata_export_to_the_reg_tr_nonbonded_mesh        => reg_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_tr_nonbonded_mesh         => OPEN,
+        coe_write_export_from_the_reg_tr_nonbonded_mesh         => reg_tr_nonbonded_mosi.wr,
+        coe_writedata_export_from_the_reg_tr_nonbonded_mesh     => reg_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diagnostics_mesh
+        coe_address_export_from_the_reg_diagnostics_mesh        => reg_diagnostics_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diagnostics_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_diagnostics_mesh            => OPEN,
+        coe_read_export_from_the_reg_diagnostics_mesh           => reg_diagnostics_mosi.rd,
+        coe_readdata_export_to_the_reg_diagnostics_mesh         => reg_diagnostics_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_diagnostics_mesh          => OPEN,
+        coe_write_export_from_the_reg_diagnostics_mesh          => reg_diagnostics_mosi.wr,
+        coe_writedata_export_from_the_reg_diagnostics_mesh      => reg_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buffer
+        coe_address_export_from_the_ram_diag_data_buffer        => ram_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_diag_data_buffer            => OPEN,
+        coe_read_export_from_the_ram_diag_data_buffer           => ram_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buffer         => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_diag_data_buffer          => OPEN,
+        coe_write_export_from_the_ram_diag_data_buffer          => ram_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buffer      => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buffer
+        coe_address_export_from_the_reg_diag_data_buffer        => reg_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_diag_data_buffer            => OPEN,
+        coe_read_export_from_the_reg_diag_data_buffer           => reg_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_data_buffer         => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_diag_data_buffer          => OPEN,
+        coe_write_export_from_the_reg_diag_data_buffer          => reg_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_data_buffer      => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buffer_mesh
+        coe_address_export_from_the_ram_diag_data_buffer_mesh   => ram_mesh_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_diag_data_buffer_mesh       => OPEN,
+        coe_read_export_from_the_ram_diag_data_buffer_mesh      => ram_mesh_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buffer_mesh    => ram_mesh_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_diag_data_buffer_mesh     => OPEN,
+        coe_write_export_from_the_ram_diag_data_buffer_mesh     => ram_mesh_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buffer_mesh => ram_mesh_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_bsn_monitor
+        coe_address_export_from_the_reg_bsn_monitor             => reg_bsn_monitor_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_bsn_monitor                 => OPEN,
+        coe_read_export_from_the_reg_bsn_monitor                => reg_bsn_monitor_mosi.rd,
+        coe_readdata_export_to_the_reg_bsn_monitor              => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_bsn_monitor               => OPEN,
+        coe_write_export_from_the_reg_bsn_monitor               => reg_bsn_monitor_mosi.wr,
+        coe_writedata_export_from_the_reg_bsn_monitor           => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave                        => OPEN,
+
+        -- the_pio_pps
+        in_port_to_the_pio_pps                                  => pin_pps,
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info                 => OPEN,
+        coe_reset_export_from_the_pio_system_info               => OPEN,
+        coe_address_export_from_the_pio_system_info             => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info                => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info              => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info               => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info                 => OPEN,
+        coe_reset_export_from_the_rom_system_info               => OPEN,
+        coe_address_export_from_the_rom_system_info             => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info                => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info              => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info               => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi
+        out_port_from_the_pio_wdi                               => pout_wdi,
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi                         => OPEN,
+        coe_reset_export_from_the_reg_wdi                       => OPEN,
+        coe_address_export_from_the_reg_wdi                     => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi                        => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi                      => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi                       => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi                   => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 end;
 
diff --git a/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/node_unb1_fn_terminal_db.vhd b/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/node_unb1_fn_terminal_db.vhd
index 08fd646a24..dd25e17788 100644
--- a/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/node_unb1_fn_terminal_db.vhd
+++ b/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/node_unb1_fn_terminal_db.vhd
@@ -124,13 +124,13 @@
 
 
 library IEEE, common_lib, dp_lib, unb1_board_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
 
 
 entity node_unb1_fn_terminal_db is
@@ -241,7 +241,7 @@ architecture str of node_unb1_fn_terminal_db is
   signal rx_usr_siso_arr          : t_dp_siso_arr(g_usr_nof_streams - 1 downto 0);
   signal rx_usr_sosi_arr          : t_dp_sosi_arr(g_usr_nof_streams - 1 downto 0);
 
-   -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Data buffer
   -----------------------------------------------------------------------------
   signal db_in_sosi_arr           : t_dp_sosi_arr(g_usr_nof_streams - 1 downto 0);
@@ -254,67 +254,67 @@ begin
     -----------------------------------------------------------------------------
 
     u_terminals_mesh : entity unb1_board_lib.unb1_board_terminals_mesh
-    generic map (
-      g_sim                  => g_sim,
-      g_sim_level            => g_sim_level,
-      -- System
-      g_node_type            => e_fn,
-      g_nof_bus              => c_unb1_board_nof_bn,  -- 4 to 4 nodes in mesh
-      -- User
-      g_usr_use_complex      => true,
-      g_usr_data_w           => g_usr_data_w,
-      g_usr_frame_len        => g_usr_block_len,
-      g_usr_nof_streams      => c_usr_nof_streams_per_bus,
-      -- Phy
-      g_phy_nof_serial       => g_mesh_nof_serial,
-      g_phy_gx_mbps          => g_mesh_gx_mbps,
-      g_phy_rx_fifo_size     => c_phy_rx_fifo_size,
-      g_phy_ena_reorder      => g_mesh_ena_reorder,
-      -- Tx
-      g_use_tx               => g_mesh_use_tx,  -- optionally do support diag Tx
-      g_tx_input_use_fifo    => false,  -- no user Tx
-      -- Rx
-      g_use_rx               => true,  -- user Rx must be TRUE for DB in FN,
-      g_rx_output_use_fifo   => true,  -- Rx output provides FIFOs to ensure that dp_distribute does not get blocked due to substantial backpressure on another output
-      g_rx_output_fifo_size  => c_rx_output_fifo_size,
-      g_rx_output_fifo_fill  => c_rx_output_fifo_fill,
-      g_rx_timeout_w         => c_rx_timeout_w,
-      -- Monitoring
-      g_mon_select           => g_mesh_mon_select,
-      g_mon_nof_words        => g_mesh_mon_nof_words,
-      g_mon_use_sync         => g_mesh_mon_use_sync
-    )
-    port map (
-      chip_id                => chip_id,
-
-      mm_rst                 => mm_rst,
-      mm_clk                 => mm_clk,
-      dp_rst                 => dp_rst,
-      dp_clk                 => dp_clk,
-      dp_sync                => dp_pps,
-      tr_clk                 => tr_mesh_clk,
-      cal_clk                => cal_clk,
-
-      -- User interface (4 nodes)(4 input streams)
-      rx_usr_siso_2arr       => rx_usr_siso_2arr,
-      rx_usr_sosi_2arr       => rx_usr_sosi_2arr,  -- Rx (user Tx from FN to BN is unused)
-
-      -- Mesh interface level (4 nodes)(4 lanes)
-      -- . Serial (tr_nonbonded)
-      tx_serial_2arr         => tx_serial_2arr,  -- Tx
-      rx_serial_2arr         => rx_serial_2arr,  -- Rx
-
-      -- MM Control
-      -- . tr_nonbonded
-      reg_tr_nonbonded_mosi  => reg_tr_nonbonded_mosi,
-      reg_tr_nonbonded_miso  => reg_tr_nonbonded_miso,
-      reg_diagnostics_mosi   => reg_diagnostics_mosi,
-      reg_diagnostics_miso   => reg_diagnostics_miso,
-
-      -- . diag_data_buffer
-      ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-      ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
-    );
+      generic map (
+        g_sim                  => g_sim,
+        g_sim_level            => g_sim_level,
+        -- System
+        g_node_type            => e_fn,
+        g_nof_bus              => c_unb1_board_nof_bn,  -- 4 to 4 nodes in mesh
+        -- User
+        g_usr_use_complex      => true,
+        g_usr_data_w           => g_usr_data_w,
+        g_usr_frame_len        => g_usr_block_len,
+        g_usr_nof_streams      => c_usr_nof_streams_per_bus,
+        -- Phy
+        g_phy_nof_serial       => g_mesh_nof_serial,
+        g_phy_gx_mbps          => g_mesh_gx_mbps,
+        g_phy_rx_fifo_size     => c_phy_rx_fifo_size,
+        g_phy_ena_reorder      => g_mesh_ena_reorder,
+        -- Tx
+        g_use_tx               => g_mesh_use_tx,  -- optionally do support diag Tx
+        g_tx_input_use_fifo    => false,  -- no user Tx
+        -- Rx
+        g_use_rx               => true,  -- user Rx must be TRUE for DB in FN,
+        g_rx_output_use_fifo   => true,  -- Rx output provides FIFOs to ensure that dp_distribute does not get blocked due to substantial backpressure on another output
+        g_rx_output_fifo_size  => c_rx_output_fifo_size,
+        g_rx_output_fifo_fill  => c_rx_output_fifo_fill,
+        g_rx_timeout_w         => c_rx_timeout_w,
+        -- Monitoring
+        g_mon_select           => g_mesh_mon_select,
+        g_mon_nof_words        => g_mesh_mon_nof_words,
+        g_mon_use_sync         => g_mesh_mon_use_sync
+      )
+      port map (
+        chip_id                => chip_id,
+
+        mm_rst                 => mm_rst,
+        mm_clk                 => mm_clk,
+        dp_rst                 => dp_rst,
+        dp_clk                 => dp_clk,
+        dp_sync                => dp_pps,
+        tr_clk                 => tr_mesh_clk,
+        cal_clk                => cal_clk,
+
+        -- User interface (4 nodes)(4 input streams)
+        rx_usr_siso_2arr       => rx_usr_siso_2arr,
+        rx_usr_sosi_2arr       => rx_usr_sosi_2arr,  -- Rx (user Tx from FN to BN is unused)
+
+        -- Mesh interface level (4 nodes)(4 lanes)
+        -- . Serial (tr_nonbonded)
+        tx_serial_2arr         => tx_serial_2arr,  -- Tx
+        rx_serial_2arr         => rx_serial_2arr,  -- Rx
+
+        -- MM Control
+        -- . tr_nonbonded
+        reg_tr_nonbonded_mosi  => reg_tr_nonbonded_mosi,
+        reg_tr_nonbonded_miso  => reg_tr_nonbonded_miso,
+        reg_diagnostics_mosi   => reg_diagnostics_mosi,
+        reg_diagnostics_miso   => reg_diagnostics_miso,
+
+        -- . diag_data_buffer
+        ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+        ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
+      );
 
     ---------------------------------------------------------------------------------------
     -- Forward the received streams, rewire for single or multi UniBoard use
@@ -350,51 +350,51 @@ begin
 
     gen_align : if g_use_bsn_align = true generate
       u_bsn_align : entity dp_lib.dp_bsn_align
-      generic map (
-        g_block_size           => g_usr_block_len,
-        g_nof_input            => g_usr_nof_streams,
-        g_xoff_timeout         => c_xoff_timeout,
-        g_sop_timeout          => c_sop_timeout,
-        g_bsn_latency          => c_burst_bsn_latency,
-        g_bsn_request_pipeline => c_bsn_request_pipeline
-      )
-      port map (
-        rst         => dp_rst,
-        clk         => dp_clk,
-        -- ST sinks
-        snk_out_arr => rx_usr_siso_arr,
-        snk_in_arr  => rx_usr_sosi_arr,
-        -- ST source
-        src_in_arr  => dp_out_siso_arr,
-        src_out_arr => db_in_sosi_arr,
-        -- MM
-        in_en_evt   => '0',  -- pulse '1' indicates that the in_en_arr user input enables have been updated
-        in_en_arr   => (others => '1')  -- default all user inputs are enabled
-      );
+        generic map (
+          g_block_size           => g_usr_block_len,
+          g_nof_input            => g_usr_nof_streams,
+          g_xoff_timeout         => c_xoff_timeout,
+          g_sop_timeout          => c_sop_timeout,
+          g_bsn_latency          => c_burst_bsn_latency,
+          g_bsn_request_pipeline => c_bsn_request_pipeline
+        )
+        port map (
+          rst         => dp_rst,
+          clk         => dp_clk,
+          -- ST sinks
+          snk_out_arr => rx_usr_siso_arr,
+          snk_in_arr  => rx_usr_sosi_arr,
+          -- ST source
+          src_in_arr  => dp_out_siso_arr,
+          src_out_arr => db_in_sosi_arr,
+          -- MM
+          in_en_evt   => '0',  -- pulse '1' indicates that the in_en_arr user input enables have been updated
+          in_en_arr   => (others => '1')  -- default all user inputs are enabled
+        );
 
       u_bsn_monitor_align : entity dp_lib.mms_dp_bsn_monitor
-      generic map (
-        g_nof_streams        => 1,  -- All streams are synchronous. Only monitor stream(0).
-        g_cross_clock_domain => true,
-        g_sync_timeout       => g_mesh_sync_timeout,
-        g_bsn_w              => c_dp_stream_bsn_w,
-        g_cnt_sop_w          => c_word_w,
-        g_cnt_valid_w        => c_word_w,
-        g_log_first_bsn      => true
-      )
-      port map (
-        -- Memory-mapped clock domain
-        mm_rst      => mm_rst,
-        mm_clk      => mm_clk,
-        reg_mosi    => reg_bsn_monitor_mosi,
-        reg_miso    => reg_bsn_monitor_miso,
-
-        -- Streaming clock domain
-        dp_rst      => dp_rst,
-        dp_clk      => dp_clk,
-        in_siso_arr => (others => c_dp_siso_rdy),
-        in_sosi_arr => db_in_sosi_arr(0 downto 0)
-      );
+        generic map (
+          g_nof_streams        => 1,  -- All streams are synchronous. Only monitor stream(0).
+          g_cross_clock_domain => true,
+          g_sync_timeout       => g_mesh_sync_timeout,
+          g_bsn_w              => c_dp_stream_bsn_w,
+          g_cnt_sop_w          => c_word_w,
+          g_cnt_valid_w        => c_word_w,
+          g_log_first_bsn      => true
+        )
+        port map (
+          -- Memory-mapped clock domain
+          mm_rst      => mm_rst,
+          mm_clk      => mm_clk,
+          reg_mosi    => reg_bsn_monitor_mosi,
+          reg_miso    => reg_bsn_monitor_miso,
+
+          -- Streaming clock domain
+          dp_rst      => dp_rst,
+          dp_clk      => dp_clk,
+          in_siso_arr => (others => c_dp_siso_rdy),
+          in_sosi_arr => db_in_sosi_arr(0 downto 0)
+        );
     end generate;
 
     -----------------------------------------------------------------------------
@@ -407,27 +407,27 @@ begin
 
     gen_data_buf : if g_use_data_buf = true generate
       u_data_buf : entity diag_lib.mms_diag_data_buffer
-      generic map (
-        g_nof_streams  => g_usr_nof_streams,
-        g_data_w       => g_usr_data_w,
-        g_buf_nof_data => 1024,
-        g_buf_use_sync => true
-      )
-      port map (
-        -- System
-        mm_rst            => mm_rst,
-        mm_clk            => mm_clk,
-        dp_rst            => dp_rst,
-        dp_clk            => dp_clk,
-        -- MM interface
-        ram_data_buf_mosi => ram_diag_data_buf_mosi,
-        ram_data_buf_miso => ram_diag_data_buf_miso,
-        reg_data_buf_mosi => reg_diag_data_buf_mosi,
-        reg_data_buf_miso => reg_diag_data_buf_miso,
-        -- ST interface
-        in_sync           => db_in_sosi_arr(0).sync,
-        in_sosi_arr       => db_in_sosi_arr
-      );
+        generic map (
+          g_nof_streams  => g_usr_nof_streams,
+          g_data_w       => g_usr_data_w,
+          g_buf_nof_data => 1024,
+          g_buf_use_sync => true
+        )
+        port map (
+          -- System
+          mm_rst            => mm_rst,
+          mm_clk            => mm_clk,
+          dp_rst            => dp_rst,
+          dp_clk            => dp_clk,
+          -- MM interface
+          ram_data_buf_mosi => ram_diag_data_buf_mosi,
+          ram_data_buf_miso => ram_diag_data_buf_miso,
+          reg_data_buf_mosi => reg_diag_data_buf_mosi,
+          reg_data_buf_miso => reg_diag_data_buf_miso,
+          -- ST interface
+          in_sync           => db_in_sosi_arr(0).sync,
+          in_sosi_arr       => db_in_sosi_arr
+        );
     end generate;
 
     -----------------------------------------------------------------------------
diff --git a/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/unb1_fn_terminal_db.vhd b/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/unb1_fn_terminal_db.vhd
index fc36990364..c9501bb0e3 100644
--- a/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/unb1_fn_terminal_db.vhd
+++ b/boards/uniboard1/designs/unb1_fn_terminal_db/src/vhdl/unb1_fn_terminal_db.vhd
@@ -20,18 +20,18 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity unb1_fn_terminal_db is
   generic (
@@ -47,7 +47,7 @@ entity unb1_fn_terminal_db is
     g_stamp_svn     : natural := 0  -- SVN revision    -- set by QSF
   );
   port (
-   -- GENERAL
+    -- GENERAL
     CLK                    : in    std_logic;  -- System Clock
     PPS                    : in    std_logic;  -- System Sync
     WDI                    : out   std_logic;  -- Watchdog Clear
@@ -176,204 +176,204 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim           => g_sim,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_stamp_svn     => g_stamp_svn,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy       => c_use_phy,
-    g_aux           => c_unb1_board_aux
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-    pin_pps                  => pin_pps,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_stamp_svn     => g_stamp_svn,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy       => c_use_phy,
+      g_aux           => c_unb1_board_aux
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+      pin_pps                  => pin_pps,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_fn_terminal_db
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-
-   )
-  port map(
-    xo_clk                      => xo_clk,
-    xo_rst_n                    => xo_rst_n,
-    xo_rst                      => xo_rst,
-
-    mm_rst                      => mm_rst,
-    mm_clk                      => mm_clk,
-    mm_locked                   => mm_locked,
-    cal_clk                     => cal_clk,
-
-    -- PIOs
-    pout_wdi                    => pout_wdi,
-    pin_pps                     => pin_pps,
-
-    -- Manual WDI override
-    reg_wdi_mosi                => reg_wdi_mosi,
-    reg_wdi_miso                => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi    => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso    => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi    => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso    => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi           => reg_unb_sens_mosi,
-    reg_unb_sens_miso           => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk               => eth1g_tse_clk,
-    eth1g_mm_rst                => eth1g_mm_rst,
-    eth1g_tse_mosi              => eth1g_tse_mosi,
-    eth1g_tse_miso              => eth1g_tse_miso,
-    eth1g_reg_mosi              => eth1g_reg_mosi,
-    eth1g_reg_miso              => eth1g_reg_miso,
-    eth1g_reg_interrupt         => eth1g_reg_interrupt,
-    eth1g_ram_mosi              => eth1g_ram_mosi,
-    eth1g_ram_miso              => eth1g_ram_miso,
-
-    -- . tr_nonbonded
-    reg_tr_nonbonded_mosi       => reg_tr_nonbonded_mosi,
-    reg_tr_nonbonded_miso       => reg_tr_nonbonded_miso,
-    reg_diagnostics_mosi        => reg_diagnostics_mosi,
-    reg_diagnostics_miso        => reg_diagnostics_miso,
-
-    -- . diag_data_buffer
-    ram_diag_data_buf_mosi      => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso      => ram_diag_data_buf_miso,
-    reg_diag_data_buf_mosi      => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso      => reg_diag_data_buf_miso,
-
-    -- . diag_data_buffer_mesh
-    ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-    ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
-
-    -- . bsn_monitor
-    reg_bsn_monitor_mosi        => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso        => reg_bsn_monitor_miso
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
 
-  );
+    )
+    port map(
+      xo_clk                      => xo_clk,
+      xo_rst_n                    => xo_rst_n,
+      xo_rst                      => xo_rst,
+
+      mm_rst                      => mm_rst,
+      mm_clk                      => mm_clk,
+      mm_locked                   => mm_locked,
+      cal_clk                     => cal_clk,
+
+      -- PIOs
+      pout_wdi                    => pout_wdi,
+      pin_pps                     => pin_pps,
+
+      -- Manual WDI override
+      reg_wdi_mosi                => reg_wdi_mosi,
+      reg_wdi_miso                => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi    => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso    => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi    => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso    => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi           => reg_unb_sens_mosi,
+      reg_unb_sens_miso           => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk               => eth1g_tse_clk,
+      eth1g_mm_rst                => eth1g_mm_rst,
+      eth1g_tse_mosi              => eth1g_tse_mosi,
+      eth1g_tse_miso              => eth1g_tse_miso,
+      eth1g_reg_mosi              => eth1g_reg_mosi,
+      eth1g_reg_miso              => eth1g_reg_miso,
+      eth1g_reg_interrupt         => eth1g_reg_interrupt,
+      eth1g_ram_mosi              => eth1g_ram_mosi,
+      eth1g_ram_miso              => eth1g_ram_miso,
+
+      -- . tr_nonbonded
+      reg_tr_nonbonded_mosi       => reg_tr_nonbonded_mosi,
+      reg_tr_nonbonded_miso       => reg_tr_nonbonded_miso,
+      reg_diagnostics_mosi        => reg_diagnostics_mosi,
+      reg_diagnostics_miso        => reg_diagnostics_miso,
+
+      -- . diag_data_buffer
+      ram_diag_data_buf_mosi      => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso      => ram_diag_data_buf_miso,
+      reg_diag_data_buf_mosi      => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso      => reg_diag_data_buf_miso,
+
+      -- . diag_data_buffer_mesh
+      ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+      ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
+
+      -- . bsn_monitor
+      reg_bsn_monitor_mosi        => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso        => reg_bsn_monitor_miso
+
+    );
 
   -----------------------------------------------------------------------------
   -- Node functioon: Terminals and data buffer
   -----------------------------------------------------------------------------
   u_node_unb1_fn_terminal_db : entity unb1_board_lib.node_unb1_fn_terminal_db
-  generic map(
-    g_multi_unb                 => g_rev_multi_unb,
-    -- Terminals interface
-    g_use_mesh                  => c_use_mesh,
-    g_mesh_mon_select           => c_mesh_mon_select,
-    g_mesh_mon_nof_words        => c_mesh_mon_nof_words,
-    g_mesh_mon_use_sync         => c_mesh_mon_use_sync,
-    -- Auxiliary Interface
-    g_aux                       => c_unb1_board_aux
-  )
-  port map(
-    -- System
-    mm_rst                      => mm_rst,
-    mm_clk                      => mm_clk,
-    dp_rst                      => dp_rst,
-    dp_clk                      => dp_clk,
-    dp_pps                      => dp_pps,
-    tr_mesh_clk                 => SB_CLK,
-    cal_clk                     => cal_clk,
-
-    chip_id                     => this_chip_id,
-
-    -- MM interface
-    -- . tr_nonbonded
-    reg_tr_nonbonded_mosi       => reg_tr_nonbonded_mosi,
-    reg_tr_nonbonded_miso       => reg_tr_nonbonded_miso,
-    reg_diagnostics_mosi        => reg_diagnostics_mosi,
-    reg_diagnostics_miso        => reg_diagnostics_miso,
-    -- . diag_data_buffer
-    ram_diag_data_buf_mosi      => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso      => ram_diag_data_buf_miso,
-    reg_diag_data_buf_mosi      => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso      => reg_diag_data_buf_miso,
-    -- . diag_data_buffer_mesh
-    ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-    ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
-    -- . bsn_monitor
-    reg_bsn_monitor_mosi        => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso        => reg_bsn_monitor_miso,
-
-    -- Mesh interface
-    tx_serial_2arr              => tx_serial_2arr,
-    rx_serial_2arr              => rx_serial_2arr
-  );
+    generic map(
+      g_multi_unb                 => g_rev_multi_unb,
+      -- Terminals interface
+      g_use_mesh                  => c_use_mesh,
+      g_mesh_mon_select           => c_mesh_mon_select,
+      g_mesh_mon_nof_words        => c_mesh_mon_nof_words,
+      g_mesh_mon_use_sync         => c_mesh_mon_use_sync,
+      -- Auxiliary Interface
+      g_aux                       => c_unb1_board_aux
+    )
+    port map(
+      -- System
+      mm_rst                      => mm_rst,
+      mm_clk                      => mm_clk,
+      dp_rst                      => dp_rst,
+      dp_clk                      => dp_clk,
+      dp_pps                      => dp_pps,
+      tr_mesh_clk                 => SB_CLK,
+      cal_clk                     => cal_clk,
+
+      chip_id                     => this_chip_id,
+
+      -- MM interface
+      -- . tr_nonbonded
+      reg_tr_nonbonded_mosi       => reg_tr_nonbonded_mosi,
+      reg_tr_nonbonded_miso       => reg_tr_nonbonded_miso,
+      reg_diagnostics_mosi        => reg_diagnostics_mosi,
+      reg_diagnostics_miso        => reg_diagnostics_miso,
+      -- . diag_data_buffer
+      ram_diag_data_buf_mosi      => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso      => ram_diag_data_buf_miso,
+      reg_diag_data_buf_mosi      => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso      => reg_diag_data_buf_miso,
+      -- . diag_data_buffer_mesh
+      ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+      ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
+      -- . bsn_monitor
+      reg_bsn_monitor_mosi        => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso        => reg_bsn_monitor_miso,
+
+      -- Mesh interface
+      tx_serial_2arr              => tx_serial_2arr,
+      rx_serial_2arr              => rx_serial_2arr
+    );
 
   -----------------------------------------------------------------------------
   -- Mesh I/O
@@ -384,23 +384,23 @@ begin
 
   gen_tr_mesh : if c_use_phy.tr_mesh /= 0 generate
     u_mesh_io : entity unb1_board_lib.unb1_board_mesh_io
-    generic map (
-      g_bus_w => c_unb1_board_tr_mesh.bus_w
-    )
-    port map (
-      tx_serial_2arr => tx_serial_2arr,
-      rx_serial_2arr => rx_serial_2arr,
-
-      -- Serial I/O
-      FN_BN_0_TX     => FN_BN_0_TX,
-      FN_BN_0_RX     => FN_BN_0_RX,
-      FN_BN_1_TX     => FN_BN_1_TX,
-      FN_BN_1_RX     => FN_BN_1_RX,
-      FN_BN_2_TX     => FN_BN_2_TX,
-      FN_BN_2_RX     => FN_BN_2_RX,
-      FN_BN_3_TX     => FN_BN_3_TX,
-      FN_BN_3_RX     => FN_BN_3_RX
-    );
+      generic map (
+        g_bus_w => c_unb1_board_tr_mesh.bus_w
+      )
+      port map (
+        tx_serial_2arr => tx_serial_2arr,
+        rx_serial_2arr => rx_serial_2arr,
+
+        -- Serial I/O
+        FN_BN_0_TX     => FN_BN_0_TX,
+        FN_BN_0_RX     => FN_BN_0_RX,
+        FN_BN_1_TX     => FN_BN_1_TX,
+        FN_BN_1_RX     => FN_BN_1_RX,
+        FN_BN_2_TX     => FN_BN_2_TX,
+        FN_BN_2_RX     => FN_BN_2_RX,
+        FN_BN_3_TX     => FN_BN_3_TX,
+        FN_BN_3_RX     => FN_BN_3_RX
+      );
   end generate;
 
 end;
diff --git a/boards/uniboard1/designs/unb1_fn_terminal_db/tb/vhdl/tb_unb1_fn_terminal_db.vhd b/boards/uniboard1/designs/unb1_fn_terminal_db/tb/vhdl/tb_unb1_fn_terminal_db.vhd
index 759135578c..5ecf664119 100644
--- a/boards/uniboard1/designs/unb1_fn_terminal_db/tb/vhdl/tb_unb1_fn_terminal_db.vhd
+++ b/boards/uniboard1/designs/unb1_fn_terminal_db/tb/vhdl/tb_unb1_fn_terminal_db.vhd
@@ -73,17 +73,17 @@
 --
 
 library IEEE, common_lib, unb_common_lib, bn_terminal_bg_lib, mm_lib, bf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use unb_common_lib.unb_common_pkg.all;
-use unb_common_lib.tb_unb_common_pkg.all;
-use bf_lib.bf_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use unb_common_lib.unb_common_pkg.all;
+  use unb_common_lib.tb_unb_common_pkg.all;
+  use bf_lib.bf_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
 
 entity tb_mmf_node_fn_terminal_db is
   generic (
@@ -97,7 +97,7 @@ architecture tb of tb_mmf_node_fn_terminal_db is
   constant c_sim                       : boolean := true;
 
   constant c_use_back                  : boolean := sel_a_b(g_unb_sys.nof_unb = 4, true, false);  -- To interconnect multiple boards via the backplane when g_unb_sys.nof_unb=4 else when g_unb_sys.nof_unb=1
-                                                                                                -- this loops back each back node's BN_BI_TX to BN_BI_RX.
+  -- this loops back each back node's BN_BI_TX to BN_BI_RX.
   constant c_ena_mesh_reorder          : boolean := true;
   constant c_mesh_use_bidir            : boolean := false;
   constant c_mesh_nof_serial           : natural := 3;  -- default only use the 3 full featured transceivers in the mesh (out of maximal 4), using only 2 is not enough
@@ -231,10 +231,10 @@ begin
       --                                              PORT MAP(mm_rst, mm_clk, bn_reg_diagnostics_back_mosi_2arr(UNB)(BN), bn_reg_diagnostics_back_miso_2arr(UNB)(BN) );
 
       u_mm_file_reg_diag_bg            : mm_file generic map(mmf_unb_file_prefix(UNB, BN, "BN") & "REG_DIAG_BG")
-                                                    port map(mm_rst, mm_clk, bn_reg_diag_bg_mosi_2arr(UNB)(BN), bn_reg_diag_bg_miso_2arr(UNB)(BN) );
+        port map(mm_rst, mm_clk, bn_reg_diag_bg_mosi_2arr(UNB)(BN), bn_reg_diag_bg_miso_2arr(UNB)(BN) );
 
       u_mm_file_ram_diag_bg            : mm_file generic map(mmf_unb_file_prefix(UNB, BN, "BN") & "RAM_DIAG_BG")
-                                                    port map(mm_rst, mm_clk, bn_ram_diag_bg_mosi_2arr(UNB)(BN), bn_ram_diag_bg_miso_2arr(UNB)(BN) );
+        port map(mm_rst, mm_clk, bn_ram_diag_bg_mosi_2arr(UNB)(BN), bn_ram_diag_bg_miso_2arr(UNB)(BN) );
 
       --u_mm_file_reg_tr_nonbonded_mesh  : mm_file GENERIC MAP(mmf_unb_file_prefix(UNB, BN, "BN") & "REG_TR_NONBONDED_MESH")
       --                                              PORT MAP(mm_rst, mm_clk, bn_reg_tr_nonbonded_mesh_mosi_2arr(UNB)(BN), bn_reg_tr_nonbonded_mesh_miso_2arr(UNB)(BN) );
@@ -255,58 +255,58 @@ begin
       -- bn_terminal_bg: Node function: block generator & terminals
       ----------------------------------------------------------------------------
       u_node_bn_terminal_bg : entity bn_terminal_bg_lib.node_bn_terminal_bg
-      generic map(
-        g_sim                     => c_sim,
-        g_sim_level               => g_sim_level,
-        g_use_back                => c_use_back,
-        g_mesh_nof_serial         => c_mesh_nof_serial,
-        g_mesh_use_rx             => c_mesh_use_bidir,
-        g_mesh_ena_reorder        => c_ena_mesh_reorder
-      )
-      port map(
-        -- System
-        mm_rst                      => mm_rst,
-        mm_clk                      => mm_clk,
-        dp_rst                      => dp_rst,
-        dp_clk                      => dp_clk,
-        dp_pps                      => dp_pps,
-        tr_mesh_clk                 => tr_CLK,
-        tr_back_clk                 => tr_CLK,
-        cal_clk                     => cal_rec_clk,
-
-        chip_id                     => TO_UVEC(BN + 4, c_unb_nof_chip_w),  -- BN chip ID 4,5,6,7
-        bck_id                      => TO_UVEC(UNB, c_unb_nof_uniboard_w),  -- Backplane ID 0,1,2,3
-
-        -- MM interface
-        -- . block generator
-        reg_diag_bg_mosi            => bn_reg_diag_bg_mosi_2arr(UNB)(BN),
-        reg_diag_bg_miso            => bn_reg_diag_bg_miso_2arr(UNB)(BN),
-        ram_diag_bg_mosi            => bn_ram_diag_bg_mosi_2arr(UNB)(BN),
-        ram_diag_bg_miso            => bn_ram_diag_bg_miso_2arr(UNB)(BN),
-        -- . tr_nonbonded mesh
-        reg_mesh_tr_nonbonded_mosi  => bn_reg_tr_nonbonded_mesh_mosi_2arr(UNB)(BN),
-        reg_mesh_tr_nonbonded_miso  => bn_reg_tr_nonbonded_mesh_miso_2arr(UNB)(BN),
-        reg_mesh_diagnostics_mosi   => bn_reg_diagnostics_mesh_mosi_2arr(UNB)(BN),
-        reg_mesh_diagnostics_miso   => bn_reg_diagnostics_mesh_miso_2arr(UNB)(BN),
-        -- . tr_nonbonded back
-        reg_back_tr_nonbonded_mosi  => bn_reg_tr_nonbonded_back_mosi_2arr(UNB)(BN),
-        reg_back_tr_nonbonded_miso  => bn_reg_tr_nonbonded_back_miso_2arr(UNB)(BN),
-        reg_back_diagnostics_mosi   => bn_reg_diagnostics_back_mosi_2arr(UNB)(BN),
-        reg_back_diagnostics_miso   => bn_reg_diagnostics_back_miso_2arr(UNB)(BN),
-        -- . diag_data_buffer mesh
-        ram_mesh_diag_data_buf_mosi => bn_ram_diag_data_buf_mesh_mosi_2arr(UNB)(BN),
-        ram_mesh_diag_data_buf_miso => bn_ram_diag_data_buf_mesh_miso_2arr(UNB)(BN),
-
-        -- Mesh interface level
-        -- . Serial (tr_nonbonded)
-        mesh_tx_serial_2arr         => bn_out_mesh_serial_4arr(UNB)(BN),
-        mesh_rx_serial_2arr         => bn_in_mesh_serial_4arr(UNB)(BN),
-
-        -- Back interface level
-        -- . Serial (tr_nonbonded)
-        back_tx_serial_2arr         => bn_out_back_serial_4arr(UNB)(BN),
-        back_rx_serial_2arr         => bn_in_back_serial_4arr(UNB)(BN)
-      );
+        generic map(
+          g_sim                     => c_sim,
+          g_sim_level               => g_sim_level,
+          g_use_back                => c_use_back,
+          g_mesh_nof_serial         => c_mesh_nof_serial,
+          g_mesh_use_rx             => c_mesh_use_bidir,
+          g_mesh_ena_reorder        => c_ena_mesh_reorder
+        )
+        port map(
+          -- System
+          mm_rst                      => mm_rst,
+          mm_clk                      => mm_clk,
+          dp_rst                      => dp_rst,
+          dp_clk                      => dp_clk,
+          dp_pps                      => dp_pps,
+          tr_mesh_clk                 => tr_CLK,
+          tr_back_clk                 => tr_CLK,
+          cal_clk                     => cal_rec_clk,
+
+          chip_id                     => TO_UVEC(BN + 4, c_unb_nof_chip_w),  -- BN chip ID 4,5,6,7
+          bck_id                      => TO_UVEC(UNB, c_unb_nof_uniboard_w),  -- Backplane ID 0,1,2,3
+
+          -- MM interface
+          -- . block generator
+          reg_diag_bg_mosi            => bn_reg_diag_bg_mosi_2arr(UNB)(BN),
+          reg_diag_bg_miso            => bn_reg_diag_bg_miso_2arr(UNB)(BN),
+          ram_diag_bg_mosi            => bn_ram_diag_bg_mosi_2arr(UNB)(BN),
+          ram_diag_bg_miso            => bn_ram_diag_bg_miso_2arr(UNB)(BN),
+          -- . tr_nonbonded mesh
+          reg_mesh_tr_nonbonded_mosi  => bn_reg_tr_nonbonded_mesh_mosi_2arr(UNB)(BN),
+          reg_mesh_tr_nonbonded_miso  => bn_reg_tr_nonbonded_mesh_miso_2arr(UNB)(BN),
+          reg_mesh_diagnostics_mosi   => bn_reg_diagnostics_mesh_mosi_2arr(UNB)(BN),
+          reg_mesh_diagnostics_miso   => bn_reg_diagnostics_mesh_miso_2arr(UNB)(BN),
+          -- . tr_nonbonded back
+          reg_back_tr_nonbonded_mosi  => bn_reg_tr_nonbonded_back_mosi_2arr(UNB)(BN),
+          reg_back_tr_nonbonded_miso  => bn_reg_tr_nonbonded_back_miso_2arr(UNB)(BN),
+          reg_back_diagnostics_mosi   => bn_reg_diagnostics_back_mosi_2arr(UNB)(BN),
+          reg_back_diagnostics_miso   => bn_reg_diagnostics_back_miso_2arr(UNB)(BN),
+          -- . diag_data_buffer mesh
+          ram_mesh_diag_data_buf_mosi => bn_ram_diag_data_buf_mesh_mosi_2arr(UNB)(BN),
+          ram_mesh_diag_data_buf_miso => bn_ram_diag_data_buf_mesh_miso_2arr(UNB)(BN),
+
+          -- Mesh interface level
+          -- . Serial (tr_nonbonded)
+          mesh_tx_serial_2arr         => bn_out_mesh_serial_4arr(UNB)(BN),
+          mesh_rx_serial_2arr         => bn_in_mesh_serial_4arr(UNB)(BN),
+
+          -- Back interface level
+          -- . Serial (tr_nonbonded)
+          back_tx_serial_2arr         => bn_out_back_serial_4arr(UNB)(BN),
+          back_rx_serial_2arr         => bn_in_back_serial_4arr(UNB)(BN)
+        );
 
     end generate;
 
@@ -321,10 +321,10 @@ begin
       --                                              PORT MAP(mm_rst, mm_clk, fn_reg_diagnostics_mosi_2arr(UNB)(FN), fn_reg_diagnostics_miso_2arr(UNB)(FN) );
 
       u_mm_file_ram_diag_data_buf      : mm_file generic map(mmf_unb_file_prefix(UNB, FN, "FN") & "RAM_DIAG_DATA_BUFFER")
-                                                    port map(mm_rst, mm_clk, fn_ram_diag_data_buf_mosi_2arr(UNB)(FN), fn_ram_diag_data_buf_miso_2arr(UNB)(FN) );
+        port map(mm_rst, mm_clk, fn_ram_diag_data_buf_mosi_2arr(UNB)(FN), fn_ram_diag_data_buf_miso_2arr(UNB)(FN) );
 
       u_mm_file_reg_diag_data_buf      : mm_file generic map(mmf_unb_file_prefix(UNB, FN, "FN") & "REG_DIAG_DATA_BUFFER")
-                                                    port map(mm_rst, mm_clk, fn_reg_diag_data_buf_mosi_2arr(UNB)(FN), fn_reg_diag_data_buf_miso_2arr(UNB)(FN) );
+        port map(mm_rst, mm_clk, fn_reg_diag_data_buf_mosi_2arr(UNB)(FN), fn_reg_diag_data_buf_miso_2arr(UNB)(FN) );
 
       --u_mm_file_ram_diag_data_buf_mesh : mm_file GENERIC MAP(mmf_unb_file_prefix(UNB, FN, "FN") & "RAM_DIAG_DATA_BUFFER_MESH")
       --                                              PORT MAP(mm_rst, mm_clk, fn_ram_mesh_diag_data_buf_mosi_2arr(UNB)(FN), fn_ram_mesh_diag_data_buf_miso_2arr(UNB)(FN) );
@@ -339,52 +339,52 @@ begin
       -- Node function: Terminals and data buffer
       -----------------------------------------------------------------------------
       u_node_fn_terminal_db : entity work.node_unb1_fn_terminal_db
-      generic map(
-        g_sim                       => c_sim,
-        g_sim_level                 => g_sim_level,
-        g_use_bsn_align             => true,
-        g_use_data_buf              => true,
-        -- Terminals interface
-        g_multi_unb                 => sel_a_b(g_unb_sys.nof_unb > 1, true, false),
-        g_mesh_nof_serial           => c_mesh_nof_serial,
-        g_mesh_use_tx               => c_mesh_use_bidir,
-        g_mesh_ena_reorder          => c_ena_mesh_reorder
-      )
-      port map(
-        -- System
-        mm_rst                      => mm_rst,
-        mm_clk                      => mm_clk,
-        dp_rst                      => dp_rst,
-        dp_clk                      => dp_clk,
-        dp_pps                      => dp_pps,
-        tr_mesh_clk                 => tr_clk,
-        cal_clk                     => cal_rec_clk,
-
-        chip_id                     => TO_UVEC(FN, c_unb_nof_chip_w),  -- FN chip ID 0,1,2,3
-
-        -- MM interface
-        -- . tr_nonbonded
-        reg_tr_nonbonded_mosi       => fn_reg_tr_nonbonded_mosi_2arr(UNB)(FN),
-        reg_tr_nonbonded_miso       => fn_reg_tr_nonbonded_miso_2arr(UNB)(FN),
-        reg_diagnostics_mosi        => fn_reg_diagnostics_mosi_2arr(UNB)(FN),
-        reg_diagnostics_miso        => fn_reg_diagnostics_miso_2arr(UNB)(FN),
-        -- . diag_data_buffer
-        ram_diag_data_buf_mosi      => fn_ram_diag_data_buf_mosi_2arr(UNB)(FN),
-        ram_diag_data_buf_miso      => fn_ram_diag_data_buf_miso_2arr(UNB)(FN),
-        reg_diag_data_buf_mosi      => fn_reg_diag_data_buf_mosi_2arr(UNB)(FN),
-        reg_diag_data_buf_miso      => fn_reg_diag_data_buf_miso_2arr(UNB)(FN),
-        -- . diag_data_buffer_mesh
-        ram_mesh_diag_data_buf_mosi => fn_ram_mesh_diag_data_buf_mosi_2arr(UNB)(FN),
-        ram_mesh_diag_data_buf_miso => fn_ram_mesh_diag_data_buf_miso_2arr(UNB)(FN),
-        -- . bsn_monitor
-        reg_bsn_monitor_mosi        => fn_reg_bsn_monitor_mosi_2arr(UNB)(FN),
-        reg_bsn_monitor_miso        => fn_reg_bsn_monitor_miso_2arr(UNB)(FN),
-
-        -- Mesh interface level
-        -- . Serial (tr_nonbonded)
-        tx_serial_2arr              => fn_out_mesh_serial_4arr(UNB)(FN),  -- Tx support for diagnostics
-        rx_serial_2arr              => fn_in_mesh_serial_4arr(UNB)(FN)  -- Rx
-      );
+        generic map(
+          g_sim                       => c_sim,
+          g_sim_level                 => g_sim_level,
+          g_use_bsn_align             => true,
+          g_use_data_buf              => true,
+          -- Terminals interface
+          g_multi_unb                 => sel_a_b(g_unb_sys.nof_unb > 1, true, false),
+          g_mesh_nof_serial           => c_mesh_nof_serial,
+          g_mesh_use_tx               => c_mesh_use_bidir,
+          g_mesh_ena_reorder          => c_ena_mesh_reorder
+        )
+        port map(
+          -- System
+          mm_rst                      => mm_rst,
+          mm_clk                      => mm_clk,
+          dp_rst                      => dp_rst,
+          dp_clk                      => dp_clk,
+          dp_pps                      => dp_pps,
+          tr_mesh_clk                 => tr_clk,
+          cal_clk                     => cal_rec_clk,
+
+          chip_id                     => TO_UVEC(FN, c_unb_nof_chip_w),  -- FN chip ID 0,1,2,3
+
+          -- MM interface
+          -- . tr_nonbonded
+          reg_tr_nonbonded_mosi       => fn_reg_tr_nonbonded_mosi_2arr(UNB)(FN),
+          reg_tr_nonbonded_miso       => fn_reg_tr_nonbonded_miso_2arr(UNB)(FN),
+          reg_diagnostics_mosi        => fn_reg_diagnostics_mosi_2arr(UNB)(FN),
+          reg_diagnostics_miso        => fn_reg_diagnostics_miso_2arr(UNB)(FN),
+          -- . diag_data_buffer
+          ram_diag_data_buf_mosi      => fn_ram_diag_data_buf_mosi_2arr(UNB)(FN),
+          ram_diag_data_buf_miso      => fn_ram_diag_data_buf_miso_2arr(UNB)(FN),
+          reg_diag_data_buf_mosi      => fn_reg_diag_data_buf_mosi_2arr(UNB)(FN),
+          reg_diag_data_buf_miso      => fn_reg_diag_data_buf_miso_2arr(UNB)(FN),
+          -- . diag_data_buffer_mesh
+          ram_mesh_diag_data_buf_mosi => fn_ram_mesh_diag_data_buf_mosi_2arr(UNB)(FN),
+          ram_mesh_diag_data_buf_miso => fn_ram_mesh_diag_data_buf_miso_2arr(UNB)(FN),
+          -- . bsn_monitor
+          reg_bsn_monitor_mosi        => fn_reg_bsn_monitor_mosi_2arr(UNB)(FN),
+          reg_bsn_monitor_miso        => fn_reg_bsn_monitor_miso_2arr(UNB)(FN),
+
+          -- Mesh interface level
+          -- . Serial (tr_nonbonded)
+          tx_serial_2arr              => fn_out_mesh_serial_4arr(UNB)(FN),  -- Tx support for diagnostics
+          rx_serial_2arr              => fn_in_mesh_serial_4arr(UNB)(FN)  -- Rx
+        );
 
     end generate;
 
@@ -404,36 +404,36 @@ begin
     -- Mesh model
     gen_mesh : if g_unb_sys.nof_bn > 1 or g_unb_sys.nof_fn > 1 generate
       u_mesh_model_serial : entity unb_common_lib.unb_mesh_model_sl
-      generic map(
-        g_reorder      => c_ena_mesh_reorder
-      )
-      port map (
-        -- FN to BN
-        fn_tx_sl_3arr  => fn_out_mesh_serial_4arr(UNB),
-        bn_rx_sl_3arr  => bn_in_mesh_serial_4arr(UNB),
-
-        -- BN to FN
-        bn_tx_sl_3arr  => bn_out_mesh_serial_4arr(UNB),
-        fn_rx_sl_3arr  => fn_in_mesh_serial_4arr(UNB)
-      );
+        generic map(
+          g_reorder      => c_ena_mesh_reorder
+        )
+        port map (
+          -- FN to BN
+          fn_tx_sl_3arr  => fn_out_mesh_serial_4arr(UNB),
+          bn_rx_sl_3arr  => bn_in_mesh_serial_4arr(UNB),
+
+          -- BN to FN
+          bn_tx_sl_3arr  => bn_out_mesh_serial_4arr(UNB),
+          fn_rx_sl_3arr  => fn_in_mesh_serial_4arr(UNB)
+        );
     end generate;
 
   end generate;
 
-   ------------------------------------------------------------------------------
-   -- Instantiate a backplane model that interconnects all UniBoards...
-   ------------------------------------------------------------------------------
+  ------------------------------------------------------------------------------
+  -- Instantiate a backplane model that interconnects all UniBoards...
+  ------------------------------------------------------------------------------
   gen_backplane : if c_use_back = true generate
     gen_model : entity unb_common_lib.unb_back_model_sl
-    port map (
-      backplane_in_serial_4arr  => bn_out_back_serial_4arr,
-      backplane_out_serial_4arr => bn_in_back_serial_4arr
-   );
+      port map (
+        backplane_in_serial_4arr  => bn_out_back_serial_4arr,
+        backplane_out_serial_4arr => bn_in_back_serial_4arr
+      );
   end generate;
 
-   ------------------------------------------------------------------------------
-   -- ...or loop back serial TX to RX in case of a single UniBoard.
-   ------------------------------------------------------------------------------
+  ------------------------------------------------------------------------------
+  -- ...or loop back serial TX to RX in case of a single UniBoard.
+  ------------------------------------------------------------------------------
   no_backplane: if c_use_back = false generate
     bn_in_back_serial_4arr <= bn_out_back_serial_4arr;
   end generate;
diff --git a/boards/uniboard1/designs/unb1_heater/src/vhdl/mmm_unb1_heater.vhd b/boards/uniboard1/designs/unb1_heater/src/vhdl/mmm_unb1_heater.vhd
index 5299c66504..558a839d12 100644
--- a/boards/uniboard1/designs/unb1_heater/src/vhdl/mmm_unb1_heater.vhd
+++ b/boards/uniboard1/designs/unb1_heater/src/vhdl/mmm_unb1_heater.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb1_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb1_heater_pkg.all;
 
 
 entity mmm_unb1_heater is
@@ -133,30 +133,30 @@ begin
     eth1g_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_heater          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HEATER")
-                                               port map(mm_rst, i_mm_clk, reg_heater_mosi, reg_heater_miso );
+      port map(mm_rst, i_mm_clk, reg_heater_mosi, reg_heater_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_eth1g_reg           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
     u_mm_file_eth1g_ram           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_RAM")
-                                               port map(mm_rst, i_mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
+      port map(mm_rst, i_mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
     u_mm_file_eth1g_tse           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_TSE")
-                                               port map(mm_rst, i_mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
+      port map(mm_rst, i_mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -171,150 +171,150 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb1_heater
-    port map (
-      clk_0                                         => xo_clk,
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,
-      tse_clk                                       => eth1g_tse_clk,
-      epcs_clk                                      => i_epcs_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-      areset_to_the_altpll_0                        => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_dpmm_data
-      coe_clk_export_from_the_reg_dpmm_data         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_data       => OPEN,
-      coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dpmm_ctrl
-      coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
-      coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_data
-      coe_clk_export_from_the_reg_mmdp_data         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_data       => OPEN,
-      coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_ctrl
-      coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
-      coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      -- the_reg_epcs
-      coe_clk_export_from_the_reg_epcs              => OPEN,
-      coe_reset_export_from_the_reg_epcs            => OPEN,
-      coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
-      coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
-      coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_remu
-      coe_clk_export_from_the_reg_remu              => OPEN,
-      coe_reset_export_from_the_reg_remu            => OPEN,
-      coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
-      coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
-      coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- reg_heater
-      reg_heater_clk_export                         => OPEN,
-      reg_heater_reset_export                       => OPEN,
-      reg_heater_address_export                     => reg_heater_mosi.address(3 downto 0),
-      reg_heater_read_export                        => reg_heater_mosi.rd,
-      reg_heater_readdata_export                    => reg_heater_miso.rddata(c_word_w - 1 downto 0),
-      reg_heater_write_export                       => reg_heater_mosi.wr,
-      reg_heater_writedata_export                   => reg_heater_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+        clk_0                                         => xo_clk,
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,
+        tse_clk                                       => eth1g_tse_clk,
+        epcs_clk                                      => i_epcs_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+        areset_to_the_altpll_0                        => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_dpmm_data
+        coe_clk_export_from_the_reg_dpmm_data         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_data       => OPEN,
+        coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dpmm_ctrl
+        coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
+        coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_data
+        coe_clk_export_from_the_reg_mmdp_data         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_data       => OPEN,
+        coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_ctrl
+        coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
+        coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        -- the_reg_epcs
+        coe_clk_export_from_the_reg_epcs              => OPEN,
+        coe_reset_export_from_the_reg_epcs            => OPEN,
+        coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
+        coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
+        coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_remu
+        coe_clk_export_from_the_reg_remu              => OPEN,
+        coe_reset_export_from_the_reg_remu            => OPEN,
+        coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
+        coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
+        coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- reg_heater
+        reg_heater_clk_export                         => OPEN,
+        reg_heater_reset_export                       => OPEN,
+        reg_heater_address_export                     => reg_heater_mosi.address(3 downto 0),
+        reg_heater_read_export                        => reg_heater_mosi.rd,
+        reg_heater_readdata_export                    => reg_heater_miso.rddata(c_word_w - 1 downto 0),
+        reg_heater_write_export                       => reg_heater_mosi.wr,
+        reg_heater_writedata_export                   => reg_heater_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard1/designs/unb1_heater/src/vhdl/qsys_unb1_heater_pkg.vhd b/boards/uniboard1/designs/unb1_heater/src/vhdl/qsys_unb1_heater_pkg.vhd
index 1e491f25b3..baf53f5d5a 100644
--- a/boards/uniboard1/designs/unb1_heater/src/vhdl/qsys_unb1_heater_pkg.vhd
+++ b/boards/uniboard1/designs/unb1_heater/src/vhdl/qsys_unb1_heater_pkg.vhd
@@ -20,131 +20,131 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb1_heater_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_unb1_heater is
-        port (
-            coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            mm_clk                                        : out std_logic;  -- clk
-            coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
-            coe_address_export_from_the_pio_pps           : out std_logic_vector(0 downto 0);  -- export
-            coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_epcs           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
-            coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_dpmm_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_reg_mmdp_ctrl   : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_ctrl     : out std_logic_vector(0 downto 0);  -- export
-            coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
-            coe_reset_export_from_the_reg_remu            : out std_logic;  -- export
-            coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
-            coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
-            coe_clk_export_from_the_reg_dpmm_data         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
-            coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_readdata_export_to_the_reg_dpmm_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
-            coe_reset_export_from_the_reg_mmdp_data       : out std_logic;  -- export
-            coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_mmdp_data   : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_epcs             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_remu           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-            coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
-            coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_data     : out std_logic_vector(0 downto 0);  -- export
-            coe_write_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
-            coe_address_export_from_the_reg_wdi           : out std_logic_vector(0 downto 0);  -- export
-            coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
-            coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_pio_pps             : out std_logic;  -- export
-            coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
-            coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
-            coe_reset_export_from_the_reg_epcs            : out std_logic;  -- export
-            coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
-            phasedone_from_the_altpll_0                   : out std_logic;  -- export
-            reset_n                                       : in  std_logic                     := 'X';  -- reset_n
-            coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_clk_export_from_the_reg_mmdp_ctrl         : out std_logic;  -- export
-            coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            clk_0                                         : in  std_logic                     := 'X';  -- clk
-            coe_read_export_from_the_reg_dpmm_ctrl        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_remu        : out std_logic_vector(31 downto 0);  -- export
-            coe_write_export_from_the_reg_dpmm_data       : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
-            tse_clk                                       : out std_logic;  -- clk
-            epcs_clk                                      : out std_logic;  -- clk
-            coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
-            coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_dpmm_data   : out std_logic_vector(31 downto 0);  -- export
-            coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
-            coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
-            coe_clk_export_from_the_reg_mmdp_data         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
-            coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_remu            : out std_logic;  -- export
-            coe_clk_export_from_the_reg_epcs              : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_data        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_epcs        : out std_logic_vector(31 downto 0);  -- export
-            out_port_from_the_pio_wdi                     : out std_logic;  -- export
-            coe_reset_export_from_the_reg_dpmm_data       : out std_logic;  -- export
-            coe_clk_export_from_the_reg_remu              : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_ctrl        : out std_logic;  -- export
-            coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
-            coe_address_export_from_the_reg_mmdp_ctrl     : out std_logic_vector(0 downto 0);  -- export
-            coe_write_export_from_the_reg_epcs            : out std_logic;  -- export
-            coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_pio_pps              : out std_logic;  -- export
-            coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
-            coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_epcs          : out std_logic_vector(2 downto 0);  -- export
-            coe_read_export_from_the_reg_dpmm_data        : out std_logic;  -- export
-            coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
-            coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
-            coe_writedata_export_from_the_reg_dpmm_ctrl   : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_mmdp_data     : out std_logic_vector(0 downto 0);  -- export
-            coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
-            coe_clk_export_from_the_reg_dpmm_ctrl         : out std_logic;  -- export
-            coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
-            coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_reg_mmdp_data       : out std_logic;  -- export
-            coe_address_export_from_the_reg_remu          : out std_logic_vector(2 downto 0);  -- export
-            areset_to_the_altpll_0                        : in  std_logic                     := 'X';  -- export
-            locked_from_the_altpll_0                      : out std_logic;  -- export
-            coe_write_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
-            coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            c3_from_the_altpll_0                          : out std_logic;  -- export
-            coe_read_export_from_the_reg_remu             : out std_logic;  -- export
-            reg_heater_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_heater_read_export                        : out std_logic;  -- export
-            reg_heater_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_heater_write_export                       : out std_logic;  -- export
-            reg_heater_address_export                     : out std_logic_vector(3 downto 0);  -- export
-            reg_heater_clk_export                         : out std_logic;  -- export
-            reg_heater_reset_export                       : out std_logic  -- export
-        );
-    end component qsys_unb1_heater;
+  component qsys_unb1_heater is
+    port (
+      coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      mm_clk                                        : out std_logic;  -- clk
+      coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
+      coe_address_export_from_the_pio_pps           : out std_logic_vector(0 downto 0);  -- export
+      coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_epcs           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_dpmm_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_reg_mmdp_ctrl   : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_ctrl     : out std_logic_vector(0 downto 0);  -- export
+      coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_remu            : out std_logic;  -- export
+      coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
+      coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_clk_export_from_the_reg_dpmm_data         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
+      coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_readdata_export_to_the_reg_dpmm_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
+      coe_reset_export_from_the_reg_mmdp_data       : out std_logic;  -- export
+      coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_mmdp_data   : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_epcs             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_remu           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
+      coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_data     : out std_logic_vector(0 downto 0);  -- export
+      coe_write_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
+      coe_address_export_from_the_reg_wdi           : out std_logic_vector(0 downto 0);  -- export
+      coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
+      coe_reset_export_from_the_reg_epcs            : out std_logic;  -- export
+      coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
+      phasedone_from_the_altpll_0                   : out std_logic;  -- export
+      reset_n                                       : in  std_logic                     := 'X';  -- reset_n
+      coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_clk_export_from_the_reg_mmdp_ctrl         : out std_logic;  -- export
+      coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      clk_0                                         : in  std_logic                     := 'X';  -- clk
+      coe_read_export_from_the_reg_dpmm_ctrl        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_remu        : out std_logic_vector(31 downto 0);  -- export
+      coe_write_export_from_the_reg_dpmm_data       : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
+      tse_clk                                       : out std_logic;  -- clk
+      epcs_clk                                      : out std_logic;  -- clk
+      coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
+      coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_dpmm_data   : out std_logic_vector(31 downto 0);  -- export
+      coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
+      coe_clk_export_from_the_reg_mmdp_data         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
+      coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_remu            : out std_logic;  -- export
+      coe_clk_export_from_the_reg_epcs              : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_data        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_epcs        : out std_logic_vector(31 downto 0);  -- export
+      out_port_from_the_pio_wdi                     : out std_logic;  -- export
+      coe_reset_export_from_the_reg_dpmm_data       : out std_logic;  -- export
+      coe_clk_export_from_the_reg_remu              : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_ctrl        : out std_logic;  -- export
+      coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
+      coe_address_export_from_the_reg_mmdp_ctrl     : out std_logic_vector(0 downto 0);  -- export
+      coe_write_export_from_the_reg_epcs            : out std_logic;  -- export
+      coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_pio_pps              : out std_logic;  -- export
+      coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
+      coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_epcs          : out std_logic_vector(2 downto 0);  -- export
+      coe_read_export_from_the_reg_dpmm_data        : out std_logic;  -- export
+      coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
+      coe_writedata_export_from_the_reg_dpmm_ctrl   : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_mmdp_data     : out std_logic_vector(0 downto 0);  -- export
+      coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
+      coe_clk_export_from_the_reg_dpmm_ctrl         : out std_logic;  -- export
+      coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
+      coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_reg_mmdp_data       : out std_logic;  -- export
+      coe_address_export_from_the_reg_remu          : out std_logic_vector(2 downto 0);  -- export
+      areset_to_the_altpll_0                        : in  std_logic                     := 'X';  -- export
+      locked_from_the_altpll_0                      : out std_logic;  -- export
+      coe_write_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
+      coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      c3_from_the_altpll_0                          : out std_logic;  -- export
+      coe_read_export_from_the_reg_remu             : out std_logic;  -- export
+      reg_heater_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_heater_read_export                        : out std_logic;  -- export
+      reg_heater_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_heater_write_export                       : out std_logic;  -- export
+      reg_heater_address_export                     : out std_logic_vector(3 downto 0);  -- export
+      reg_heater_clk_export                         : out std_logic;  -- export
+      reg_heater_reset_export                       : out std_logic  -- export
+    );
+  end component qsys_unb1_heater;
 
 end qsys_unb1_heater_pkg;
 
diff --git a/boards/uniboard1/designs/unb1_heater/src/vhdl/unb1_heater.vhd b/boards/uniboard1/designs/unb1_heater/src/vhdl/unb1_heater.vhd
index 0012749a73..2d16e8b7be 100644
--- a/boards/uniboard1/designs/unb1_heater/src/vhdl/unb1_heater.vhd
+++ b/boards/uniboard1/designs/unb1_heater/src/vhdl/unb1_heater.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, technology_lib, util_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use util_lib.util_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use util_lib.util_heater_pkg.all;
 
 entity unb1_heater is
   generic (
@@ -152,202 +152,202 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim         => g_sim,
-    g_base_ip     => c_base_ip,
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_fw_version  => c_fw_version,
-    g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy     => c_use_phy,
-    g_aux         => c_unb1_board_aux
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    epcs_clk                 => epcs_clk,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_base_ip     => c_base_ip,
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_fw_version  => c_fw_version,
+      g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy     => c_use_phy,
+      g_aux         => c_unb1_board_aux
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      epcs_clk                 => epcs_clk,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_heater
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    xo_clk                   => xo_clk,
-    xo_rst_n                 => xo_rst_n,
-    xo_rst                   => xo_rst,
-
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- heater:
-    reg_heater_mosi          => reg_heater_mosi,
-    reg_heater_miso          => reg_heater_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      xo_clk                   => xo_clk,
+      xo_rst_n                 => xo_rst_n,
+      xo_rst                   => xo_rst,
+
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- heater:
+      reg_heater_mosi          => reg_heater_mosi,
+      reg_heater_miso          => reg_heater_miso
+    );
 
   u_heater : entity util_lib.util_heater
-  generic map (
-    g_technology => g_technology,
-    g_nof_mac4   => 315
-  )
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-
-    st_rst  => st_rst,
-    st_clk  => st_clk,
-
-    sla_in  => reg_heater_mosi,
-    sla_out => reg_heater_miso
-  );
+    generic map (
+      g_technology => g_technology,
+      g_nof_mac4   => 315
+    )
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+
+      st_rst  => st_rst,
+      st_clk  => st_clk,
+
+      sla_in  => reg_heater_mosi,
+      sla_out => reg_heater_miso
+    );
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_heater/tb/vhdl/tb_unb1_heater.vhd b/boards/uniboard1/designs/unb1_heater/tb/vhdl/tb_unb1_heater.vhd
index 35c916b8e1..5e1db9b1f0 100644
--- a/boards/uniboard1/designs/unb1_heater/tb/vhdl/tb_unb1_heater.vhd
+++ b/boards/uniboard1/designs/unb1_heater/tb/vhdl/tb_unb1_heater.vhd
@@ -43,18 +43,18 @@
 --
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_heater is
-    generic (
-      g_design_name : string  := "unb1_heater";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 7  -- Back node 3
-    );
+  generic (
+    g_design_name : string  := "unb1_heater";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 7  -- Back node 3
+  );
 end tb_unb1_heater;
 
 architecture tb of tb_unb1_heater is
@@ -166,37 +166,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/tb_unb1_minimal_mm_arbiter.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/tb_unb1_minimal_mm_arbiter.vhd
index 6d6d6ce813..06d8eecd5b 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/tb_unb1_minimal_mm_arbiter.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/tb_unb1_minimal_mm_arbiter.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_minimal_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_minimal_mm_arbiter is
@@ -35,8 +35,8 @@ end tb_unb1_minimal_mm_arbiter;
 architecture tb of tb_unb1_minimal_mm_arbiter is
 begin
   u_tb_unb1_minimal : entity unb1_minimal_lib.tb_unb1_minimal
-  generic map (
-    g_design_name => "unb1_minimal_mm_arbiter",
-    g_sim_node_nr => 7  -- BN3
-  );
+    generic map (
+      g_design_name => "unb1_minimal_mm_arbiter",
+      g_sim_node_nr => 7  -- BN3
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/unb1_minimal_mm_arbiter.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/unb1_minimal_mm_arbiter.vhd
index 59b341b2ad..7e965d2a6e 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/unb1_minimal_mm_arbiter.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_mm_arbiter/unb1_minimal_mm_arbiter.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb1_board_lib, unb1_minimal_lib;
-use IEEE.std_logic_1164.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity unb1_minimal_mm_arbiter is
   generic (
@@ -65,38 +65,38 @@ architecture str of unb1_minimal_mm_arbiter is
 begin
 
   u_revision : entity unb1_minimal_lib.unb1_minimal
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT
-  );
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys.vhd
index cdf60e088a..90f2a8a5ea 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_minimal_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_minimal_qsys is
@@ -35,8 +35,8 @@ end tb_unb1_minimal_qsys;
 architecture tb of tb_unb1_minimal_qsys is
 begin
   u_tb_unb1_minimal : entity unb1_minimal_lib.tb_unb1_minimal
-  generic map (
-    g_design_name => "unb1_minimal_qsys",
-    g_sim_node_nr => 7  -- BN3
-  );
+    generic map (
+      g_design_name => "unb1_minimal_qsys",
+      g_sim_node_nr => 7  -- BN3
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys_stimuli.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys_stimuli.vhd
index e406b3e8a3..473ba0a2f4 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys_stimuli.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/tb_unb1_minimal_qsys_stimuli.vhd
@@ -44,13 +44,13 @@
 --     > run 100 us
 --
 library IEEE, common_lib, mm_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity tb_unb1_minimal_qsys_stimuli is
 end tb_unb1_minimal_qsys_stimuli;
@@ -190,38 +190,38 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   ------------------------------------------------------------------------------
   -- MM slave accesses via file IO
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/unb1_minimal_qsys.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/unb1_minimal_qsys.vhd
index 031c28162d..29753d818d 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/unb1_minimal_qsys.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys/unb1_minimal_qsys.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb1_board_lib, unb1_minimal_lib;
-use IEEE.std_logic_1164.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity unb1_minimal_qsys is
   generic (
@@ -65,38 +65,38 @@ architecture str of unb1_minimal_qsys is
 begin
 
   u_revision : entity unb1_minimal_lib.unb1_minimal
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT
-  );
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd
index b0b46e9cec..24120bc1dd 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd
@@ -20,24 +20,24 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use work.qsys_wo_pll_unb1_minimal_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use work.qsys_wo_pll_unb1_minimal_pkg.all;
 
 
 entity mmm_unb1_minimal_qsys_wo_pll is
@@ -127,27 +127,27 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_eth1g_reg           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
     u_mm_file_eth1g_ram           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_RAM")
-                                               port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
+      port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
     u_mm_file_eth1g_tse           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_TSE")
-                                               port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
+      port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
 
 
 
@@ -195,133 +195,133 @@ begin
     mm_rst_n <= not (mm_rst);
 
     u_qsys : qsys_wo_pll_unb1_minimal
-    port map (
-      clk_0                                         => mm_clk,
-      reset_n                                       => mm_rst_n,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_dpmm_data
-      coe_clk_export_from_the_reg_dpmm_data         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_data       => OPEN,
-      coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0),
-      coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dpmm_ctrl
-      coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
-      coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0),
-      coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_data
-      coe_clk_export_from_the_reg_mmdp_data         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_data       => OPEN,
-      coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0),
-      coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_ctrl
-      coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
-      coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0),
-      coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      -- the_reg_epcs
-      coe_clk_export_from_the_reg_epcs              => OPEN,
-      coe_reset_export_from_the_reg_epcs            => OPEN,
-      coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
-      coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
-      coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_remu
-      coe_clk_export_from_the_reg_remu              => OPEN,
-      coe_reset_export_from_the_reg_remu            => OPEN,
-      coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
-      coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
-      coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+        clk_0                                         => mm_clk,
+        reset_n                                       => mm_rst_n,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_dpmm_data
+        coe_clk_export_from_the_reg_dpmm_data         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_data       => OPEN,
+        coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0),
+        coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dpmm_ctrl
+        coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
+        coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0),
+        coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_data
+        coe_clk_export_from_the_reg_mmdp_data         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_data       => OPEN,
+        coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0),
+        coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_ctrl
+        coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
+        coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0),
+        coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        -- the_reg_epcs
+        coe_clk_export_from_the_reg_epcs              => OPEN,
+        coe_reset_export_from_the_reg_epcs            => OPEN,
+        coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
+        coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
+        coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_remu
+        coe_clk_export_from_the_reg_remu              => OPEN,
+        coe_reset_export_from_the_reg_remu            => OPEN,
+        coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
+        coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
+        coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/qsys_wo_pll_unb1_minimal_pkg.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/qsys_wo_pll_unb1_minimal_pkg.vhd
index f0f3a2a7c7..14bdb47d0b 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/qsys_wo_pll_unb1_minimal_pkg.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/qsys_wo_pll_unb1_minimal_pkg.vhd
@@ -20,119 +20,119 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_wo_pll_unb1_minimal_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_wo_pll_unb1_minimal is
+  component qsys_wo_pll_unb1_minimal is
 
 
-        port (
-            coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
-            coe_address_export_from_the_pio_pps           : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_epcs           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
-            coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_dpmm_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_reg_mmdp_ctrl   : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
-            coe_reset_export_from_the_reg_remu            : out std_logic;  -- export
-            coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
-            coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
-            coe_clk_export_from_the_reg_dpmm_data         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
-            coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_readdata_export_to_the_reg_dpmm_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
-            coe_reset_export_from_the_reg_mmdp_data       : out std_logic;  -- export
-            coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_mmdp_data   : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_epcs             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_remu           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-            coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
-            coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_write_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
-            coe_address_export_from_the_reg_wdi           : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
-            coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_pio_pps             : out std_logic;  -- export
-            coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
-            coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
-            coe_reset_export_from_the_reg_epcs            : out std_logic;  -- export
-            coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
-            reset_n                                       : in  std_logic                     := 'X';  -- reset_n
-            coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_clk_export_from_the_reg_mmdp_ctrl         : out std_logic;  -- export
-            coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            clk_0                                         : in  std_logic                     := 'X';  -- clk
-            coe_read_export_from_the_reg_dpmm_ctrl        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_remu        : out std_logic_vector(31 downto 0);  -- export
-            coe_write_export_from_the_reg_dpmm_data       : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
-            coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
-            coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_dpmm_data   : out std_logic_vector(31 downto 0);  -- export
-            coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
-            coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
-            coe_clk_export_from_the_reg_mmdp_data         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
-            coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_remu            : out std_logic;  -- export
-            coe_clk_export_from_the_reg_epcs              : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_data        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_epcs        : out std_logic_vector(31 downto 0);  -- export
-            out_port_from_the_pio_wdi                     : out std_logic;  -- export
-            coe_reset_export_from_the_reg_dpmm_data       : out std_logic;  -- export
-            coe_clk_export_from_the_reg_remu              : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_ctrl        : out std_logic;  -- export
-            coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
-            coe_address_export_from_the_reg_mmdp_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_write_export_from_the_reg_epcs            : out std_logic;  -- export
-            coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_pio_pps              : out std_logic;  -- export
-            coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
-            coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_epcs          : out std_logic_vector(2 downto 0);  -- export
-            coe_read_export_from_the_reg_dpmm_data        : out std_logic;  -- export
-            coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
-            coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
-            coe_writedata_export_from_the_reg_dpmm_ctrl   : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_mmdp_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
-            coe_clk_export_from_the_reg_dpmm_ctrl         : out std_logic;  -- export
-            coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
-            coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_reg_mmdp_data       : out std_logic;  -- export
-            coe_address_export_from_the_reg_remu          : out std_logic_vector(2 downto 0);  -- export
-            coe_write_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
-            coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_remu             : out std_logic  -- export
-        );
+    port (
+      coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
+      coe_address_export_from_the_pio_pps           : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_epcs           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_dpmm_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_reg_mmdp_ctrl   : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_remu            : out std_logic;  -- export
+      coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
+      coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_clk_export_from_the_reg_dpmm_data         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
+      coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_readdata_export_to_the_reg_dpmm_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
+      coe_reset_export_from_the_reg_mmdp_data       : out std_logic;  -- export
+      coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_mmdp_data   : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_epcs             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_remu           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
+      coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_write_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
+      coe_address_export_from_the_reg_wdi           : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
+      coe_reset_export_from_the_reg_epcs            : out std_logic;  -- export
+      coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
+      reset_n                                       : in  std_logic                     := 'X';  -- reset_n
+      coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_clk_export_from_the_reg_mmdp_ctrl         : out std_logic;  -- export
+      coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      clk_0                                         : in  std_logic                     := 'X';  -- clk
+      coe_read_export_from_the_reg_dpmm_ctrl        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_remu        : out std_logic_vector(31 downto 0);  -- export
+      coe_write_export_from_the_reg_dpmm_data       : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
+      coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
+      coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_dpmm_data   : out std_logic_vector(31 downto 0);  -- export
+      coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
+      coe_clk_export_from_the_reg_mmdp_data         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
+      coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_remu            : out std_logic;  -- export
+      coe_clk_export_from_the_reg_epcs              : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_data        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_epcs        : out std_logic_vector(31 downto 0);  -- export
+      out_port_from_the_pio_wdi                     : out std_logic;  -- export
+      coe_reset_export_from_the_reg_dpmm_data       : out std_logic;  -- export
+      coe_clk_export_from_the_reg_remu              : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_ctrl        : out std_logic;  -- export
+      coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
+      coe_address_export_from_the_reg_mmdp_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_write_export_from_the_reg_epcs            : out std_logic;  -- export
+      coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_pio_pps              : out std_logic;  -- export
+      coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
+      coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_epcs          : out std_logic_vector(2 downto 0);  -- export
+      coe_read_export_from_the_reg_dpmm_data        : out std_logic;  -- export
+      coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
+      coe_writedata_export_from_the_reg_dpmm_ctrl   : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_mmdp_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
+      coe_clk_export_from_the_reg_dpmm_ctrl         : out std_logic;  -- export
+      coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
+      coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_reg_mmdp_data       : out std_logic;  -- export
+      coe_address_export_from_the_reg_remu          : out std_logic_vector(2 downto 0);  -- export
+      coe_write_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
+      coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_remu             : out std_logic  -- export
+    );
 
-    end component qsys_wo_pll_unb1_minimal;
+  end component qsys_wo_pll_unb1_minimal;
 
 end qsys_wo_pll_unb1_minimal_pkg;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/tb_unb1_minimal_qsys_wo_pll.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/tb_unb1_minimal_qsys_wo_pll.vhd
index 0f750b9537..e9c24288d5 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/tb_unb1_minimal_qsys_wo_pll.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/tb_unb1_minimal_qsys_wo_pll.vhd
@@ -43,18 +43,18 @@
 --
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_minimal_qsys_wo_pll is
-    generic (
-      g_design_name : string  := "unb1_minimal_qsys_wo_pll";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 7  -- Back node 3
-    );
+  generic (
+    g_design_name : string  := "unb1_minimal_qsys_wo_pll";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 7  -- Back node 3
+  );
 end tb_unb1_minimal_qsys_wo_pll;
 
 architecture tb of tb_unb1_minimal_qsys_wo_pll is
@@ -166,37 +166,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/unb1_minimal_qsys_wo_pll.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/unb1_minimal_qsys_wo_pll.vhd
index d11fdcc51e..5ef80a8922 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/unb1_minimal_qsys_wo_pll.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/unb1_minimal_qsys_wo_pll.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity unb1_minimal_qsys_wo_pll is
   generic (
@@ -146,190 +146,190 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim            => g_sim,
-    g_sim_flash_model         => false,
-    g_design_name    => g_design_name,
-    g_design_note    => g_design_note,
-    g_stamp_date     => g_stamp_date,
-    g_stamp_time     => g_stamp_time,
-    g_stamp_svn      => g_stamp_svn,
-    g_fw_version     => c_fw_version,
-    g_mm_clk_freq    => c_unb1_board_mm_clk_freq_50M,
-    g_dp_clk_freq    => c_unb1_board_ext_clk_freq_200M,
-    g_use_phy        => c_use_phy,
-    g_aux            => c_unb1_board_aux,
-    g_dp_clk_use_pll => true,
-    g_xo_clk_use_pll => true
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk_out               => mm_clk,
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    mm_locked                => mm_locked,
-    mm_locked_out            => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-    epcs_clk_out             => epcs_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    cal_rec_clk              => cal_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk_out        => eth1g_tse_clk,
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim            => g_sim,
+      g_sim_flash_model         => false,
+      g_design_name    => g_design_name,
+      g_design_note    => g_design_note,
+      g_stamp_date     => g_stamp_date,
+      g_stamp_time     => g_stamp_time,
+      g_stamp_svn      => g_stamp_svn,
+      g_fw_version     => c_fw_version,
+      g_mm_clk_freq    => c_unb1_board_mm_clk_freq_50M,
+      g_dp_clk_freq    => c_unb1_board_ext_clk_freq_200M,
+      g_use_phy        => c_use_phy,
+      g_aux            => c_unb1_board_aux,
+      g_dp_clk_use_pll => true,
+      g_xo_clk_use_pll => true
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk_out               => mm_clk,
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      mm_locked                => mm_locked,
+      mm_locked_out            => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+      epcs_clk_out             => epcs_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      cal_rec_clk              => cal_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk_out        => eth1g_tse_clk,
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_minimal_qsys_wo_pll
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso
-  );
-
-  -----------------------------------------------------------------------------
-  -- Node function
-  -----------------------------------------------------------------------------
-  -- Insert node_[design_name] here
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso
+    );
+
+-----------------------------------------------------------------------------
+-- Node function
+-----------------------------------------------------------------------------
+-- Insert node_[design_name] here
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/tb_unb1_minimal_sopc.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/tb_unb1_minimal_sopc.vhd
index b7c25399bf..3df70dbc06 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/tb_unb1_minimal_sopc.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/tb_unb1_minimal_sopc.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_minimal_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_minimal_sopc is
@@ -35,8 +35,8 @@ end tb_unb1_minimal_sopc;
 architecture tb of tb_unb1_minimal_sopc is
 begin
   u_tb_unb1_minimal : entity unb1_minimal_lib.tb_unb1_minimal
-  generic map (
-    g_design_name => "unb1_minimal_sopc",
-    g_sim_node_nr => 7  -- BN3
-  );
+    generic map (
+      g_design_name => "unb1_minimal_sopc",
+      g_sim_node_nr => 7  -- BN3
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/unb1_minimal_sopc.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/unb1_minimal_sopc.vhd
index 5b2ca7c3f7..61a31c9c42 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/unb1_minimal_sopc.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_sopc/unb1_minimal_sopc.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb1_board_lib, unb1_minimal_lib;
-use IEEE.std_logic_1164.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity unb1_minimal_sopc is
   generic (
@@ -65,37 +65,37 @@ architecture str of unb1_minimal_sopc is
 begin
 
   u_revision : entity unb1_minimal_lib.unb1_minimal
-  generic map (
-    g_design_name => g_design_name,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT
-  );
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_minimal/src/vhdl/mmm_unb1_minimal.vhd b/boards/uniboard1/designs/unb1_minimal/src/vhdl/mmm_unb1_minimal.vhd
index ba4b697c72..5645957223 100644
--- a/boards/uniboard1/designs/unb1_minimal/src/vhdl/mmm_unb1_minimal.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/src/vhdl/mmm_unb1_minimal.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb1_minimal_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb1_minimal_pkg.all;
 
 
 entity mmm_unb1_minimal is
@@ -162,27 +162,27 @@ begin
     eth1g_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_eth1g_reg           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
     u_mm_file_eth1g_ram           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_RAM")
-                                               port map(mm_rst, i_mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
+      port map(mm_rst, i_mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
     u_mm_file_eth1g_tse           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_TSE")
-                                               port map(mm_rst, i_mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
+      port map(mm_rst, i_mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -197,280 +197,280 @@ begin
   ----------------------------------------------------------------------------
   gen_sopc : if g_sim = false and g_use_sopc = true generate
     u_sopc : entity work.sopc_unb1_minimal
-    port map (
-      clk_0                                         => xo_clk,
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,
-      tse_clk                                       => eth1g_tse_clk,
-      epcs_clk                                      => i_epcs_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-      areset_to_the_altpll_0                        => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_dpmm_data
-      coe_clk_export_from_the_reg_dpmm_data         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_data       => OPEN,
-      coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0),
-      coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dpmm_ctrl
-      coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
-      coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0),
-      coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_data
-      coe_clk_export_from_the_reg_mmdp_data         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_data       => OPEN,
-      coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0),
-      coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_ctrl
-      coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
-      coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0),
-      coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_epcs
-      coe_clk_export_from_the_reg_epcs              => OPEN,
-      coe_reset_export_from_the_reg_epcs            => OPEN,
-      coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
-      coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
-      coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_remu
-      coe_clk_export_from_the_reg_remu              => OPEN,
-      coe_reset_export_from_the_reg_remu            => OPEN,
-      coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
-      coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
-      coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+        clk_0                                         => xo_clk,
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,
+        tse_clk                                       => eth1g_tse_clk,
+        epcs_clk                                      => i_epcs_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+        areset_to_the_altpll_0                        => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_dpmm_data
+        coe_clk_export_from_the_reg_dpmm_data         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_data       => OPEN,
+        coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0),
+        coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dpmm_ctrl
+        coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
+        coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0),
+        coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_data
+        coe_clk_export_from_the_reg_mmdp_data         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_data       => OPEN,
+        coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0),
+        coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_ctrl
+        coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
+        coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0),
+        coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_epcs
+        coe_clk_export_from_the_reg_epcs              => OPEN,
+        coe_reset_export_from_the_reg_epcs            => OPEN,
+        coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
+        coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
+        coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_remu
+        coe_clk_export_from_the_reg_remu              => OPEN,
+        coe_reset_export_from_the_reg_remu            => OPEN,
+        coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
+        coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
+        coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
   gen_qsys : if g_sim = false and g_use_qsys = true generate
     u_qsys : qsys_unb1_minimal
-    port map (
-      clk_0                                         => xo_clk,
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,
-      tse_clk                                       => eth1g_tse_clk,
-      epcs_clk                                      => i_epcs_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-      areset_to_the_altpll_0                        => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_dpmm_data
-      coe_clk_export_from_the_reg_dpmm_data         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_data       => OPEN,
-      coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0),
-      coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dpmm_ctrl
-      coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
-      coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0),
-      coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_data
-      coe_clk_export_from_the_reg_mmdp_data         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_data       => OPEN,
-      coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0),
-      coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_ctrl
-      coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
-      coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0),
-      coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      -- the_reg_epcs
-      coe_clk_export_from_the_reg_epcs              => OPEN,
-      coe_reset_export_from_the_reg_epcs            => OPEN,
-      coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
-      coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
-      coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_remu
-      coe_clk_export_from_the_reg_remu              => OPEN,
-      coe_reset_export_from_the_reg_remu            => OPEN,
-      coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
-      coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
-      coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+        clk_0                                         => xo_clk,
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,
+        tse_clk                                       => eth1g_tse_clk,
+        epcs_clk                                      => i_epcs_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+        areset_to_the_altpll_0                        => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_dpmm_data
+        coe_clk_export_from_the_reg_dpmm_data         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_data       => OPEN,
+        coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0),
+        coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dpmm_ctrl
+        coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
+        coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0),
+        coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_data
+        coe_clk_export_from_the_reg_mmdp_data         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_data       => OPEN,
+        coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0),
+        coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_ctrl
+        coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
+        coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0),
+        coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        -- the_reg_epcs
+        coe_clk_export_from_the_reg_epcs              => OPEN,
+        coe_reset_export_from_the_reg_epcs            => OPEN,
+        coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
+        coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
+        coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_remu
+        coe_clk_export_from_the_reg_remu              => OPEN,
+        coe_reset_export_from_the_reg_remu            => OPEN,
+        coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
+        coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
+        coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
@@ -483,77 +483,77 @@ begin
     -- MM master: a minimal QSYS (for now)
     -----------------------------------------------------------------------------
     u_qsys_unb1_minimal_mm_arbiter : qsys_unb1_minimal_mm_arbiter
-    port map (
-      clk_0                                         => xo_clk,
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,
-      tse_clk                                       => eth1g_tse_clk,
-      epcs_clk                                      => i_epcs_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-      areset_to_the_altpll_0                        => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: Entangled within unb_osy code, so still required for now.
-      pio_system_info_clk_export       => OPEN,
-      pio_system_info_reset_export     => OPEN,
-      pio_system_info_address_export   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_read_export      => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export  => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      pio_system_info_write_export     => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- avs_common_mm export to MM arbiter
-      to_mm_arbiter_clk_export         => OPEN,
-      to_mm_arbiter_reset_export       => OPEN,
-      to_mm_arbiter_address_export     => master_mosi.address(11 - 1 downto 0),
-      to_mm_arbiter_read_export        => master_mosi.rd,
-      to_mm_arbiter_readdata_export    => master_miso.rddata(c_word_w - 1 downto 0),
-      to_mm_arbiter_write_export       => master_mosi.wr,
-      to_mm_arbiter_writedata_export   => master_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        clk_0                                         => xo_clk,
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,
+        tse_clk                                       => eth1g_tse_clk,
+        epcs_clk                                      => i_epcs_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+        areset_to_the_altpll_0                        => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: Entangled within unb_osy code, so still required for now.
+        pio_system_info_clk_export       => OPEN,
+        pio_system_info_reset_export     => OPEN,
+        pio_system_info_address_export   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_read_export      => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export  => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        pio_system_info_write_export     => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- avs_common_mm export to MM arbiter
+        to_mm_arbiter_clk_export         => OPEN,
+        to_mm_arbiter_reset_export       => OPEN,
+        to_mm_arbiter_address_export     => master_mosi.address(11 - 1 downto 0),
+        to_mm_arbiter_read_export        => master_mosi.rd,
+        to_mm_arbiter_readdata_export    => master_miso.rddata(c_word_w - 1 downto 0),
+        to_mm_arbiter_write_export       => master_mosi.wr,
+        to_mm_arbiter_writedata_export   => master_mosi.wrdata(c_word_w - 1 downto 0)
+      );
 
     -----------------------------------------------------------------------------
     -- MM arbiter
     -----------------------------------------------------------------------------
     u_mm_arbiter : entity mm_lib.mm_arbiter
-    generic map (
-      g_nof_slaves     => c_nof_slaves,
-      g_slave_base_arr => c_slave_base_arr,
-      g_slave_high_arr => c_slave_high_arr
-    )
-    port map (
-      mm_clk         => i_mm_clk,
-      mm_rst         => mm_rst,
-
-      master_mosi    => master_mosi,
-      master_miso    => master_miso,
-
-      slave_mosi_arr => slave_mosi_arr,
-      slave_miso_arr => slave_miso_arr
-    );
+      generic map (
+        g_nof_slaves     => c_nof_slaves,
+        g_slave_base_arr => c_slave_base_arr,
+        g_slave_high_arr => c_slave_high_arr
+      )
+      port map (
+        mm_clk         => i_mm_clk,
+        mm_rst         => mm_rst,
+
+        master_mosi    => master_mosi,
+        master_miso    => master_miso,
+
+        slave_mosi_arr => slave_mosi_arr,
+        slave_miso_arr => slave_miso_arr
+      );
 
     -----------------------------------------------------------------------------
     -- Connect slave array to individually names MM buses
@@ -565,7 +565,7 @@ begin
     slave_miso_arr(1) <= rom_unb_system_info_miso;
 
     -- pio_system_info; still needed within QSYS, so not connected here.
---    reg_unb_system_info_mosi <= slave_mosi_arr(2);
+    --    reg_unb_system_info_mosi <= slave_mosi_arr(2);
     slave_miso_arr(2) <= c_mem_miso_rst;
 
     reg_ppsh_mosi <= slave_mosi_arr(3);
diff --git a/boards/uniboard1/designs/unb1_minimal/src/vhdl/qsys_unb1_minimal_pkg.vhd b/boards/uniboard1/designs/unb1_minimal/src/vhdl/qsys_unb1_minimal_pkg.vhd
index 0a216a48d0..53f3743db6 100644
--- a/boards/uniboard1/designs/unb1_minimal/src/vhdl/qsys_unb1_minimal_pkg.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/src/vhdl/qsys_unb1_minimal_pkg.vhd
@@ -20,175 +20,175 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb1_minimal_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_unb1_minimal is
+  component qsys_unb1_minimal is
 
 
-        port (
-            coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            mm_clk                                        : out std_logic;  -- clk
-            coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
-            coe_address_export_from_the_pio_pps           : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_epcs           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
-            coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_dpmm_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_reg_mmdp_ctrl   : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
-            coe_reset_export_from_the_reg_remu            : out std_logic;  -- export
-            coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
-            coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
-            coe_clk_export_from_the_reg_dpmm_data         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
-            coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_readdata_export_to_the_reg_dpmm_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
-            coe_reset_export_from_the_reg_mmdp_data       : out std_logic;  -- export
-            coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_mmdp_data   : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_epcs             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_remu           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-            coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
-            coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_write_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
-            coe_address_export_from_the_reg_wdi           : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
-            coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_pio_pps             : out std_logic;  -- export
-            coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
-            coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
-            coe_reset_export_from_the_reg_epcs            : out std_logic;  -- export
-            coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
-            phasedone_from_the_altpll_0                   : out std_logic;  -- export
-            reset_n                                       : in  std_logic                     := 'X';  -- reset_n
-            coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            coe_clk_export_from_the_reg_mmdp_ctrl         : out std_logic;  -- export
-            coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            clk_0                                         : in  std_logic                     := 'X';  -- clk
-            coe_read_export_from_the_reg_dpmm_ctrl        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_remu        : out std_logic_vector(31 downto 0);  -- export
-            coe_write_export_from_the_reg_dpmm_data       : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
-            tse_clk                                       : out std_logic;  -- clk
-            epcs_clk                                      : out std_logic;  -- clk
-            coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
-            coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_dpmm_data   : out std_logic_vector(31 downto 0);  -- export
-            coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
-            coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
-            coe_clk_export_from_the_reg_mmdp_data         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
-            coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_remu            : out std_logic;  -- export
-            coe_clk_export_from_the_reg_epcs              : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_data        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_epcs        : out std_logic_vector(31 downto 0);  -- export
-            out_port_from_the_pio_wdi                     : out std_logic;  -- export
-            coe_reset_export_from_the_reg_dpmm_data       : out std_logic;  -- export
-            coe_clk_export_from_the_reg_remu              : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_ctrl        : out std_logic;  -- export
-            coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
-            coe_address_export_from_the_reg_mmdp_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_write_export_from_the_reg_epcs            : out std_logic;  -- export
-            coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_pio_pps              : out std_logic;  -- export
-            coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
-            coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_epcs          : out std_logic_vector(2 downto 0);  -- export
-            coe_read_export_from_the_reg_dpmm_data        : out std_logic;  -- export
-            coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
-            coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
-            coe_writedata_export_from_the_reg_dpmm_ctrl   : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_mmdp_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
-            coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
-            coe_clk_export_from_the_reg_dpmm_ctrl         : out std_logic;  -- export
-            coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
-            coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_reg_mmdp_data       : out std_logic;  -- export
-            coe_address_export_from_the_reg_remu          : out std_logic_vector(2 downto 0);  -- export
-            areset_to_the_altpll_0                        : in  std_logic                     := 'X';  -- export
-            locked_from_the_altpll_0                      : out std_logic;  -- export
-            coe_write_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
-            coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-            c3_from_the_altpll_0                          : out std_logic;  -- export
-            coe_read_export_from_the_reg_remu             : out std_logic  -- export
-        );
+    port (
+      coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      mm_clk                                        : out std_logic;  -- clk
+      coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
+      coe_address_export_from_the_pio_pps           : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_epcs           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_dpmm_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_reg_mmdp_ctrl   : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_remu            : out std_logic;  -- export
+      coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
+      coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_clk_export_from_the_reg_dpmm_data         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
+      coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_readdata_export_to_the_reg_dpmm_ctrl      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
+      coe_reset_export_from_the_reg_mmdp_data       : out std_logic;  -- export
+      coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_mmdp_data   : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_epcs             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_remu           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
+      coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_write_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
+      coe_address_export_from_the_reg_wdi           : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
+      coe_reset_export_from_the_reg_epcs            : out std_logic;  -- export
+      coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
+      phasedone_from_the_altpll_0                   : out std_logic;  -- export
+      reset_n                                       : in  std_logic                     := 'X';  -- reset_n
+      coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_clk_export_from_the_reg_mmdp_ctrl         : out std_logic;  -- export
+      coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      clk_0                                         : in  std_logic                     := 'X';  -- clk
+      coe_read_export_from_the_reg_dpmm_ctrl        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_remu        : out std_logic_vector(31 downto 0);  -- export
+      coe_write_export_from_the_reg_dpmm_data       : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
+      tse_clk                                       : out std_logic;  -- clk
+      epcs_clk                                      : out std_logic;  -- clk
+      coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
+      coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_dpmm_data   : out std_logic_vector(31 downto 0);  -- export
+      coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
+      coe_clk_export_from_the_reg_mmdp_data         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
+      coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_remu            : out std_logic;  -- export
+      coe_clk_export_from_the_reg_epcs              : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_data        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_epcs        : out std_logic_vector(31 downto 0);  -- export
+      out_port_from_the_pio_wdi                     : out std_logic;  -- export
+      coe_reset_export_from_the_reg_dpmm_data       : out std_logic;  -- export
+      coe_clk_export_from_the_reg_remu              : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_ctrl        : out std_logic;  -- export
+      coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
+      coe_address_export_from_the_reg_mmdp_ctrl     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_write_export_from_the_reg_epcs            : out std_logic;  -- export
+      coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_mmdp_ctrl       : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_data      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_pio_pps              : out std_logic;  -- export
+      coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
+      coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_epcs          : out std_logic_vector(2 downto 0);  -- export
+      coe_read_export_from_the_reg_dpmm_data        : out std_logic;  -- export
+      coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
+      coe_writedata_export_from_the_reg_dpmm_ctrl   : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_mmdp_data     : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
+      coe_clk_export_from_the_reg_dpmm_ctrl         : out std_logic;  -- export
+      coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
+      coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_reg_mmdp_data       : out std_logic;  -- export
+      coe_address_export_from_the_reg_remu          : out std_logic_vector(2 downto 0);  -- export
+      areset_to_the_altpll_0                        : in  std_logic                     := 'X';  -- export
+      locked_from_the_altpll_0                      : out std_logic;  -- export
+      coe_write_export_from_the_reg_dpmm_ctrl       : out std_logic;  -- export
+      coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      c3_from_the_altpll_0                          : out std_logic;  -- export
+      coe_read_export_from_the_reg_remu             : out std_logic  -- export
+    );
 
-    end component qsys_unb1_minimal;
+  end component qsys_unb1_minimal;
 
-    component qsys_unb1_minimal_mm_arbiter is
-        port (
-            coe_ram_write_export_from_the_avs_eth_0     : out std_logic;  -- export
-            coe_reg_read_export_from_the_avs_eth_0      : out std_logic;  -- export
-            mm_clk                                      : out std_logic;  -- clk
-            coe_tse_write_export_from_the_avs_eth_0     : out std_logic;  -- export
-            coe_reg_writedata_export_from_the_avs_eth_0 : out std_logic_vector(31 downto 0);  -- export
-            coe_reg_write_export_from_the_avs_eth_0     : out std_logic;  -- export
-            coe_ram_address_export_from_the_avs_eth_0   : out std_logic_vector(9 downto 0);  -- export
-            coe_reset_export_from_the_avs_eth_0         : out std_logic;  -- export
-            coe_tse_address_export_from_the_avs_eth_0   : out std_logic_vector(9 downto 0);  -- export
-            coe_irq_export_to_the_avs_eth_0             : in  std_logic                     := 'X';  -- export
-            phasedone_from_the_altpll_0                 : out std_logic;  -- export
-            reset_n                                     : in  std_logic                     := 'X';  -- reset_n
-            coe_tse_writedata_export_from_the_avs_eth_0 : out std_logic_vector(31 downto 0);  -- export
-            coe_tse_readdata_export_to_the_avs_eth_0    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_read_export_from_the_avs_eth_0      : out std_logic;  -- export
-            clk_0                                       : in  std_logic                     := 'X';  -- clk
-            tse_clk                                     : out std_logic;  -- clk
-            epcs_clk                                    : out std_logic;  -- clk
-            coe_reg_readdata_export_to_the_avs_eth_0    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_tse_read_export_from_the_avs_eth_0      : out std_logic;  -- export
-            coe_ram_readdata_export_to_the_avs_eth_0    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            out_port_from_the_pio_wdi                   : out std_logic;  -- export
-            coe_clk_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_tse_waitrequest_export_to_the_avs_eth_0 : in  std_logic                     := 'X';  -- export
-            coe_reg_address_export_from_the_avs_eth_0   : out std_logic_vector(3 downto 0);  -- export
-            areset_to_the_altpll_0                      : in  std_logic                     := 'X';  -- export
-            locked_from_the_altpll_0                    : out std_logic;  -- export
-            coe_ram_writedata_export_from_the_avs_eth_0 : out std_logic_vector(31 downto 0);  -- export
-            c3_from_the_altpll_0                        : out std_logic;  -- export
-            to_mm_arbiter_reset_export                  : out std_logic;  -- export
-            to_mm_arbiter_clk_export                    : out std_logic;  -- export
-            to_mm_arbiter_address_export                : out std_logic_vector(10 downto 0);  -- export
-            to_mm_arbiter_write_export                  : out std_logic;  -- export
-            to_mm_arbiter_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            to_mm_arbiter_read_export                   : out std_logic;  -- export
-            to_mm_arbiter_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                : out std_logic;  -- export
-            pio_system_info_clk_export                  : out std_logic;  -- export
-            pio_system_info_address_export              : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_write_export                : out std_logic;  -- export
-            pio_system_info_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_read_export                 : out std_logic;  -- export
-            pio_system_info_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_unb1_minimal_mm_arbiter;
+  component qsys_unb1_minimal_mm_arbiter is
+    port (
+      coe_ram_write_export_from_the_avs_eth_0     : out std_logic;  -- export
+      coe_reg_read_export_from_the_avs_eth_0      : out std_logic;  -- export
+      mm_clk                                      : out std_logic;  -- clk
+      coe_tse_write_export_from_the_avs_eth_0     : out std_logic;  -- export
+      coe_reg_writedata_export_from_the_avs_eth_0 : out std_logic_vector(31 downto 0);  -- export
+      coe_reg_write_export_from_the_avs_eth_0     : out std_logic;  -- export
+      coe_ram_address_export_from_the_avs_eth_0   : out std_logic_vector(9 downto 0);  -- export
+      coe_reset_export_from_the_avs_eth_0         : out std_logic;  -- export
+      coe_tse_address_export_from_the_avs_eth_0   : out std_logic_vector(9 downto 0);  -- export
+      coe_irq_export_to_the_avs_eth_0             : in  std_logic                     := 'X';  -- export
+      phasedone_from_the_altpll_0                 : out std_logic;  -- export
+      reset_n                                     : in  std_logic                     := 'X';  -- reset_n
+      coe_tse_writedata_export_from_the_avs_eth_0 : out std_logic_vector(31 downto 0);  -- export
+      coe_tse_readdata_export_to_the_avs_eth_0    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_read_export_from_the_avs_eth_0      : out std_logic;  -- export
+      clk_0                                       : in  std_logic                     := 'X';  -- clk
+      tse_clk                                     : out std_logic;  -- clk
+      epcs_clk                                    : out std_logic;  -- clk
+      coe_reg_readdata_export_to_the_avs_eth_0    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_tse_read_export_from_the_avs_eth_0      : out std_logic;  -- export
+      coe_ram_readdata_export_to_the_avs_eth_0    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      out_port_from_the_pio_wdi                   : out std_logic;  -- export
+      coe_clk_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_tse_waitrequest_export_to_the_avs_eth_0 : in  std_logic                     := 'X';  -- export
+      coe_reg_address_export_from_the_avs_eth_0   : out std_logic_vector(3 downto 0);  -- export
+      areset_to_the_altpll_0                      : in  std_logic                     := 'X';  -- export
+      locked_from_the_altpll_0                    : out std_logic;  -- export
+      coe_ram_writedata_export_from_the_avs_eth_0 : out std_logic_vector(31 downto 0);  -- export
+      c3_from_the_altpll_0                        : out std_logic;  -- export
+      to_mm_arbiter_reset_export                  : out std_logic;  -- export
+      to_mm_arbiter_clk_export                    : out std_logic;  -- export
+      to_mm_arbiter_address_export                : out std_logic_vector(10 downto 0);  -- export
+      to_mm_arbiter_write_export                  : out std_logic;  -- export
+      to_mm_arbiter_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      to_mm_arbiter_read_export                   : out std_logic;  -- export
+      to_mm_arbiter_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                : out std_logic;  -- export
+      pio_system_info_clk_export                  : out std_logic;  -- export
+      pio_system_info_address_export              : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_write_export                : out std_logic;  -- export
+      pio_system_info_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_read_export                 : out std_logic;  -- export
+      pio_system_info_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_unb1_minimal_mm_arbiter;
 
 end qsys_unb1_minimal_pkg;
 
diff --git a/boards/uniboard1/designs/unb1_minimal/src/vhdl/unb1_minimal.vhd b/boards/uniboard1/designs/unb1_minimal/src/vhdl/unb1_minimal.vhd
index 7be378fdcb..5f147bf78e 100644
--- a/boards/uniboard1/designs/unb1_minimal/src/vhdl/unb1_minimal.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/src/vhdl/unb1_minimal.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
 
 entity unb1_minimal is
   generic (
@@ -148,191 +148,191 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim         => g_sim,
-    g_base_ip     => c_base_ip,
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_fw_version  => c_fw_version,
-    g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy     => c_use_phy,
-    g_aux         => c_unb1_board_aux,
-    g_epcs_protect_addr_range => true
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    epcs_clk                 => epcs_clk,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_base_ip     => c_base_ip,
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_fw_version  => c_fw_version,
+      g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy     => c_use_phy,
+      g_aux         => c_unb1_board_aux,
+      g_epcs_protect_addr_range => true
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      epcs_clk                 => epcs_clk,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_minimal
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_use_qsys    => c_use_qsys,
-    g_use_sopc    => c_use_sopc
-   )
-  port map(
-    xo_clk                   => xo_clk,
-    xo_rst_n                 => xo_rst_n,
-    xo_rst                   => xo_rst,
-
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso
-  );
-
-  -----------------------------------------------------------------------------
-  -- Node function
-  -----------------------------------------------------------------------------
-  -- Insert node_[design_name] here
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_use_qsys    => c_use_qsys,
+      g_use_sopc    => c_use_sopc
+    )
+    port map(
+      xo_clk                   => xo_clk,
+      xo_rst_n                 => xo_rst_n,
+      xo_rst                   => xo_rst,
+
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso
+    );
+
+-----------------------------------------------------------------------------
+-- Node function
+-----------------------------------------------------------------------------
+-- Insert node_[design_name] here
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_minimal/tb/vhdl/tb_unb1_minimal.vhd b/boards/uniboard1/designs/unb1_minimal/tb/vhdl/tb_unb1_minimal.vhd
index b63da7ebd3..882d2c6cc5 100644
--- a/boards/uniboard1/designs/unb1_minimal/tb/vhdl/tb_unb1_minimal.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/tb/vhdl/tb_unb1_minimal.vhd
@@ -43,18 +43,18 @@
 --
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_minimal is
-    generic (
-      g_design_name : string  := "unb1_minimal";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 7  -- Back node 3
-    );
+  generic (
+    g_design_name : string  := "unb1_minimal";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 7  -- Back node 3
+  );
 end tb_unb1_minimal;
 
 architecture tb of tb_unb1_minimal is
@@ -166,37 +166,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd
index 794e9af242..59a05d6a71 100644
--- a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd
+++ b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd
@@ -66,23 +66,23 @@
 --   );
 --
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity mmm_unb1_terminal_bg_mesh_db is
   generic (
@@ -272,37 +272,37 @@ begin
   ----------------------------------------------------------------------------
   gen_mm_file_io : if g_sim = true generate
     u_mm_file_reg_wdi  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-          port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
     u_mm_file_reg_unb_system_info  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-          port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
     u_mm_file_rom_unb_system_info  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-          port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
     u_mm_file_reg_unb_sens  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-          port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
     u_mm_file_reg_ppsh  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-          port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
     u_mm_file_eth1g_ram  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ETH1G_RAM")
-          port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
+      port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
     u_mm_file_eth1g_reg  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-          port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
     u_mm_file_eth1g_tse  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ETH1G_TSE")
-          port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
+      port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
     u_mm_file_reg_diag_data_buf  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER")
-          port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso );
     u_mm_file_ram_diag_data_buf  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER")
-          port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso );
     u_mm_file_reg_diag_bg  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-          port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso );
+      port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso );
     u_mm_file_ram_diag_bg  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-          port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso );
+      port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso );
     u_mm_file_reg_diagnostics  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAGNOSTICS")
-          port map(mm_rst, mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso );
+      port map(mm_rst, mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso );
     u_mm_file_reg_tr_nonbonded  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_NONBONDED")
-          port map(mm_rst, mm_clk, reg_tr_nonbonded_mosi, reg_tr_nonbonded_miso );
+      port map(mm_rst, mm_clk, reg_tr_nonbonded_mosi, reg_tr_nonbonded_miso );
     u_mm_file_ram_mesh_diag_data_buf  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_MESH_DIAG_DATA_BUF")
-          port map(mm_rst, mm_clk, ram_mesh_diag_data_buf_mosi, ram_mesh_diag_data_buf_miso );
+      port map(mm_rst, mm_clk, ram_mesh_diag_data_buf_mosi, ram_mesh_diag_data_buf_miso );
     u_mm_file_reg_bsn_monitor  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR")
-          port map(mm_rst, mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
     ----------------------------------------------------------------------------
@@ -344,121 +344,121 @@ begin
 
     u_qsys_unb1_terminal_bg_mesh_db : qsys_unb1_terminal_bg_mesh_db
       port map(
-      clk_in_clk                              => mm_clk,
-      eth1g_irq_export                        => eth1g_reg_interrupt,
-      eth1g_mm_clk_export                     => OPEN,
-      eth1g_mm_rst_export                     => eth1g_mm_rst,
-      eth1g_ram_address_export                => eth1g_ram_mosi.address(9 downto 0),
-      eth1g_ram_read_export                   => eth1g_ram_mosi.rd,
-      eth1g_ram_readdata_export               => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_ram_write_export                  => eth1g_ram_mosi.wr,
-      eth1g_ram_writedata_export              => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      eth1g_reg_address_export                => eth1g_reg_mosi.address(3 downto 0),
-      eth1g_reg_read_export                   => eth1g_reg_mosi.rd,
-      eth1g_reg_readdata_export               => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_reg_write_export                  => eth1g_reg_mosi.wr,
-      eth1g_reg_writedata_export              => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      eth1g_tse_address_export                => eth1g_tse_mosi.address(9 downto 0),
-      eth1g_tse_read_export                   => eth1g_tse_mosi.rd,
-      eth1g_tse_readdata_export               => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_tse_waitrequest_export            => eth1g_tse_miso.waitrequest,
-      eth1g_tse_write_export                  => eth1g_tse_mosi.wr,
-      eth1g_tse_writedata_export              => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      out_port_from_the_pio_debug_wave        => OPEN,
-      out_port_from_the_pio_wdi               => pout_wdi,
-      pio_pps_address_export                  => reg_ppsh_mosi.address(0),
-      pio_pps_clk_export                      => OPEN,
-      pio_pps_read_export                     => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                 => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      pio_pps_reset_export                    => OPEN,
-      pio_pps_write_export                    => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_address_export          => reg_unb_system_info_mosi.address(4 downto 0),
-      pio_system_info_clk_export              => OPEN,
-      pio_system_info_read_export             => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export         => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      pio_system_info_reset_export            => OPEN,
-      pio_system_info_write_export            => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export        => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_address_export              => ram_diag_bg_mosi.address(10 downto 0),
-      ram_diag_bg_clk_export                  => OPEN,
-      ram_diag_bg_read_export                 => ram_diag_bg_mosi.rd,
-      ram_diag_bg_readdata_export             => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_reset_export                => OPEN,
-      ram_diag_bg_write_export                => ram_diag_bg_mosi.wr,
-      ram_diag_bg_writedata_export            => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_address_export        => ram_diag_data_buf_mosi.address(13 downto 0),
-      ram_diag_data_buf_clk_export            => OPEN,
-      ram_diag_data_buf_read_export           => ram_diag_data_buf_mosi.rd,
-      ram_diag_data_buf_readdata_export       => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_reset_export          => OPEN,
-      ram_diag_data_buf_write_export          => ram_diag_data_buf_mosi.wr,
-      ram_diag_data_buf_writedata_export      => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_mesh_diag_data_buf_address_export   => ram_mesh_diag_data_buf_mosi.address(13 downto 0),
-      ram_mesh_diag_data_buf_clk_export       => OPEN,
-      ram_mesh_diag_data_buf_read_export      => ram_mesh_diag_data_buf_mosi.rd,
-      ram_mesh_diag_data_buf_readdata_export  => ram_mesh_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      ram_mesh_diag_data_buf_reset_export     => OPEN,
-      ram_mesh_diag_data_buf_write_export     => ram_mesh_diag_data_buf_mosi.wr,
-      ram_mesh_diag_data_buf_writedata_export => ram_mesh_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_address_export          => reg_bsn_monitor_mosi.address(6 downto 0),
-      reg_bsn_monitor_clk_export              => OPEN,
-      reg_bsn_monitor_read_export             => reg_bsn_monitor_mosi.rd,
-      reg_bsn_monitor_readdata_export         => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_reset_export            => OPEN,
-      reg_bsn_monitor_write_export            => reg_bsn_monitor_mosi.wr,
-      reg_bsn_monitor_writedata_export        => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_address_export              => reg_diag_bg_mosi.address(2 downto 0),
-      reg_diag_bg_clk_export                  => OPEN,
-      reg_diag_bg_read_export                 => reg_diag_bg_mosi.rd,
-      reg_diag_bg_readdata_export             => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_reset_export                => OPEN,
-      reg_diag_bg_write_export                => reg_diag_bg_mosi.wr,
-      reg_diag_bg_writedata_export            => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_address_export        => reg_diag_data_buf_mosi.address(0),
-      reg_diag_data_buf_clk_export            => OPEN,
-      reg_diag_data_buf_read_export           => reg_diag_data_buf_mosi.rd,
-      reg_diag_data_buf_readdata_export       => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_reset_export          => OPEN,
-      reg_diag_data_buf_write_export          => reg_diag_data_buf_mosi.wr,
-      reg_diag_data_buf_writedata_export      => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diagnostics_address_export          => reg_diagnostics_mosi.address(5 downto 0),
-      reg_diagnostics_clk_export              => OPEN,
-      reg_diagnostics_read_export             => reg_diagnostics_mosi.rd,
-      reg_diagnostics_readdata_export         => reg_diagnostics_miso.rddata(c_word_w - 1 downto 0),
-      reg_diagnostics_reset_export            => OPEN,
-      reg_diagnostics_write_export            => reg_diagnostics_mosi.wr,
-      reg_diagnostics_writedata_export        => reg_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_nonbonded_address_export         => reg_tr_nonbonded_mosi.address(3 downto 0),
-      reg_tr_nonbonded_clk_export             => OPEN,
-      reg_tr_nonbonded_read_export            => reg_tr_nonbonded_mosi.rd,
-      reg_tr_nonbonded_readdata_export        => reg_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_nonbonded_reset_export           => OPEN,
-      reg_tr_nonbonded_write_export           => reg_tr_nonbonded_mosi.wr,
-      reg_tr_nonbonded_writedata_export       => reg_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_address_export             => reg_unb_sens_mosi.address(2 downto 0),
-      reg_unb_sens_clk_export                 => OPEN,
-      reg_unb_sens_read_export                => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export            => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      reg_unb_sens_reset_export               => OPEN,
-      reg_unb_sens_write_export               => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export           => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_address_export                  => reg_wdi_mosi.address(0),
-      reg_wdi_clk_export                      => OPEN,
-      reg_wdi_read_export                     => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                 => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      reg_wdi_reset_export                    => OPEN,
-      reg_wdi_write_export                    => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reset_in_reset_n                        => mm_rst_n,
-      rom_system_info_address_export          => rom_unb_system_info_mosi.address(9 downto 0),
-      rom_system_info_clk_export              => OPEN,
-      rom_system_info_read_export             => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export         => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      rom_system_info_reset_export            => OPEN,
-      rom_system_info_write_export            => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export        => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+        clk_in_clk                              => mm_clk,
+        eth1g_irq_export                        => eth1g_reg_interrupt,
+        eth1g_mm_clk_export                     => OPEN,
+        eth1g_mm_rst_export                     => eth1g_mm_rst,
+        eth1g_ram_address_export                => eth1g_ram_mosi.address(9 downto 0),
+        eth1g_ram_read_export                   => eth1g_ram_mosi.rd,
+        eth1g_ram_readdata_export               => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_ram_write_export                  => eth1g_ram_mosi.wr,
+        eth1g_ram_writedata_export              => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        eth1g_reg_address_export                => eth1g_reg_mosi.address(3 downto 0),
+        eth1g_reg_read_export                   => eth1g_reg_mosi.rd,
+        eth1g_reg_readdata_export               => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_reg_write_export                  => eth1g_reg_mosi.wr,
+        eth1g_reg_writedata_export              => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        eth1g_tse_address_export                => eth1g_tse_mosi.address(9 downto 0),
+        eth1g_tse_read_export                   => eth1g_tse_mosi.rd,
+        eth1g_tse_readdata_export               => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_tse_waitrequest_export            => eth1g_tse_miso.waitrequest,
+        eth1g_tse_write_export                  => eth1g_tse_mosi.wr,
+        eth1g_tse_writedata_export              => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        out_port_from_the_pio_debug_wave        => OPEN,
+        out_port_from_the_pio_wdi               => pout_wdi,
+        pio_pps_address_export                  => reg_ppsh_mosi.address(0),
+        pio_pps_clk_export                      => OPEN,
+        pio_pps_read_export                     => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                 => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        pio_pps_reset_export                    => OPEN,
+        pio_pps_write_export                    => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_address_export          => reg_unb_system_info_mosi.address(4 downto 0),
+        pio_system_info_clk_export              => OPEN,
+        pio_system_info_read_export             => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export         => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        pio_system_info_reset_export            => OPEN,
+        pio_system_info_write_export            => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export        => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_address_export              => ram_diag_bg_mosi.address(10 downto 0),
+        ram_diag_bg_clk_export                  => OPEN,
+        ram_diag_bg_read_export                 => ram_diag_bg_mosi.rd,
+        ram_diag_bg_readdata_export             => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_reset_export                => OPEN,
+        ram_diag_bg_write_export                => ram_diag_bg_mosi.wr,
+        ram_diag_bg_writedata_export            => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_address_export        => ram_diag_data_buf_mosi.address(13 downto 0),
+        ram_diag_data_buf_clk_export            => OPEN,
+        ram_diag_data_buf_read_export           => ram_diag_data_buf_mosi.rd,
+        ram_diag_data_buf_readdata_export       => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_reset_export          => OPEN,
+        ram_diag_data_buf_write_export          => ram_diag_data_buf_mosi.wr,
+        ram_diag_data_buf_writedata_export      => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_mesh_diag_data_buf_address_export   => ram_mesh_diag_data_buf_mosi.address(13 downto 0),
+        ram_mesh_diag_data_buf_clk_export       => OPEN,
+        ram_mesh_diag_data_buf_read_export      => ram_mesh_diag_data_buf_mosi.rd,
+        ram_mesh_diag_data_buf_readdata_export  => ram_mesh_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        ram_mesh_diag_data_buf_reset_export     => OPEN,
+        ram_mesh_diag_data_buf_write_export     => ram_mesh_diag_data_buf_mosi.wr,
+        ram_mesh_diag_data_buf_writedata_export => ram_mesh_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_address_export          => reg_bsn_monitor_mosi.address(6 downto 0),
+        reg_bsn_monitor_clk_export              => OPEN,
+        reg_bsn_monitor_read_export             => reg_bsn_monitor_mosi.rd,
+        reg_bsn_monitor_readdata_export         => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_reset_export            => OPEN,
+        reg_bsn_monitor_write_export            => reg_bsn_monitor_mosi.wr,
+        reg_bsn_monitor_writedata_export        => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_address_export              => reg_diag_bg_mosi.address(2 downto 0),
+        reg_diag_bg_clk_export                  => OPEN,
+        reg_diag_bg_read_export                 => reg_diag_bg_mosi.rd,
+        reg_diag_bg_readdata_export             => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_reset_export                => OPEN,
+        reg_diag_bg_write_export                => reg_diag_bg_mosi.wr,
+        reg_diag_bg_writedata_export            => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_address_export        => reg_diag_data_buf_mosi.address(0),
+        reg_diag_data_buf_clk_export            => OPEN,
+        reg_diag_data_buf_read_export           => reg_diag_data_buf_mosi.rd,
+        reg_diag_data_buf_readdata_export       => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_reset_export          => OPEN,
+        reg_diag_data_buf_write_export          => reg_diag_data_buf_mosi.wr,
+        reg_diag_data_buf_writedata_export      => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diagnostics_address_export          => reg_diagnostics_mosi.address(5 downto 0),
+        reg_diagnostics_clk_export              => OPEN,
+        reg_diagnostics_read_export             => reg_diagnostics_mosi.rd,
+        reg_diagnostics_readdata_export         => reg_diagnostics_miso.rddata(c_word_w - 1 downto 0),
+        reg_diagnostics_reset_export            => OPEN,
+        reg_diagnostics_write_export            => reg_diagnostics_mosi.wr,
+        reg_diagnostics_writedata_export        => reg_diagnostics_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_nonbonded_address_export         => reg_tr_nonbonded_mosi.address(3 downto 0),
+        reg_tr_nonbonded_clk_export             => OPEN,
+        reg_tr_nonbonded_read_export            => reg_tr_nonbonded_mosi.rd,
+        reg_tr_nonbonded_readdata_export        => reg_tr_nonbonded_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_nonbonded_reset_export           => OPEN,
+        reg_tr_nonbonded_write_export           => reg_tr_nonbonded_mosi.wr,
+        reg_tr_nonbonded_writedata_export       => reg_tr_nonbonded_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_address_export             => reg_unb_sens_mosi.address(2 downto 0),
+        reg_unb_sens_clk_export                 => OPEN,
+        reg_unb_sens_read_export                => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export            => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        reg_unb_sens_reset_export               => OPEN,
+        reg_unb_sens_write_export               => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export           => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_address_export                  => reg_wdi_mosi.address(0),
+        reg_wdi_clk_export                      => OPEN,
+        reg_wdi_read_export                     => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                 => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        reg_wdi_reset_export                    => OPEN,
+        reg_wdi_write_export                    => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reset_in_reset_n                        => mm_rst_n,
+        rom_system_info_address_export          => rom_unb_system_info_mosi.address(9 downto 0),
+        rom_system_info_clk_export              => OPEN,
+        rom_system_info_read_export             => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export         => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        rom_system_info_reset_export            => OPEN,
+        rom_system_info_write_export            => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export        => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/node_unb1_terminal_bg_mesh_db.vhd b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/node_unb1_terminal_bg_mesh_db.vhd
index 6c2d1dbc7b..5e015926b1 100644
--- a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/node_unb1_terminal_bg_mesh_db.vhd
+++ b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/node_unb1_terminal_bg_mesh_db.vhd
@@ -29,13 +29,13 @@
 -- Some more remarks:
 
 library IEEE, common_lib, dp_lib, unb1_board_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
 
 entity node_unb1_terminal_bg_mesh_db is
   generic(
@@ -72,12 +72,12 @@ entity node_unb1_terminal_bg_mesh_db is
     g_rx_timeout_w            : natural := 0;  -- when 0 then no timeout else when > 0 then flush pending rx payload after 2**g_timeout_w clk cylces of inactive uth_rx snk_in.valid
     -- Monitoring
     g_mon_select              : natural := 0;  -- 0 = no SOSI data buffers monitor via MM
-                                                   -- 1 = enable monitor the Rx UTH packets per serial lane after the tr_nonbonded
-                                                   -- 2 = enable monitor the Rx UTH packets per serial lane after the mesh reorder
-                                                   -- 3 = enable monitor the Rx DP  packets per serial lane after the uth_rx
-                                                   -- 4 = enable monitor the Rx DP  packets per user stream after the dp_distribute
-                                                   -- 5 = enable monitor the Tx UTH packets per serial lane to the tr_nonbonded
-                                                   -- 6 = enable monitor the Tx UTH packets per serial lane to the mesh reorder
+    -- 1 = enable monitor the Rx UTH packets per serial lane after the tr_nonbonded
+    -- 2 = enable monitor the Rx UTH packets per serial lane after the mesh reorder
+    -- 3 = enable monitor the Rx DP  packets per serial lane after the uth_rx
+    -- 4 = enable monitor the Rx DP  packets per user stream after the dp_distribute
+    -- 5 = enable monitor the Tx UTH packets per serial lane to the tr_nonbonded
+    -- 6 = enable monitor the Tx UTH packets per serial lane to the mesh reorder
     g_mon_nof_words           : natural := 1024;
     g_mon_use_sync            : boolean := true;
     -- UTH
@@ -210,40 +210,40 @@ begin
   -- Block Generator
   ---------------------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    -- Generate configurations
-    g_use_usr_input      => c_use_usr_input,
-    g_use_bg             => g_use_bg,
-    g_use_tx_seq         => false,
-    -- General
-    g_nof_streams        => c_bg_nof_streams,
-    -- BG settings
-    g_use_bg_buffer_ram  => true,
-    g_buf_dat_w          => c_nof_complex * c_in_dat_w,
-    g_buf_addr_w         => c_bg_addr_w,
-    g_file_name_prefix   => c_file_name_prefix,
-    -- User input multiplexer option
-    g_usr_bypass_xonoff  => false
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-
-    -- ST interface
-    usr_siso_arr     => bg_snk_out_arr,
-    usr_sosi_arr     => bg_snk_in_arr,
-    out_siso_arr     => bg_src_in_arr,
-    out_sosi_arr     => bg_src_out_arr
-  );
+    generic map(
+      -- Generate configurations
+      g_use_usr_input      => c_use_usr_input,
+      g_use_bg             => g_use_bg,
+      g_use_tx_seq         => false,
+      -- General
+      g_nof_streams        => c_bg_nof_streams,
+      -- BG settings
+      g_use_bg_buffer_ram  => true,
+      g_buf_dat_w          => c_nof_complex * c_in_dat_w,
+      g_buf_addr_w         => c_bg_addr_w,
+      g_file_name_prefix   => c_file_name_prefix,
+      -- User input multiplexer option
+      g_usr_bypass_xonoff  => false
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+
+      -- ST interface
+      usr_siso_arr     => bg_snk_out_arr,
+      usr_sosi_arr     => bg_snk_in_arr,
+      out_siso_arr     => bg_src_in_arr,
+      out_sosi_arr     => bg_src_out_arr
+    );
 
   ---------------------------------------------------------------------------------------
   -- From 1d to 2d array. Output BG to input Mesh
@@ -256,75 +256,75 @@ begin
   end generate;
 
   u_mesh_terminal : entity unb1_board_lib.unb1_board_terminals_mesh
-  generic map(
-    g_sim                 => g_sim,
-    g_sim_level           => g_sim_level,
-    -- System
-    g_node_type           => g_node_type,
-    g_nof_bus             => g_nof_bus,
-    -- User
-    g_usr_use_complex     => g_usr_use_complex,
-    g_usr_data_w          => g_usr_data_w,
-    g_usr_frame_len       => g_usr_frame_len,
-    g_usr_nof_streams     => g_usr_nof_streams,
-    -- Phy
-    g_phy_nof_serial      => g_phy_nof_serial,
-    g_phy_gx_mbps         => g_phy_gx_mbps,
-    g_phy_rx_fifo_size    => g_phy_rx_fifo_size,
-    g_phy_ena_reorder     => g_phy_ena_reorder,
-    -- Tx
-    g_use_tx              => g_use_tx,
-    g_tx_input_use_fifo   => g_tx_input_use_fifo,
-    g_tx_input_fifo_size  => g_tx_input_fifo_size,
-    g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
-    -- Rx
-    g_use_rx              => g_use_rx,
-    g_rx_output_use_fifo  => g_rx_output_use_fifo,
-    g_rx_output_fifo_size => g_rx_output_fifo_size,
-    g_rx_output_fifo_fill => g_rx_output_fifo_fill,
-    g_rx_timeout_w        => g_rx_timeout_w,
-
-    -- Monitoring
-    g_mon_select          => g_mon_select,
-    g_mon_nof_words       => g_mon_nof_words,
-    g_mon_use_sync        => g_mon_use_sync,
-
-    -- UTH
-    g_uth_len_max         => g_uth_len_max,
-    g_uth_typ_ofs         => g_uth_typ_ofs
-  )
-  port map (
-    chip_id                => chip_id,
-
-    mm_rst                 => mm_rst,
-    mm_clk                 => mm_clk,
-    dp_rst                 => dp_rst,
-    dp_clk                 => dp_clk,
-    dp_sync                => dp_pps,
-    tr_clk                 => tr_mesh_clk,
-    cal_clk                => cal_clk,
-
-    -- User interface (4 nodes)(4 input streams)
-    tx_usr_siso_2arr       => bg_out_siso_2arr,
-    tx_usr_sosi_2arr       => bg_out_sosi_2arr,  -- <== Data to the Mesh
-    rx_usr_siso_2arr       => rx_usr_i_siso_2arr,
-    rx_usr_sosi_2arr       => rx_usr_i_sosi_2arr,  -- ==> Data from the Mesh
-
-    -- Serial (tr_nonbonded)
-    tx_serial_2arr         => tx_serial_2arr,
-    rx_serial_2arr         => rx_serial_2arr,
-
-    -- MM Control
-    -- . tr_nonbonded
-    reg_tr_nonbonded_mosi  => reg_tr_nonbonded_mosi,
-    reg_tr_nonbonded_miso  => reg_tr_nonbonded_miso,
-    reg_diagnostics_mosi   => reg_diagnostics_mosi,
-    reg_diagnostics_miso   => reg_diagnostics_miso,
-
-    -- . monitor data buffer
-    ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-    ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
-  );
+    generic map(
+      g_sim                 => g_sim,
+      g_sim_level           => g_sim_level,
+      -- System
+      g_node_type           => g_node_type,
+      g_nof_bus             => g_nof_bus,
+      -- User
+      g_usr_use_complex     => g_usr_use_complex,
+      g_usr_data_w          => g_usr_data_w,
+      g_usr_frame_len       => g_usr_frame_len,
+      g_usr_nof_streams     => g_usr_nof_streams,
+      -- Phy
+      g_phy_nof_serial      => g_phy_nof_serial,
+      g_phy_gx_mbps         => g_phy_gx_mbps,
+      g_phy_rx_fifo_size    => g_phy_rx_fifo_size,
+      g_phy_ena_reorder     => g_phy_ena_reorder,
+      -- Tx
+      g_use_tx              => g_use_tx,
+      g_tx_input_use_fifo   => g_tx_input_use_fifo,
+      g_tx_input_fifo_size  => g_tx_input_fifo_size,
+      g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
+      -- Rx
+      g_use_rx              => g_use_rx,
+      g_rx_output_use_fifo  => g_rx_output_use_fifo,
+      g_rx_output_fifo_size => g_rx_output_fifo_size,
+      g_rx_output_fifo_fill => g_rx_output_fifo_fill,
+      g_rx_timeout_w        => g_rx_timeout_w,
+
+      -- Monitoring
+      g_mon_select          => g_mon_select,
+      g_mon_nof_words       => g_mon_nof_words,
+      g_mon_use_sync        => g_mon_use_sync,
+
+      -- UTH
+      g_uth_len_max         => g_uth_len_max,
+      g_uth_typ_ofs         => g_uth_typ_ofs
+    )
+    port map (
+      chip_id                => chip_id,
+
+      mm_rst                 => mm_rst,
+      mm_clk                 => mm_clk,
+      dp_rst                 => dp_rst,
+      dp_clk                 => dp_clk,
+      dp_sync                => dp_pps,
+      tr_clk                 => tr_mesh_clk,
+      cal_clk                => cal_clk,
+
+      -- User interface (4 nodes)(4 input streams)
+      tx_usr_siso_2arr       => bg_out_siso_2arr,
+      tx_usr_sosi_2arr       => bg_out_sosi_2arr,  -- <== Data to the Mesh
+      rx_usr_siso_2arr       => rx_usr_i_siso_2arr,
+      rx_usr_sosi_2arr       => rx_usr_i_sosi_2arr,  -- ==> Data from the Mesh
+
+      -- Serial (tr_nonbonded)
+      tx_serial_2arr         => tx_serial_2arr,
+      rx_serial_2arr         => rx_serial_2arr,
+
+      -- MM Control
+      -- . tr_nonbonded
+      reg_tr_nonbonded_mosi  => reg_tr_nonbonded_mosi,
+      reg_tr_nonbonded_miso  => reg_tr_nonbonded_miso,
+      reg_diagnostics_mosi   => reg_diagnostics_mosi,
+      reg_diagnostics_miso   => reg_diagnostics_miso,
+
+      -- . monitor data buffer
+      ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+      ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
+    );
 
   ---------------------------------------------------------------------------------------
   -- From 2d to 1d array. Input port to input BG.
@@ -341,25 +341,25 @@ begin
   -----------------------------------------------------------------------------
   gen_bsn_align : if g_use_bsn_align generate
     u_dp_bsn_align : entity dp_lib.dp_bsn_align
-    generic map (
-      g_block_size           => c_block_size,
-      g_block_period         => c_block_period,
-      g_nof_input            => c_bsn_align_nof_streams,
-      g_xoff_timeout         => c_bsn_align_xoff_timeout,
-      g_sop_timeout          => c_bsn_align_sop_timeout,
-      g_bsn_latency          => c_bsn_align_latency,
-      g_bsn_request_pipeline => 2
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      snk_out_arr => bsn_align_snk_out_arr,
-      snk_in_arr  => bsn_align_snk_in_arr,
-
-      src_in_arr  => bsn_align_src_in_arr,
-      src_out_arr => bsn_align_src_out_arr
-    );
+      generic map (
+        g_block_size           => c_block_size,
+        g_block_period         => c_block_period,
+        g_nof_input            => c_bsn_align_nof_streams,
+        g_xoff_timeout         => c_bsn_align_xoff_timeout,
+        g_sop_timeout          => c_bsn_align_sop_timeout,
+        g_bsn_latency          => c_bsn_align_latency,
+        g_bsn_request_pipeline => 2
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_out_arr => bsn_align_snk_out_arr,
+        snk_in_arr  => bsn_align_snk_in_arr,
+
+        src_in_arr  => bsn_align_src_in_arr,
+        src_out_arr => bsn_align_src_out_arr
+      );
   end generate;
 
   gen_no_bsn_align : if not(g_use_bsn_align) generate
@@ -371,49 +371,49 @@ begin
   -- BSN monitors at the output of the BSN aligner
   -----------------------------------------------------------------------------
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => c_bsn_mon_nof_streams,
-    g_sync_timeout       => c_bsn_sync_time_out,
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => bsn_mon_snk_out_arr,
-    in_sosi_arr => bsn_mon_snk_in_arr
-  );
+    generic map (
+      g_nof_streams        => c_bsn_mon_nof_streams,
+      g_sync_timeout       => c_bsn_sync_time_out,
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => bsn_mon_snk_out_arr,
+      in_sosi_arr => bsn_mon_snk_in_arr
+    );
 
   bsn_mon_snk_in_arr  <= bsn_align_src_out_arr(c_bsn_mon_nof_streams - 1 downto 0);
   bsn_mon_snk_out_arr <= bsn_align_src_in_arr(c_bsn_mon_nof_streams - 1 downto 0);
 
   gen_data_buf : if g_use_data_buf generate
     u_data_buf : entity diag_lib.mms_diag_data_buffer
-    generic map (
-      g_nof_streams  => c_db_nof_streams,
-      g_data_w       => g_usr_data_w,
-      g_buf_nof_data => c_db_nof_data,
-      g_buf_use_sync => true
-    )
-    port map (
-      -- System
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      -- MM interface
-      ram_data_buf_mosi => ram_diag_data_buf_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_miso,
-      reg_data_buf_mosi => reg_diag_data_buf_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_miso,
-      -- ST interface
-      in_sync           => bsn_align_src_out_arr(0).sync,
-      in_sosi_arr       => bsn_align_src_out_arr
-    );
+      generic map (
+        g_nof_streams  => c_db_nof_streams,
+        g_data_w       => g_usr_data_w,
+        g_buf_nof_data => c_db_nof_data,
+        g_buf_use_sync => true
+      )
+      port map (
+        -- System
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        -- MM interface
+        ram_data_buf_mosi => ram_diag_data_buf_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_miso,
+        reg_data_buf_mosi => reg_diag_data_buf_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_miso,
+        -- ST interface
+        in_sync           => bsn_align_src_out_arr(0).sync,
+        in_sosi_arr       => bsn_align_src_out_arr
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
diff --git a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/unb1_terminal_bg_mesh_db.vhd b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/unb1_terminal_bg_mesh_db.vhd
index 9202aa0cf7..d4f6ddd14b 100644
--- a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/unb1_terminal_bg_mesh_db.vhd
+++ b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/unb1_terminal_bg_mesh_db.vhd
@@ -20,18 +20,18 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity unb1_terminal_bg_mesh_db is
   generic (
@@ -47,7 +47,7 @@ entity unb1_terminal_bg_mesh_db is
     g_stamp_svn     : natural := 0  -- SVN revision    -- set by QSF
   );
   port (
-   -- GENERAL
+    -- GENERAL
     CLK                    : in    std_logic;  -- System Clock
     PPS                    : in    std_logic;  -- System Sync
     WDI                    : out   std_logic;  -- Watchdog Clear
@@ -216,238 +216,238 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim             => g_sim,
-    g_design_name     => g_design_name,
-    g_design_note     => g_design_note,
-    g_stamp_date      => g_stamp_date,
-    g_stamp_time      => g_stamp_time,
-    g_stamp_svn       => g_stamp_svn,
-    g_fw_version      => c_fw_version,
-    g_sim_flash_model => not(g_sim),
-    g_mm_clk_freq     => c_unb1_board_mm_clk_freq_125M,
-    g_use_phy         => c_use_phy,
-    g_aux             => c_unb1_board_aux,
-    g_dp_clk_use_pll  => true,
-    g_xo_clk_use_pll  => true
-  )
-  port map (
-    -- Clock and reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk_out               => mm_clk,
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    mm_locked                => mm_locked,
-    mm_locked_out            => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-    epcs_clk_out             => epcs_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    cal_rec_clk              => cal_clk,
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk_out        => eth1g_tse_clk,
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_design_name     => g_design_name,
+      g_design_note     => g_design_note,
+      g_stamp_date      => g_stamp_date,
+      g_stamp_time      => g_stamp_time,
+      g_stamp_svn       => g_stamp_svn,
+      g_fw_version      => c_fw_version,
+      g_sim_flash_model => not(g_sim),
+      g_mm_clk_freq     => c_unb1_board_mm_clk_freq_125M,
+      g_use_phy         => c_use_phy,
+      g_aux             => c_unb1_board_aux,
+      g_dp_clk_use_pll  => true,
+      g_xo_clk_use_pll  => true
+    )
+    port map (
+      -- Clock and reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk_out               => mm_clk,
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      mm_locked                => mm_locked,
+      mm_locked_out            => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+      epcs_clk_out             => epcs_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      cal_rec_clk              => cal_clk,
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk_out        => eth1g_tse_clk,
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_inst_mmm_unb1_terminal_bg_mesh_db : entity work.mmm_unb1_terminal_bg_mesh_db
-  generic map(
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-  )
-  port map(
-    mm_clk                      =>  mm_clk,
-    mm_rst                      =>  mm_rst,
-    pout_wdi                    =>  pout_wdi,
-    reg_wdi_mosi                =>  reg_wdi_mosi,
-    reg_wdi_miso                =>  reg_wdi_miso,
-    reg_unb_system_info_mosi    =>  reg_unb_system_info_mosi,
-    reg_unb_system_info_miso    =>  reg_unb_system_info_miso,
-    rom_unb_system_info_mosi    =>  rom_unb_system_info_mosi,
-    rom_unb_system_info_miso    =>  rom_unb_system_info_miso,
-    reg_unb_sens_mosi           =>  reg_unb_sens_mosi,
-    reg_unb_sens_miso           =>  reg_unb_sens_miso,
-    reg_ppsh_mosi               =>  reg_ppsh_mosi,
-    reg_ppsh_miso               =>  reg_ppsh_miso,
-    eth1g_mm_rst                =>  eth1g_mm_rst,
-    eth1g_reg_interrupt         =>  eth1g_reg_interrupt,
-    eth1g_ram_mosi              =>  eth1g_ram_mosi,
-    eth1g_ram_miso              =>  eth1g_ram_miso,
-    eth1g_reg_mosi              =>  eth1g_reg_mosi,
-    eth1g_reg_miso              =>  eth1g_reg_miso,
-    eth1g_tse_mosi              =>  eth1g_tse_mosi,
-    eth1g_tse_miso              =>  eth1g_tse_miso,
-    reg_diag_data_buf_mosi      =>  reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso      =>  reg_diag_data_buf_miso,
-    ram_diag_data_buf_mosi      =>  ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso      =>  ram_diag_data_buf_miso,
-    reg_diag_bg_mosi            =>  reg_diag_bg_mosi,
-    reg_diag_bg_miso            =>  reg_diag_bg_miso,
-    ram_diag_bg_mosi            =>  ram_diag_bg_mosi,
-    ram_diag_bg_miso            =>  ram_diag_bg_miso,
-    reg_diagnostics_mosi        =>  reg_diagnostics_mosi,
-    reg_diagnostics_miso        =>  reg_diagnostics_miso,
-    reg_tr_nonbonded_mosi       =>  reg_tr_nonbonded_mosi,
-    reg_tr_nonbonded_miso       =>  reg_tr_nonbonded_miso,
-    ram_mesh_diag_data_buf_mosi =>  ram_mesh_diag_data_buf_mosi,
-    ram_mesh_diag_data_buf_miso =>  ram_mesh_diag_data_buf_miso,
-    reg_bsn_monitor_mosi        =>  reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso        =>  reg_bsn_monitor_miso
-  );
+    generic map(
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_clk                      =>  mm_clk,
+      mm_rst                      =>  mm_rst,
+      pout_wdi                    =>  pout_wdi,
+      reg_wdi_mosi                =>  reg_wdi_mosi,
+      reg_wdi_miso                =>  reg_wdi_miso,
+      reg_unb_system_info_mosi    =>  reg_unb_system_info_mosi,
+      reg_unb_system_info_miso    =>  reg_unb_system_info_miso,
+      rom_unb_system_info_mosi    =>  rom_unb_system_info_mosi,
+      rom_unb_system_info_miso    =>  rom_unb_system_info_miso,
+      reg_unb_sens_mosi           =>  reg_unb_sens_mosi,
+      reg_unb_sens_miso           =>  reg_unb_sens_miso,
+      reg_ppsh_mosi               =>  reg_ppsh_mosi,
+      reg_ppsh_miso               =>  reg_ppsh_miso,
+      eth1g_mm_rst                =>  eth1g_mm_rst,
+      eth1g_reg_interrupt         =>  eth1g_reg_interrupt,
+      eth1g_ram_mosi              =>  eth1g_ram_mosi,
+      eth1g_ram_miso              =>  eth1g_ram_miso,
+      eth1g_reg_mosi              =>  eth1g_reg_mosi,
+      eth1g_reg_miso              =>  eth1g_reg_miso,
+      eth1g_tse_mosi              =>  eth1g_tse_mosi,
+      eth1g_tse_miso              =>  eth1g_tse_miso,
+      reg_diag_data_buf_mosi      =>  reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso      =>  reg_diag_data_buf_miso,
+      ram_diag_data_buf_mosi      =>  ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso      =>  ram_diag_data_buf_miso,
+      reg_diag_bg_mosi            =>  reg_diag_bg_mosi,
+      reg_diag_bg_miso            =>  reg_diag_bg_miso,
+      ram_diag_bg_mosi            =>  ram_diag_bg_mosi,
+      ram_diag_bg_miso            =>  ram_diag_bg_miso,
+      reg_diagnostics_mosi        =>  reg_diagnostics_mosi,
+      reg_diagnostics_miso        =>  reg_diagnostics_miso,
+      reg_tr_nonbonded_mosi       =>  reg_tr_nonbonded_mosi,
+      reg_tr_nonbonded_miso       =>  reg_tr_nonbonded_miso,
+      ram_mesh_diag_data_buf_mosi =>  ram_mesh_diag_data_buf_mosi,
+      ram_mesh_diag_data_buf_miso =>  ram_mesh_diag_data_buf_miso,
+      reg_bsn_monitor_mosi        =>  reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso        =>  reg_bsn_monitor_miso
+    );
 
   -----------------------------------------------------------------------------
   -- Node function: Terminals and data buffer
   -----------------------------------------------------------------------------
   u_terminal_mesh : entity work.node_unb1_terminal_bg_mesh_db
-  generic map(
-    g_sim                     => g_sim,
-    g_sim_level               => g_sim_level,
-
-    -- BLOCK GENERATOR
-    g_use_bg                  => c_use_bg,
-
-    -- MESH TERMINAL
-    -- System
-    g_node_type               => c_node_type,
-    g_nof_bus                 => c_nof_bus,
-    -- User
-    g_usr_use_complex         => c_usr_use_complex,
-    g_usr_data_w              => c_usr_data_w,
-    g_usr_frame_len           => c_usr_frame_len,
-    g_usr_nof_streams         => c_usr_nof_streams,
-    -- Phy
-    g_phy_nof_serial          => c_phy_nof_serial,
-    g_phy_gx_mbps             => c_phy_gx_mbps,
-    g_phy_rx_fifo_size        => c_phy_rx_fifo_size,
-    g_phy_ena_reorder         => c_phy_ena_reorder,
-    -- Tx
-    g_use_tx                  => c_use_tx,
-    g_tx_input_use_fifo       => c_tx_input_use_fifo,
-    g_tx_input_fifo_size      => c_tx_input_fifo_size,
-    g_tx_input_fifo_fill      => c_tx_input_fifo_fill,
-    -- Rx
-    g_use_rx                  => c_use_rx,
-    g_rx_output_use_fifo      => c_rx_output_use_fifo,
-    g_rx_output_fifo_size     => c_rx_output_fifo_size,
-    g_rx_output_fifo_fill     => c_rx_output_fifo_fill,
-    g_rx_timeout_w            => c_rx_timeout_w,
-    -- Monitoring
-    g_mon_select              => c_mon_select,
-    g_mon_nof_words           => c_mon_nof_words,
-    g_mon_use_sync            => c_mon_use_sync,
-    -- UTH
-    g_uth_len_max             => c_uth_len_max,
-    g_uth_typ_ofs             => c_uth_typ_ofs,
-
-    -- Auxiliary Interface
-    g_aux                     => c_unb1_board_aux,
-    -- BSN ALIGNER
-    g_use_bsn_align           => c_use_bsn_align,
-    -- DATA BUFFER
-    g_use_data_buf            => c_use_data_buf
-  )
-  port map(
-    -- System
-    chip_id                     => this_chip_id,
-    mm_rst                      => mm_rst,
-    mm_clk                      => mm_clk,
-    dp_rst                      => dp_rst,
-    dp_clk                      => dp_clk,
-    dp_pps                      => dp_pps,
-    tr_mesh_clk                 => SB_CLK,
-    cal_clk                     => cal_clk,
-
-    -- MM interface
-    reg_diag_bg_mosi            => reg_diag_bg_mosi,
-    reg_diag_bg_miso            => reg_diag_bg_miso,
-    ram_diag_bg_mosi            => ram_diag_bg_mosi,
-    ram_diag_bg_miso            => ram_diag_bg_miso,
-    ram_diag_data_buf_mosi      => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso      => ram_diag_data_buf_miso,
-    reg_diag_data_buf_mosi      => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso      => reg_diag_data_buf_miso,
-    reg_tr_nonbonded_mosi       => reg_tr_nonbonded_mosi,
-    reg_tr_nonbonded_miso       => reg_tr_nonbonded_miso,
-    reg_diagnostics_mosi        => reg_diagnostics_mosi,
-    reg_diagnostics_miso        => reg_diagnostics_miso,
-    ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-    ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
-    reg_bsn_monitor_mosi        => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso        => reg_bsn_monitor_miso,
-
-    -- Datapath User interface (4 nodes)(4 input streams)
-    tx_usr_siso_2arr            => tx_usr_siso_2arr,
-    tx_usr_sosi_2arr            => tx_usr_sosi_2arr,
-    rx_usr_siso_2arr            => rx_usr_siso_2arr,
-    rx_usr_sosi_2arr            => rx_usr_sosi_2arr,
-
-    -- Mesh serial interface (tr_nonbonded)
-    tx_serial_2arr              => tx_serial_2arr,
-    rx_serial_2arr              => rx_serial_2arr
-  );
+    generic map(
+      g_sim                     => g_sim,
+      g_sim_level               => g_sim_level,
+
+      -- BLOCK GENERATOR
+      g_use_bg                  => c_use_bg,
+
+      -- MESH TERMINAL
+      -- System
+      g_node_type               => c_node_type,
+      g_nof_bus                 => c_nof_bus,
+      -- User
+      g_usr_use_complex         => c_usr_use_complex,
+      g_usr_data_w              => c_usr_data_w,
+      g_usr_frame_len           => c_usr_frame_len,
+      g_usr_nof_streams         => c_usr_nof_streams,
+      -- Phy
+      g_phy_nof_serial          => c_phy_nof_serial,
+      g_phy_gx_mbps             => c_phy_gx_mbps,
+      g_phy_rx_fifo_size        => c_phy_rx_fifo_size,
+      g_phy_ena_reorder         => c_phy_ena_reorder,
+      -- Tx
+      g_use_tx                  => c_use_tx,
+      g_tx_input_use_fifo       => c_tx_input_use_fifo,
+      g_tx_input_fifo_size      => c_tx_input_fifo_size,
+      g_tx_input_fifo_fill      => c_tx_input_fifo_fill,
+      -- Rx
+      g_use_rx                  => c_use_rx,
+      g_rx_output_use_fifo      => c_rx_output_use_fifo,
+      g_rx_output_fifo_size     => c_rx_output_fifo_size,
+      g_rx_output_fifo_fill     => c_rx_output_fifo_fill,
+      g_rx_timeout_w            => c_rx_timeout_w,
+      -- Monitoring
+      g_mon_select              => c_mon_select,
+      g_mon_nof_words           => c_mon_nof_words,
+      g_mon_use_sync            => c_mon_use_sync,
+      -- UTH
+      g_uth_len_max             => c_uth_len_max,
+      g_uth_typ_ofs             => c_uth_typ_ofs,
+
+      -- Auxiliary Interface
+      g_aux                     => c_unb1_board_aux,
+      -- BSN ALIGNER
+      g_use_bsn_align           => c_use_bsn_align,
+      -- DATA BUFFER
+      g_use_data_buf            => c_use_data_buf
+    )
+    port map(
+      -- System
+      chip_id                     => this_chip_id,
+      mm_rst                      => mm_rst,
+      mm_clk                      => mm_clk,
+      dp_rst                      => dp_rst,
+      dp_clk                      => dp_clk,
+      dp_pps                      => dp_pps,
+      tr_mesh_clk                 => SB_CLK,
+      cal_clk                     => cal_clk,
+
+      -- MM interface
+      reg_diag_bg_mosi            => reg_diag_bg_mosi,
+      reg_diag_bg_miso            => reg_diag_bg_miso,
+      ram_diag_bg_mosi            => ram_diag_bg_mosi,
+      ram_diag_bg_miso            => ram_diag_bg_miso,
+      ram_diag_data_buf_mosi      => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso      => ram_diag_data_buf_miso,
+      reg_diag_data_buf_mosi      => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso      => reg_diag_data_buf_miso,
+      reg_tr_nonbonded_mosi       => reg_tr_nonbonded_mosi,
+      reg_tr_nonbonded_miso       => reg_tr_nonbonded_miso,
+      reg_diagnostics_mosi        => reg_diagnostics_mosi,
+      reg_diagnostics_miso        => reg_diagnostics_miso,
+      ram_mesh_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+      ram_mesh_diag_data_buf_miso => ram_mesh_diag_data_buf_miso,
+      reg_bsn_monitor_mosi        => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso        => reg_bsn_monitor_miso,
+
+      -- Datapath User interface (4 nodes)(4 input streams)
+      tx_usr_siso_2arr            => tx_usr_siso_2arr,
+      tx_usr_sosi_2arr            => tx_usr_sosi_2arr,
+      rx_usr_siso_2arr            => rx_usr_siso_2arr,
+      rx_usr_sosi_2arr            => rx_usr_sosi_2arr,
+
+      -- Mesh serial interface (tr_nonbonded)
+      tx_serial_2arr              => tx_serial_2arr,
+      rx_serial_2arr              => rx_serial_2arr
+    );
 
   -----------------------------------------------------------------------------
   -- Mesh I/O
@@ -458,23 +458,23 @@ begin
 
   gen_tr_mesh : if c_use_phy.tr_mesh /= 0 generate
     u_mesh_io : entity unb1_board_lib.unb1_board_mesh_io
-    generic map (
-      g_bus_w => c_unb1_board_tr_mesh.bus_w
-    )
-    port map (
-      tx_serial_2arr => tx_serial_2arr,
-      rx_serial_2arr => rx_serial_2arr,
-
-      -- Serial I/O
-      FN_BN_0_TX     => FN_BN_0_TX,
-      FN_BN_0_RX     => FN_BN_0_RX,
-      FN_BN_1_TX     => FN_BN_1_TX,
-      FN_BN_1_RX     => FN_BN_1_RX,
-      FN_BN_2_TX     => FN_BN_2_TX,
-      FN_BN_2_RX     => FN_BN_2_RX,
-      FN_BN_3_TX     => FN_BN_3_TX,
-      FN_BN_3_RX     => FN_BN_3_RX
-    );
+      generic map (
+        g_bus_w => c_unb1_board_tr_mesh.bus_w
+      )
+      port map (
+        tx_serial_2arr => tx_serial_2arr,
+        rx_serial_2arr => rx_serial_2arr,
+
+        -- Serial I/O
+        FN_BN_0_TX     => FN_BN_0_TX,
+        FN_BN_0_RX     => FN_BN_0_RX,
+        FN_BN_1_TX     => FN_BN_1_TX,
+        FN_BN_1_RX     => FN_BN_1_RX,
+        FN_BN_2_TX     => FN_BN_2_TX,
+        FN_BN_2_RX     => FN_BN_2_RX,
+        FN_BN_3_TX     => FN_BN_3_TX,
+        FN_BN_3_RX     => FN_BN_3_RX
+      );
   end generate;
 
 end;
diff --git a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/tb/vhdl/tb_unb1_terminal_bg_mesh_db.vhd b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/tb/vhdl/tb_unb1_terminal_bg_mesh_db.vhd
index 2fe9f2030e..d26eeb2ee8 100644
--- a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/tb/vhdl/tb_unb1_terminal_bg_mesh_db.vhd
+++ b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/tb/vhdl/tb_unb1_terminal_bg_mesh_db.vhd
@@ -28,12 +28,12 @@
 --   > run 10 us
 
 library IEEE, common_lib, unb1_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.tb_unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.tb_unb1_board_pkg.all;
 
 entity tb_unb1_terminal_bg_mesh_db is
 end tb_unb1_terminal_bg_mesh_db;
@@ -118,134 +118,134 @@ begin
   ------------------------------------------------------------------------------
   gen_bn: for BN in 0 to c_nof_bn - 1 generate
     u_bn : entity work.unb1_terminal_bg_mesh_db
-    generic map (
-      -- General
-      g_sim         => c_sim,
-      g_sim_level   => c_sim_level,
-      g_sim_unb_nr  => c_sim_unb_nr,
-      g_sim_node_nr => (BN + 4)
-    )
-    port map (
-      -- GENERAL
-      WDI           => WDI,
-      CLK           => ext_clk,
-      PPS           => ext_pps,
-      INTA          => INTA,
-      INTB          => INTB,
-
-      -- Others
-      VERSION       => VERSION,
-      ID            => TO_UVEC(BN + 4, c_unb1_board_aux.id_w),  -- BN chip ID 4,5,6,7
-      TESTIO        => TESTIO,
-
-      -- I2C Interface to Sensors
-      sens_sc       => sens_scl,
-      sens_sd       => sens_sda,
-
-      -- 1GbE Control Interface
-      ETH_clk       => eth_clk,  -- ETH reference clock also used for system reference clock
-      ETH_SGIN      => eth_rxp(BN + c_nof_fn),
-      ETH_SGOUT     => eth_txp(BN + c_nof_fn),
-
-      -- Transceiver clocks
-      SB_CLK        => tr_clk,  -- TR clock FN-BN(mesh)
-
-      -- Mesh serial I/O
-      FN_BN_0_TX    => FN_BN_0_TX_arr(BN + c_nof_fn),
-      FN_BN_0_RX    => FN_BN_0_RX_arr(BN + c_nof_fn),
-      FN_BN_1_TX    => FN_BN_1_TX_arr(BN + c_nof_fn),
-      FN_BN_1_RX    => FN_BN_1_RX_arr(BN + c_nof_fn),
-      FN_BN_2_TX    => FN_BN_2_TX_arr(BN + c_nof_fn),
-      FN_BN_2_RX    => FN_BN_2_RX_arr(BN + c_nof_fn),
-      FN_BN_3_TX    => FN_BN_3_TX_arr(BN + c_nof_fn),
-      FN_BN_3_RX    => FN_BN_3_RX_arr(BN + c_nof_fn)
-    );
+      generic map (
+        -- General
+        g_sim         => c_sim,
+        g_sim_level   => c_sim_level,
+        g_sim_unb_nr  => c_sim_unb_nr,
+        g_sim_node_nr => (BN + 4)
+      )
+      port map (
+        -- GENERAL
+        WDI           => WDI,
+        CLK           => ext_clk,
+        PPS           => ext_pps,
+        INTA          => INTA,
+        INTB          => INTB,
+
+        -- Others
+        VERSION       => VERSION,
+        ID            => TO_UVEC(BN + 4, c_unb1_board_aux.id_w),  -- BN chip ID 4,5,6,7
+        TESTIO        => TESTIO,
+
+        -- I2C Interface to Sensors
+        sens_sc       => sens_scl,
+        sens_sd       => sens_sda,
+
+        -- 1GbE Control Interface
+        ETH_clk       => eth_clk,  -- ETH reference clock also used for system reference clock
+        ETH_SGIN      => eth_rxp(BN + c_nof_fn),
+        ETH_SGOUT     => eth_txp(BN + c_nof_fn),
+
+        -- Transceiver clocks
+        SB_CLK        => tr_clk,  -- TR clock FN-BN(mesh)
+
+        -- Mesh serial I/O
+        FN_BN_0_TX    => FN_BN_0_TX_arr(BN + c_nof_fn),
+        FN_BN_0_RX    => FN_BN_0_RX_arr(BN + c_nof_fn),
+        FN_BN_1_TX    => FN_BN_1_TX_arr(BN + c_nof_fn),
+        FN_BN_1_RX    => FN_BN_1_RX_arr(BN + c_nof_fn),
+        FN_BN_2_TX    => FN_BN_2_TX_arr(BN + c_nof_fn),
+        FN_BN_2_RX    => FN_BN_2_RX_arr(BN + c_nof_fn),
+        FN_BN_3_TX    => FN_BN_3_TX_arr(BN + c_nof_fn),
+        FN_BN_3_RX    => FN_BN_3_RX_arr(BN + c_nof_fn)
+      );
 
     -- Use mesh_io block to create 3arr format for the mesh model.
     u_mesh_io : entity unb1_board_lib.unb1_board_mesh_io
-    generic map (
-      g_bus_w => c_unb1_board_tr_mesh.bus_w
-    )
-    port map (
-      tx_serial_2arr => bn_in_mesh_serial_3arr(BN),
-      rx_serial_2arr => bn_out_mesh_serial_3arr(BN),
-
-      -- Serial I/O
-      FN_BN_0_TX     => FN_BN_0_RX_arr(BN + c_nof_fn),
-      FN_BN_0_RX     => FN_BN_0_TX_arr(BN + c_nof_fn),
-      FN_BN_1_TX     => FN_BN_1_RX_arr(BN + c_nof_fn),
-      FN_BN_1_RX     => FN_BN_1_TX_arr(BN + c_nof_fn),
-      FN_BN_2_TX     => FN_BN_2_RX_arr(BN + c_nof_fn),
-      FN_BN_2_RX     => FN_BN_2_TX_arr(BN + c_nof_fn),
-      FN_BN_3_TX     => FN_BN_3_RX_arr(BN + c_nof_fn),
-      FN_BN_3_RX     => FN_BN_3_TX_arr(BN + c_nof_fn)
-    );
+      generic map (
+        g_bus_w => c_unb1_board_tr_mesh.bus_w
+      )
+      port map (
+        tx_serial_2arr => bn_in_mesh_serial_3arr(BN),
+        rx_serial_2arr => bn_out_mesh_serial_3arr(BN),
+
+        -- Serial I/O
+        FN_BN_0_TX     => FN_BN_0_RX_arr(BN + c_nof_fn),
+        FN_BN_0_RX     => FN_BN_0_TX_arr(BN + c_nof_fn),
+        FN_BN_1_TX     => FN_BN_1_RX_arr(BN + c_nof_fn),
+        FN_BN_1_RX     => FN_BN_1_TX_arr(BN + c_nof_fn),
+        FN_BN_2_TX     => FN_BN_2_RX_arr(BN + c_nof_fn),
+        FN_BN_2_RX     => FN_BN_2_TX_arr(BN + c_nof_fn),
+        FN_BN_3_TX     => FN_BN_3_RX_arr(BN + c_nof_fn),
+        FN_BN_3_RX     => FN_BN_3_TX_arr(BN + c_nof_fn)
+      );
   end generate;
 
   gen_fn: for FN in 0 to c_nof_fn - 1 generate
     u_fn : entity work.unb1_terminal_bg_mesh_db
-    generic map (
-      -- General
-      g_sim         => c_sim,
-      g_sim_level   => c_sim_level,
-      g_sim_unb_nr  => c_sim_unb_nr,
-      g_sim_node_nr => FN
-    )
-    port map (
-      -- GENERAL
-      WDI           => WDI,
-      CLK           => ext_clk,
-      PPS           => ext_pps,
-      INTA          => INTA,
-      INTB          => INTB,
-
-      -- Others
-      VERSION       => VERSION,
-      ID            => TO_UVEC(FN, c_unb1_board_aux.id_w),  -- FN chip ID 0,1,2,3,
-      TESTIO        => TESTIO,
-
-      -- I2C Interface to Sensors
-      sens_sc       => sens_scl,
-      sens_sd       => sens_sda,
-
-      -- 1GbE Control Interface
-      ETH_clk       => eth_clk,  -- ETH reference clock also used for system reference clock
-      ETH_SGIN      => eth_rxp(FN),
-      ETH_SGOUT     => eth_txp(FN),
-
-      -- Transceiver clocks
-      SB_CLK        => tr_clk,  -- TR clock FN-BN(mesh)
-
-      -- Mesh serial I/O
-      FN_BN_0_TX    => FN_BN_0_TX_arr(FN),
-      FN_BN_0_RX    => FN_BN_0_RX_arr(FN),
-      FN_BN_1_TX    => FN_BN_1_TX_arr(FN),
-      FN_BN_1_RX    => FN_BN_1_RX_arr(FN),
-      FN_BN_2_TX    => FN_BN_2_TX_arr(FN),
-      FN_BN_2_RX    => FN_BN_2_RX_arr(FN),
-      FN_BN_3_TX    => FN_BN_3_TX_arr(FN),
-      FN_BN_3_RX    => FN_BN_3_RX_arr(FN)
-    );
+      generic map (
+        -- General
+        g_sim         => c_sim,
+        g_sim_level   => c_sim_level,
+        g_sim_unb_nr  => c_sim_unb_nr,
+        g_sim_node_nr => FN
+      )
+      port map (
+        -- GENERAL
+        WDI           => WDI,
+        CLK           => ext_clk,
+        PPS           => ext_pps,
+        INTA          => INTA,
+        INTB          => INTB,
+
+        -- Others
+        VERSION       => VERSION,
+        ID            => TO_UVEC(FN, c_unb1_board_aux.id_w),  -- FN chip ID 0,1,2,3,
+        TESTIO        => TESTIO,
+
+        -- I2C Interface to Sensors
+        sens_sc       => sens_scl,
+        sens_sd       => sens_sda,
+
+        -- 1GbE Control Interface
+        ETH_clk       => eth_clk,  -- ETH reference clock also used for system reference clock
+        ETH_SGIN      => eth_rxp(FN),
+        ETH_SGOUT     => eth_txp(FN),
+
+        -- Transceiver clocks
+        SB_CLK        => tr_clk,  -- TR clock FN-BN(mesh)
+
+        -- Mesh serial I/O
+        FN_BN_0_TX    => FN_BN_0_TX_arr(FN),
+        FN_BN_0_RX    => FN_BN_0_RX_arr(FN),
+        FN_BN_1_TX    => FN_BN_1_TX_arr(FN),
+        FN_BN_1_RX    => FN_BN_1_RX_arr(FN),
+        FN_BN_2_TX    => FN_BN_2_TX_arr(FN),
+        FN_BN_2_RX    => FN_BN_2_RX_arr(FN),
+        FN_BN_3_TX    => FN_BN_3_TX_arr(FN),
+        FN_BN_3_RX    => FN_BN_3_RX_arr(FN)
+      );
 
     -- Use mesh_io block to create 3arr format for the mesh model.
     u_mesh_io : entity unb1_board_lib.unb1_board_mesh_io
-    generic map (
-      g_bus_w => c_unb1_board_tr_mesh.bus_w
-    )
-    port map (
-      tx_serial_2arr => fn_in_mesh_serial_3arr(FN),
-      rx_serial_2arr => fn_out_mesh_serial_3arr(FN),
-
-      -- Serial I/O
-      FN_BN_0_TX     => FN_BN_0_RX_arr(FN),
-      FN_BN_0_RX     => FN_BN_0_TX_arr(FN),
-      FN_BN_1_TX     => FN_BN_1_RX_arr(FN),
-      FN_BN_1_RX     => FN_BN_1_TX_arr(FN),
-      FN_BN_2_TX     => FN_BN_2_RX_arr(FN),
-      FN_BN_2_RX     => FN_BN_2_TX_arr(FN),
-      FN_BN_3_TX     => FN_BN_3_RX_arr(FN),
-      FN_BN_3_RX     => FN_BN_3_TX_arr(FN)
-    );
+      generic map (
+        g_bus_w => c_unb1_board_tr_mesh.bus_w
+      )
+      port map (
+        tx_serial_2arr => fn_in_mesh_serial_3arr(FN),
+        rx_serial_2arr => fn_out_mesh_serial_3arr(FN),
+
+        -- Serial I/O
+        FN_BN_0_TX     => FN_BN_0_RX_arr(FN),
+        FN_BN_0_RX     => FN_BN_0_TX_arr(FN),
+        FN_BN_1_TX     => FN_BN_1_RX_arr(FN),
+        FN_BN_1_RX     => FN_BN_1_TX_arr(FN),
+        FN_BN_2_TX     => FN_BN_2_RX_arr(FN),
+        FN_BN_2_RX     => FN_BN_2_TX_arr(FN),
+        FN_BN_3_TX     => FN_BN_3_RX_arr(FN),
+        FN_BN_3_RX     => FN_BN_3_TX_arr(FN)
+      );
   end generate;
 
   -- Direct interconnect BN0<->FN0.
@@ -257,18 +257,18 @@ begin
   -- Mesh model
   gen_mesh : if c_nof_bn > 1 or c_nof_fn > 1 generate
     u_mesh_model_serial : entity unb1_board_lib.unb1_board_mesh_model_sl
-    generic map(
-      g_reorder      => c_ena_mesh_reorder
-    )
-    port map (
-      -- FN to BN
-      fn_tx_sl_3arr  => fn_out_mesh_serial_3arr,
-      bn_rx_sl_3arr  => bn_in_mesh_serial_3arr,
-
-      -- BN to FN
-      bn_tx_sl_3arr  => bn_out_mesh_serial_3arr,
-      fn_rx_sl_3arr  => fn_in_mesh_serial_3arr
-    );
+      generic map(
+        g_reorder      => c_ena_mesh_reorder
+      )
+      port map (
+        -- FN to BN
+        fn_tx_sl_3arr  => fn_out_mesh_serial_3arr,
+        bn_rx_sl_3arr  => bn_in_mesh_serial_3arr,
+
+        -- BN to FN
+        bn_tx_sl_3arr  => bn_out_mesh_serial_3arr,
+        fn_rx_sl_3arr  => fn_in_mesh_serial_3arr
+      );
   end generate;
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/tb_unb1_test_10GbE.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/tb_unb1_test_10GbE.vhd
index ca717a1978..669ba05c47 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/tb_unb1_test_10GbE.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/tb_unb1_test_10GbE.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_10GbE is
@@ -35,9 +35,9 @@ end tb_unb1_test_10GbE;
 architecture tb of tb_unb1_test_10GbE is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_10GbE",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_10GbE",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/unb1_test_10GbE.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/unb1_test_10GbE.vhd
index 4dc4b63f76..2f7b451891 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/unb1_test_10GbE.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE/unb1_test_10GbE.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity unb1_test_10GbE is
   generic (
@@ -95,66 +95,66 @@ architecture str of unb1_test_10GbE is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- Serial I/O
-    SI_FN_0_TX    => SI_FN_0_TX,
-    SI_FN_0_RX    => SI_FN_0_RX,
-    SI_FN_1_TX    => SI_FN_1_TX,
-    SI_FN_1_RX    => SI_FN_1_RX,
-    SI_FN_2_TX    => SI_FN_2_TX,
-    SI_FN_2_RX    => SI_FN_2_RX,
-    SI_FN_3_TX    => SI_FN_3_TX,
-    SI_FN_3_RX    => SI_FN_3_RX,
-
-    SI_FN_0_CNTRL => SI_FN_0_CNTRL,
-    SI_FN_1_CNTRL => SI_FN_1_CNTRL,
-    SI_FN_2_CNTRL => SI_FN_2_CNTRL,
-    SI_FN_3_CNTRL => SI_FN_3_CNTRL,
-    SI_FN_RSTN    => SI_FN_RSTN,
-
-    BN_BI_0_TX    => BN_BI_0_TX,
-    BN_BI_0_RX    => BN_BI_0_RX,
-    BN_BI_1_TX    => BN_BI_1_TX,
-    BN_BI_1_RX    => BN_BI_1_RX,
-    BN_BI_2_TX    => BN_BI_2_TX,
-    BN_BI_2_RX    => BN_BI_2_RX,
-    BN_BI_3_TX    => BN_BI_3_TX,
-    BN_BI_3_RX    => BN_BI_3_RX
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- Serial I/O
+      SI_FN_0_TX    => SI_FN_0_TX,
+      SI_FN_0_RX    => SI_FN_0_RX,
+      SI_FN_1_TX    => SI_FN_1_TX,
+      SI_FN_1_RX    => SI_FN_1_RX,
+      SI_FN_2_TX    => SI_FN_2_TX,
+      SI_FN_2_RX    => SI_FN_2_RX,
+      SI_FN_3_TX    => SI_FN_3_TX,
+      SI_FN_3_RX    => SI_FN_3_RX,
+
+      SI_FN_0_CNTRL => SI_FN_0_CNTRL,
+      SI_FN_1_CNTRL => SI_FN_1_CNTRL,
+      SI_FN_2_CNTRL => SI_FN_2_CNTRL,
+      SI_FN_3_CNTRL => SI_FN_3_CNTRL,
+      SI_FN_RSTN    => SI_FN_RSTN,
+
+      BN_BI_0_TX    => BN_BI_0_TX,
+      BN_BI_0_RX    => BN_BI_0_RX,
+      BN_BI_1_TX    => BN_BI_1_TX,
+      BN_BI_1_RX    => BN_BI_1_RX,
+      BN_BI_2_TX    => BN_BI_2_TX,
+      BN_BI_2_RX    => BN_BI_2_RX,
+      BN_BI_3_TX    => BN_BI_3_TX,
+      BN_BI_3_RX    => BN_BI_3_RX
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/tb_unb1_test_10GbE_tx_only.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/tb_unb1_test_10GbE_tx_only.vhd
index 1e2189fd17..de95eb15e2 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/tb_unb1_test_10GbE_tx_only.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/tb_unb1_test_10GbE_tx_only.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_10GbE_tx_only is
@@ -35,9 +35,9 @@ end tb_unb1_test_10GbE_tx_only;
 architecture tb of tb_unb1_test_10GbE_tx_only is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_10GbE_tx_only",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_10GbE_tx_only",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/unb1_test_10GbE_tx_only.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/unb1_test_10GbE_tx_only.vhd
index bf41fa0ee4..fc17d595ab 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/unb1_test_10GbE_tx_only.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_10GbE_tx_only/unb1_test_10GbE_tx_only.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity unb1_test_10GbE_tx_only is
   generic (
@@ -87,57 +87,57 @@ architecture str of unb1_test_10GbE_tx_only is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- Serial I/O
-    SI_FN_0_TX    => SI_FN_0_TX,
-    SI_FN_0_RX    => SI_FN_0_RX,
-    SI_FN_1_TX    => SI_FN_1_TX,
-    SI_FN_1_RX    => SI_FN_1_RX,
-    SI_FN_2_TX    => SI_FN_2_TX,
-    SI_FN_2_RX    => SI_FN_2_RX,
-    SI_FN_3_TX    => SI_FN_3_TX,
-    SI_FN_3_RX    => SI_FN_3_RX,
-
-    SI_FN_0_CNTRL => SI_FN_0_CNTRL,
-    SI_FN_1_CNTRL => SI_FN_1_CNTRL,
-    SI_FN_2_CNTRL => SI_FN_2_CNTRL,
-    SI_FN_3_CNTRL => SI_FN_3_CNTRL,
-    SI_FN_RSTN    => SI_FN_RSTN
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- Serial I/O
+      SI_FN_0_TX    => SI_FN_0_TX,
+      SI_FN_0_RX    => SI_FN_0_RX,
+      SI_FN_1_TX    => SI_FN_1_TX,
+      SI_FN_1_RX    => SI_FN_1_RX,
+      SI_FN_2_TX    => SI_FN_2_TX,
+      SI_FN_2_RX    => SI_FN_2_RX,
+      SI_FN_3_TX    => SI_FN_3_TX,
+      SI_FN_3_RX    => SI_FN_3_RX,
+
+      SI_FN_0_CNTRL => SI_FN_0_CNTRL,
+      SI_FN_1_CNTRL => SI_FN_1_CNTRL,
+      SI_FN_2_CNTRL => SI_FN_2_CNTRL,
+      SI_FN_3_CNTRL => SI_FN_3_CNTRL,
+      SI_FN_RSTN    => SI_FN_RSTN
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/tb_unb1_test_1GbE.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/tb_unb1_test_1GbE.vhd
index 1a4919e2d9..90ed584b17 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/tb_unb1_test_1GbE.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/tb_unb1_test_1GbE.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_1GbE is
@@ -35,9 +35,9 @@ end tb_unb1_test_1GbE;
 architecture tb of tb_unb1_test_1GbE is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_1GbE",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_1GbE",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/unb1_test_1GbE.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/unb1_test_1GbE.vhd
index c343b9bba3..835f810cdf 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/unb1_test_1GbE.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_1GbE/unb1_test_1GbE.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity unb1_test_1GbE is
   generic (
@@ -67,38 +67,38 @@ architecture str of unb1_test_1GbE is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT
-  );
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/tb_unb1_test_all.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/tb_unb1_test_all.vhd
index 95e5625c63..322fb224a1 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/tb_unb1_test_all.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/tb_unb1_test_all.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_all is
@@ -35,9 +35,9 @@ end tb_unb1_test_all;
 architecture tb of tb_unb1_test_all is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_all",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_all",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/unb1_test_all.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/unb1_test_all.vhd
index 3fe8e0c0b7..91ad1862c3 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/unb1_test_all.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_all/unb1_test_all.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_test_all is
   generic (
@@ -106,74 +106,74 @@ architecture str of unb1_test_all is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-
-    -- Serial I/O
-    SI_FN_0_TX    => SI_FN_0_TX,
-    SI_FN_0_RX    => SI_FN_0_RX,
-    SI_FN_1_TX    => SI_FN_1_TX,
-    SI_FN_1_RX    => SI_FN_1_RX,
-    SI_FN_2_TX    => SI_FN_2_TX,
-    SI_FN_2_RX    => SI_FN_2_RX,
-    SI_FN_3_TX    => SI_FN_3_TX,
-    SI_FN_3_RX    => SI_FN_3_RX,
-
-    SI_FN_0_CNTRL => SI_FN_0_CNTRL,
-    SI_FN_1_CNTRL => SI_FN_1_CNTRL,
-    SI_FN_2_CNTRL => SI_FN_2_CNTRL,
-    SI_FN_3_CNTRL => SI_FN_3_CNTRL,
-    SI_FN_RSTN    => SI_FN_RSTN,
-
-    BN_BI_0_TX    => BN_BI_0_TX,
-    BN_BI_0_RX    => BN_BI_0_RX,
-    BN_BI_1_TX    => BN_BI_1_TX,
-    BN_BI_1_RX    => BN_BI_1_RX,
-    BN_BI_2_TX    => BN_BI_2_TX,
-    BN_BI_2_RX    => BN_BI_2_RX,
-    BN_BI_3_TX    => BN_BI_3_TX,
-    BN_BI_3_RX    => BN_BI_3_RX,
-
-    MB_I_IN => MB_I_IN,
-    MB_I_IO => MB_I_IO,
-    MB_I_OU => MB_I_OU,
-
-    MB_II_IN => MB_II_IN,
-    MB_II_IO => MB_II_IO,
-    MB_II_OU => MB_II_OU
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+
+      -- Serial I/O
+      SI_FN_0_TX    => SI_FN_0_TX,
+      SI_FN_0_RX    => SI_FN_0_RX,
+      SI_FN_1_TX    => SI_FN_1_TX,
+      SI_FN_1_RX    => SI_FN_1_RX,
+      SI_FN_2_TX    => SI_FN_2_TX,
+      SI_FN_2_RX    => SI_FN_2_RX,
+      SI_FN_3_TX    => SI_FN_3_TX,
+      SI_FN_3_RX    => SI_FN_3_RX,
+
+      SI_FN_0_CNTRL => SI_FN_0_CNTRL,
+      SI_FN_1_CNTRL => SI_FN_1_CNTRL,
+      SI_FN_2_CNTRL => SI_FN_2_CNTRL,
+      SI_FN_3_CNTRL => SI_FN_3_CNTRL,
+      SI_FN_RSTN    => SI_FN_RSTN,
+
+      BN_BI_0_TX    => BN_BI_0_TX,
+      BN_BI_0_RX    => BN_BI_0_RX,
+      BN_BI_1_TX    => BN_BI_1_TX,
+      BN_BI_1_RX    => BN_BI_1_RX,
+      BN_BI_2_TX    => BN_BI_2_TX,
+      BN_BI_2_RX    => BN_BI_2_RX,
+      BN_BI_3_TX    => BN_BI_3_TX,
+      BN_BI_3_RX    => BN_BI_3_RX,
+
+      MB_I_IN => MB_I_IN,
+      MB_I_IO => MB_I_IO,
+      MB_I_OU => MB_I_OU,
+
+      MB_II_IN => MB_II_IN,
+      MB_II_IO => MB_II_IO,
+      MB_II_OU => MB_II_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/tb_unb1_test_ddr.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/tb_unb1_test_ddr.vhd
index 45dee37a1e..815c85e4be 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/tb_unb1_test_ddr.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/tb_unb1_test_ddr.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr;
 architecture tb of tb_unb1_test_ddr is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/unb1_test_ddr.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/unb1_test_ddr.vhd
index c7999ff52f..80676f3efd 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/unb1_test_ddr.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr/unb1_test_ddr.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_test_ddr is
   generic (
@@ -73,46 +73,46 @@ architecture str of unb1_test_ddr is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    MB_I_IN => MB_I_IN,
-    MB_I_IO => MB_I_IO,
-    MB_I_OU => MB_I_OU
-
---    MB_II_IN => MB_II_IN,
---    MB_II_IO => MB_II_IO,
---    MB_II_OU => MB_II_OU
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      MB_I_IN => MB_I_IN,
+      MB_I_IO => MB_I_IO,
+      MB_I_OU => MB_I_OU
+
+    --    MB_II_IN => MB_II_IN,
+    --    MB_II_IO => MB_II_IO,
+    --    MB_II_OU => MB_II_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/tb_unb1_test_ddr_16g_MB_I.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/tb_unb1_test_ddr_16g_MB_I.vhd
index 987b652ec9..dd0a7df6b1 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/tb_unb1_test_ddr_16g_MB_I.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/tb_unb1_test_ddr_16g_MB_I.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr_16g_MB_I is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr_16g_MB_I;
 architecture tb of tb_unb1_test_ddr_16g_MB_I is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr_16g_MB_I",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr_16g_MB_I",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/unb1_test_ddr_16g_MB_I.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/unb1_test_ddr_16g_MB_I.vhd
index 60ce44f69d..6f29ac7c66 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/unb1_test_ddr_16g_MB_I.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I/unb1_test_ddr_16g_MB_I.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_test_ddr_16g_MB_I is
   generic (
@@ -73,43 +73,43 @@ architecture str of unb1_test_ddr_16g_MB_I is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/tb_unb1_test_ddr_16g_MB_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/tb_unb1_test_ddr_16g_MB_II.vhd
index 8563b7b429..26b2974be1 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/tb_unb1_test_ddr_16g_MB_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/tb_unb1_test_ddr_16g_MB_II.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr_16g_MB_II is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr_16g_MB_II;
 architecture tb of tb_unb1_test_ddr_16g_MB_II is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr_16g_MB_II",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr_16g_MB_II",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/unb1_test_ddr_16g_MB_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/unb1_test_ddr_16g_MB_II.vhd
index ddcbca83a0..40c5666221 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/unb1_test_ddr_16g_MB_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_II/unb1_test_ddr_16g_MB_II.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_test_ddr_16g_MB_II is
   generic (
@@ -73,43 +73,43 @@ architecture str of unb1_test_ddr_16g_MB_II is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/tb_unb1_test_ddr_16g_MB_I_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/tb_unb1_test_ddr_16g_MB_I_II.vhd
index d46c182efc..360e1f656b 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/tb_unb1_test_ddr_16g_MB_I_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/tb_unb1_test_ddr_16g_MB_I_II.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr_16g_MB_I_II is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr_16g_MB_I_II;
 architecture tb of tb_unb1_test_ddr_16g_MB_I_II is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr_16g_MB_I_II",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr_16g_MB_I_II",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/unb1_test_ddr_16g_MB_I_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/unb1_test_ddr_16g_MB_I_II.vhd
index 7acc74681f..f63b381509 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/unb1_test_ddr_16g_MB_I_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_16g_MB_I_II/unb1_test_ddr_16g_MB_I_II.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_test_ddr_16g_MB_I_II is
   generic (
@@ -78,48 +78,48 @@ architecture str of unb1_test_ddr_16g_MB_I_II is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I/tb_unb1_test_ddr_MB_I.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I/tb_unb1_test_ddr_MB_I.vhd
index f6e193f507..81056d01cb 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I/tb_unb1_test_ddr_MB_I.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I/tb_unb1_test_ddr_MB_I.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr_MB_I is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr_MB_I;
 architecture tb of tb_unb1_test_ddr_MB_I is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr_MB_I",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr_MB_I",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_II/tb_unb1_test_ddr_MB_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_II/tb_unb1_test_ddr_MB_II.vhd
index b98a4e527b..cc81973e67 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_II/tb_unb1_test_ddr_MB_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_II/tb_unb1_test_ddr_MB_II.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr_MB_II is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr_MB_II;
 architecture tb of tb_unb1_test_ddr_MB_II is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr_MB_II",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 0  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr_MB_II",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 0  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/tb_unb1_test_ddr_MB_I_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/tb_unb1_test_ddr_MB_I_II.vhd
index 267bb2e42d..95e08674f9 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/tb_unb1_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/tb_unb1_test_ddr_MB_I_II.vhd
@@ -25,7 +25,7 @@
 
 
 library IEEE, unb1_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb1_test_ddr_MB_I_II is
@@ -35,9 +35,9 @@ end tb_unb1_test_ddr_MB_I_II;
 architecture tb of tb_unb1_test_ddr_MB_I_II is
 begin
   u_tb_unb1_test : entity unb1_test_lib.tb_unb1_test
-  generic map (
-    g_design_name => "unb1_test_ddr_MB_I_II",
-    --g_sim_node_nr => 7 -- BN3
-    g_sim_node_nr => 1  -- FN0
-  );
+    generic map (
+      g_design_name => "unb1_test_ddr_MB_I_II",
+      --g_sim_node_nr => 7 -- BN3
+      g_sim_node_nr => 1  -- FN0
+    );
 end tb;
diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/unb1_test_ddr_MB_I_II.vhd b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/unb1_test_ddr_MB_I_II.vhd
index 8fd5ea7484..bc05d59bd6 100644
--- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/unb1_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/unb1_test_ddr_MB_I_II.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, unb1_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity unb1_test_ddr_MB_I_II is
   generic (
@@ -78,48 +78,48 @@ architecture str of unb1_test_ddr_MB_I_II is
 begin
 
   u_revision : entity unb1_test_lib.unb1_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    sens_sc      => sens_sc,
-    sens_sd      => sens_sd,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      sens_sc      => sens_sc,
+      sens_sd      => sens_sd,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/src/vhdl/mmm_unb1_test.vhd b/boards/uniboard1/designs/unb1_test/src/vhdl/mmm_unb1_test.vhd
index 29dd996bfa..5b07d87a3e 100644
--- a/boards/uniboard1/designs/unb1_test/src/vhdl/mmm_unb1_test.vhd
+++ b/boards/uniboard1/designs/unb1_test/src/vhdl/mmm_unb1_test.vhd
@@ -20,25 +20,25 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use work.qsys_unb1_test_pkg.all;
-use work.unb1_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use work.qsys_unb1_test_pkg.all;
+  use work.unb1_test_pkg.all;
 
 
 entity mmm_unb1_test is
@@ -264,102 +264,102 @@ begin
     eth1g_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                           port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso);
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso);
 
     u_mm_file_rom_unb_system_info             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                           port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso);
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso);
 
     u_mm_file_reg_wdi                         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                           port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso);
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso);
 
     u_mm_file_reg_unb_sens                    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                           port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso);
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso);
 
     u_mm_file_reg_ppsh                        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                           port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso);
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso);
 
     u_mm_file_reg_diag_bg_1GbE                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_1GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
     u_mm_file_ram_diag_bg_1GbE                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_1GBE")
-                                                           port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
     u_mm_file_reg_diag_tx_seq_1GbE            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_1GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_bg_10GbE               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_10GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
     u_mm_file_ram_diag_bg_10GbE               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_10GBE")
-                                                           port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
     u_mm_file_reg_diag_tx_seq_10GbE           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_10GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
 
     u_mm_file_reg_dp_offload_tx_1GbE          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
-                                                           port map(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
     u_mm_file_reg_dp_offload_tx_10GbE         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_10GBE")
-                                                           port map(mm_rst, mm_clk, reg_dp_offload_tx_10GbE_mosi, reg_dp_offload_tx_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_10GbE_mosi, reg_dp_offload_tx_10GbE_miso);
 
     u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
-                                                           port map(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
     u_mm_file_reg_dp_offload_tx_10GbE_hdr_dat : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_10GBE_HDR_DAT")
-                                                           port map(mm_rst, mm_clk, reg_dp_offload_tx_10GbE_hdr_dat_mosi, reg_dp_offload_tx_10GbE_hdr_dat_miso);
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_10GbE_hdr_dat_mosi, reg_dp_offload_tx_10GbE_hdr_dat_miso);
 
     u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
-                                                           port map(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
+      port map(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
     u_mm_file_reg_dp_offload_rx_10GbE_hdr_dat : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_10GBE_HDR_DAT")
-                                                           port map(mm_rst, mm_clk, reg_dp_offload_rx_10GbE_hdr_dat_mosi, reg_dp_offload_rx_10GbE_hdr_dat_miso);
+      port map(mm_rst, mm_clk, reg_dp_offload_rx_10GbE_hdr_dat_mosi, reg_dp_offload_rx_10GbE_hdr_dat_miso);
 
     u_mm_file_reg_bsn_monitor_1GbE            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_1GBE")
-                                                           port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
     u_mm_file_reg_bsn_monitor_10GbE           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_10GBE")
-                                                           port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_1GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
     u_mm_file_ram_diag_data_buffer_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_1GBE")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
     u_mm_file_reg_diag_rx_seq_1GbE            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_1GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_10GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
     u_mm_file_ram_diag_data_buffer_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_10GBE")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
     u_mm_file_reg_diag_rx_seq_10GbE           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_10GBE")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
 
     u_mm_file_reg_io_ddr_MB_I                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
 
     u_mm_file_reg_io_ddr_MB_II                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
 
     u_mm_file_eth1g_reg                       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                           port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
     u_mm_file_eth1g_ram                       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_RAM")
-                                                           port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
+      port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
     u_mm_file_eth1g_tse                       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_TSE")
-                                                           port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
+      port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
 
     u_mm_file_reg_tr_10GbE                    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE")  -- , c_mm_clk_period, FALSE, 0)
-                                                           port map(mm_rst, mm_clk, reg_tr_10GbE_mosi, reg_tr_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_mosi, reg_tr_10GbE_miso);
 
     u_mm_file_reg_tr_xaui                     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_XAUI")  -- , c_mm_clk_period, FALSE, 0)
-                                                           port map(mm_rst, mm_clk, reg_tr_xaui_mosi, reg_tr_xaui_miso);
+      port map(mm_rst, mm_clk, reg_tr_xaui_mosi, reg_tr_xaui_miso);
 
 
     ----------------------------------------------------------------------------
@@ -385,10 +385,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_reg_mosi, i_eth1g_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_reg_mosi <= sim_eth1g_reg_mosi;
-        else
-          eth1g_reg_mosi <= i_eth1g_reg_mosi;
-        end if;
+        eth1g_reg_mosi <= sim_eth1g_reg_mosi;
+      else
+        eth1g_reg_mosi <= i_eth1g_reg_mosi;
+      end if;
     end process;
 
 
@@ -409,405 +409,405 @@ begin
   gen_qsys : if g_sim = false generate
 
     u_qsys : qsys_unb1_test
-    port map (
-      clk_0                                         => mm_clk,
-      reset_n                                       => i_reset_n,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dpmm_data
-      coe_clk_export_from_the_reg_dpmm_data         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_data       => OPEN,
-      coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dpmm_ctrl
-      coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
-      coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_data
-      coe_clk_export_from_the_reg_mmdp_data         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_data       => OPEN,
-      coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_mmdp_ctrl
-      coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
-      coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
-      coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
-      coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
-      coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_epcs
-      coe_clk_export_from_the_reg_epcs              => OPEN,
-      coe_reset_export_from_the_reg_epcs            => OPEN,
-      coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
-      coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
-      coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_remu
-      coe_clk_export_from_the_reg_remu              => OPEN,
-      coe_reset_export_from_the_reg_remu            => OPEN,
-      coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
-      coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
-      coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0 downto 0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_tr_10GbE
-      coe_clk_export_from_the_reg_tr_10GbE          => OPEN,
-      coe_reset_export_from_the_reg_tr_10GbE        => OPEN,
-      coe_address_export_from_the_reg_tr_10GbE      => reg_tr_10GbE_mosi.address(c_reg_tr_10GbE_multi_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_tr_10GbE         => reg_tr_10GbE_mosi.rd,
-      coe_readdata_export_to_the_reg_tr_10GbE       => reg_tr_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      coe_waitrequest_export_to_the_reg_tr_10GbE    => reg_tr_10GbE_miso.waitrequest,
-      coe_write_export_from_the_reg_tr_10GbE        => reg_tr_10GbE_mosi.wr,
-      coe_writedata_export_from_the_reg_tr_10GbE    => reg_tr_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_tr_xaui
-      coe_clk_export_from_the_reg_tr_xaui           => OPEN,
-      coe_reset_export_from_the_reg_tr_xaui         => OPEN,
-      coe_address_export_from_the_reg_tr_xaui       => reg_tr_xaui_mosi.address(c_reg_tr_xaui_multi_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_tr_xaui          => reg_tr_xaui_mosi.rd,
-      coe_readdata_export_to_the_reg_tr_xaui        => reg_tr_xaui_miso.rddata(c_word_w - 1 downto 0),
-      coe_waitrequest_export_to_the_reg_tr_xaui     => reg_tr_xaui_miso.waitrequest,
-      coe_write_export_from_the_reg_tr_xaui         => reg_tr_xaui_mosi.wr,
-      coe_writedata_export_from_the_reg_tr_xaui     => reg_tr_xaui_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_bg_1GbE
-      reg_diag_bg_1GbE_address_export               => reg_diag_bg_1GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_1GbE_clk_export                   => OPEN,
-      reg_diag_bg_1GbE_read_export                  => reg_diag_bg_1GbE_mosi.rd,
-      reg_diag_bg_1GbE_readdata_export              => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_1GbE_reset_export                 => OPEN,
-      reg_diag_bg_1GbE_write_export                 => reg_diag_bg_1GbE_mosi.wr,
-      reg_diag_bg_1GbE_writedata_export             => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_ram_diag_bg_1GbE
-      ram_diag_bg_1GbE_address_export               => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
-      ram_diag_bg_1GbE_clk_export                   => OPEN,
-      ram_diag_bg_1GbE_read_export                  => ram_diag_bg_1GbE_mosi.rd,
-      ram_diag_bg_1GbE_readdata_export              => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_1GbE_reset_export                 => OPEN,
-      ram_diag_bg_1GbE_write_export                 => ram_diag_bg_1GbE_mosi.wr,
-      ram_diag_bg_1GbE_writedata_export             => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_bg_10GbE
-      reg_diag_bg_10GbE_address_export              => reg_diag_bg_10GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_10GbE_clk_export                  => OPEN,
-      reg_diag_bg_10GbE_read_export                 => reg_diag_bg_10GbE_mosi.rd,
-      reg_diag_bg_10GbE_readdata_export             => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_10GbE_reset_export                => OPEN,
-      reg_diag_bg_10GbE_write_export                => reg_diag_bg_10GbE_mosi.wr,
-      reg_diag_bg_10GbE_writedata_export            => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_ram_diag_bg_10GbE
-      ram_diag_bg_10GbE_address_export              => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
-      ram_diag_bg_10GbE_clk_export                  => OPEN,
-      ram_diag_bg_10GbE_read_export                 => ram_diag_bg_10GbE_mosi.rd,
-      ram_diag_bg_10GbE_readdata_export             => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_10GbE_reset_export                => OPEN,
-      ram_diag_bg_10GbE_write_export                => ram_diag_bg_10GbE_mosi.wr,
-      ram_diag_bg_10GbE_writedata_export            => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dp_offload_tx_1GbE
-      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w - 1 downto 0),
-      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
-      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
-      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
-      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
-      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_reg_dp_offload_tx_10GbE
-      reg_dp_offload_tx_10GbE_address_export        => reg_dp_offload_tx_10GbE_mosi.address(c_reg_dp_offload_tx_10GbE_multi_adr_w - 1 downto 0),
-      reg_dp_offload_tx_10GbE_clk_export            => OPEN,
-      reg_dp_offload_tx_10GbE_read_export           => reg_dp_offload_tx_10GbE_mosi.rd,
-      reg_dp_offload_tx_10GbE_readdata_export       => reg_dp_offload_tx_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_10GbE_reset_export          => OPEN,
-      reg_dp_offload_tx_10GbE_write_export          => reg_dp_offload_tx_10GbE_mosi.wr,
-      reg_dp_offload_tx_10GbE_writedata_export      => reg_dp_offload_tx_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dp_offload_tx_1GbE_hdr_dat
-      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w - 1 downto 0),
-      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
-      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
-      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
-      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
-      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_reg_dp_offload_tx_10GbE_hdr_dat
-      reg_dp_offload_tx_10GbE_hdr_dat_address_export   => reg_dp_offload_tx_10GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_10GbE_hdr_dat_multi_adr_w - 1 downto 0),
-      reg_dp_offload_tx_10GbE_hdr_dat_clk_export       => OPEN,
-      reg_dp_offload_tx_10GbE_hdr_dat_read_export      => reg_dp_offload_tx_10GbE_hdr_dat_mosi.rd,
-      reg_dp_offload_tx_10GbE_hdr_dat_readdata_export  => reg_dp_offload_tx_10GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_10GbE_hdr_dat_reset_export     => OPEN,
-      reg_dp_offload_tx_10GbE_hdr_dat_write_export     => reg_dp_offload_tx_10GbE_hdr_dat_mosi.wr,
-      reg_dp_offload_tx_10GbE_hdr_dat_writedata_export => reg_dp_offload_tx_10GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dp_offload_rx_1GbE_hdr_dat
-      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w - 1 downto 0),
-      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
-      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
-      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
-      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
-      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_reg_dp_offload_rx_10GbE_hdr_dat
-      reg_dp_offload_rx_10GbE_hdr_dat_address_export   => reg_dp_offload_rx_10GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_10GbE_hdr_dat_multi_adr_w - 1 downto 0),
-      reg_dp_offload_rx_10GbE_hdr_dat_clk_export       => OPEN,
-      reg_dp_offload_rx_10GbE_hdr_dat_read_export      => reg_dp_offload_rx_10GbE_hdr_dat_mosi.rd,
-      reg_dp_offload_rx_10GbE_hdr_dat_readdata_export  => reg_dp_offload_rx_10GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_10GbE_hdr_dat_reset_export     => OPEN,
-      reg_dp_offload_rx_10GbE_hdr_dat_write_export     => reg_dp_offload_rx_10GbE_hdr_dat_mosi.wr,
-      reg_dp_offload_rx_10GbE_hdr_dat_writedata_export => reg_dp_offload_rx_10GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      -- the_reg_bsn_monitor_1GbE
-      reg_bsn_monitor_1GbE_address_export              => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_1GbE_clk_export                  => OPEN,
-      reg_bsn_monitor_1GbE_read_export                 => reg_bsn_monitor_1GbE_mosi.rd,
-      reg_bsn_monitor_1GbE_readdata_export             => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_1GbE_reset_export                => OPEN,
-      reg_bsn_monitor_1GbE_write_export                => reg_bsn_monitor_1GbE_mosi.wr,
-      reg_bsn_monitor_1GbE_writedata_export            => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_reg_bsn_monitor_10GbE
-      reg_bsn_monitor_10GbE_address_export             => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_10GbE_clk_export                 => OPEN,
-      reg_bsn_monitor_10GbE_read_export                => reg_bsn_monitor_10GbE_mosi.rd,
-      reg_bsn_monitor_10GbE_readdata_export            => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_10GbE_reset_export               => OPEN,
-      reg_bsn_monitor_10GbE_write_export               => reg_bsn_monitor_10GbE_mosi.wr,
-      reg_bsn_monitor_10GbE_writedata_export           => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buffer_1GbE
-      ram_diag_data_buffer_1GbE_address_export         => ram_diag_data_buf_1GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
-      ram_diag_data_buffer_1GbE_clk_export             => OPEN,
-      ram_diag_data_buffer_1GbE_read_export            => ram_diag_data_buf_1GbE_mosi.rd,
-      ram_diag_data_buffer_1GbE_readdata_export        => ram_diag_data_buf_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_1GbE_reset_export           => OPEN,
-      ram_diag_data_buffer_1GbE_write_export           => ram_diag_data_buf_1GbE_mosi.wr,
-      ram_diag_data_buffer_1GbE_writedata_export       => ram_diag_data_buf_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_ram_diag_data_buffer_10GbE
-      ram_diag_data_buffer_10GbE_address_export        => ram_diag_data_buf_10GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
-      ram_diag_data_buffer_10GbE_clk_export            => OPEN,
-      ram_diag_data_buffer_10GbE_read_export           => ram_diag_data_buf_10GbE_mosi.rd,
-      ram_diag_data_buffer_10GbE_readdata_export       => ram_diag_data_buf_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_10GbE_reset_export          => OPEN,
-      ram_diag_data_buffer_10GbE_write_export          => ram_diag_data_buf_10GbE_mosi.wr,
-      ram_diag_data_buffer_10GbE_writedata_export      => ram_diag_data_buf_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buffer_1GbE
-      reg_diag_data_buffer_1GbE_address_export         => reg_diag_data_buf_1GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_1GbE_clk_export             => OPEN,
-      reg_diag_data_buffer_1GbE_read_export            => reg_diag_data_buf_1GbE_mosi.rd,
-      reg_diag_data_buffer_1GbE_readdata_export        => reg_diag_data_buf_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_1GbE_reset_export           => OPEN,
-      reg_diag_data_buffer_1GbE_write_export           => reg_diag_data_buf_1GbE_mosi.wr,
-      reg_diag_data_buffer_1GbE_writedata_export       => reg_diag_data_buf_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      -- the_reg_diag_data_buffer_10GbE
-      reg_diag_data_buffer_10GbE_address_export        => reg_diag_data_buf_10GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_10GbE_clk_export            => OPEN,
-      reg_diag_data_buffer_10GbE_read_export           => reg_diag_data_buf_10GbE_mosi.rd,
-      reg_diag_data_buffer_10GbE_readdata_export       => reg_diag_data_buf_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_10GbE_reset_export          => OPEN,
-      reg_diag_data_buffer_10GbE_write_export          => reg_diag_data_buf_10GbE_mosi.wr,
-      reg_diag_data_buffer_10GbE_writedata_export      => reg_diag_data_buf_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- reg_diag_tx_seq_10GbE
-      reg_diag_tx_seq_10GbE_address_export             => reg_diag_tx_seq_10GbE_mosi.address(4 - 1 downto 0),
-      reg_diag_tx_seq_10GbE_clk_export                 => OPEN,
-      reg_diag_tx_seq_10GbE_read_export                => reg_diag_tx_seq_10GbE_mosi.rd,
-      reg_diag_tx_seq_10GbE_readdata_export            => reg_diag_tx_seq_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_tx_seq_10GbE_reset_export               => OPEN,
-      reg_diag_tx_seq_10GbE_write_export               => reg_diag_tx_seq_10GbE_mosi.wr,
-      reg_diag_tx_seq_10GbE_writedata_export           => reg_diag_tx_seq_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- reg_diag_rx_seq_10GbE
-      reg_diag_rx_seq_10GbE_address_export             => reg_diag_rx_seq_10GbE_mosi.address(5 - 1 downto 0),
-      reg_diag_rx_seq_10GbE_clk_export                 => OPEN,
-      reg_diag_rx_seq_10GbE_read_export                => reg_diag_rx_seq_10GbE_mosi.rd,
-      reg_diag_rx_seq_10GbE_readdata_export            => reg_diag_rx_seq_10GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_10GbE_reset_export               => OPEN,
-      reg_diag_rx_seq_10GbE_write_export               => reg_diag_rx_seq_10GbE_mosi.wr,
-      reg_diag_rx_seq_10GbE_writedata_export           => reg_diag_rx_seq_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      -- reg_diag_tx_seq_1GbE
-      reg_diag_tx_seq_1GbE_address_export              => reg_diag_tx_seq_1GbE_mosi.address(2 - 1 downto 0),
-      reg_diag_tx_seq_1GbE_clk_export                  => OPEN,
-      reg_diag_tx_seq_1GbE_read_export                 => reg_diag_tx_seq_1GbE_mosi.rd,
-      reg_diag_tx_seq_1GbE_readdata_export             => reg_diag_tx_seq_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_tx_seq_1GbE_reset_export                => OPEN,
-      reg_diag_tx_seq_1GbE_write_export                => reg_diag_tx_seq_1GbE_mosi.wr,
-      reg_diag_tx_seq_1GbE_writedata_export            => reg_diag_tx_seq_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- reg_diag_rx_seq_1GbE
-      reg_diag_rx_seq_1GbE_address_export              => reg_diag_rx_seq_1GbE_mosi.address(3 - 1 downto 0),
-      reg_diag_rx_seq_1GbE_clk_export                  => OPEN,
-      reg_diag_rx_seq_1GbE_read_export                 => reg_diag_rx_seq_1GbE_mosi.rd,
-      reg_diag_rx_seq_1GbE_readdata_export             => reg_diag_rx_seq_1GbE_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_1GbE_reset_export                => OPEN,
-      reg_diag_rx_seq_1GbE_write_export                => reg_diag_rx_seq_1GbE_mosi.wr,
-      reg_diag_rx_seq_1GbE_writedata_export            => reg_diag_rx_seq_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_I_address_export                   => reg_io_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_I_clk_export                       => OPEN,
-      reg_io_ddr_MB_I_read_export                      => reg_io_ddr_MB_I_mosi.rd,
-      reg_io_ddr_MB_I_readdata_export                  => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_I_reset_export                     => OPEN,
-      reg_io_ddr_MB_I_write_export                     => reg_io_ddr_MB_I_mosi.wr,
-      reg_io_ddr_MB_I_writedata_export                 => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_II_address_export                  => reg_io_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_II_clk_export                      => OPEN,
-      reg_io_ddr_MB_II_read_export                     => reg_io_ddr_MB_II_mosi.rd,
-      reg_io_ddr_MB_II_readdata_export                 => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_II_reset_export                    => OPEN,
-      reg_io_ddr_MB_II_write_export                    => reg_io_ddr_MB_II_mosi.wr,
-      reg_io_ddr_MB_II_writedata_export                => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_diag_tx_seq_ddr_MB_I_reset_export            => OPEN,
-      reg_diag_tx_seq_ddr_MB_I_clk_export              => OPEN,
-      reg_diag_tx_seq_ddr_MB_I_address_export          => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_I_write_export            => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_tx_seq_ddr_MB_I_writedata_export        => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_I_read_export             => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_tx_seq_ddr_MB_I_readdata_export         => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_tx_seq_ddr_MB_II_reset_export           => OPEN,
-      reg_diag_tx_seq_ddr_MB_II_clk_export             => OPEN,
-      reg_diag_tx_seq_ddr_MB_II_address_export         => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_II_write_export           => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_tx_seq_ddr_MB_II_writedata_export       => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_II_read_export            => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_tx_seq_ddr_MB_II_readdata_export        => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_I_reset_export            => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_clk_export              => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_address_export          => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_write_export            => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_I_writedata_export        => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_read_export             => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_I_readdata_export         => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_II_reset_export           => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_clk_export             => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_address_export         => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_write_export           => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_II_writedata_export       => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_read_export            => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_II_readdata_export        => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_I_reset_export       => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_clk_export         => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_address_export     => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_write_export       => reg_diag_data_buf_ddr_MB_I_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_I_writedata_export   => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_read_export        => reg_diag_data_buf_ddr_MB_I_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_I_readdata_export    => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_II_reset_export      => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_clk_export        => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_address_export    => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_write_export      => reg_diag_data_buf_ddr_MB_II_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_II_writedata_export  => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_read_export       => reg_diag_data_buf_ddr_MB_II_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_II_readdata_export   => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_I_clk_export         => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_reset_export       => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_address_export     => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_write_export       => ram_diag_data_buf_ddr_MB_I_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_I_writedata_export   => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_read_export        => ram_diag_data_buf_ddr_MB_I_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_I_readdata_export    => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_II_clk_export        => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_reset_export      => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_address_export    => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_write_export      => ram_diag_data_buf_ddr_MB_II_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_II_writedata_export  => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_read_export       => ram_diag_data_buf_ddr_MB_II_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_II_readdata_export   => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
+      port map (
+        clk_0                                         => mm_clk,
+        reset_n                                       => i_reset_n,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dpmm_data
+        coe_clk_export_from_the_reg_dpmm_data         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_data       => OPEN,
+        coe_address_export_from_the_reg_dpmm_data     => reg_dpmm_data_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_dpmm_data        => reg_dpmm_data_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_data      => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_data       => reg_dpmm_data_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_data   => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dpmm_ctrl
+        coe_clk_export_from_the_reg_dpmm_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_dpmm_ctrl       => OPEN,
+        coe_address_export_from_the_reg_dpmm_ctrl     => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_dpmm_ctrl        => reg_dpmm_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_dpmm_ctrl      => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dpmm_ctrl       => reg_dpmm_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_dpmm_ctrl   => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_data
+        coe_clk_export_from_the_reg_mmdp_data         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_data       => OPEN,
+        coe_address_export_from_the_reg_mmdp_data     => reg_mmdp_data_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_mmdp_data        => reg_mmdp_data_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_data      => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_data       => reg_mmdp_data_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_data   => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_mmdp_ctrl
+        coe_clk_export_from_the_reg_mmdp_ctrl         => OPEN,
+        coe_reset_export_from_the_reg_mmdp_ctrl       => OPEN,
+        coe_address_export_from_the_reg_mmdp_ctrl     => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_mmdp_ctrl        => reg_mmdp_ctrl_mosi.rd,
+        coe_readdata_export_to_the_reg_mmdp_ctrl      => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_mmdp_ctrl       => reg_mmdp_ctrl_mosi.wr,
+        coe_writedata_export_from_the_reg_mmdp_ctrl   => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_epcs
+        coe_clk_export_from_the_reg_epcs              => OPEN,
+        coe_reset_export_from_the_reg_epcs            => OPEN,
+        coe_address_export_from_the_reg_epcs          => reg_epcs_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_epcs             => reg_epcs_mosi.rd,
+        coe_readdata_export_to_the_reg_epcs           => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_epcs            => reg_epcs_mosi.wr,
+        coe_writedata_export_from_the_reg_epcs        => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_remu
+        coe_clk_export_from_the_reg_remu              => OPEN,
+        coe_reset_export_from_the_reg_remu            => OPEN,
+        coe_address_export_from_the_reg_remu          => reg_remu_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_remu             => reg_remu_mosi.rd,
+        coe_readdata_export_to_the_reg_remu           => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_remu            => reg_remu_mosi.wr,
+        coe_writedata_export_from_the_reg_remu        => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0 downto 0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_tr_10GbE
+        coe_clk_export_from_the_reg_tr_10GbE          => OPEN,
+        coe_reset_export_from_the_reg_tr_10GbE        => OPEN,
+        coe_address_export_from_the_reg_tr_10GbE      => reg_tr_10GbE_mosi.address(c_reg_tr_10GbE_multi_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_tr_10GbE         => reg_tr_10GbE_mosi.rd,
+        coe_readdata_export_to_the_reg_tr_10GbE       => reg_tr_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        coe_waitrequest_export_to_the_reg_tr_10GbE    => reg_tr_10GbE_miso.waitrequest,
+        coe_write_export_from_the_reg_tr_10GbE        => reg_tr_10GbE_mosi.wr,
+        coe_writedata_export_from_the_reg_tr_10GbE    => reg_tr_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_tr_xaui
+        coe_clk_export_from_the_reg_tr_xaui           => OPEN,
+        coe_reset_export_from_the_reg_tr_xaui         => OPEN,
+        coe_address_export_from_the_reg_tr_xaui       => reg_tr_xaui_mosi.address(c_reg_tr_xaui_multi_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_tr_xaui          => reg_tr_xaui_mosi.rd,
+        coe_readdata_export_to_the_reg_tr_xaui        => reg_tr_xaui_miso.rddata(c_word_w - 1 downto 0),
+        coe_waitrequest_export_to_the_reg_tr_xaui     => reg_tr_xaui_miso.waitrequest,
+        coe_write_export_from_the_reg_tr_xaui         => reg_tr_xaui_mosi.wr,
+        coe_writedata_export_from_the_reg_tr_xaui     => reg_tr_xaui_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_bg_1GbE
+        reg_diag_bg_1GbE_address_export               => reg_diag_bg_1GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_1GbE_clk_export                   => OPEN,
+        reg_diag_bg_1GbE_read_export                  => reg_diag_bg_1GbE_mosi.rd,
+        reg_diag_bg_1GbE_readdata_export              => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_1GbE_reset_export                 => OPEN,
+        reg_diag_bg_1GbE_write_export                 => reg_diag_bg_1GbE_mosi.wr,
+        reg_diag_bg_1GbE_writedata_export             => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_ram_diag_bg_1GbE
+        ram_diag_bg_1GbE_address_export               => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
+        ram_diag_bg_1GbE_clk_export                   => OPEN,
+        ram_diag_bg_1GbE_read_export                  => ram_diag_bg_1GbE_mosi.rd,
+        ram_diag_bg_1GbE_readdata_export              => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_1GbE_reset_export                 => OPEN,
+        ram_diag_bg_1GbE_write_export                 => ram_diag_bg_1GbE_mosi.wr,
+        ram_diag_bg_1GbE_writedata_export             => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_bg_10GbE
+        reg_diag_bg_10GbE_address_export              => reg_diag_bg_10GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_10GbE_clk_export                  => OPEN,
+        reg_diag_bg_10GbE_read_export                 => reg_diag_bg_10GbE_mosi.rd,
+        reg_diag_bg_10GbE_readdata_export             => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_10GbE_reset_export                => OPEN,
+        reg_diag_bg_10GbE_write_export                => reg_diag_bg_10GbE_mosi.wr,
+        reg_diag_bg_10GbE_writedata_export            => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_ram_diag_bg_10GbE
+        ram_diag_bg_10GbE_address_export              => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
+        ram_diag_bg_10GbE_clk_export                  => OPEN,
+        ram_diag_bg_10GbE_read_export                 => ram_diag_bg_10GbE_mosi.rd,
+        ram_diag_bg_10GbE_readdata_export             => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_10GbE_reset_export                => OPEN,
+        ram_diag_bg_10GbE_write_export                => ram_diag_bg_10GbE_mosi.wr,
+        ram_diag_bg_10GbE_writedata_export            => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dp_offload_tx_1GbE
+        reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w - 1 downto 0),
+        reg_dp_offload_tx_1GbE_clk_export             => OPEN,
+        reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
+        reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_1GbE_reset_export           => OPEN,
+        reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
+        reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_reg_dp_offload_tx_10GbE
+        reg_dp_offload_tx_10GbE_address_export        => reg_dp_offload_tx_10GbE_mosi.address(c_reg_dp_offload_tx_10GbE_multi_adr_w - 1 downto 0),
+        reg_dp_offload_tx_10GbE_clk_export            => OPEN,
+        reg_dp_offload_tx_10GbE_read_export           => reg_dp_offload_tx_10GbE_mosi.rd,
+        reg_dp_offload_tx_10GbE_readdata_export       => reg_dp_offload_tx_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_10GbE_reset_export          => OPEN,
+        reg_dp_offload_tx_10GbE_write_export          => reg_dp_offload_tx_10GbE_mosi.wr,
+        reg_dp_offload_tx_10GbE_writedata_export      => reg_dp_offload_tx_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dp_offload_tx_1GbE_hdr_dat
+        reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w - 1 downto 0),
+        reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
+        reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
+        reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
+        reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
+        reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_reg_dp_offload_tx_10GbE_hdr_dat
+        reg_dp_offload_tx_10GbE_hdr_dat_address_export   => reg_dp_offload_tx_10GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_10GbE_hdr_dat_multi_adr_w - 1 downto 0),
+        reg_dp_offload_tx_10GbE_hdr_dat_clk_export       => OPEN,
+        reg_dp_offload_tx_10GbE_hdr_dat_read_export      => reg_dp_offload_tx_10GbE_hdr_dat_mosi.rd,
+        reg_dp_offload_tx_10GbE_hdr_dat_readdata_export  => reg_dp_offload_tx_10GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_10GbE_hdr_dat_reset_export     => OPEN,
+        reg_dp_offload_tx_10GbE_hdr_dat_write_export     => reg_dp_offload_tx_10GbE_hdr_dat_mosi.wr,
+        reg_dp_offload_tx_10GbE_hdr_dat_writedata_export => reg_dp_offload_tx_10GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dp_offload_rx_1GbE_hdr_dat
+        reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w - 1 downto 0),
+        reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
+        reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
+        reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
+        reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
+        reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_reg_dp_offload_rx_10GbE_hdr_dat
+        reg_dp_offload_rx_10GbE_hdr_dat_address_export   => reg_dp_offload_rx_10GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_10GbE_hdr_dat_multi_adr_w - 1 downto 0),
+        reg_dp_offload_rx_10GbE_hdr_dat_clk_export       => OPEN,
+        reg_dp_offload_rx_10GbE_hdr_dat_read_export      => reg_dp_offload_rx_10GbE_hdr_dat_mosi.rd,
+        reg_dp_offload_rx_10GbE_hdr_dat_readdata_export  => reg_dp_offload_rx_10GbE_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_10GbE_hdr_dat_reset_export     => OPEN,
+        reg_dp_offload_rx_10GbE_hdr_dat_write_export     => reg_dp_offload_rx_10GbE_hdr_dat_mosi.wr,
+        reg_dp_offload_rx_10GbE_hdr_dat_writedata_export => reg_dp_offload_rx_10GbE_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        -- the_reg_bsn_monitor_1GbE
+        reg_bsn_monitor_1GbE_address_export              => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_1GbE_clk_export                  => OPEN,
+        reg_bsn_monitor_1GbE_read_export                 => reg_bsn_monitor_1GbE_mosi.rd,
+        reg_bsn_monitor_1GbE_readdata_export             => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_1GbE_reset_export                => OPEN,
+        reg_bsn_monitor_1GbE_write_export                => reg_bsn_monitor_1GbE_mosi.wr,
+        reg_bsn_monitor_1GbE_writedata_export            => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_reg_bsn_monitor_10GbE
+        reg_bsn_monitor_10GbE_address_export             => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_10GbE_clk_export                 => OPEN,
+        reg_bsn_monitor_10GbE_read_export                => reg_bsn_monitor_10GbE_mosi.rd,
+        reg_bsn_monitor_10GbE_readdata_export            => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_10GbE_reset_export               => OPEN,
+        reg_bsn_monitor_10GbE_write_export               => reg_bsn_monitor_10GbE_mosi.wr,
+        reg_bsn_monitor_10GbE_writedata_export           => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buffer_1GbE
+        ram_diag_data_buffer_1GbE_address_export         => ram_diag_data_buf_1GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
+        ram_diag_data_buffer_1GbE_clk_export             => OPEN,
+        ram_diag_data_buffer_1GbE_read_export            => ram_diag_data_buf_1GbE_mosi.rd,
+        ram_diag_data_buffer_1GbE_readdata_export        => ram_diag_data_buf_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_1GbE_reset_export           => OPEN,
+        ram_diag_data_buffer_1GbE_write_export           => ram_diag_data_buf_1GbE_mosi.wr,
+        ram_diag_data_buffer_1GbE_writedata_export       => ram_diag_data_buf_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_ram_diag_data_buffer_10GbE
+        ram_diag_data_buffer_10GbE_address_export        => ram_diag_data_buf_10GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
+        ram_diag_data_buffer_10GbE_clk_export            => OPEN,
+        ram_diag_data_buffer_10GbE_read_export           => ram_diag_data_buf_10GbE_mosi.rd,
+        ram_diag_data_buffer_10GbE_readdata_export       => ram_diag_data_buf_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_10GbE_reset_export          => OPEN,
+        ram_diag_data_buffer_10GbE_write_export          => ram_diag_data_buf_10GbE_mosi.wr,
+        ram_diag_data_buffer_10GbE_writedata_export      => ram_diag_data_buf_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buffer_1GbE
+        reg_diag_data_buffer_1GbE_address_export         => reg_diag_data_buf_1GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_1GbE_clk_export             => OPEN,
+        reg_diag_data_buffer_1GbE_read_export            => reg_diag_data_buf_1GbE_mosi.rd,
+        reg_diag_data_buffer_1GbE_readdata_export        => reg_diag_data_buf_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_1GbE_reset_export           => OPEN,
+        reg_diag_data_buffer_1GbE_write_export           => reg_diag_data_buf_1GbE_mosi.wr,
+        reg_diag_data_buffer_1GbE_writedata_export       => reg_diag_data_buf_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        -- the_reg_diag_data_buffer_10GbE
+        reg_diag_data_buffer_10GbE_address_export        => reg_diag_data_buf_10GbE_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_10GbE_clk_export            => OPEN,
+        reg_diag_data_buffer_10GbE_read_export           => reg_diag_data_buf_10GbE_mosi.rd,
+        reg_diag_data_buffer_10GbE_readdata_export       => reg_diag_data_buf_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_10GbE_reset_export          => OPEN,
+        reg_diag_data_buffer_10GbE_write_export          => reg_diag_data_buf_10GbE_mosi.wr,
+        reg_diag_data_buffer_10GbE_writedata_export      => reg_diag_data_buf_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- reg_diag_tx_seq_10GbE
+        reg_diag_tx_seq_10GbE_address_export             => reg_diag_tx_seq_10GbE_mosi.address(4 - 1 downto 0),
+        reg_diag_tx_seq_10GbE_clk_export                 => OPEN,
+        reg_diag_tx_seq_10GbE_read_export                => reg_diag_tx_seq_10GbE_mosi.rd,
+        reg_diag_tx_seq_10GbE_readdata_export            => reg_diag_tx_seq_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_10GbE_reset_export               => OPEN,
+        reg_diag_tx_seq_10GbE_write_export               => reg_diag_tx_seq_10GbE_mosi.wr,
+        reg_diag_tx_seq_10GbE_writedata_export           => reg_diag_tx_seq_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- reg_diag_rx_seq_10GbE
+        reg_diag_rx_seq_10GbE_address_export             => reg_diag_rx_seq_10GbE_mosi.address(5 - 1 downto 0),
+        reg_diag_rx_seq_10GbE_clk_export                 => OPEN,
+        reg_diag_rx_seq_10GbE_read_export                => reg_diag_rx_seq_10GbE_mosi.rd,
+        reg_diag_rx_seq_10GbE_readdata_export            => reg_diag_rx_seq_10GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_10GbE_reset_export               => OPEN,
+        reg_diag_rx_seq_10GbE_write_export               => reg_diag_rx_seq_10GbE_mosi.wr,
+        reg_diag_rx_seq_10GbE_writedata_export           => reg_diag_rx_seq_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        -- reg_diag_tx_seq_1GbE
+        reg_diag_tx_seq_1GbE_address_export              => reg_diag_tx_seq_1GbE_mosi.address(2 - 1 downto 0),
+        reg_diag_tx_seq_1GbE_clk_export                  => OPEN,
+        reg_diag_tx_seq_1GbE_read_export                 => reg_diag_tx_seq_1GbE_mosi.rd,
+        reg_diag_tx_seq_1GbE_readdata_export             => reg_diag_tx_seq_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_1GbE_reset_export                => OPEN,
+        reg_diag_tx_seq_1GbE_write_export                => reg_diag_tx_seq_1GbE_mosi.wr,
+        reg_diag_tx_seq_1GbE_writedata_export            => reg_diag_tx_seq_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- reg_diag_rx_seq_1GbE
+        reg_diag_rx_seq_1GbE_address_export              => reg_diag_rx_seq_1GbE_mosi.address(3 - 1 downto 0),
+        reg_diag_rx_seq_1GbE_clk_export                  => OPEN,
+        reg_diag_rx_seq_1GbE_read_export                 => reg_diag_rx_seq_1GbE_mosi.rd,
+        reg_diag_rx_seq_1GbE_readdata_export             => reg_diag_rx_seq_1GbE_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_1GbE_reset_export                => OPEN,
+        reg_diag_rx_seq_1GbE_write_export                => reg_diag_rx_seq_1GbE_mosi.wr,
+        reg_diag_rx_seq_1GbE_writedata_export            => reg_diag_rx_seq_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_I_address_export                   => reg_io_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_I_clk_export                       => OPEN,
+        reg_io_ddr_MB_I_read_export                      => reg_io_ddr_MB_I_mosi.rd,
+        reg_io_ddr_MB_I_readdata_export                  => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_I_reset_export                     => OPEN,
+        reg_io_ddr_MB_I_write_export                     => reg_io_ddr_MB_I_mosi.wr,
+        reg_io_ddr_MB_I_writedata_export                 => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_II_address_export                  => reg_io_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_II_clk_export                      => OPEN,
+        reg_io_ddr_MB_II_read_export                     => reg_io_ddr_MB_II_mosi.rd,
+        reg_io_ddr_MB_II_readdata_export                 => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_II_reset_export                    => OPEN,
+        reg_io_ddr_MB_II_write_export                    => reg_io_ddr_MB_II_mosi.wr,
+        reg_io_ddr_MB_II_writedata_export                => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_I_reset_export            => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_clk_export              => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_address_export          => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_write_export            => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_I_writedata_export        => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_read_export             => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_I_readdata_export         => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_II_reset_export           => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_clk_export             => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_address_export         => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_write_export           => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_II_writedata_export       => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_read_export            => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_II_readdata_export        => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_I_reset_export            => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_clk_export              => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_address_export          => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_write_export            => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_I_writedata_export        => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_read_export             => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_I_readdata_export         => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_II_reset_export           => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_clk_export             => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_address_export         => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_write_export           => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_II_writedata_export       => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_read_export            => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_II_readdata_export        => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_I_reset_export       => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_clk_export         => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_address_export     => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_write_export       => reg_diag_data_buf_ddr_MB_I_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_I_writedata_export   => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_read_export        => reg_diag_data_buf_ddr_MB_I_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_I_readdata_export    => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_II_reset_export      => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_clk_export        => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_address_export    => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_write_export      => reg_diag_data_buf_ddr_MB_II_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_II_writedata_export  => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_read_export       => reg_diag_data_buf_ddr_MB_II_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_II_readdata_export   => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_I_clk_export         => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_reset_export       => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_address_export     => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_write_export       => ram_diag_data_buf_ddr_MB_I_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_I_writedata_export   => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_read_export        => ram_diag_data_buf_ddr_MB_I_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_I_readdata_export    => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_II_clk_export        => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_reset_export      => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_address_export    => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_write_export      => ram_diag_data_buf_ddr_MB_II_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_II_writedata_export  => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_read_export       => ram_diag_data_buf_ddr_MB_II_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_II_readdata_export   => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard1/designs/unb1_test/src/vhdl/qsys_unb1_test_pkg.vhd b/boards/uniboard1/designs/unb1_test/src/vhdl/qsys_unb1_test_pkg.vhd
index 1589f5a61f..24dcd0a9d4 100644
--- a/boards/uniboard1/designs/unb1_test/src/vhdl/qsys_unb1_test_pkg.vhd
+++ b/boards/uniboard1/designs/unb1_test/src/vhdl/qsys_unb1_test_pkg.vhd
@@ -20,341 +20,341 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb1_test_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
-    -----------------------------------------------------------------------------
-    component qsys_unb1_test is
-        port (
-            coe_ram_write_export_from_the_avs_eth_0          : out std_logic;  -- export
-            coe_reg_read_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_ctrl         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_address_export_from_the_pio_system_info      : out std_logic_vector(4 downto 0);  -- export
-            coe_address_export_from_the_pio_pps              : out std_logic_vector(0 downto 0);  -- export
-            coe_waitrequest_export_to_the_reg_tr_10GbE       : in  std_logic                     := 'X';  -- export
-            coe_reset_export_from_the_pio_pps                : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_epcs              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_pio_pps               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_pio_system_info    : out std_logic_vector(31 downto 0);  -- export
-            coe_write_export_from_the_reg_tr_xaui            : out std_logic;  -- export
-            coe_reset_export_from_the_reg_unb_sens           : out std_logic;  -- export
-            coe_tse_write_export_from_the_avs_eth_0          : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_tr_xaui        : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_reg_wdi                : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_dpmm_data         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_reg_mmdp_ctrl      : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_ctrl        : out std_logic_vector(0 downto 0);  -- export
-            coe_clk_export_from_the_rom_system_info          : out std_logic;  -- export
-            coe_reset_export_from_the_reg_remu               : out std_logic;  -- export
-            coe_read_export_from_the_reg_unb_sens            : out std_logic;  -- export
-            coe_write_export_from_the_reg_unb_sens           : out std_logic;  -- export
-            coe_clk_export_from_the_reg_dpmm_data            : out std_logic;  -- export
-            coe_clk_export_from_the_reg_unb_sens             : out std_logic;  -- export
-            coe_reg_writedata_export_from_the_avs_eth_0      : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_tr_xaui          : out std_logic_vector(10 downto 0);  -- export
-            coe_readdata_export_to_the_reg_dpmm_ctrl         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_mmdp_data          : out std_logic;  -- export
-            coe_read_export_from_the_reg_wdi                 : out std_logic;  -- export
-            coe_reg_write_export_from_the_avs_eth_0          : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_mmdp_data      : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_epcs                : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_remu              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_readdata_export_to_the_reg_unb_sens          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_ram_address_export_from_the_avs_eth_0        : out std_logic_vector(9 downto 0);  -- export
-            coe_waitrequest_export_to_the_reg_tr_xaui        : in  std_logic                     := 'X';  -- export
-            coe_clk_export_from_the_pio_pps                  : out std_logic;  -- export
-            coe_readdata_export_to_the_pio_system_info       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_tr_10GbE           : out std_logic;  -- export
-            coe_reset_export_from_the_reg_tr_xaui            : out std_logic;  -- export
-            coe_writedata_export_from_the_rom_system_info    : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_dpmm_data        : out std_logic_vector(0 downto 0);  -- export
-            coe_address_export_from_the_reg_tr_10GbE         : out std_logic_vector(14 downto 0);  -- export
-            coe_write_export_from_the_reg_mmdp_ctrl          : out std_logic;  -- export
-            coe_reset_export_from_the_avs_eth_0              : out std_logic;  -- export
-            coe_address_export_from_the_reg_wdi              : out std_logic_vector(0 downto 0);  -- export
-            coe_write_export_from_the_pio_system_info        : out std_logic;  -- export
-            coe_tse_address_export_from_the_avs_eth_0        : out std_logic_vector(9 downto 0);  -- export
-            coe_write_export_from_the_pio_pps                : out std_logic;  -- export
-            coe_write_export_from_the_rom_system_info        : out std_logic;  -- export
-            coe_irq_export_to_the_avs_eth_0                  : in  std_logic                     := 'X';  -- export
-            coe_read_export_from_the_rom_system_info         : out std_logic;  -- export
-            coe_reset_export_from_the_reg_epcs               : out std_logic;  -- export
-            reset_n                                          : in  std_logic                     := 'X';  -- reset_n
-            coe_tse_writedata_export_from_the_avs_eth_0      : out std_logic_vector(31 downto 0);  -- export
-            coe_clk_export_from_the_reg_mmdp_ctrl            : out std_logic;  -- export
-            coe_ram_read_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_tse_readdata_export_to_the_avs_eth_0         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            clk_0                                            : in  std_logic                     := 'X';  -- clk
-            coe_read_export_from_the_reg_dpmm_ctrl           : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_tr_xaui           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_writedata_export_from_the_reg_remu           : out std_logic_vector(31 downto 0);  -- export
-            coe_write_export_from_the_reg_dpmm_data          : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_unb_sens       : out std_logic_vector(31 downto 0);  -- export
-            coe_writedata_export_from_the_reg_tr_10GbE       : out std_logic_vector(31 downto 0);  -- export
-            coe_reg_readdata_export_to_the_avs_eth_0         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_reg_tr_10GbE            : out std_logic;  -- export
-            coe_clk_export_from_the_reg_tr_10GbE             : out std_logic;  -- export
-            coe_reset_export_from_the_reg_dpmm_ctrl          : out std_logic;  -- export
-            coe_tse_read_export_from_the_avs_eth_0           : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_dpmm_data      : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_tr_xaui             : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_wdi            : out std_logic_vector(31 downto 0);  -- export
-            coe_reset_export_from_the_pio_system_info        : out std_logic;  -- export
-            coe_read_export_from_the_pio_system_info         : out std_logic;  -- export
-            coe_clk_export_from_the_reg_mmdp_data            : out std_logic;  -- export
-            coe_clk_export_from_the_reg_wdi                  : out std_logic;  -- export
-            coe_clk_export_from_the_reg_epcs                 : out std_logic;  -- export
-            coe_write_export_from_the_reg_remu               : out std_logic;  -- export
-            coe_ram_readdata_export_to_the_avs_eth_0         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_reg_mmdp_data           : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_epcs           : out std_logic_vector(31 downto 0);  -- export
-            out_port_from_the_pio_wdi                        : out std_logic;  -- export
-            coe_reset_export_from_the_reg_dpmm_data          : out std_logic;  -- export
-            coe_clk_export_from_the_reg_remu                 : out std_logic;  -- export
-            coe_read_export_from_the_reg_mmdp_ctrl           : out std_logic;  -- export
-            coe_clk_export_from_the_avs_eth_0                : out std_logic;  -- export
-            coe_address_export_from_the_reg_mmdp_ctrl        : out std_logic_vector(0 downto 0);  -- export
-            coe_write_export_from_the_reg_epcs               : out std_logic;  -- export
-            coe_readdata_export_to_the_rom_system_info       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_reset_export_from_the_reg_mmdp_ctrl          : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_mmdp_data         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_wdi                : out std_logic;  -- export
-            coe_clk_export_from_the_reg_tr_xaui              : out std_logic;  -- export
-            coe_readdata_export_to_the_reg_wdi               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_read_export_from_the_pio_pps                 : out std_logic;  -- export
-            coe_clk_export_from_the_pio_system_info          : out std_logic;  -- export
-            coe_writedata_export_from_the_pio_pps            : out std_logic_vector(31 downto 0);  -- export
-            coe_address_export_from_the_reg_epcs             : out std_logic_vector(2 downto 0);  -- export
-            coe_read_export_from_the_reg_dpmm_data           : out std_logic;  -- export
-            coe_reset_export_from_the_rom_system_info        : out std_logic;  -- export
-            coe_writedata_export_from_the_reg_dpmm_ctrl      : out std_logic_vector(31 downto 0);  -- export
-            coe_tse_waitrequest_export_to_the_avs_eth_0      : in  std_logic                     := 'X';  -- export
-            coe_address_export_from_the_reg_mmdp_data        : out std_logic_vector(0 downto 0);  -- export
-            coe_address_export_from_the_reg_unb_sens         : out std_logic_vector(2 downto 0);  -- export
-            coe_address_export_from_the_rom_system_info      : out std_logic_vector(9 downto 0);  -- export
-            coe_clk_export_from_the_reg_dpmm_ctrl            : out std_logic;  -- export
-            coe_reg_address_export_from_the_avs_eth_0        : out std_logic_vector(3 downto 0);  -- export
-            coe_write_export_from_the_reg_mmdp_data          : out std_logic;  -- export
-            coe_address_export_from_the_reg_remu             : out std_logic_vector(2 downto 0);  -- export
-            coe_readdata_export_to_the_reg_tr_10GbE          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            coe_write_export_from_the_reg_dpmm_ctrl          : out std_logic;  -- export
-            coe_reset_export_from_the_reg_tr_10GbE           : out std_logic;  -- export
-            coe_ram_writedata_export_from_the_avs_eth_0      : out std_logic_vector(31 downto 0);  -- export
-            coe_read_export_from_the_reg_remu                : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_1gbe_read_export                 : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_1gbe_write_export                : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_address_export              : out std_logic_vector(3 downto 0);  -- export
-            reg_bsn_monitor_1gbe_clk_export                  : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_reset_export                : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_address_export        : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
-            ram_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_10gbe_read_export                    : out std_logic;  -- export
-            ram_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_bg_10gbe_write_export                   : out std_logic;  -- export
-            ram_diag_bg_10gbe_address_export                 : out std_logic_vector(11 downto 0);  -- export
-            ram_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
-            ram_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
-            reg_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_10gbe_read_export                    : out std_logic;  -- export
-            reg_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_10gbe_write_export                   : out std_logic;  -- export
-            reg_diag_bg_10gbe_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
-            reg_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_read_export      : out std_logic;  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_write_export     : out std_logic;  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_address_export   : out std_logic_vector(7 downto 0);  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_clk_export       : out std_logic;  -- export
-            reg_dp_offload_rx_10gbe_hdr_dat_reset_export     : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_read_export      : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_write_export     : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_address_export   : out std_logic_vector(7 downto 0);  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_clk_export       : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_hdr_dat_reset_export     : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_offload_tx_10gbe_read_export              : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_offload_tx_10gbe_write_export             : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_address_export           : out std_logic_vector(2 downto 0);  -- export
-            reg_dp_offload_tx_10gbe_clk_export               : out std_logic;  -- export
-            reg_dp_offload_tx_10gbe_reset_export             : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_10gbe_read_export                : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_10gbe_write_export               : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_address_export             : out std_logic_vector(5 downto 0);  -- export
-            reg_bsn_monitor_10gbe_clk_export                 : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_reset_export               : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_reset_export              : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_clk_export                : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_address_export            : out std_logic_vector(0 downto 0);  -- export
-            reg_dp_offload_tx_1gbe_write_export              : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_offload_tx_1gbe_read_export               : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_reset_export      : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_clk_export        : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_address_export    : out std_logic_vector(5 downto 0);  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_write_export      : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_read_export       : out std_logic;  -- export
-            reg_dp_offload_tx_1gbe_hdr_dat_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_reset_export      : out std_logic;  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_clk_export        : out std_logic;  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_address_export    : out std_logic_vector(5 downto 0);  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_write_export      : out std_logic;  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_read_export       : out std_logic;  -- export
-            reg_dp_offload_rx_1gbe_hdr_dat_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_1gbe_reset_export                    : out std_logic;  -- export
-            reg_diag_bg_1gbe_clk_export                      : out std_logic;  -- export
-            reg_diag_bg_1gbe_address_export                  : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_1gbe_write_export                    : out std_logic;  -- export
-            reg_diag_bg_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_1gbe_read_export                     : out std_logic;  -- export
-            reg_diag_bg_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_1gbe_reset_export                    : out std_logic;  -- export
-            ram_diag_bg_1gbe_clk_export                      : out std_logic;  -- export
-            ram_diag_bg_1gbe_address_export                  : out std_logic_vector(9 downto 0);  -- export
-            ram_diag_bg_1gbe_write_export                    : out std_logic;  -- export
-            ram_diag_bg_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_bg_1gbe_read_export                     : out std_logic;  -- export
-            ram_diag_bg_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_1gbe_reset_export           : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_clk_export             : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_address_export         : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_1gbe_write_export           : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_1gbe_read_export            : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_1gbe_reset_export           : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_clk_export             : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_address_export         : out std_logic_vector(13 downto 0);  -- export
-            ram_diag_data_buffer_1gbe_write_export           : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_1gbe_read_export            : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_address_export        : out std_logic_vector(13 downto 0);  -- export
-            ram_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_1gbe_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_1gbe_read_export                 : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_1gbe_write_export                : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_address_export              : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_1gbe_clk_export                  : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_reset_export                : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_1gbe_read_export                 : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_1gbe_write_export                : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_address_export              : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_1gbe_clk_export                  : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_reset_export                : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_10gbe_read_export                : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_10gbe_write_export               : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_address_export             : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_rx_seq_10gbe_clk_export                 : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_reset_export               : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_10gbe_read_export                : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_10gbe_write_export               : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_diag_tx_seq_10gbe_clk_export                 : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_reset_export               : out std_logic;  -- export
-            reg_io_ddr_mb_i_reset_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_i_clk_export                       : out std_logic;  -- export
-            reg_io_ddr_mb_i_address_export                   : out std_logic_vector(15 downto 0);  -- export
-            reg_io_ddr_mb_i_write_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_mb_i_read_export                      : out std_logic;  -- export
-            reg_io_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_io_ddr_mb_ii_reset_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_ii_clk_export                      : out std_logic;  -- export
-            reg_io_ddr_mb_ii_address_export                  : out std_logic_vector(15 downto 0);  -- export
-            reg_io_ddr_mb_ii_write_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_mb_ii_read_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_address_export          : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_address_export         : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_address_export         : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_unb1_test;
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v11.1 QSYS builder
+  -----------------------------------------------------------------------------
+  component qsys_unb1_test is
+    port (
+      coe_ram_write_export_from_the_avs_eth_0          : out std_logic;  -- export
+      coe_reg_read_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_ctrl         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_address_export_from_the_pio_system_info      : out std_logic_vector(4 downto 0);  -- export
+      coe_address_export_from_the_pio_pps              : out std_logic_vector(0 downto 0);  -- export
+      coe_waitrequest_export_to_the_reg_tr_10GbE       : in  std_logic                     := 'X';  -- export
+      coe_reset_export_from_the_pio_pps                : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_epcs              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_pio_pps               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_pio_system_info    : out std_logic_vector(31 downto 0);  -- export
+      coe_write_export_from_the_reg_tr_xaui            : out std_logic;  -- export
+      coe_reset_export_from_the_reg_unb_sens           : out std_logic;  -- export
+      coe_tse_write_export_from_the_avs_eth_0          : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_tr_xaui        : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_reg_wdi                : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_dpmm_data         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_reg_mmdp_ctrl      : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_ctrl        : out std_logic_vector(0 downto 0);  -- export
+      coe_clk_export_from_the_rom_system_info          : out std_logic;  -- export
+      coe_reset_export_from_the_reg_remu               : out std_logic;  -- export
+      coe_read_export_from_the_reg_unb_sens            : out std_logic;  -- export
+      coe_write_export_from_the_reg_unb_sens           : out std_logic;  -- export
+      coe_clk_export_from_the_reg_dpmm_data            : out std_logic;  -- export
+      coe_clk_export_from_the_reg_unb_sens             : out std_logic;  -- export
+      coe_reg_writedata_export_from_the_avs_eth_0      : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_tr_xaui          : out std_logic_vector(10 downto 0);  -- export
+      coe_readdata_export_to_the_reg_dpmm_ctrl         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_mmdp_data          : out std_logic;  -- export
+      coe_read_export_from_the_reg_wdi                 : out std_logic;  -- export
+      coe_reg_write_export_from_the_avs_eth_0          : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_mmdp_data      : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_epcs                : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_remu              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_readdata_export_to_the_reg_unb_sens          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_address_export_from_the_avs_eth_0        : out std_logic_vector(9 downto 0);  -- export
+      coe_waitrequest_export_to_the_reg_tr_xaui        : in  std_logic                     := 'X';  -- export
+      coe_clk_export_from_the_pio_pps                  : out std_logic;  -- export
+      coe_readdata_export_to_the_pio_system_info       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_tr_10GbE           : out std_logic;  -- export
+      coe_reset_export_from_the_reg_tr_xaui            : out std_logic;  -- export
+      coe_writedata_export_from_the_rom_system_info    : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_dpmm_data        : out std_logic_vector(0 downto 0);  -- export
+      coe_address_export_from_the_reg_tr_10GbE         : out std_logic_vector(14 downto 0);  -- export
+      coe_write_export_from_the_reg_mmdp_ctrl          : out std_logic;  -- export
+      coe_reset_export_from_the_avs_eth_0              : out std_logic;  -- export
+      coe_address_export_from_the_reg_wdi              : out std_logic_vector(0 downto 0);  -- export
+      coe_write_export_from_the_pio_system_info        : out std_logic;  -- export
+      coe_tse_address_export_from_the_avs_eth_0        : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_pio_pps                : out std_logic;  -- export
+      coe_write_export_from_the_rom_system_info        : out std_logic;  -- export
+      coe_irq_export_to_the_avs_eth_0                  : in  std_logic                     := 'X';  -- export
+      coe_read_export_from_the_rom_system_info         : out std_logic;  -- export
+      coe_reset_export_from_the_reg_epcs               : out std_logic;  -- export
+      reset_n                                          : in  std_logic                     := 'X';  -- reset_n
+      coe_tse_writedata_export_from_the_avs_eth_0      : out std_logic_vector(31 downto 0);  -- export
+      coe_clk_export_from_the_reg_mmdp_ctrl            : out std_logic;  -- export
+      coe_ram_read_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_tse_readdata_export_to_the_avs_eth_0         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      clk_0                                            : in  std_logic                     := 'X';  -- clk
+      coe_read_export_from_the_reg_dpmm_ctrl           : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_tr_xaui           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_reg_remu           : out std_logic_vector(31 downto 0);  -- export
+      coe_write_export_from_the_reg_dpmm_data          : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_unb_sens       : out std_logic_vector(31 downto 0);  -- export
+      coe_writedata_export_from_the_reg_tr_10GbE       : out std_logic_vector(31 downto 0);  -- export
+      coe_reg_readdata_export_to_the_avs_eth_0         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_reg_tr_10GbE            : out std_logic;  -- export
+      coe_clk_export_from_the_reg_tr_10GbE             : out std_logic;  -- export
+      coe_reset_export_from_the_reg_dpmm_ctrl          : out std_logic;  -- export
+      coe_tse_read_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_dpmm_data      : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_tr_xaui             : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_wdi            : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_pio_system_info        : out std_logic;  -- export
+      coe_read_export_from_the_pio_system_info         : out std_logic;  -- export
+      coe_clk_export_from_the_reg_mmdp_data            : out std_logic;  -- export
+      coe_clk_export_from_the_reg_wdi                  : out std_logic;  -- export
+      coe_clk_export_from_the_reg_epcs                 : out std_logic;  -- export
+      coe_write_export_from_the_reg_remu               : out std_logic;  -- export
+      coe_ram_readdata_export_to_the_avs_eth_0         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_reg_mmdp_data           : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_epcs           : out std_logic_vector(31 downto 0);  -- export
+      out_port_from_the_pio_wdi                        : out std_logic;  -- export
+      coe_reset_export_from_the_reg_dpmm_data          : out std_logic;  -- export
+      coe_clk_export_from_the_reg_remu                 : out std_logic;  -- export
+      coe_read_export_from_the_reg_mmdp_ctrl           : out std_logic;  -- export
+      coe_clk_export_from_the_avs_eth_0                : out std_logic;  -- export
+      coe_address_export_from_the_reg_mmdp_ctrl        : out std_logic_vector(0 downto 0);  -- export
+      coe_write_export_from_the_reg_epcs               : out std_logic;  -- export
+      coe_readdata_export_to_the_rom_system_info       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_reset_export_from_the_reg_mmdp_ctrl          : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_mmdp_data         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_wdi                : out std_logic;  -- export
+      coe_clk_export_from_the_reg_tr_xaui              : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_wdi               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_pio_pps                 : out std_logic;  -- export
+      coe_clk_export_from_the_pio_system_info          : out std_logic;  -- export
+      coe_writedata_export_from_the_pio_pps            : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_epcs             : out std_logic_vector(2 downto 0);  -- export
+      coe_read_export_from_the_reg_dpmm_data           : out std_logic;  -- export
+      coe_reset_export_from_the_rom_system_info        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_dpmm_ctrl      : out std_logic_vector(31 downto 0);  -- export
+      coe_tse_waitrequest_export_to_the_avs_eth_0      : in  std_logic                     := 'X';  -- export
+      coe_address_export_from_the_reg_mmdp_data        : out std_logic_vector(0 downto 0);  -- export
+      coe_address_export_from_the_reg_unb_sens         : out std_logic_vector(2 downto 0);  -- export
+      coe_address_export_from_the_rom_system_info      : out std_logic_vector(9 downto 0);  -- export
+      coe_clk_export_from_the_reg_dpmm_ctrl            : out std_logic;  -- export
+      coe_reg_address_export_from_the_avs_eth_0        : out std_logic_vector(3 downto 0);  -- export
+      coe_write_export_from_the_reg_mmdp_data          : out std_logic;  -- export
+      coe_address_export_from_the_reg_remu             : out std_logic_vector(2 downto 0);  -- export
+      coe_readdata_export_to_the_reg_tr_10GbE          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_dpmm_ctrl          : out std_logic;  -- export
+      coe_reset_export_from_the_reg_tr_10GbE           : out std_logic;  -- export
+      coe_ram_writedata_export_from_the_avs_eth_0      : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_remu                : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_1gbe_read_export                 : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_1gbe_write_export                : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_address_export              : out std_logic_vector(3 downto 0);  -- export
+      reg_bsn_monitor_1gbe_clk_export                  : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_reset_export                : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_address_export        : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
+      ram_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_10gbe_read_export                    : out std_logic;  -- export
+      ram_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_bg_10gbe_write_export                   : out std_logic;  -- export
+      ram_diag_bg_10gbe_address_export                 : out std_logic_vector(11 downto 0);  -- export
+      ram_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
+      ram_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
+      reg_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_10gbe_read_export                    : out std_logic;  -- export
+      reg_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_10gbe_write_export                   : out std_logic;  -- export
+      reg_diag_bg_10gbe_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
+      reg_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_read_export      : out std_logic;  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_write_export     : out std_logic;  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_address_export   : out std_logic_vector(7 downto 0);  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_clk_export       : out std_logic;  -- export
+      reg_dp_offload_rx_10gbe_hdr_dat_reset_export     : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_read_export      : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_write_export     : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_address_export   : out std_logic_vector(7 downto 0);  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_clk_export       : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_hdr_dat_reset_export     : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_offload_tx_10gbe_read_export              : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_offload_tx_10gbe_write_export             : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_address_export           : out std_logic_vector(2 downto 0);  -- export
+      reg_dp_offload_tx_10gbe_clk_export               : out std_logic;  -- export
+      reg_dp_offload_tx_10gbe_reset_export             : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_10gbe_read_export                : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_10gbe_write_export               : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_address_export             : out std_logic_vector(5 downto 0);  -- export
+      reg_bsn_monitor_10gbe_clk_export                 : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_reset_export               : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_reset_export              : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_clk_export                : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_address_export            : out std_logic_vector(0 downto 0);  -- export
+      reg_dp_offload_tx_1gbe_write_export              : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_offload_tx_1gbe_read_export               : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_reset_export      : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_clk_export        : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_address_export    : out std_logic_vector(5 downto 0);  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_write_export      : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_read_export       : out std_logic;  -- export
+      reg_dp_offload_tx_1gbe_hdr_dat_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_reset_export      : out std_logic;  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_clk_export        : out std_logic;  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_address_export    : out std_logic_vector(5 downto 0);  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_write_export      : out std_logic;  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_read_export       : out std_logic;  -- export
+      reg_dp_offload_rx_1gbe_hdr_dat_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_1gbe_reset_export                    : out std_logic;  -- export
+      reg_diag_bg_1gbe_clk_export                      : out std_logic;  -- export
+      reg_diag_bg_1gbe_address_export                  : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_1gbe_write_export                    : out std_logic;  -- export
+      reg_diag_bg_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_1gbe_read_export                     : out std_logic;  -- export
+      reg_diag_bg_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_1gbe_reset_export                    : out std_logic;  -- export
+      ram_diag_bg_1gbe_clk_export                      : out std_logic;  -- export
+      ram_diag_bg_1gbe_address_export                  : out std_logic_vector(9 downto 0);  -- export
+      ram_diag_bg_1gbe_write_export                    : out std_logic;  -- export
+      ram_diag_bg_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_bg_1gbe_read_export                     : out std_logic;  -- export
+      ram_diag_bg_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_1gbe_reset_export           : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_clk_export             : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_address_export         : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_1gbe_write_export           : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_1gbe_read_export            : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_1gbe_reset_export           : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_clk_export             : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_address_export         : out std_logic_vector(13 downto 0);  -- export
+      ram_diag_data_buffer_1gbe_write_export           : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_1gbe_read_export            : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_address_export        : out std_logic_vector(13 downto 0);  -- export
+      ram_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_1gbe_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_1gbe_read_export                 : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_1gbe_write_export                : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_address_export              : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_1gbe_clk_export                  : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_reset_export                : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_1gbe_read_export                 : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_1gbe_write_export                : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_address_export              : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_1gbe_clk_export                  : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_reset_export                : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_10gbe_read_export                : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_10gbe_write_export               : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_address_export             : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_rx_seq_10gbe_clk_export                 : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_reset_export               : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_10gbe_read_export                : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_10gbe_write_export               : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_diag_tx_seq_10gbe_clk_export                 : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_reset_export               : out std_logic;  -- export
+      reg_io_ddr_mb_i_reset_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_i_clk_export                       : out std_logic;  -- export
+      reg_io_ddr_mb_i_address_export                   : out std_logic_vector(15 downto 0);  -- export
+      reg_io_ddr_mb_i_write_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_mb_i_read_export                      : out std_logic;  -- export
+      reg_io_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_io_ddr_mb_ii_reset_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_ii_clk_export                      : out std_logic;  -- export
+      reg_io_ddr_mb_ii_address_export                  : out std_logic_vector(15 downto 0);  -- export
+      reg_io_ddr_mb_ii_write_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_mb_ii_read_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_address_export          : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_address_export         : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_address_export         : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_unb1_test;
 
 end qsys_unb1_test_pkg;
diff --git a/boards/uniboard1/designs/unb1_test/src/vhdl/udp_stream.vhd b/boards/uniboard1/designs/unb1_test/src/vhdl/udp_stream.vhd
index e165615bf2..ef7319165c 100644
--- a/boards/uniboard1/designs/unb1_test/src/vhdl/udp_stream.vhd
+++ b/boards/uniboard1/designs/unb1_test/src/vhdl/udp_stream.vhd
@@ -21,18 +21,18 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.unb1_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.unb1_test_pkg.all;
 
 entity udp_stream is
   generic (
@@ -103,14 +103,28 @@ end udp_stream;
 architecture str of udp_stream is
 
   -- Block generator
-  constant c_bg_ctrl                   : t_diag_block_gen := ('0',  -- enable (disabled by default)
-                                                              '0',  -- enable_sync
-                                                              TO_UVEC(     g_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(g_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        g_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   g_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                   : t_diag_block_gen := (
+    '0',  -- enable (disabled by default)
+    '0',  -- enable_sync
+    TO_UVEC(
+                  g_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     g_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                g_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
 
   constant c_hdr_field_ovr_init        : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := "1001" & "111011111100" & "0001" & "101111111";
@@ -156,52 +170,52 @@ begin
   -- TX: Block generator and DP fifo
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_buf_dat_w          => g_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_index_arr     => array_init(0, g_nof_streams),
-    g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
-    g_diag_block_gen_rst => c_bg_ctrl,
-    g_use_tx_seq         => true
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_diag_tx_seq_miso
-  );
-
-  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate
-    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
     generic map (
-      g_data_w    => g_data_w,
-      g_bsn_w     => 47,
-      g_use_bsn   => true,
-      g_use_sync  => true,
-      g_fifo_size => 50
+      g_nof_streams        => g_nof_streams,
+      g_buf_dat_w          => g_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_index_arr     => array_init(0, g_nof_streams),
+      g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
+      g_diag_block_gen_rst => c_bg_ctrl,
+      g_use_tx_seq         => true
     )
     port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- ST sink (from BG)
-      snk_out     => block_gen_src_in_arr(i),
-      snk_in      => block_gen_src_out_arr(i),
-      -- ST source (to tx_offload)
-      src_in      => fifo_block_gen_src_in_arr(i),
-      src_out     => fifo_block_gen_src_out_arr(i)
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_diag_tx_seq_miso
     );
+
+  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate
+    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
+      generic map (
+        g_data_w    => g_data_w,
+        g_bsn_w     => 47,
+        g_use_bsn   => true,
+        g_use_sync  => true,
+        g_fifo_size => 50
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- ST sink (from BG)
+        snk_out     => block_gen_src_in_arr(i),
+        snk_in      => block_gen_src_out_arr(i),
+        -- ST source (to tx_offload)
+        src_in      => fifo_block_gen_src_in_arr(i),
+        src_out     => fifo_block_gen_src_out_arr(i)
+      );
   end generate;
 
 
@@ -209,73 +223,73 @@ begin
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_nof_streams               => g_nof_streams,
-    g_data_w                    => g_data_w,
-    g_use_complex               => false,
---    g_max_nof_words_per_block   => c_max_nof_words_per_block,
-    g_nof_words_per_block       => g_def_block_size,
---    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
-    g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    -- MM
---    reg_mosi              => reg_dp_offload_tx_mosi,
---    reg_miso              => reg_dp_offload_tx_miso,
-    reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    -- from blockgen-fifo
-    snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
-    snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
-
-    -- output to MAC
-    src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
-    src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
-
-    hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_nof_streams               => g_nof_streams,
+      g_data_w                    => g_data_w,
+      g_use_complex               => false,
+      --    g_max_nof_words_per_block   => c_max_nof_words_per_block,
+      g_nof_words_per_block       => g_def_block_size,
+      --    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
+      g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      -- MM
+      --    reg_mosi              => reg_dp_offload_tx_mosi,
+      --    reg_miso              => reg_dp_offload_tx_miso,
+      reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      -- from blockgen-fifo
+      snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
+      snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
+
+      -- output to MAC
+      src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
+      src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
+
+      hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => g_nof_streams,
-    g_data_w              => g_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => g_remove_crc,
-    g_crc_nof_words       => c_nof_crc_words
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+    generic map (
+      g_nof_streams         => g_nof_streams,
+      g_data_w              => g_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => g_remove_crc,
+      g_crc_nof_words       => c_nof_crc_words
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
 
-    -- from MAC
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
 
-    -- to databuffer
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
+      reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
 
-    hdr_fields_out_arr    => hdr_fields_out_arr
-  );
+      -- from MAC
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      -- to databuffer
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
+    );
 
 
   gen_hdr_out_fields : for i in 0 to g_nof_streams - 1 generate
@@ -300,53 +314,53 @@ begin
 
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
-    in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
+      in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   diag_data_buf_snk_out_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => 32,  -- g_data_w, --FIXME
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false,  -- sync by reading last address of data buffer
-    g_use_rx_seq   => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_diag_rx_seq_miso,
-
-    in_sync           => diag_data_buf_snk_in_arr(0).sync,
-    in_sosi_arr       => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => 32,  -- g_data_w, --FIXME
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false,  -- sync by reading last address of data buffer
+      g_use_rx_seq   => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_diag_rx_seq_miso,
+
+      in_sync           => diag_data_buf_snk_in_arr(0).sync,
+      in_sosi_arr       => diag_data_buf_snk_in_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test.vhd b/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test.vhd
index 9e7bc8c9f5..c6b998c1bd 100644
--- a/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test.vhd
+++ b/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test.vhd
@@ -21,21 +21,21 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, tr_10GbE_lib, diag_lib, io_ddr_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.unb1_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.unb1_test_pkg.all;
 
 entity unb1_test is
   generic (
@@ -118,20 +118,26 @@ architecture str of unb1_test is
   -- Firmware version x.y
   constant c_fw_version                       : t_unb1_board_fw_version := (1, 2);
 
- -- Select the according revision record based on the design name.
+  -- Select the according revision record based on the design name.
 
   constant c_revision_select                  : t_unb1_test_config := func_unb1_test_sel_revision_rec(g_design_name);
 
   -- ddr
   constant c_nof_MB                           : natural := c_unb1_board_nof_ddr3;  -- Fixed control infrastructure for 2 modules per FPGA
 
-  constant c_use_phy                          : t_c_unb1_board_use_phy  := (sel_a_b(c_revision_select.use_streaming_1GbE, 1, 0),
-                                                                                    c_revision_select.use_front, 0,
-                                                                                    c_revision_select.use_back,
-                                                                                    c_revision_select.use_ddr_MB_I,
-                                                                                    c_revision_select.use_ddr_MB_II,
-                                                                                    0,
-                                                                                    1);
+  constant c_use_phy                          : t_c_unb1_board_use_phy  := (
+    sel_a_b(
+             c_revision_select.use_streaming_1GbE,
+             1,
+             0),
+    c_revision_select.use_front,
+    0,
+    c_revision_select.use_back,
+    c_revision_select.use_ddr_MB_I,
+    c_revision_select.use_ddr_MB_II,
+    0,
+    1
+  );
 
   constant c_nof_streams_10GbE                : natural := c_revision_select.use_nof_streams_10GbE;
   constant c_nof_streams_1GbE                 : natural := c_revision_select.use_nof_streams_1GbE;
@@ -337,7 +343,7 @@ architecture str of unb1_test is
   signal reg_io_ddr_MB_II_mosi                : t_mem_mosi;
   signal reg_io_ddr_MB_II_miso                : t_mem_miso;
 
-    -- DDR3 pass on termination control from master to slave controller
+  -- DDR3 pass on termination control from master to slave controller
   signal term_ctrl_out                        : t_tech_ddr3_phy_terminationcontrol;
   signal term_ctrl_in                         : t_tech_ddr3_phy_terminationcontrol;
 
@@ -351,414 +357,414 @@ architecture str of unb1_test is
 begin
 
   u_areset_ddr_ref_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1',
-    g_delay_len => 40
-  )
-  port map(
-    clk     => CLK,
-    in_rst  => mm_rst,
-    out_rst => ddr_ref_rst
-  );
+    generic map(
+      g_rst_level => '1',
+      g_delay_len => 40
+    )
+    port map(
+      clk     => CLK,
+      in_rst  => mm_rst,
+      out_rst => ddr_ref_rst
+    );
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_unb1_board_mm_clk_freq_125M,
-    g_use_phy                 => c_use_phy,
-    g_aux                     => c_unb1_board_aux,
-    g_udp_offload             => c_revision_select.use_streaming_1GbE,
-    g_udp_offload_nof_streams => c_nof_streams_1GbE,
-    g_dp_clk_use_pll          => true,
-    g_xo_clk_use_pll          => true
-  )
-  port map (
-    -- Clock and reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk_out               => mm_clk,
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    mm_locked                => mm_locked,
-    mm_locked_out            => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-    epcs_clk_out             => epcs_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    cal_rec_clk              => cal_rec_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk_out        => eth1g_tse_clk,
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  dp_offload_tx_1GbE_src_out_arr,
-    udp_tx_siso_arr          =>  dp_offload_tx_1GbE_src_in_arr,
-    udp_rx_sosi_arr          =>  dp_offload_rx_1GbE_snk_in_arr,
-    udp_rx_siso_arr          =>  dp_offload_rx_1GbE_snk_out_arr,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- . 1GbE Control Interface
-    ETH_CLK                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
---  END GENERATE;
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_unb1_board_mm_clk_freq_125M,
+      g_use_phy                 => c_use_phy,
+      g_aux                     => c_unb1_board_aux,
+      g_udp_offload             => c_revision_select.use_streaming_1GbE,
+      g_udp_offload_nof_streams => c_nof_streams_1GbE,
+      g_dp_clk_use_pll          => true,
+      g_xo_clk_use_pll          => true
+    )
+    port map (
+      -- Clock and reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk_out               => mm_clk,
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      mm_locked                => mm_locked,
+      mm_locked_out            => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+      epcs_clk_out             => epcs_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      cal_rec_clk              => cal_rec_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk_out        => eth1g_tse_clk,
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  dp_offload_tx_1GbE_src_out_arr,
+      udp_tx_siso_arr          =>  dp_offload_tx_1GbE_src_in_arr,
+      udp_rx_sosi_arr          =>  dp_offload_rx_1GbE_snk_in_arr,
+      udp_rx_siso_arr          =>  dp_offload_rx_1GbE_snk_out_arr,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- . 1GbE Control Interface
+      ETH_CLK                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
+  --  END GENERATE;
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_test
-  generic map (
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_nof_streams_1GbE  => c_nof_streams_1GbE,
-    g_nof_streams_10GbE => 3,  -- c_nof_streams_10GbE,
-    g_nof_streams_ddr   => 1,  -- c_nof_streams_ddr,
-    g_bg_block_size     => c_bg_block_size
-   )
-  port map(
-    mm_rst                               => mm_rst,
-    mm_clk                               => mm_clk,
-
-    -- PIOs
-    pout_wdi                             => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi                         => reg_wdi_mosi,
-    reg_wdi_miso                         => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi             => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso             => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi             => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso             => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi                    => reg_unb_sens_mosi,
-    reg_unb_sens_miso                    => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi                        => reg_ppsh_mosi,
-    reg_ppsh_miso                        => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst                         => eth1g_mm_rst,
-    eth1g_tse_mosi                       => eth1g_tse_mosi,
-    eth1g_tse_miso                       => eth1g_tse_miso,
-    eth1g_reg_mosi                       => eth1g_reg_mosi,
-    eth1g_reg_miso                       => eth1g_reg_miso,
-    eth1g_reg_interrupt                  => eth1g_reg_interrupt,
-    eth1g_ram_mosi                       => eth1g_ram_mosi,
-    eth1g_ram_miso                       => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi                   => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso                   => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi                   => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso                   => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi                   => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso                   => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi                   => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso                   => reg_mmdp_ctrl_miso,
-
-    reg_epcs_mosi                        => reg_epcs_mosi,
-    reg_epcs_miso                        => reg_epcs_miso,
-
-    reg_remu_mosi                        => reg_remu_mosi,
-    reg_remu_miso                        => reg_remu_miso,
-
-    -- block gen
-    ram_diag_bg_1GbE_mosi                => ram_diag_bg_1GbE_mosi,
-    ram_diag_bg_1GbE_miso                => ram_diag_bg_1GbE_miso,
-    reg_diag_bg_1GbE_mosi                => reg_diag_bg_1GbE_mosi,
-    reg_diag_bg_1GbE_miso                => reg_diag_bg_1GbE_miso,
-    reg_diag_tx_seq_1GbE_mosi            => reg_diag_tx_seq_1GbE_mosi,
-    reg_diag_tx_seq_1GbE_miso            => reg_diag_tx_seq_1GbE_miso,
-
-    ram_diag_bg_10GbE_mosi               => ram_diag_bg_10GbE_mosi,
-    ram_diag_bg_10GbE_miso               => ram_diag_bg_10GbE_miso,
-    reg_diag_bg_10GbE_mosi               => reg_diag_bg_10GbE_mosi,
-    reg_diag_bg_10GbE_miso               => reg_diag_bg_10GbE_miso,
-    reg_diag_tx_seq_10GbE_mosi           => reg_diag_tx_seq_10GbE_mosi,
-    reg_diag_tx_seq_10GbE_miso           => reg_diag_tx_seq_10GbE_miso,
-
-    -- dp_offload_tx
-    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
-    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
-    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
-    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
-
-    reg_dp_offload_tx_10GbE_mosi         => reg_dp_offload_tx_10GbE_mosi,
-    reg_dp_offload_tx_10GbE_miso         => reg_dp_offload_tx_10GbE_miso,
-    reg_dp_offload_tx_10GbE_hdr_dat_mosi => reg_dp_offload_tx_10GbE_hdr_dat_mosi,
-    reg_dp_offload_tx_10GbE_hdr_dat_miso => reg_dp_offload_tx_10GbE_hdr_dat_miso,
-
-    -- dp_offload_rx
-    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
-    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-
-    reg_dp_offload_rx_10GbE_hdr_dat_mosi => reg_dp_offload_rx_10GbE_hdr_dat_mosi,
-    reg_dp_offload_rx_10GbE_hdr_dat_miso => reg_dp_offload_rx_10GbE_hdr_dat_miso,
-
-    -- bsn
-    reg_bsn_monitor_1GbE_mosi            => reg_bsn_monitor_1GbE_mosi,
-    reg_bsn_monitor_1GbE_miso            => reg_bsn_monitor_1GbE_miso,
-    reg_bsn_monitor_10GbE_mosi           => reg_bsn_monitor_10GbE_mosi,
-    reg_bsn_monitor_10GbE_miso           => reg_bsn_monitor_10GbE_miso,
-
-    -- databuffer
-    ram_diag_data_buf_1GbE_mosi          => ram_diag_data_buf_1GbE_mosi,
-    ram_diag_data_buf_1GbE_miso          => ram_diag_data_buf_1GbE_miso,
-    reg_diag_data_buf_1GbE_mosi          => reg_diag_data_buf_1GbE_mosi,
-    reg_diag_data_buf_1GbE_miso          => reg_diag_data_buf_1GbE_miso,
-    reg_diag_rx_seq_1GbE_mosi            => reg_diag_rx_seq_1GbE_mosi,
-    reg_diag_rx_seq_1GbE_miso            => reg_diag_rx_seq_1GbE_miso,
-
-    ram_diag_data_buf_10GbE_mosi         => ram_diag_data_buf_10GbE_mosi,
-    ram_diag_data_buf_10GbE_miso         => ram_diag_data_buf_10GbE_miso,
-    reg_diag_data_buf_10GbE_mosi         => reg_diag_data_buf_10GbE_mosi,
-    reg_diag_data_buf_10GbE_miso         => reg_diag_data_buf_10GbE_miso,
-    reg_diag_rx_seq_10GbE_mosi           => reg_diag_rx_seq_10GbE_mosi,
-    reg_diag_rx_seq_10GbE_miso           => reg_diag_rx_seq_10GbE_miso,
-
-    -- tr_10GbE
-    reg_tr_10GbE_mosi                    => reg_tr_10GbE_mosi,
-    reg_tr_10GbE_miso                    => reg_tr_10GbE_miso,
-    reg_tr_xaui_mosi                     => reg_tr_xaui_mosi,
-    reg_tr_xaui_miso                     => reg_tr_xaui_miso,
-
-    -- DDR3 : MB I
-    reg_io_ddr_MB_I_mosi                 => reg_io_ddr_MB_I_mosi,
-    reg_io_ddr_MB_I_miso                 => reg_io_ddr_MB_I_miso,
-
-    reg_diag_tx_seq_ddr_MB_I_mosi        => reg_diag_tx_seq_ddr_MB_I_mosi,
-    reg_diag_tx_seq_ddr_MB_I_miso        => reg_diag_tx_seq_ddr_MB_I_miso,
-
-    reg_diag_rx_seq_ddr_MB_I_mosi        => reg_diag_rx_seq_ddr_MB_I_mosi,
-    reg_diag_rx_seq_ddr_MB_I_miso        => reg_diag_rx_seq_ddr_MB_I_miso,
-
-    reg_diag_data_buf_ddr_MB_I_mosi      => reg_diag_data_buf_ddr_MB_I_mosi,
-    reg_diag_data_buf_ddr_MB_I_miso      => reg_diag_data_buf_ddr_MB_I_miso,
-    ram_diag_data_buf_ddr_MB_I_mosi      => ram_diag_data_buf_ddr_MB_I_mosi,
-    ram_diag_data_buf_ddr_MB_I_miso      => ram_diag_data_buf_ddr_MB_I_miso,
-
-    -- DDR3 : MB II
-    reg_io_ddr_MB_II_mosi                => reg_io_ddr_MB_II_mosi,
-    reg_io_ddr_MB_II_miso                => reg_io_ddr_MB_II_miso,
-
-    reg_diag_tx_seq_ddr_MB_II_mosi       => reg_diag_tx_seq_ddr_MB_II_mosi,
-    reg_diag_tx_seq_ddr_MB_II_miso       => reg_diag_tx_seq_ddr_MB_II_miso,
-
-    reg_diag_rx_seq_ddr_MB_II_mosi       => reg_diag_rx_seq_ddr_MB_II_mosi,
-    reg_diag_rx_seq_ddr_MB_II_miso       => reg_diag_rx_seq_ddr_MB_II_miso,
-
-    reg_diag_data_buf_ddr_MB_II_mosi     => reg_diag_data_buf_ddr_MB_II_mosi,
-    reg_diag_data_buf_ddr_MB_II_miso     => reg_diag_data_buf_ddr_MB_II_miso,
-    ram_diag_data_buf_ddr_MB_II_mosi     => ram_diag_data_buf_ddr_MB_II_mosi,
-    ram_diag_data_buf_ddr_MB_II_miso     => ram_diag_data_buf_ddr_MB_II_miso
-  );
-
-
-  gen_udp_stream_1GbE : if c_revision_select.use_streaming_1GbE = true generate
-    u_udp_stream_1GbE : entity work.udp_stream
     generic map (
-      g_sim                       => g_sim,
-      g_nof_streams               => c_nof_streams_1GbE,
-      g_data_w                    => c_data_w_32,
-      g_bg_block_size             => c_def_1GbE_block_size,
-      g_bg_gapsize                => c_bg_gapsize_1GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_1GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
-      g_remove_crc                => true
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_nof_streams_1GbE  => c_nof_streams_1GbE,
+      g_nof_streams_10GbE => 3,  -- c_nof_streams_10GbE,
+      g_nof_streams_ddr   => 1,  -- c_nof_streams_ddr,
+      g_bg_block_size     => c_bg_block_size
     )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-
-      ID                             => ID,
-
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+    port map(
+      mm_rst                               => mm_rst,
+      mm_clk                               => mm_clk,
+
+      -- PIOs
+      pout_wdi                             => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi                         => reg_wdi_mosi,
+      reg_wdi_miso                         => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi             => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso             => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi             => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso             => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi                    => reg_unb_sens_mosi,
+      reg_unb_sens_miso                    => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi                        => reg_ppsh_mosi,
+      reg_ppsh_miso                        => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst                         => eth1g_mm_rst,
+      eth1g_tse_mosi                       => eth1g_tse_mosi,
+      eth1g_tse_miso                       => eth1g_tse_miso,
+      eth1g_reg_mosi                       => eth1g_reg_mosi,
+      eth1g_reg_miso                       => eth1g_reg_miso,
+      eth1g_reg_interrupt                  => eth1g_reg_interrupt,
+      eth1g_ram_mosi                       => eth1g_ram_mosi,
+      eth1g_ram_miso                       => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi                   => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso                   => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi                   => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso                   => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi                   => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso                   => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi                   => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso                   => reg_mmdp_ctrl_miso,
+
+      reg_epcs_mosi                        => reg_epcs_mosi,
+      reg_epcs_miso                        => reg_epcs_miso,
+
+      reg_remu_mosi                        => reg_remu_mosi,
+      reg_remu_miso                        => reg_remu_miso,
+
+      -- block gen
+      ram_diag_bg_1GbE_mosi                => ram_diag_bg_1GbE_mosi,
+      ram_diag_bg_1GbE_miso                => ram_diag_bg_1GbE_miso,
+      reg_diag_bg_1GbE_mosi                => reg_diag_bg_1GbE_mosi,
+      reg_diag_bg_1GbE_miso                => reg_diag_bg_1GbE_miso,
+      reg_diag_tx_seq_1GbE_mosi            => reg_diag_tx_seq_1GbE_mosi,
+      reg_diag_tx_seq_1GbE_miso            => reg_diag_tx_seq_1GbE_miso,
+
+      ram_diag_bg_10GbE_mosi               => ram_diag_bg_10GbE_mosi,
+      ram_diag_bg_10GbE_miso               => ram_diag_bg_10GbE_miso,
+      reg_diag_bg_10GbE_mosi               => reg_diag_bg_10GbE_mosi,
+      reg_diag_bg_10GbE_miso               => reg_diag_bg_10GbE_miso,
+      reg_diag_tx_seq_10GbE_mosi           => reg_diag_tx_seq_10GbE_mosi,
+      reg_diag_tx_seq_10GbE_miso           => reg_diag_tx_seq_10GbE_miso,
 
       -- dp_offload_tx
-      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
-      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
-      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
-      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
-      dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
+      reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
+      reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
+      reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+      reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+
+      reg_dp_offload_tx_10GbE_mosi         => reg_dp_offload_tx_10GbE_mosi,
+      reg_dp_offload_tx_10GbE_miso         => reg_dp_offload_tx_10GbE_miso,
+      reg_dp_offload_tx_10GbE_hdr_dat_mosi => reg_dp_offload_tx_10GbE_hdr_dat_mosi,
+      reg_dp_offload_tx_10GbE_hdr_dat_miso => reg_dp_offload_tx_10GbE_hdr_dat_miso,
 
       -- dp_offload_rx
-      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
-      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+      reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+      reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
+
+      reg_dp_offload_rx_10GbE_hdr_dat_mosi => reg_dp_offload_rx_10GbE_hdr_dat_mosi,
+      reg_dp_offload_rx_10GbE_hdr_dat_miso => reg_dp_offload_rx_10GbE_hdr_dat_miso,
 
       -- bsn
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_1GbE_mosi            => reg_bsn_monitor_1GbE_mosi,
+      reg_bsn_monitor_1GbE_miso            => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_10GbE_mosi           => reg_bsn_monitor_10GbE_mosi,
+      reg_bsn_monitor_10GbE_miso           => reg_bsn_monitor_10GbE_miso,
 
       -- databuffer
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      ram_diag_data_buf_1GbE_mosi          => ram_diag_data_buf_1GbE_mosi,
+      ram_diag_data_buf_1GbE_miso          => ram_diag_data_buf_1GbE_miso,
+      reg_diag_data_buf_1GbE_mosi          => reg_diag_data_buf_1GbE_mosi,
+      reg_diag_data_buf_1GbE_miso          => reg_diag_data_buf_1GbE_miso,
+      reg_diag_rx_seq_1GbE_mosi            => reg_diag_rx_seq_1GbE_mosi,
+      reg_diag_rx_seq_1GbE_miso            => reg_diag_rx_seq_1GbE_miso,
+
+      ram_diag_data_buf_10GbE_mosi         => ram_diag_data_buf_10GbE_mosi,
+      ram_diag_data_buf_10GbE_miso         => ram_diag_data_buf_10GbE_miso,
+      reg_diag_data_buf_10GbE_mosi         => reg_diag_data_buf_10GbE_mosi,
+      reg_diag_data_buf_10GbE_miso         => reg_diag_data_buf_10GbE_miso,
+      reg_diag_rx_seq_10GbE_mosi           => reg_diag_rx_seq_10GbE_mosi,
+      reg_diag_rx_seq_10GbE_miso           => reg_diag_rx_seq_10GbE_miso,
+
+      -- tr_10GbE
+      reg_tr_10GbE_mosi                    => reg_tr_10GbE_mosi,
+      reg_tr_10GbE_miso                    => reg_tr_10GbE_miso,
+      reg_tr_xaui_mosi                     => reg_tr_xaui_mosi,
+      reg_tr_xaui_miso                     => reg_tr_xaui_miso,
+
+      -- DDR3 : MB I
+      reg_io_ddr_MB_I_mosi                 => reg_io_ddr_MB_I_mosi,
+      reg_io_ddr_MB_I_miso                 => reg_io_ddr_MB_I_miso,
+
+      reg_diag_tx_seq_ddr_MB_I_mosi        => reg_diag_tx_seq_ddr_MB_I_mosi,
+      reg_diag_tx_seq_ddr_MB_I_miso        => reg_diag_tx_seq_ddr_MB_I_miso,
+
+      reg_diag_rx_seq_ddr_MB_I_mosi        => reg_diag_rx_seq_ddr_MB_I_mosi,
+      reg_diag_rx_seq_ddr_MB_I_miso        => reg_diag_rx_seq_ddr_MB_I_miso,
+
+      reg_diag_data_buf_ddr_MB_I_mosi      => reg_diag_data_buf_ddr_MB_I_mosi,
+      reg_diag_data_buf_ddr_MB_I_miso      => reg_diag_data_buf_ddr_MB_I_miso,
+      ram_diag_data_buf_ddr_MB_I_mosi      => ram_diag_data_buf_ddr_MB_I_mosi,
+      ram_diag_data_buf_ddr_MB_I_miso      => ram_diag_data_buf_ddr_MB_I_miso,
+
+      -- DDR3 : MB II
+      reg_io_ddr_MB_II_mosi                => reg_io_ddr_MB_II_mosi,
+      reg_io_ddr_MB_II_miso                => reg_io_ddr_MB_II_miso,
+
+      reg_diag_tx_seq_ddr_MB_II_mosi       => reg_diag_tx_seq_ddr_MB_II_mosi,
+      reg_diag_tx_seq_ddr_MB_II_miso       => reg_diag_tx_seq_ddr_MB_II_miso,
+
+      reg_diag_rx_seq_ddr_MB_II_mosi       => reg_diag_rx_seq_ddr_MB_II_mosi,
+      reg_diag_rx_seq_ddr_MB_II_miso       => reg_diag_rx_seq_ddr_MB_II_miso,
+
+      reg_diag_data_buf_ddr_MB_II_mosi     => reg_diag_data_buf_ddr_MB_II_mosi,
+      reg_diag_data_buf_ddr_MB_II_miso     => reg_diag_data_buf_ddr_MB_II_miso,
+      ram_diag_data_buf_ddr_MB_II_mosi     => ram_diag_data_buf_ddr_MB_II_mosi,
+      ram_diag_data_buf_ddr_MB_II_miso     => ram_diag_data_buf_ddr_MB_II_miso
     );
+
+
+  gen_udp_stream_1GbE : if c_revision_select.use_streaming_1GbE = true generate
+    u_udp_stream_1GbE : entity work.udp_stream
+      generic map (
+        g_sim                       => g_sim,
+        g_nof_streams               => c_nof_streams_1GbE,
+        g_data_w                    => c_data_w_32,
+        g_bg_block_size             => c_def_1GbE_block_size,
+        g_bg_gapsize                => c_bg_gapsize_1GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_1GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
+        g_remove_crc                => true
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+
+        ID                             => ID,
+
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+
+        -- dp_offload_tx
+        reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
+        reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
+        reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+        reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+        dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
+
+        -- dp_offload_rx
+        reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+        reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+
+        -- bsn
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+
+        -- databuffer
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      );
   end generate;
 
 
   gen_udp_stream_10GbE : if c_revision_select.use_10GbE = true generate
     u_udp_stream_10GbE : entity work.udp_stream
-    generic map (
-      g_sim                       => g_sim,
-      g_nof_streams               => c_nof_streams_10GbE,
-      g_data_w                    => c_data_w_64,
-      g_bg_block_size             => c_def_10GbE_block_size,
-      g_bg_gapsize                => c_bg_gapsize_10GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_10GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
-      g_remove_crc                => false
-    )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-
-      ID                             => ID,
-
-      -- blockgen mm
-      reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
-
-      -- dp_offload_tx
-      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_10GbE_mosi,
-      reg_dp_offload_tx_miso         => reg_dp_offload_tx_10GbE_miso,
-      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_10GbE_hdr_dat_mosi,
-      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_10GbE_hdr_dat_miso,
-      dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
-
-      -- dp_offload_rx
-      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_10GbE_hdr_dat_mosi,
-      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_10GbE_hdr_dat_miso,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
-
-      -- bsn
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
-
-      -- databuffer
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
-    );
+      generic map (
+        g_sim                       => g_sim,
+        g_nof_streams               => c_nof_streams_10GbE,
+        g_data_w                    => c_data_w_64,
+        g_bg_block_size             => c_def_10GbE_block_size,
+        g_bg_gapsize                => c_bg_gapsize_10GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_10GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
+        g_remove_crc                => false
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+
+        ID                             => ID,
+
+        -- blockgen mm
+        reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
+
+        -- dp_offload_tx
+        reg_dp_offload_tx_mosi         => reg_dp_offload_tx_10GbE_mosi,
+        reg_dp_offload_tx_miso         => reg_dp_offload_tx_10GbE_miso,
+        reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_10GbE_hdr_dat_mosi,
+        reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_10GbE_hdr_dat_miso,
+        dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
+
+        -- dp_offload_rx
+        reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_10GbE_hdr_dat_mosi,
+        reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_10GbE_hdr_dat_miso,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
+
+        -- bsn
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
+
+        -- databuffer
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
+      );
   end generate;
 
 
@@ -767,62 +773,62 @@ begin
   -----------------------------------------------------------------------------
 
   u_areset_sa_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1',
-    g_delay_len => 4
-  )
-  port map(
-    clk     => SA_CLK,
-    in_rst  => '0',
-    out_rst => sa_rst
-  );
+    generic map(
+      g_rst_level => '1',
+      g_delay_len => 4
+    )
+    port map(
+      clk     => SA_CLK,
+      in_rst  => '0',
+      out_rst => sa_rst
+    );
 
   gen_tr_10GbE : if c_revision_select.use_10GbE = true generate
     u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-    generic map (
-      g_sim           => g_sim,
-      g_sim_level     => 1,
-      g_nof_macs      => c_nof_streams_10GbE,
-      g_use_mdio      => true,
-      g_tx_fifo_fill  => c_def_10GbE_block_size,
-      g_tx_fifo_size  => c_def_10GbE_block_size * 2
-    )
-    port map (
-      tr_ref_clk_156      => SA_CLK,
-      tr_ref_rst_156      => sa_rst,
-
-      cal_rec_clk         => cal_rec_clk,  -- mm_clk, --cal_clk, mm_clk required by XAUI phy
-
-      -- MM interface
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-
-      reg_mac_mosi        => reg_tr_10GbE_mosi,
-      reg_mac_miso        => reg_tr_10GbE_miso,
-
-      xaui_mosi           => reg_tr_xaui_mosi,
-      xaui_miso           => reg_tr_xaui_miso,
-
-      -- DP interface
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr,
-      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr,
-
-      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr,
-      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr,
-
-      -- Serial XAUI IO
-      xaui_tx_arr         => i_xaui_tx_arr,
-      xaui_rx_arr         => i_xaui_rx_arr,
-
-      -- MDIO External clock and serial data.
-      mdio_rst            => SI_FN_RSTN,
-      mdio_mdc_arr        => mdio_mdc_arr,
-      mdio_mdat_in_arr    => mdio_mdat_in_arr,
-      mdio_mdat_oen_arr   => mdio_mdat_oen_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_sim_level     => 1,
+        g_nof_macs      => c_nof_streams_10GbE,
+        g_use_mdio      => true,
+        g_tx_fifo_fill  => c_def_10GbE_block_size,
+        g_tx_fifo_size  => c_def_10GbE_block_size * 2
+      )
+      port map (
+        tr_ref_clk_156      => SA_CLK,
+        tr_ref_rst_156      => sa_rst,
+
+        cal_rec_clk         => cal_rec_clk,  -- mm_clk, --cal_clk, mm_clk required by XAUI phy
+
+        -- MM interface
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+
+        reg_mac_mosi        => reg_tr_10GbE_mosi,
+        reg_mac_miso        => reg_tr_10GbE_miso,
+
+        xaui_mosi           => reg_tr_xaui_mosi,
+        xaui_miso           => reg_tr_xaui_miso,
+
+        -- DP interface
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        src_out_arr         => dp_offload_rx_10GbE_snk_in_arr,
+        src_in_arr          => dp_offload_rx_10GbE_snk_out_arr,
+
+        snk_out_arr         => dp_offload_tx_10GbE_src_in_arr,
+        snk_in_arr          => dp_offload_tx_10GbE_src_out_arr,
+
+        -- Serial XAUI IO
+        xaui_tx_arr         => i_xaui_tx_arr,
+        xaui_rx_arr         => i_xaui_rx_arr,
+
+        -- MDIO External clock and serial data.
+        mdio_rst            => SI_FN_RSTN,
+        mdio_mdc_arr        => mdio_mdc_arr,
+        mdio_mdat_in_arr    => mdio_mdat_in_arr,
+        mdio_mdat_oen_arr   => mdio_mdat_oen_arr
+      );
 
     -- Wire together different types
     gen_wires: for i in 0 to c_nof_streams_10GbE-1 generate
@@ -831,158 +837,158 @@ begin
     end generate;
 
     gen_tr_front : if c_revision_select.use_front = 1 generate
-    u_front_io : entity unb1_board_lib.unb1_board_front_io
-    generic map (
-      g_nof_xaui => c_nof_streams_10GbE
-    )
-    port map (
-      xaui_tx_arr       => xaui_tx_arr,
-      xaui_rx_arr       => xaui_rx_arr,
-
-      mdio_mdc_arr      => mdio_mdc_arr,
-      mdio_mdat_in_arr  => mdio_mdat_in_arr,
-      mdio_mdat_oen_arr => mdio_mdat_oen_arr,
-
-      -- Serial I/O
-      SI_FN_0_TX        => SI_FN_0_TX,
-      SI_FN_0_RX        => SI_FN_0_RX,
-      SI_FN_1_TX        => SI_FN_1_TX,
-      SI_FN_1_RX        => SI_FN_1_RX,
-      SI_FN_2_TX        => SI_FN_2_TX,
-      SI_FN_2_RX        => SI_FN_2_RX,
-
-      SI_FN_0_CNTRL     => SI_FN_0_CNTRL,
-      SI_FN_1_CNTRL     => SI_FN_1_CNTRL,
-      SI_FN_2_CNTRL     => SI_FN_2_CNTRL,
-      SI_FN_3_CNTRL     => SI_FN_3_CNTRL
-    );
+      u_front_io : entity unb1_board_lib.unb1_board_front_io
+        generic map (
+          g_nof_xaui => c_nof_streams_10GbE
+        )
+        port map (
+          xaui_tx_arr       => xaui_tx_arr,
+          xaui_rx_arr       => xaui_rx_arr,
+
+          mdio_mdc_arr      => mdio_mdc_arr,
+          mdio_mdat_in_arr  => mdio_mdat_in_arr,
+          mdio_mdat_oen_arr => mdio_mdat_oen_arr,
+
+          -- Serial I/O
+          SI_FN_0_TX        => SI_FN_0_TX,
+          SI_FN_0_RX        => SI_FN_0_RX,
+          SI_FN_1_TX        => SI_FN_1_TX,
+          SI_FN_1_RX        => SI_FN_1_RX,
+          SI_FN_2_TX        => SI_FN_2_TX,
+          SI_FN_2_RX        => SI_FN_2_RX,
+
+          SI_FN_0_CNTRL     => SI_FN_0_CNTRL,
+          SI_FN_1_CNTRL     => SI_FN_1_CNTRL,
+          SI_FN_2_CNTRL     => SI_FN_2_CNTRL,
+          SI_FN_3_CNTRL     => SI_FN_3_CNTRL
+        );
     end generate;
   end generate;
 
-gen_mms_io_ddr_diag_MB_I : if c_revision_select.use_ddr_MB_I = 1 generate
-  u_mms_io_ddr_diag_MB_I : entity io_ddr_lib.mms_io_ddr_diag
-    generic map(
-      -- System
-      g_technology       => g_technology,
-      g_dp_data_w        => c_data_w_64,
-      g_dp_seq_dat_w     => c_seq_dat_w,
-      g_dp_wr_fifo_depth => c_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_rd_fifo_depth,
-      -- IO_DDR
-      g_io_tech_ddr      => c_revision_select.use_tech_ddr,
-      -- DIAG data buffer
-      g_db_use_db        => c_use_db,
-      g_db_buf_nof_data  => c_buf_nof_data
-    )
-    port map(
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      -- DDR reference clock
-      ctlr_ref_clk        => CLK,
-      ctlr_ref_rst        => ddr_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => MB_I_ctlr_clk,
-      ctlr_rst_out        => MB_I_ctlr_rst,
-
-      ctlr_clk_in         => MB_I_ctlr_clk,
-      ctlr_rst_in         => MB_I_ctlr_rst,
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,
-      reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR3 pass on signals from master to slave controller
-      term_ctrl_out       => OPEN,
-      term_ctrl_in        => OPEN,
-
-      -- DDR3 PHY external interface
-      phy3_in             => MB_I_IN,
-      phy3_io             => MB_I_IO,
-      phy3_ou             => MB_I_OU,
-
-      -- DIAG Tx seq
-      reg_tx_seq_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
-      reg_tx_seq_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
-
-      -- DIAG rx seq with optional data buffer
-      reg_data_buf_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
-      reg_data_buf_miso   => reg_diag_data_buf_ddr_MB_I_miso,
-      ram_data_buf_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
-      ram_data_buf_miso   => ram_diag_data_buf_ddr_MB_I_miso,
-      reg_rx_seq_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
-      reg_rx_seq_miso     => reg_diag_rx_seq_ddr_MB_I_miso
-    );
+  gen_mms_io_ddr_diag_MB_I : if c_revision_select.use_ddr_MB_I = 1 generate
+    u_mms_io_ddr_diag_MB_I : entity io_ddr_lib.mms_io_ddr_diag
+      generic map(
+        -- System
+        g_technology       => g_technology,
+        g_dp_data_w        => c_data_w_64,
+        g_dp_seq_dat_w     => c_seq_dat_w,
+        g_dp_wr_fifo_depth => c_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_rd_fifo_depth,
+        -- IO_DDR
+        g_io_tech_ddr      => c_revision_select.use_tech_ddr,
+        -- DIAG data buffer
+        g_db_use_db        => c_use_db,
+        g_db_buf_nof_data  => c_buf_nof_data
+      )
+      port map(
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        -- DDR reference clock
+        ctlr_ref_clk        => CLK,
+        ctlr_ref_rst        => ddr_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => MB_I_ctlr_clk,
+        ctlr_rst_out        => MB_I_ctlr_rst,
+
+        ctlr_clk_in         => MB_I_ctlr_clk,
+        ctlr_rst_in         => MB_I_ctlr_rst,
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,
+        reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR3 pass on signals from master to slave controller
+        term_ctrl_out       => OPEN,
+        term_ctrl_in        => OPEN,
+
+        -- DDR3 PHY external interface
+        phy3_in             => MB_I_IN,
+        phy3_io             => MB_I_IO,
+        phy3_ou             => MB_I_OU,
+
+        -- DIAG Tx seq
+        reg_tx_seq_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
+        reg_tx_seq_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
+
+        -- DIAG rx seq with optional data buffer
+        reg_data_buf_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
+        reg_data_buf_miso   => reg_diag_data_buf_ddr_MB_I_miso,
+        ram_data_buf_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
+        ram_data_buf_miso   => ram_diag_data_buf_ddr_MB_I_miso,
+        reg_rx_seq_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
+        reg_rx_seq_miso     => reg_diag_rx_seq_ddr_MB_I_miso
+      );
   end generate;
 
-gen_mms_io_ddr_diag_MB_II : if c_revision_select.use_ddr_MB_II = 1 generate
-  u_mms_io_ddr_diag_MB_II : entity io_ddr_lib.mms_io_ddr_diag
-    generic map(
-      -- System
-      g_technology       => g_technology,
-      g_dp_data_w        => c_data_w_64,
-      g_dp_seq_dat_w     => c_seq_dat_w,
-      g_dp_wr_fifo_depth => c_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_rd_fifo_depth,
-      -- IO_DDR
-      g_io_tech_ddr      => c_revision_select.use_tech_ddr,
-      -- DIAG data buffer
-      g_db_use_db        => c_use_db,
-      g_db_buf_nof_data  => c_buf_nof_data
-    )
-    port map(
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      -- DDR reference clock
-      ctlr_ref_clk        => CLK,
-      ctlr_ref_rst        => ddr_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => MB_II_ctlr_clk,
-      ctlr_rst_out        => MB_II_ctlr_rst,
-
-      ctlr_clk_in         => MB_II_ctlr_clk,
-      ctlr_rst_in         => MB_II_ctlr_rst,
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,
-      reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR3 pass on signals from master to slave controller
-      term_ctrl_out       => OPEN,
-      term_ctrl_in        => OPEN,
-
-      -- DDR3 PHY external interface
-      phy3_in             => MB_II_IN,
-      phy3_io             => MB_II_IO,
-      phy3_ou             => MB_II_OU,
-
-      -- DIAG Tx seq
-      reg_tx_seq_mosi     => reg_diag_tx_seq_ddr_MB_II_mosi,
-      reg_tx_seq_miso     => reg_diag_tx_seq_ddr_MB_II_miso,
-
-      -- DIAG rx seq with optional data buffer
-      reg_data_buf_mosi   => reg_diag_data_buf_ddr_MB_II_mosi,
-      reg_data_buf_miso   => reg_diag_data_buf_ddr_MB_II_miso,
-      ram_data_buf_mosi   => ram_diag_data_buf_ddr_MB_II_mosi,
-      ram_data_buf_miso   => ram_diag_data_buf_ddr_MB_II_miso,
-      reg_rx_seq_mosi     => reg_diag_rx_seq_ddr_MB_II_mosi,
-      reg_rx_seq_miso     => reg_diag_rx_seq_ddr_MB_II_miso
-    );
+  gen_mms_io_ddr_diag_MB_II : if c_revision_select.use_ddr_MB_II = 1 generate
+    u_mms_io_ddr_diag_MB_II : entity io_ddr_lib.mms_io_ddr_diag
+      generic map(
+        -- System
+        g_technology       => g_technology,
+        g_dp_data_w        => c_data_w_64,
+        g_dp_seq_dat_w     => c_seq_dat_w,
+        g_dp_wr_fifo_depth => c_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_rd_fifo_depth,
+        -- IO_DDR
+        g_io_tech_ddr      => c_revision_select.use_tech_ddr,
+        -- DIAG data buffer
+        g_db_use_db        => c_use_db,
+        g_db_buf_nof_data  => c_buf_nof_data
+      )
+      port map(
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        -- DDR reference clock
+        ctlr_ref_clk        => CLK,
+        ctlr_ref_rst        => ddr_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => MB_II_ctlr_clk,
+        ctlr_rst_out        => MB_II_ctlr_rst,
+
+        ctlr_clk_in         => MB_II_ctlr_clk,
+        ctlr_rst_in         => MB_II_ctlr_rst,
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,
+        reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR3 pass on signals from master to slave controller
+        term_ctrl_out       => OPEN,
+        term_ctrl_in        => OPEN,
+
+        -- DDR3 PHY external interface
+        phy3_in             => MB_II_IN,
+        phy3_io             => MB_II_IO,
+        phy3_ou             => MB_II_OU,
+
+        -- DIAG Tx seq
+        reg_tx_seq_mosi     => reg_diag_tx_seq_ddr_MB_II_mosi,
+        reg_tx_seq_miso     => reg_diag_tx_seq_ddr_MB_II_miso,
+
+        -- DIAG rx seq with optional data buffer
+        reg_data_buf_mosi   => reg_diag_data_buf_ddr_MB_II_mosi,
+        reg_data_buf_miso   => reg_diag_data_buf_ddr_MB_II_miso,
+        ram_data_buf_mosi   => ram_diag_data_buf_ddr_MB_II_mosi,
+        ram_data_buf_miso   => ram_diag_data_buf_ddr_MB_II_miso,
+        reg_rx_seq_mosi     => reg_diag_rx_seq_ddr_MB_II_mosi,
+        reg_rx_seq_miso     => reg_diag_rx_seq_ddr_MB_II_miso
+      );
   end generate;
 
 
diff --git a/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test_pkg.vhd b/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test_pkg.vhd
index 61d6ce08c8..198736a3ac 100644
--- a/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test_pkg.vhd
+++ b/boards/uniboard1/designs/unb1_test/src/vhdl/unb1_test_pkg.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 package unb1_test_pkg is
 
@@ -44,37 +44,37 @@ package unb1_test_pkg is
   -- dp_offload_tx
   constant c_nof_hdr_fields : natural := 4 + 12 + 4 + 9;  -- Total header bits = 512
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := ( ( field_name_pad("eth_word_align"     ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(1) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ) );
+    ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(1) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ) );
 
   -- Function to select the revision configuration.
-  function func_unb1_test_sel_revision_rec(g_design_name : string) return t_unb1_test_config;
+  function func_unb1_test_sel_revision_rec (g_design_name : string) return t_unb1_test_config;
 
 end unb1_test_pkg;
 
@@ -90,7 +90,7 @@ package body unb1_test_pkg is
   constant c_ddr_16g_MB_II   : t_unb1_test_config := ( 0, 0, false, 1, false, 0, 0, 1, 1, c_tech_ddr3_16g_dual_rank_800m);
   constant c_ddr_16g_MB_I_II : t_unb1_test_config := ( 0, 0, false, 1, false, 0, 1, 1, 2, c_tech_ddr3_16g_dual_rank_800m);
 
-  function func_unb1_test_sel_revision_rec(g_design_name : string) return t_unb1_test_config is
+  function func_unb1_test_sel_revision_rec (g_design_name : string) return t_unb1_test_config is
   begin
     if    g_design_name = "unb1_test_all"             then return c_all;
     elsif g_design_name = "unb1_test_1GbE"            then return c_1GbE;
diff --git a/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd b/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd
index b4c91dc640..926ab69620 100644
--- a/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd
+++ b/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd
@@ -45,22 +45,22 @@
 library ip_stratixiv_ddr3_mem_model_lib;
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib, io_ddr_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use tech_ddr_lib.tech_ddr_mem_model_component_pkg.all;
-use work.unb1_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use tech_ddr_lib.tech_ddr_mem_model_component_pkg.all;
+  use work.unb1_test_pkg.all;
 
 
 entity tb_unb1_test is
-    generic (
-      g_design_name : string  := "unb1_test";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 7  -- Back node 3
-    );
+  generic (
+    g_design_name : string  := "unb1_test";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 7  -- Back node 3
+  );
 end tb_unb1_test;
 
 architecture tb of tb_unb1_test is
@@ -222,14 +222,14 @@ begin
   ------------------------------------------------------------------------------
   gen_tech_ddr_memory_model_MB_I : if c_revision_select.use_ddr_MB_I = 1 generate
     u_tech_ddr_memory_model_MB_I : entity tech_ddr_lib.tech_ddr_memory_model
-    generic map (
-      g_tech_ddr => c_revision_select.use_tech_ddr
-    )
-    port map (
-      mem3_in => phy_MB_I_ou,
-      mem3_io => phy_MB_I_io,
-      mem3_ou => phy_MB_I_in
-    );
+      generic map (
+        g_tech_ddr => c_revision_select.use_tech_ddr
+      )
+      port map (
+        mem3_in => phy_MB_I_ou,
+        mem3_io => phy_MB_I_io,
+        mem3_ou => phy_MB_I_in
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -237,14 +237,14 @@ begin
   ------------------------------------------------------------------------------
   gen_tech_ddr_memory_model_MB_II : if c_revision_select.use_ddr_MB_II = 1 generate
     u_tech_ddr_memory_model_MB_II : entity tech_ddr_lib.tech_ddr_memory_model
-    generic map (
-      g_tech_ddr => c_revision_select.use_tech_ddr
-    )
-    port map (
-      mem3_in => phy_MB_II_ou,
-      mem3_io => phy_MB_II_io,
-      mem3_ou => phy_MB_II_in
-    );
+      generic map (
+        g_tech_ddr => c_revision_select.use_tech_ddr
+      )
+      port map (
+        mem3_in => phy_MB_II_ou,
+        mem3_io => phy_MB_II_io,
+        mem3_ou => phy_MB_II_in
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -252,37 +252,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/mmm_unb1_tr_10GbE.vhd b/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/mmm_unb1_tr_10GbE.vhd
index f458fc3070..8cc9d6d57b 100644
--- a/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/mmm_unb1_tr_10GbE.vhd
+++ b/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/mmm_unb1_tr_10GbE.vhd
@@ -20,23 +20,23 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity mmm_unb1_tr_10GbE is
   generic (
@@ -257,137 +257,137 @@ begin
 
     u_qsys_unb1_tr_10GbE : qsys_unb1_tr_10GbE
       port map(
-      clk_in_clk                                  => mm_clk,
-      eth1g_irq_export                            => eth1g_reg_interrupt,
-      eth1g_mm_clk_export                         => OPEN,
-      eth1g_mm_rst_export                         => eth1g_mm_rst,
-      eth1g_ram_address_export                    => eth1g_ram_mosi.address(9 downto 0),
-      eth1g_ram_read_export                       => eth1g_ram_mosi.rd,
-      eth1g_ram_readdata_export                   => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_ram_write_export                      => eth1g_ram_mosi.wr,
-      eth1g_ram_writedata_export                  => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      eth1g_reg_address_export                    => eth1g_reg_mosi.address(3 downto 0),
-      eth1g_reg_read_export                       => eth1g_reg_mosi.rd,
-      eth1g_reg_readdata_export                   => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_reg_write_export                      => eth1g_reg_mosi.wr,
-      eth1g_reg_writedata_export                  => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      eth1g_tse_address_export                    => eth1g_tse_mosi.address(9 downto 0),
-      eth1g_tse_read_export                       => eth1g_tse_mosi.rd,
-      eth1g_tse_readdata_export                   => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_tse_waitrequest_export                => eth1g_tse_miso.waitrequest,
-      eth1g_tse_write_export                      => eth1g_tse_mosi.wr,
-      eth1g_tse_writedata_export                  => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      out_port_from_the_pio_debug_wave            => OPEN,
-      out_port_from_the_pio_wdi                   => pout_wdi,
-      pio_pps_address_export                      => reg_ppsh_mosi.address(0),
-      pio_pps_clk_export                          => OPEN,
-      pio_pps_read_export                         => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                     => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      pio_pps_reset_export                        => OPEN,
-      pio_pps_write_export                        => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                    => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_address_export              => reg_unb_system_info_mosi.address(4 downto 0),
-      pio_system_info_clk_export                  => OPEN,
-      pio_system_info_read_export                 => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export             => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      pio_system_info_reset_export                => OPEN,
-      pio_system_info_write_export                => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export            => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_address_export            => ram_diag_bg_mosi.address(10 downto 0),
-      ram_diag_bg_clk_export                => OPEN,
-      ram_diag_bg_read_export               => ram_diag_bg_mosi.rd,
-      ram_diag_bg_readdata_export           => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_reset_export              => OPEN,
-      ram_diag_bg_write_export              => ram_diag_bg_mosi.wr,
-      ram_diag_bg_writedata_export          => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_address_export        => reg_bsn_monitor_mosi.address(6 downto 0),
-      reg_bsn_monitor_clk_export            => OPEN,
-      reg_bsn_monitor_read_export           => reg_bsn_monitor_mosi.rd,
-      reg_bsn_monitor_readdata_export       => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_reset_export          => OPEN,
-      reg_bsn_monitor_write_export          => reg_bsn_monitor_mosi.wr,
-      reg_bsn_monitor_writedata_export      => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_address_export            => reg_diag_bg_mosi.address(2 downto 0),
-      reg_diag_bg_clk_export                => OPEN,
-      reg_diag_bg_read_export               => reg_diag_bg_mosi.rd,
-      reg_diag_bg_readdata_export           => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_reset_export              => OPEN,
-      reg_diag_bg_write_export              => reg_diag_bg_mosi.wr,
-      reg_diag_bg_writedata_export          => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_hdr_dat_address_export    => reg_dp_offload_rx_hdr_dat_mosi.address(6 downto 0),
-      reg_dp_offload_rx_hdr_dat_clk_export        => OPEN,
-      reg_dp_offload_rx_hdr_dat_read_export       => reg_dp_offload_rx_hdr_dat_mosi.rd,
-      reg_dp_offload_rx_hdr_dat_readdata_export   => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_hdr_dat_reset_export      => OPEN,
-      reg_dp_offload_rx_hdr_dat_write_export      => reg_dp_offload_rx_hdr_dat_mosi.wr,
-      reg_dp_offload_rx_hdr_dat_writedata_export  => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_hdr_dat_address_export    => reg_dp_offload_tx_hdr_dat_mosi.address(5 downto 0),
-      reg_dp_offload_tx_hdr_dat_clk_export        => OPEN,
-      reg_dp_offload_tx_hdr_dat_read_export       => reg_dp_offload_tx_hdr_dat_mosi.rd,
-      reg_dp_offload_tx_hdr_dat_readdata_export   => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_hdr_dat_reset_export      => OPEN,
-      reg_dp_offload_tx_hdr_dat_write_export      => reg_dp_offload_tx_hdr_dat_mosi.wr,
-      reg_dp_offload_tx_hdr_dat_writedata_export  => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mdio_0_address_export                   => reg_mdio_0_mosi.address(2 downto 0),
-      reg_mdio_0_clk_export                       => OPEN,
-      reg_mdio_0_read_export                      => reg_mdio_0_mosi.rd,
-      reg_mdio_0_readdata_export                  => reg_mdio_0_miso.rddata(c_word_w - 1 downto 0),
-      reg_mdio_0_reset_export                     => OPEN,
-      reg_mdio_0_write_export                     => reg_mdio_0_mosi.wr,
-      reg_mdio_0_writedata_export                 => reg_mdio_0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mdio_1_address_export                   => reg_mdio_1_mosi.address(2 downto 0),
-      reg_mdio_1_clk_export                       => OPEN,
-      reg_mdio_1_read_export                      => reg_mdio_1_mosi.rd,
-      reg_mdio_1_readdata_export                  => reg_mdio_1_miso.rddata(c_word_w - 1 downto 0),
-      reg_mdio_1_reset_export                     => OPEN,
-      reg_mdio_1_write_export                     => reg_mdio_1_mosi.wr,
-      reg_mdio_1_writedata_export                 => reg_mdio_1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mdio_2_address_export                   => reg_mdio_2_mosi.address(2 downto 0),
-      reg_mdio_2_clk_export                       => OPEN,
-      reg_mdio_2_read_export                      => reg_mdio_2_mosi.rd,
-      reg_mdio_2_readdata_export                  => reg_mdio_2_miso.rddata(c_word_w - 1 downto 0),
-      reg_mdio_2_reset_export                     => OPEN,
-      reg_mdio_2_write_export                     => reg_mdio_2_mosi.wr,
-      reg_mdio_2_writedata_export                 => reg_mdio_2_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_address_export                 => reg_tr_10gbe_mosi.address(14 downto 0),
-      reg_tr_10gbe_clk_export                     => OPEN,
-      reg_tr_10gbe_read_export                    => reg_tr_10gbe_mosi.rd,
-      reg_tr_10gbe_readdata_export                => reg_tr_10gbe_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_reset_export                   => OPEN,
-      reg_tr_10gbe_waitrequest_export             => reg_tr_10gbe_miso.waitrequest,
-      reg_tr_10gbe_write_export                   => reg_tr_10gbe_mosi.wr,
-      reg_tr_10gbe_writedata_export               => reg_tr_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_xaui_address_export                  => reg_tr_xaui_mosi.address(10 downto 0),
-      reg_tr_xaui_clk_export                      => OPEN,
-      reg_tr_xaui_read_export                     => reg_tr_xaui_mosi.rd,
-      reg_tr_xaui_readdata_export                 => reg_tr_xaui_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_xaui_reset_export                    => OPEN,
-      reg_tr_xaui_waitrequest_export              => reg_tr_xaui_miso.waitrequest,
-      reg_tr_xaui_write_export                    => reg_tr_xaui_mosi.wr,
-      reg_tr_xaui_writedata_export                => reg_tr_xaui_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_address_export                 => reg_unb_sens_mosi.address(2 downto 0),
-      reg_unb_sens_clk_export                     => OPEN,
-      reg_unb_sens_read_export                    => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export                => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      reg_unb_sens_reset_export                   => OPEN,
-      reg_unb_sens_write_export                   => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export               => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_address_export                      => reg_wdi_mosi.address(0),
-      reg_wdi_clk_export                          => OPEN,
-      reg_wdi_read_export                         => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                     => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      reg_wdi_reset_export                        => OPEN,
-      reg_wdi_write_export                        => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                    => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reset_in_reset_n                            => mm_rst_n,
-      rom_system_info_address_export              => rom_unb_system_info_mosi.address(9 downto 0),
-      rom_system_info_clk_export                  => OPEN,
-      rom_system_info_read_export                 => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export             => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      rom_system_info_reset_export                => OPEN,
-      rom_system_info_write_export                => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export            => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+        clk_in_clk                                  => mm_clk,
+        eth1g_irq_export                            => eth1g_reg_interrupt,
+        eth1g_mm_clk_export                         => OPEN,
+        eth1g_mm_rst_export                         => eth1g_mm_rst,
+        eth1g_ram_address_export                    => eth1g_ram_mosi.address(9 downto 0),
+        eth1g_ram_read_export                       => eth1g_ram_mosi.rd,
+        eth1g_ram_readdata_export                   => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_ram_write_export                      => eth1g_ram_mosi.wr,
+        eth1g_ram_writedata_export                  => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        eth1g_reg_address_export                    => eth1g_reg_mosi.address(3 downto 0),
+        eth1g_reg_read_export                       => eth1g_reg_mosi.rd,
+        eth1g_reg_readdata_export                   => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_reg_write_export                      => eth1g_reg_mosi.wr,
+        eth1g_reg_writedata_export                  => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        eth1g_tse_address_export                    => eth1g_tse_mosi.address(9 downto 0),
+        eth1g_tse_read_export                       => eth1g_tse_mosi.rd,
+        eth1g_tse_readdata_export                   => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_tse_waitrequest_export                => eth1g_tse_miso.waitrequest,
+        eth1g_tse_write_export                      => eth1g_tse_mosi.wr,
+        eth1g_tse_writedata_export                  => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        out_port_from_the_pio_debug_wave            => OPEN,
+        out_port_from_the_pio_wdi                   => pout_wdi,
+        pio_pps_address_export                      => reg_ppsh_mosi.address(0),
+        pio_pps_clk_export                          => OPEN,
+        pio_pps_read_export                         => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                     => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        pio_pps_reset_export                        => OPEN,
+        pio_pps_write_export                        => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                    => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_address_export              => reg_unb_system_info_mosi.address(4 downto 0),
+        pio_system_info_clk_export                  => OPEN,
+        pio_system_info_read_export                 => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export             => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        pio_system_info_reset_export                => OPEN,
+        pio_system_info_write_export                => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export            => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_address_export            => ram_diag_bg_mosi.address(10 downto 0),
+        ram_diag_bg_clk_export                => OPEN,
+        ram_diag_bg_read_export               => ram_diag_bg_mosi.rd,
+        ram_diag_bg_readdata_export           => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_reset_export              => OPEN,
+        ram_diag_bg_write_export              => ram_diag_bg_mosi.wr,
+        ram_diag_bg_writedata_export          => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_address_export        => reg_bsn_monitor_mosi.address(6 downto 0),
+        reg_bsn_monitor_clk_export            => OPEN,
+        reg_bsn_monitor_read_export           => reg_bsn_monitor_mosi.rd,
+        reg_bsn_monitor_readdata_export       => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_reset_export          => OPEN,
+        reg_bsn_monitor_write_export          => reg_bsn_monitor_mosi.wr,
+        reg_bsn_monitor_writedata_export      => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_address_export            => reg_diag_bg_mosi.address(2 downto 0),
+        reg_diag_bg_clk_export                => OPEN,
+        reg_diag_bg_read_export               => reg_diag_bg_mosi.rd,
+        reg_diag_bg_readdata_export           => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_reset_export              => OPEN,
+        reg_diag_bg_write_export              => reg_diag_bg_mosi.wr,
+        reg_diag_bg_writedata_export          => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_hdr_dat_address_export    => reg_dp_offload_rx_hdr_dat_mosi.address(6 downto 0),
+        reg_dp_offload_rx_hdr_dat_clk_export        => OPEN,
+        reg_dp_offload_rx_hdr_dat_read_export       => reg_dp_offload_rx_hdr_dat_mosi.rd,
+        reg_dp_offload_rx_hdr_dat_readdata_export   => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_hdr_dat_reset_export      => OPEN,
+        reg_dp_offload_rx_hdr_dat_write_export      => reg_dp_offload_rx_hdr_dat_mosi.wr,
+        reg_dp_offload_rx_hdr_dat_writedata_export  => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_hdr_dat_address_export    => reg_dp_offload_tx_hdr_dat_mosi.address(5 downto 0),
+        reg_dp_offload_tx_hdr_dat_clk_export        => OPEN,
+        reg_dp_offload_tx_hdr_dat_read_export       => reg_dp_offload_tx_hdr_dat_mosi.rd,
+        reg_dp_offload_tx_hdr_dat_readdata_export   => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_hdr_dat_reset_export      => OPEN,
+        reg_dp_offload_tx_hdr_dat_write_export      => reg_dp_offload_tx_hdr_dat_mosi.wr,
+        reg_dp_offload_tx_hdr_dat_writedata_export  => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mdio_0_address_export                   => reg_mdio_0_mosi.address(2 downto 0),
+        reg_mdio_0_clk_export                       => OPEN,
+        reg_mdio_0_read_export                      => reg_mdio_0_mosi.rd,
+        reg_mdio_0_readdata_export                  => reg_mdio_0_miso.rddata(c_word_w - 1 downto 0),
+        reg_mdio_0_reset_export                     => OPEN,
+        reg_mdio_0_write_export                     => reg_mdio_0_mosi.wr,
+        reg_mdio_0_writedata_export                 => reg_mdio_0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mdio_1_address_export                   => reg_mdio_1_mosi.address(2 downto 0),
+        reg_mdio_1_clk_export                       => OPEN,
+        reg_mdio_1_read_export                      => reg_mdio_1_mosi.rd,
+        reg_mdio_1_readdata_export                  => reg_mdio_1_miso.rddata(c_word_w - 1 downto 0),
+        reg_mdio_1_reset_export                     => OPEN,
+        reg_mdio_1_write_export                     => reg_mdio_1_mosi.wr,
+        reg_mdio_1_writedata_export                 => reg_mdio_1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mdio_2_address_export                   => reg_mdio_2_mosi.address(2 downto 0),
+        reg_mdio_2_clk_export                       => OPEN,
+        reg_mdio_2_read_export                      => reg_mdio_2_mosi.rd,
+        reg_mdio_2_readdata_export                  => reg_mdio_2_miso.rddata(c_word_w - 1 downto 0),
+        reg_mdio_2_reset_export                     => OPEN,
+        reg_mdio_2_write_export                     => reg_mdio_2_mosi.wr,
+        reg_mdio_2_writedata_export                 => reg_mdio_2_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_address_export                 => reg_tr_10gbe_mosi.address(14 downto 0),
+        reg_tr_10gbe_clk_export                     => OPEN,
+        reg_tr_10gbe_read_export                    => reg_tr_10gbe_mosi.rd,
+        reg_tr_10gbe_readdata_export                => reg_tr_10gbe_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_reset_export                   => OPEN,
+        reg_tr_10gbe_waitrequest_export             => reg_tr_10gbe_miso.waitrequest,
+        reg_tr_10gbe_write_export                   => reg_tr_10gbe_mosi.wr,
+        reg_tr_10gbe_writedata_export               => reg_tr_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_xaui_address_export                  => reg_tr_xaui_mosi.address(10 downto 0),
+        reg_tr_xaui_clk_export                      => OPEN,
+        reg_tr_xaui_read_export                     => reg_tr_xaui_mosi.rd,
+        reg_tr_xaui_readdata_export                 => reg_tr_xaui_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_xaui_reset_export                    => OPEN,
+        reg_tr_xaui_waitrequest_export              => reg_tr_xaui_miso.waitrequest,
+        reg_tr_xaui_write_export                    => reg_tr_xaui_mosi.wr,
+        reg_tr_xaui_writedata_export                => reg_tr_xaui_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_address_export                 => reg_unb_sens_mosi.address(2 downto 0),
+        reg_unb_sens_clk_export                     => OPEN,
+        reg_unb_sens_read_export                    => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export                => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        reg_unb_sens_reset_export                   => OPEN,
+        reg_unb_sens_write_export                   => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export               => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_address_export                      => reg_wdi_mosi.address(0),
+        reg_wdi_clk_export                          => OPEN,
+        reg_wdi_read_export                         => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                     => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        reg_wdi_reset_export                        => OPEN,
+        reg_wdi_write_export                        => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                    => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reset_in_reset_n                            => mm_rst_n,
+        rom_system_info_address_export              => rom_unb_system_info_mosi.address(9 downto 0),
+        rom_system_info_clk_export                  => OPEN,
+        rom_system_info_read_export                 => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export             => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        rom_system_info_reset_export                => OPEN,
+        rom_system_info_write_export                => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export            => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/unb1_tr_10GbE.vhd b/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/unb1_tr_10GbE.vhd
index 80c8a90812..6d860cb27f 100644
--- a/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/unb1_tr_10GbE.vhd
+++ b/boards/uniboard1/designs/unb1_tr_10GbE/src/vhdl/unb1_tr_10GbE.vhd
@@ -21,20 +21,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, diag_lib, dp_lib, eth_lib, tech_tse_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 
 -- Purpose:
@@ -87,7 +87,7 @@ entity unb1_tr_10GbE is
     SI_FN_2_CNTRL : inout std_logic_vector(c_unb1_board_ci.tr.cntrl_w - 1 downto 0);
     SI_FN_3_CNTRL : inout std_logic_vector(c_unb1_board_ci.tr.cntrl_w - 1 downto 0);
     SI_FN_RSTN    : out   std_logic := '1'  -- ResetN is pulled up in the Vitesse chip, but pulled down again by external 1k resistor.
-                                           -- So we need to assign a '1' to it.
+  -- So we need to assign a '1' to it.
   );
 end unb1_tr_10GbE;
 
@@ -101,14 +101,31 @@ architecture str of unb1_tr_10GbE is
   constant c_bg_block_size          : natural := 176;
   constant c_bg_gapsize             : natural := 256 - 176;
   constant c_bg_blocks_per_sync     : natural := 100;
-  constant c_bg_ctrl                : t_diag_block_gen := (sel_a_b(g_sim, '1', '0'),  -- enable: On by default in simulation; MM enable required on hardware.
-                                                           '0',  -- enable_sync
-                                                          TO_UVEC(     c_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                          TO_UVEC(c_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                          TO_UVEC(        c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                          TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                          TO_UVEC(   c_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                          TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                : t_diag_block_gen := (
+    sel_a_b(
+             g_sim,
+             '1',
+             '0'),  -- enable: On by default in simulation; MM enable required on hardware.
+    '0',  -- enable_sync
+    TO_UVEC(
+                  c_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                c_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
   -- System
   signal cs_sim                                      : std_logic;
   signal xo_clk                                      : std_logic;
@@ -199,25 +216,25 @@ begin
   -- TX: 3 Block generators
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => c_nof_10GbE_streams,
-    g_buf_dat_w          => 64,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_name_prefix   => "hex/composite_signals",
-    g_diag_block_gen_rst => c_bg_ctrl
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-
-    out_sosi_arr     => mms_diag_block_gen_src_out_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_10GbE_streams,
+      g_buf_dat_w          => 64,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_name_prefix   => "hex/composite_signals",
+      g_diag_block_gen_rst => c_bg_ctrl
+    )
+    port map (
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+
+      out_sosi_arr     => mms_diag_block_gen_src_out_arr
+    );
 
 
   -----------------------------------------------------------------------------
@@ -230,129 +247,129 @@ begin
   end generate;
 
   u_front_io : entity unb1_board_lib.unb1_board_front_io
-  generic map (
-    g_nof_xaui => c_nof_10GbE_streams
-  )
-  port map (
-    xaui_tx_arr       => unb_xaui_tx_arr,
-    xaui_rx_arr       => unb_xaui_rx_arr,
-
-    mdio_mdc_arr      => mdio_mdc_arr,
-    mdio_mdat_in_arr  => mdio_mdat_in_arr,
-    mdio_mdat_oen_arr => mdio_mdat_oen_arr,
-
-    -- Serial I/O
-    SI_FN_0_TX        => SI_FN_0_TX,
-    SI_FN_0_RX        => SI_FN_0_RX,
-    SI_FN_1_TX        => SI_FN_1_TX,
-    SI_FN_1_RX        => SI_FN_1_RX,
-    SI_FN_2_TX        => SI_FN_2_TX,
-    SI_FN_2_RX        => SI_FN_2_RX,
-
-    SI_FN_0_CNTRL     => SI_FN_0_CNTRL,
-    SI_FN_1_CNTRL     => SI_FN_1_CNTRL,
-    SI_FN_2_CNTRL     => SI_FN_2_CNTRL,
-    SI_FN_3_CNTRL     => SI_FN_3_CNTRL
-  );
+    generic map (
+      g_nof_xaui => c_nof_10GbE_streams
+    )
+    port map (
+      xaui_tx_arr       => unb_xaui_tx_arr,
+      xaui_rx_arr       => unb_xaui_rx_arr,
+
+      mdio_mdc_arr      => mdio_mdc_arr,
+      mdio_mdat_in_arr  => mdio_mdat_in_arr,
+      mdio_mdat_oen_arr => mdio_mdat_oen_arr,
+
+      -- Serial I/O
+      SI_FN_0_TX        => SI_FN_0_TX,
+      SI_FN_0_RX        => SI_FN_0_RX,
+      SI_FN_1_TX        => SI_FN_1_TX,
+      SI_FN_1_RX        => SI_FN_1_RX,
+      SI_FN_2_TX        => SI_FN_2_TX,
+      SI_FN_2_RX        => SI_FN_2_RX,
+
+      SI_FN_0_CNTRL     => SI_FN_0_CNTRL,
+      SI_FN_1_CNTRL     => SI_FN_1_CNTRL,
+      SI_FN_2_CNTRL     => SI_FN_2_CNTRL,
+      SI_FN_3_CNTRL     => SI_FN_3_CNTRL
+    );
 
   u_areset_sa_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1',
-    g_delay_len => 4
-  )
-  port map(
-    clk     => SA_CLK,
-    in_rst  => '0',
-    out_rst => sa_rst
-  );
+    generic map(
+      g_rst_level => '1',
+      g_delay_len => 4
+    )
+    port map(
+      clk     => SA_CLK,
+      in_rst  => '0',
+      out_rst => sa_rst
+    );
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map(
-    g_sim             => g_sim,
-    g_sim_level       => 1,
-    g_nof_macs        => c_nof_10GbE_streams,
-    g_use_mdio        => true
-  )
-
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_156    => SA_CLK,
-    tr_ref_rst_156    => sa_rst,
-
-    -- Calibration & reconfig clock
-    cal_rec_clk       => mm_clk,
-
-    -- MM interface
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-
-    reg_mac_mosi      => reg_tr_10GbE_mosi,
-    reg_mac_miso      => reg_tr_10GbE_miso,
-
-    xaui_mosi         => reg_tr_xaui_mosi,
-    xaui_miso         => reg_tr_xaui_miso,
-
-    mdio_mosi_arr     => reg_mdio_mosi_arr(c_nof_10GbE_streams - 1 downto 0),
-    mdio_miso_arr     => reg_mdio_miso_arr(c_nof_10GbE_streams - 1 downto 0),
-
-    -- DP interface
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    src_out_arr       => dp_offload_rx_snk_in_arr,
-    src_in_arr        => dp_offload_rx_snk_out_arr,
-
-    -- Serial XAUI IO
-    xaui_tx_arr       => xaui_tx_arr,
-    xaui_rx_arr       => xaui_rx_arr,
-
-    -- MDIO interface
-    mdio_rst          => SI_FN_RSTN,
-    mdio_mdc_arr      => mdio_mdc_arr,
-    mdio_mdat_in_arr  => mdio_mdat_in_arr,
-    mdio_mdat_oen_arr => mdio_mdat_oen_arr
-  );
+    generic map(
+      g_sim             => g_sim,
+      g_sim_level       => 1,
+      g_nof_macs        => c_nof_10GbE_streams,
+      g_use_mdio        => true
+    )
+
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_156    => SA_CLK,
+      tr_ref_rst_156    => sa_rst,
+
+      -- Calibration & reconfig clock
+      cal_rec_clk       => mm_clk,
+
+      -- MM interface
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+
+      reg_mac_mosi      => reg_tr_10GbE_mosi,
+      reg_mac_miso      => reg_tr_10GbE_miso,
+
+      xaui_mosi         => reg_tr_xaui_mosi,
+      xaui_miso         => reg_tr_xaui_miso,
+
+      mdio_mosi_arr     => reg_mdio_mosi_arr(c_nof_10GbE_streams - 1 downto 0),
+      mdio_miso_arr     => reg_mdio_miso_arr(c_nof_10GbE_streams - 1 downto 0),
+
+      -- DP interface
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      src_out_arr       => dp_offload_rx_snk_in_arr,
+      src_in_arr        => dp_offload_rx_snk_out_arr,
+
+      -- Serial XAUI IO
+      xaui_tx_arr       => xaui_tx_arr,
+      xaui_rx_arr       => xaui_rx_arr,
+
+      -- MDIO interface
+      mdio_rst          => SI_FN_RSTN,
+      mdio_mdc_arr      => mdio_mdc_arr,
+      mdio_mdat_in_arr  => mdio_mdat_in_arr,
+      mdio_mdat_oen_arr => mdio_mdat_oen_arr
+    );
 
   -----------------------------------------------------------------------------
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
---  u_dp_offload_rx : ENTITY dp_lib.dp_offload_rx
---  GENERIC MAP (
---    g_nof_streams         => c_nof_10GbE_streams,
---    g_data_w              => c_xgmii_data_w,
---    g_hdr_field_arr       => c_apertif_udp_offload_hdr_field_arr,
---    g_remove_crc          => FALSE,
---    g_crc_nof_words       => 0
---   )
---  PORT MAP (
---    mm_rst                => mm_rst,
---    mm_clk                => mm_clk,
---
---    dp_rst                => dp_rst,
---    dp_clk                => dp_clk,
---
---    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
---    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
---
---    snk_in_arr            => dp_offload_rx_snk_in_arr,
---    snk_out_arr           => dp_offload_rx_snk_out_arr,
---
---    src_out_arr           => dp_offload_rx_src_out_arr,
---    src_in_arr            => (OTHERS=>c_dp_siso_rdy), --dp_offload_rx_src_in_arr,
---
---    hdr_fields_out_arr    => hdr_fields_out_arr
---    );
---
---  gen_restore_bf_out_i : FOR i IN 0 TO c_nof_10GbE_streams-1 GENERATE
---    dp_offload_rx_restored_src_out_arr(i).sync <=          sl(hdr_fields_out_arr(i)(field_hi(c_apertif_udp_offload_hdr_field_arr, "dp_sync") DOWNTO field_lo(c_apertif_udp_offload_hdr_field_arr, "dp_sync" )));
---    dp_offload_rx_restored_src_out_arr(i).bsn  <= RESIZE_UVEC(hdr_fields_out_arr(i)(field_hi(c_apertif_udp_offload_hdr_field_arr, "dp_bsn" ) DOWNTO field_lo(c_apertif_udp_offload_hdr_field_arr, "dp_bsn"  )), c_dp_stream_bsn_w);
---
---    dp_offload_rx_restored_src_out_arr(i).data  <= dp_offload_rx_src_out_arr(i).data;
---    dp_offload_rx_restored_src_out_arr(i).valid <= dp_offload_rx_src_out_arr(i).valid;
---    dp_offload_rx_restored_src_out_arr(i).sop   <= dp_offload_rx_src_out_arr(i).sop;
---    dp_offload_rx_restored_src_out_arr(i).eop   <= dp_offload_rx_src_out_arr(i).eop;
---    dp_offload_rx_restored_src_out_arr(i).err   <= dp_offload_rx_src_out_arr(i).err;
---  END GENERATE;
+  --  u_dp_offload_rx : ENTITY dp_lib.dp_offload_rx
+  --  GENERIC MAP (
+  --    g_nof_streams         => c_nof_10GbE_streams,
+  --    g_data_w              => c_xgmii_data_w,
+  --    g_hdr_field_arr       => c_apertif_udp_offload_hdr_field_arr,
+  --    g_remove_crc          => FALSE,
+  --    g_crc_nof_words       => 0
+  --   )
+  --  PORT MAP (
+  --    mm_rst                => mm_rst,
+  --    mm_clk                => mm_clk,
+  --
+  --    dp_rst                => dp_rst,
+  --    dp_clk                => dp_clk,
+  --
+  --    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+  --    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+  --
+  --    snk_in_arr            => dp_offload_rx_snk_in_arr,
+  --    snk_out_arr           => dp_offload_rx_snk_out_arr,
+  --
+  --    src_out_arr           => dp_offload_rx_src_out_arr,
+  --    src_in_arr            => (OTHERS=>c_dp_siso_rdy), --dp_offload_rx_src_in_arr,
+  --
+  --    hdr_fields_out_arr    => hdr_fields_out_arr
+  --    );
+  --
+  --  gen_restore_bf_out_i : FOR i IN 0 TO c_nof_10GbE_streams-1 GENERATE
+  --    dp_offload_rx_restored_src_out_arr(i).sync <=          sl(hdr_fields_out_arr(i)(field_hi(c_apertif_udp_offload_hdr_field_arr, "dp_sync") DOWNTO field_lo(c_apertif_udp_offload_hdr_field_arr, "dp_sync" )));
+  --    dp_offload_rx_restored_src_out_arr(i).bsn  <= RESIZE_UVEC(hdr_fields_out_arr(i)(field_hi(c_apertif_udp_offload_hdr_field_arr, "dp_bsn" ) DOWNTO field_lo(c_apertif_udp_offload_hdr_field_arr, "dp_bsn"  )), c_dp_stream_bsn_w);
+  --
+  --    dp_offload_rx_restored_src_out_arr(i).data  <= dp_offload_rx_src_out_arr(i).data;
+  --    dp_offload_rx_restored_src_out_arr(i).valid <= dp_offload_rx_src_out_arr(i).valid;
+  --    dp_offload_rx_restored_src_out_arr(i).sop   <= dp_offload_rx_src_out_arr(i).sop;
+  --    dp_offload_rx_restored_src_out_arr(i).eop   <= dp_offload_rx_src_out_arr(i).eop;
+  --    dp_offload_rx_restored_src_out_arr(i).err   <= dp_offload_rx_src_out_arr(i).err;
+  --  END GENERATE;
 
 
 
@@ -360,167 +377,167 @@ begin
   -- RX: BSN monitors at several stages in the stream
   -----------------------------------------------------------------------------
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => 5,
-    g_sync_timeout       => 200000000,
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => dp_bsn_monitor_in_siso_arr,
-    in_sosi_arr => dp_bsn_monitor_in_sosi_arr
-  );
+    generic map (
+      g_nof_streams        => 5,
+      g_sync_timeout       => 200000000,
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => dp_bsn_monitor_in_siso_arr,
+      in_sosi_arr => dp_bsn_monitor_in_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim                     => g_sim,
-    g_sim_flash_model         => false,
-    g_design_name             => g_design_name,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy                 => c_use_phy,
-    g_aux                     => c_unb1_board_aux,
-    g_dp_clk_use_pll          => true,
-    g_xo_clk_use_pll          => true
-  )
-  port map (
-    -- Clock and reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk_out               => mm_clk,
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    mm_locked                => mm_locked,
-    mm_locked_out            => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-    epcs_clk_out             => epcs_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    cal_rec_clk              => cal_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk_out        => eth1g_tse_clk,
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_sim_flash_model         => false,
+      g_design_name             => g_design_name,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy                 => c_use_phy,
+      g_aux                     => c_unb1_board_aux,
+      g_dp_clk_use_pll          => true,
+      g_xo_clk_use_pll          => true
+    )
+    port map (
+      -- Clock and reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk_out               => mm_clk,
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      mm_locked                => mm_locked,
+      mm_locked_out            => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+      epcs_clk_out             => epcs_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      cal_rec_clk              => cal_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk_out        => eth1g_tse_clk,
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm_unb1_tr_10GbE : entity work.mmm_unb1_tr_10GbE
-  generic map(
-    g_sim         => g_sim
-  )
-  port map(
-    mm_clk                         =>  mm_clk,
-    mm_rst                         =>  mm_rst,
-    pout_wdi                       =>  pout_wdi,
-    reg_wdi_mosi                   =>  reg_wdi_mosi,
-    reg_wdi_miso                   =>  reg_wdi_miso,
-    reg_unb_system_info_mosi       =>  reg_unb_system_info_mosi,
-    reg_unb_system_info_miso       =>  reg_unb_system_info_miso,
-    rom_unb_system_info_mosi       =>  rom_unb_system_info_mosi,
-    rom_unb_system_info_miso       =>  rom_unb_system_info_miso,
-    reg_unb_sens_mosi              =>  reg_unb_sens_mosi,
-    reg_unb_sens_miso              =>  reg_unb_sens_miso,
-    reg_ppsh_mosi                  =>  reg_ppsh_mosi,
-    reg_ppsh_miso                  =>  reg_ppsh_miso,
-    eth1g_mm_rst                   =>  eth1g_mm_rst,
-    eth1g_reg_interrupt            =>  eth1g_reg_interrupt,
-    eth1g_ram_mosi                 =>  eth1g_ram_mosi,
-    eth1g_ram_miso                 =>  eth1g_ram_miso,
-    eth1g_reg_mosi                 =>  eth1g_reg_mosi,
-    eth1g_reg_miso                 =>  eth1g_reg_miso,
-    eth1g_tse_mosi                 =>  eth1g_tse_mosi,
-    eth1g_tse_miso                 =>  eth1g_tse_miso,
-    reg_diag_bg_mosi         =>  reg_diag_bg_mosi,
-    reg_diag_bg_miso         =>  reg_diag_bg_miso,
-    reg_mdio_0_mosi                =>  reg_mdio_0_mosi,
-    reg_mdio_0_miso                =>  reg_mdio_0_miso,
-    reg_mdio_1_mosi                =>  reg_mdio_1_mosi,
-    reg_mdio_1_miso                =>  reg_mdio_1_miso,
-    reg_mdio_2_mosi                =>  reg_mdio_2_mosi,
-    reg_mdio_2_miso                =>  reg_mdio_2_miso,
-    reg_dp_offload_rx_hdr_dat_mosi =>  reg_dp_offload_rx_hdr_dat_mosi,
-    reg_dp_offload_rx_hdr_dat_miso =>  reg_dp_offload_rx_hdr_dat_miso,
-    reg_dp_offload_tx_hdr_dat_mosi =>  reg_dp_offload_tx_hdr_dat_mosi,
-    reg_dp_offload_tx_hdr_dat_miso =>  reg_dp_offload_tx_hdr_dat_miso,
-    reg_tr_10gbe_mosi              =>  reg_tr_10gbe_mosi,
-    reg_tr_10gbe_miso              =>  reg_tr_10gbe_miso,
-    reg_tr_xaui_mosi               =>  reg_tr_xaui_mosi,
-    reg_tr_xaui_miso               =>  reg_tr_xaui_miso,
-    reg_bsn_monitor_mosi     =>  reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso     =>  reg_bsn_monitor_miso
-  );
+    generic map(
+      g_sim         => g_sim
+    )
+    port map(
+      mm_clk                         =>  mm_clk,
+      mm_rst                         =>  mm_rst,
+      pout_wdi                       =>  pout_wdi,
+      reg_wdi_mosi                   =>  reg_wdi_mosi,
+      reg_wdi_miso                   =>  reg_wdi_miso,
+      reg_unb_system_info_mosi       =>  reg_unb_system_info_mosi,
+      reg_unb_system_info_miso       =>  reg_unb_system_info_miso,
+      rom_unb_system_info_mosi       =>  rom_unb_system_info_mosi,
+      rom_unb_system_info_miso       =>  rom_unb_system_info_miso,
+      reg_unb_sens_mosi              =>  reg_unb_sens_mosi,
+      reg_unb_sens_miso              =>  reg_unb_sens_miso,
+      reg_ppsh_mosi                  =>  reg_ppsh_mosi,
+      reg_ppsh_miso                  =>  reg_ppsh_miso,
+      eth1g_mm_rst                   =>  eth1g_mm_rst,
+      eth1g_reg_interrupt            =>  eth1g_reg_interrupt,
+      eth1g_ram_mosi                 =>  eth1g_ram_mosi,
+      eth1g_ram_miso                 =>  eth1g_ram_miso,
+      eth1g_reg_mosi                 =>  eth1g_reg_mosi,
+      eth1g_reg_miso                 =>  eth1g_reg_miso,
+      eth1g_tse_mosi                 =>  eth1g_tse_mosi,
+      eth1g_tse_miso                 =>  eth1g_tse_miso,
+      reg_diag_bg_mosi         =>  reg_diag_bg_mosi,
+      reg_diag_bg_miso         =>  reg_diag_bg_miso,
+      reg_mdio_0_mosi                =>  reg_mdio_0_mosi,
+      reg_mdio_0_miso                =>  reg_mdio_0_miso,
+      reg_mdio_1_mosi                =>  reg_mdio_1_mosi,
+      reg_mdio_1_miso                =>  reg_mdio_1_miso,
+      reg_mdio_2_mosi                =>  reg_mdio_2_mosi,
+      reg_mdio_2_miso                =>  reg_mdio_2_miso,
+      reg_dp_offload_rx_hdr_dat_mosi =>  reg_dp_offload_rx_hdr_dat_mosi,
+      reg_dp_offload_rx_hdr_dat_miso =>  reg_dp_offload_rx_hdr_dat_miso,
+      reg_dp_offload_tx_hdr_dat_mosi =>  reg_dp_offload_tx_hdr_dat_mosi,
+      reg_dp_offload_tx_hdr_dat_miso =>  reg_dp_offload_tx_hdr_dat_miso,
+      reg_tr_10gbe_mosi              =>  reg_tr_10gbe_mosi,
+      reg_tr_10gbe_miso              =>  reg_tr_10gbe_miso,
+      reg_tr_xaui_mosi               =>  reg_tr_xaui_mosi,
+      reg_tr_xaui_miso               =>  reg_tr_xaui_miso,
+      reg_bsn_monitor_mosi     =>  reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso     =>  reg_bsn_monitor_miso
+    );
 
 
   reg_mdio_mosi_arr(0) <= reg_mdio_0_mosi;
diff --git a/boards/uniboard1/designs/unb1_tr_10GbE/tb/vhdl/tb_unb1_tr_10GbE.vhd b/boards/uniboard1/designs/unb1_tr_10GbE/tb/vhdl/tb_unb1_tr_10GbE.vhd
index f03ca1a313..d537d80a13 100644
--- a/boards/uniboard1/designs/unb1_tr_10GbE/tb/vhdl/tb_unb1_tr_10GbE.vhd
+++ b/boards/uniboard1/designs/unb1_tr_10GbE/tb/vhdl/tb_unb1_tr_10GbE.vhd
@@ -25,11 +25,11 @@
 -- Usage:
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_tr_10GbE is
 end tb_unb1_tr_10GbE;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/ctrl_unb1_board.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/ctrl_unb1_board.vhd
index 446603b1de..2c5339f935 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/ctrl_unb1_board.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/ctrl_unb1_board.vhd
@@ -26,17 +26,17 @@
 --   . node_<design_name>.vhd with the actual functionality of <design_name>
 
 library IEEE, common_lib, mm_lib, dp_lib, ppsh_lib, i2c_lib, technology_lib, tech_tse_lib, eth_lib, remu_lib, epcs_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity ctrl_unb1_board is
   generic (
@@ -370,31 +370,31 @@ begin
 
   gen_pll: if g_dp_clk_use_pll = true generate
     u_unb1_board_clk200_pll : entity work.unb1_board_clk200_pll
-    generic map (
-      g_technology          => g_technology,
-      g_sel                 => c_dp_clk_pll_sel,
-      g_clk200_phase_shift  => g_dp_clk_phase,
-      g_clk_vec_w           => g_dp_phs_clk_vec_w,
-      g_clk1_phase_shift    => c_dp_clk1_phase,  -- dp_phs_clk_vec(0)
-      g_clk2_phase_shift    => c_dp_clk2_phase,  -- dp_phs_clk_vec(1)
-      g_clk3_phase_shift    => c_dp_clk3_phase,  -- dp_phs_clk_vec(2)
-      g_clk4_phase_shift    => c_dp_clk4_phase,  -- dp_phs_clk_vec(3)
-      g_clk5_phase_shift    => c_dp_clk5_phase,  -- dp_phs_clk_vec(4)
-      g_clk6_phase_shift    => c_dp_clk6_phase,  -- dp_phs_clk_vec(5)
-      g_clk1_divide_by      => g_dp_phs_clk_divide_by,
-      g_clk2_divide_by      => g_dp_phs_clk_divide_by,
-      g_clk3_divide_by      => g_dp_phs_clk_divide_by,
-      g_clk4_divide_by      => g_dp_phs_clk_divide_by,
-      g_clk5_divide_by      => g_dp_phs_clk_divide_by,
-      g_clk6_divide_by      => g_dp_phs_clk_divide_by
-    )
-    port map (
-      arst       => dp_dis,
-      clk200     => ext_clk,
-      st_clk200  => dp_clk,  -- = c0
-      st_rst200  => dp_rst,
-      st_clk_vec => dp_phs_clk_vec  -- PLL c6-c1
-    );
+      generic map (
+        g_technology          => g_technology,
+        g_sel                 => c_dp_clk_pll_sel,
+        g_clk200_phase_shift  => g_dp_clk_phase,
+        g_clk_vec_w           => g_dp_phs_clk_vec_w,
+        g_clk1_phase_shift    => c_dp_clk1_phase,  -- dp_phs_clk_vec(0)
+        g_clk2_phase_shift    => c_dp_clk2_phase,  -- dp_phs_clk_vec(1)
+        g_clk3_phase_shift    => c_dp_clk3_phase,  -- dp_phs_clk_vec(2)
+        g_clk4_phase_shift    => c_dp_clk4_phase,  -- dp_phs_clk_vec(3)
+        g_clk5_phase_shift    => c_dp_clk5_phase,  -- dp_phs_clk_vec(4)
+        g_clk6_phase_shift    => c_dp_clk6_phase,  -- dp_phs_clk_vec(5)
+        g_clk1_divide_by      => g_dp_phs_clk_divide_by,
+        g_clk2_divide_by      => g_dp_phs_clk_divide_by,
+        g_clk3_divide_by      => g_dp_phs_clk_divide_by,
+        g_clk4_divide_by      => g_dp_phs_clk_divide_by,
+        g_clk5_divide_by      => g_dp_phs_clk_divide_by,
+        g_clk6_divide_by      => g_dp_phs_clk_divide_by
+      )
+      port map (
+        arst       => dp_dis,
+        clk200     => ext_clk,
+        st_clk200  => dp_clk,  -- = c0
+        st_rst200  => dp_rst,
+        st_clk_vec => dp_phs_clk_vec  -- PLL c6-c1
+      );
   end generate;
 
   no_pll: if g_dp_clk_use_pll = false and g_dp_clk_use_xo_pll = false generate
@@ -425,75 +425,75 @@ begin
     end generate;
 
     u_unb1_board_clk25_pll : entity work.unb1_board_clk25_pll
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      arst       => i_xo_rst,
-      clk25      => i_xo_clk,
-      c0_clk20   => clk20M,
-      c1_clk40   => clk40M,
-      c2_clk50   => clk50M,
-      c3_clk125  => clk125M,
-      c4_clk200  => clk200M,
-      pll_locked => mm_locked_out
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk25      => i_xo_clk,
+        c0_clk20   => clk20M,
+        c1_clk40   => clk40M,
+        c2_clk50   => clk50M,
+        c3_clk125  => clk125M,
+        c4_clk200  => clk200M,
+        pll_locked => mm_locked_out
+      );
   end generate;
 
 
   u_unb1_board_node_ctrl : entity work.unb1_board_node_ctrl
-  generic map (
-    g_pulse_us => g_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    xo_clk      => i_xo_clk,
-    xo_rst_n    => i_xo_rst_n,
-    sys_clk     => mm_clk,
-    sys_locked  => mm_locked,
-    sys_rst     => i_mm_rst,
-    cal_clk     => '0',
-    cal_rst     => OPEN,
-    st_clk      => node_ctrl_dp_clk_in,
-    st_rst      => node_ctrl_dp_rst_out,
-    wdi_in      => pout_wdi,
-    wdi_out     => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
-    pulse_us    => OPEN,
-    pulse_ms    => mm_pulse_ms,
-    pulse_s     => mm_pulse_s  -- could be used to toggle a LED
-  );
+    generic map (
+      g_pulse_us => g_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
+    )
+    port map (
+      xo_clk      => i_xo_clk,
+      xo_rst_n    => i_xo_rst_n,
+      sys_clk     => mm_clk,
+      sys_locked  => mm_locked,
+      sys_rst     => i_mm_rst,
+      cal_clk     => '0',
+      cal_rst     => OPEN,
+      st_clk      => node_ctrl_dp_clk_in,
+      st_rst      => node_ctrl_dp_rst_out,
+      wdi_in      => pout_wdi,
+      wdi_out     => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
+      pulse_us    => OPEN,
+      pulse_ms    => mm_pulse_ms,
+      pulse_s     => mm_pulse_s  -- could be used to toggle a LED
+    );
 
   -- System info
   cs_sim <= is_true(g_sim);
 
   u_mms_unb1_board_system_info : entity work.mms_unb1_board_system_info
-  generic map (
-    g_sim               => g_sim,
-    g_design_name       => g_design_name,
-    g_use_phy           => g_use_phy,
-    g_fw_version        => g_fw_version,
-    g_stamp_date        => g_stamp_date,
-    g_stamp_time        => g_stamp_time,
-    g_stamp_svn         => g_stamp_svn,
-    g_design_note       => g_design_note,
-    g_rom_version       => c_rom_version,
-    g_technology        => g_technology
-  )
-  port map (
-    mm_clk      => mm_clk,
-    mm_rst      => i_mm_rst,
-
-    hw_version  => VERSION,
-    id          => ID,
-
-    reg_mosi    => reg_unb_system_info_mosi,
-    reg_miso    => reg_unb_system_info_miso,
-
-    rom_mosi    => rom_unb_system_info_mosi,
-    rom_miso    => rom_unb_system_info_miso,
-
-    chip_id     => this_chip_id,
-    bck_id      => this_bck_id
-  );
+    generic map (
+      g_sim               => g_sim,
+      g_design_name       => g_design_name,
+      g_use_phy           => g_use_phy,
+      g_fw_version        => g_fw_version,
+      g_stamp_date        => g_stamp_date,
+      g_stamp_time        => g_stamp_time,
+      g_stamp_svn         => g_stamp_svn,
+      g_design_note       => g_design_note,
+      g_rom_version       => c_rom_version,
+      g_technology        => g_technology
+    )
+    port map (
+      mm_clk      => mm_clk,
+      mm_rst      => i_mm_rst,
+
+      hw_version  => VERSION,
+      id          => ID,
+
+      reg_mosi    => reg_unb_system_info_mosi,
+      reg_miso    => reg_unb_system_info_miso,
+
+      rom_mosi    => rom_unb_system_info_mosi,
+      rom_miso    => rom_unb_system_info_miso,
+
+      chip_id     => this_chip_id,
+      bck_id      => this_bck_id
+    );
 
 
   -----------------------------------------------------------------------------
@@ -531,12 +531,12 @@ begin
   led_toggle_green <= sel_a_b(g_design_name(1 to 8) /= "unb1_min", led_toggle, '0');
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => i_mm_rst,
-    clk         => mm_clk,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => i_mm_rst,
+      clk         => mm_clk,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
 
   ------------------------------------------------------------------------------
@@ -548,15 +548,15 @@ begin
   WDI <= sel_a_b(g_use_phy.wdi, mm_wdi or temp_alarm or wdi_override, 'Z');
 
   u_unb1_board_wdi_reg : entity work.unb1_board_wdi_reg
-  port map (
-    mm_rst              => i_mm_rst,
-    mm_clk              => mm_clk,
+    port map (
+      mm_rst              => i_mm_rst,
+      mm_clk              => mm_clk,
 
-    sla_in              => reg_wdi_mosi,
-    sla_out             => reg_wdi_miso,
+      sla_in              => reg_wdi_mosi,
+      sla_out             => reg_wdi_miso,
 
-    wdi_override        => wdi_override
-  );
+      wdi_override        => wdi_override
+    );
 
 
   ------------------------------------------------------------------------------
@@ -567,15 +567,15 @@ begin
   -- and reconfigure from that address.
   gen_mms_remu : if c_use_flash = true generate  -- enable on HW, disable to save simulation time when not used in tb
     u_mms_remu : entity remu_lib.mms_remu
-    port map (
-      mm_rst             => i_mm_rst,
-      mm_clk             => mm_clk,
+      port map (
+        mm_rst             => i_mm_rst,
+        mm_clk             => mm_clk,
 
-      epcs_clk           => epcs_clk,
+        epcs_clk           => epcs_clk,
 
-      remu_mosi          => reg_remu_mosi,
-      remu_miso          => reg_remu_miso
-    );
+        remu_mosi          => reg_remu_mosi,
+        remu_miso          => reg_remu_miso
+      );
   end generate;
 
   no_remu_in_sim : if c_use_flash = false generate
@@ -588,31 +588,31 @@ begin
   -----------------------------------------------------------------------------
   gen_mms_epcs : if c_use_flash = true generate  -- enable on HW, disable to save simulation time when not used in tb
     u_mms_epcs : entity epcs_lib.mms_epcs
-    generic map (
-      g_sim_flash_model    => g_sim_flash_model,
-      g_protect_addr_range => g_epcs_protect_addr_range
-    )
-    port map (
-      mm_rst             => i_mm_rst,
-      mm_clk             => mm_clk,
+      generic map (
+        g_sim_flash_model    => g_sim_flash_model,
+        g_protect_addr_range => g_epcs_protect_addr_range
+      )
+      port map (
+        mm_rst             => i_mm_rst,
+        mm_clk             => mm_clk,
 
-      epcs_clk           => epcs_clk,
+        epcs_clk           => epcs_clk,
 
-      epcs_mosi          => reg_epcs_mosi,
-      epcs_miso          => reg_epcs_miso,
+        epcs_mosi          => reg_epcs_mosi,
+        epcs_miso          => reg_epcs_miso,
 
-      dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
-      dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
+        dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
+        dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
 
-      dpmm_data_mosi     => reg_dpmm_data_mosi,
-      dpmm_data_miso     => reg_dpmm_data_miso,
+        dpmm_data_mosi     => reg_dpmm_data_mosi,
+        dpmm_data_miso     => reg_dpmm_data_miso,
 
-      mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
-      mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
+        mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
+        mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
 
-      mmdp_data_mosi     => reg_mmdp_data_mosi,
-      mmdp_data_miso     => reg_mmdp_data_miso
-    );
+        mmdp_data_mosi     => reg_mmdp_data_mosi,
+        mmdp_data_miso     => reg_mmdp_data_miso
+      );
   end generate;
 
   no_epcs_in_sim : if c_use_flash = false generate
@@ -627,45 +627,45 @@ begin
   -- PPS input
   ------------------------------------------------------------------------------
   u_mms_ppsh : entity ppsh_lib.mms_ppsh
-  generic map (
-    g_st_clk_freq     => g_dp_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst           => i_mm_rst,
-    mm_clk           => mm_clk,
-    st_rst           => dp_rst_in,
-    st_clk           => dp_clk_in,
-    pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
-
-    -- Memory-mapped clock domain
-    reg_mosi         => reg_ppsh_mosi,
-    reg_miso         => reg_ppsh_miso,
-
-    -- Streaming clock domain
-    pps_sys          => mms_ppsh_pps_sys
-  );
+    generic map (
+      g_st_clk_freq     => g_dp_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst           => i_mm_rst,
+      mm_clk           => mm_clk,
+      st_rst           => dp_rst_in,
+      st_clk           => dp_clk_in,
+      pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
+
+      -- Memory-mapped clock domain
+      reg_mosi         => reg_ppsh_mosi,
+      reg_miso         => reg_ppsh_miso,
+
+      -- Streaming clock domain
+      pps_sys          => mms_ppsh_pps_sys
+    );
 
   ------------------------------------------------------------------------------
   -- PPS delay
   ------------------------------------------------------------------------------
   gen_mms_common_pulse_delay : if g_pps_delay_max > 0 generate
     u_mms_common_pulse_delay : entity common_lib.mms_common_pulse_delay
-    generic map (
-      g_pulse_delay_max => g_pps_delay_max,
-      g_register_out    => true
-    )
-    port map (
-      pulse_clk => dp_clk_in,
-      pulse_rst => dp_rst_in,
-      pulse_in  => mms_ppsh_pps_sys,
-      pulse_out => dp_pps,
-
-      mm_clk    => mm_clk,
-      mm_rst    => i_mm_rst,
-      reg_mosi  => reg_common_pulse_delay_mosi,
-      reg_miso  => reg_common_pulse_delay_miso
-    );
+      generic map (
+        g_pulse_delay_max => g_pps_delay_max,
+        g_register_out    => true
+      )
+      port map (
+        pulse_clk => dp_clk_in,
+        pulse_rst => dp_rst_in,
+        pulse_in  => mms_ppsh_pps_sys,
+        pulse_out => dp_pps,
+
+        mm_clk    => mm_clk,
+        mm_rst    => i_mm_rst,
+        reg_mosi  => reg_common_pulse_delay_mosi,
+        reg_miso  => reg_common_pulse_delay_miso
+      );
   end generate;
 
   no_mms_common_pulse_delay : if g_pps_delay_max = 0 generate
@@ -679,28 +679,28 @@ begin
   mm_board_sens_start <= mm_pulse_s when g_sim = false else mm_pulse_ms;  -- speed up in simulation
 
   u_mms_unb1_board_sens : entity work.mms_unb1_board_sens
-  generic map (
-    g_sim       => g_sim,
-    g_clk_freq  => g_mm_clk_freq,
-    g_temp_high => g_fpga_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_sens_mosi,
-    reg_miso  => reg_unb_sens_miso,
-
-    -- i2c bus
-    scl       => sens_sc,
-    sda       => sens_sd,
-
-    -- Temperature alarm
-    temp_alarm => temp_alarm
-  );
+    generic map (
+      g_sim       => g_sim,
+      g_clk_freq  => g_mm_clk_freq,
+      g_temp_high => g_fpga_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_sens_mosi,
+      reg_miso  => reg_unb_sens_miso,
+
+      -- i2c bus
+      scl       => sens_sc,
+      sda       => sens_sd,
+
+      -- Temperature alarm
+      temp_alarm => temp_alarm
+    );
 
 
   ------------------------------------------------------------------------------
@@ -731,44 +731,44 @@ begin
 
 
     u_mac : entity eth_lib.eth
-    generic map (
-      g_technology         => g_technology,
-      g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
-      g_cross_clock_domain => g_udp_offload,
-      g_sim                => g_sim,
-      g_sim_level          => g_sim_level
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
-      mm_clk            => mm_clk,  -- use mm_clk direct
-      eth_clk           => eth1g_tse_clk,  -- use the dedicated 125 MHz tse_clock, independent of the mm_clk
-      st_rst            => eth1g_st_rst,
-      st_clk            => eth1g_st_clk,
-
-      -- UDP transmit interface
-      udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
-      udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
-      -- UDP receive interface
-      udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
-      udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves
-      tse_sla_in        => eth1g_tse_mosi,
-      tse_sla_out       => eth1g_tse_miso,
-      reg_sla_in        => eth1g_reg_mosi,
-      reg_sla_out       => eth1g_reg_miso,
-      reg_sla_interrupt => eth1g_reg_interrupt,
-      ram_sla_in        => eth1g_ram_mosi,
-      ram_sla_out       => eth1g_ram_miso,
-
-      -- PHY interface
-      eth_txp           => ETH_SGOUT,
-      eth_rxp           => ETH_SGIN,
-
-      -- LED interface
-      tse_led           => eth1g_led
-    );
+      generic map (
+        g_technology         => g_technology,
+        g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
+        g_cross_clock_domain => g_udp_offload,
+        g_sim                => g_sim,
+        g_sim_level          => g_sim_level
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
+        mm_clk            => mm_clk,  -- use mm_clk direct
+        eth_clk           => eth1g_tse_clk,  -- use the dedicated 125 MHz tse_clock, independent of the mm_clk
+        st_rst            => eth1g_st_rst,
+        st_clk            => eth1g_st_clk,
+
+        -- UDP transmit interface
+        udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
+        udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
+        -- UDP receive interface
+        udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
+        udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves
+        tse_sla_in        => eth1g_tse_mosi,
+        tse_sla_out       => eth1g_tse_miso,
+        reg_sla_in        => eth1g_reg_mosi,
+        reg_sla_out       => eth1g_reg_miso,
+        reg_sla_interrupt => eth1g_reg_interrupt,
+        ram_sla_in        => eth1g_ram_mosi,
+        ram_sla_out       => eth1g_ram_miso,
+
+        -- PHY interface
+        eth_txp           => ETH_SGOUT,
+        eth_rxp           => ETH_SGIN,
+
+        -- LED interface
+        tse_led           => eth1g_led
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_sens.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_sens.vhd
index dc25926e50..2d6ce03029 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_sens.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_sens.vhd
@@ -23,10 +23,10 @@
 -- Description: See unb1_board_sens.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity mms_unb1_board_sens is
@@ -68,46 +68,46 @@ architecture str of mms_unb1_board_sens is
 begin
 
   u_unb1_board_sens_reg : entity work.unb1_board_sens_reg
-  generic map (
-    g_sens_nof_result => c_sens_nof_result,
-    g_temp_high       => g_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in       => reg_mosi,
-    sla_out      => reg_miso,
-
-    -- MM registers
-    sens_err     => sens_err,  -- using same protocol list for both BN3 and all nodes implies that sens_err is only valid for BN3.
-    sens_data    => sens_data,
-
-    -- Max temp threshold
-    temp_high    => temp_high
-  );
+    generic map (
+      g_sens_nof_result => c_sens_nof_result,
+      g_temp_high       => g_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in       => reg_mosi,
+      sla_out      => reg_miso,
+
+      -- MM registers
+      sens_err     => sens_err,  -- using same protocol list for both BN3 and all nodes implies that sens_err is only valid for BN3.
+      sens_data    => sens_data,
+
+      -- Max temp threshold
+      temp_high    => temp_high
+    );
 
   u_unb1_board_sens : entity work.unb1_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_clk_freq        => g_clk_freq,
-    g_temp_high       => g_temp_high,
-    g_sens_nof_result => c_sens_nof_result
-  )
-  port map (
-    clk          => mm_clk,
-    rst          => mm_rst,
-    start        => mm_start,
-    -- i2c bus
-    scl          => scl,
-    sda          => sda,
-    -- read results
-    sens_evt     => OPEN,
-    sens_err     => sens_err,
-    sens_data    => sens_data
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_clk_freq        => g_clk_freq,
+      g_temp_high       => g_temp_high,
+      g_sens_nof_result => c_sens_nof_result
+    )
+    port map (
+      clk          => mm_clk,
+      rst          => mm_rst,
+      start        => mm_start,
+      -- i2c bus
+      scl          => scl,
+      sda          => sda,
+      -- read results
+      sens_evt     => OPEN,
+      sens_err     => sens_err,
+      sens_data    => sens_data
+    );
 
   -- Temperature: 7 bits (1 bit per degree) plus sign. A faulty readout (never pulled down = all ones)
   -- would produce -1 degrees so does not trigger a temperature alarm.
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_system_info.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_system_info.vhd
index c5a41f645f..7065f8dc56 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_system_info.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/mms_unb1_board_system_info.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb1_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb1_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity mms_unb1_board_system_info is
   generic (
@@ -59,7 +59,7 @@ entity mms_unb1_board_system_info is
 
     -- Info output still supported for older designs
     info            : out std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end mms_unb1_board_system_info;
 
 
@@ -71,72 +71,74 @@ architecture str of mms_unb1_board_system_info is
   constant c_modelsim_path_prefix : string := "$UNB/Firmware/designs/" & g_design_name & "/build/synth/quartus/";
   constant c_path_prefix          : string := sel_a_b(g_sim, c_modelsim_path_prefix, c_quartus_path_prefix);
 
--- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
---  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
+  -- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
+  --  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
   constant c_mif_name    : string :=  sel_a_b(g_sim, "UNUSED", sel_a_b((g_design_name = "UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif"));
 
   constant c_rom_addr_w  : natural := 10;  -- 2^10 = 1024 addresses * 32 bits = 4 kiB
 
-  constant c_mm_rom      : t_c_mem := (latency  => 1,
-                                      adr_w    => c_rom_addr_w,
-                                      dat_w    => c_word_w,
-                                      nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
-                                      init_sl  => '0');
+  constant c_mm_rom      : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_rom_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
 
   signal i_info          : std_logic_vector(c_word_w - 1 downto 0);
 
 begin
 
- info <= i_info;
+  info <= i_info;
 
   u_unb1_board_system_info: entity work.unb1_board_system_info
-  generic map (
-    g_sim               => g_sim,
-    g_fw_version        => g_fw_version,
-    g_rom_version       => g_rom_version,
-    g_technology        => g_technology
-  )
-  port map (
-    clk        => mm_clk,
-    hw_version => hw_version,
-    id         => id,
-    info       => i_info,
-    chip_id    => chip_id,
-    bck_id     => bck_id
-   );
+    generic map (
+      g_sim               => g_sim,
+      g_fw_version        => g_fw_version,
+      g_rom_version       => g_rom_version,
+      g_technology        => g_technology
+    )
+    port map (
+      clk        => mm_clk,
+      hw_version => hw_version,
+      id         => id,
+      info       => i_info,
+      chip_id    => chip_id,
+      bck_id     => bck_id
+    );
 
   u_unb1_board_system_info_reg: entity work.unb1_board_system_info_reg
-  generic map (
-    g_design_name => g_design_name,
-    g_use_phy     => g_use_phy,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_design_note => g_design_note
-  )
-  port map (
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
-
-    sla_in    => reg_mosi,
-    sla_out   => reg_miso,
-
-    info      => i_info
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_use_phy     => g_use_phy,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_design_note => g_design_note
+    )
+    port map (
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+
+      sla_in    => reg_mosi,
+      sla_out   => reg_miso,
+
+      info      => i_info
+    );
 
   u_common_rom : entity common_lib.common_rom
-  generic map (
-    g_ram       => c_mm_rom,
-    g_init_file => c_mif_name
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => mm_clk,
-    rd_en   => rom_mosi.rd,
-    rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
-    rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
-    rd_val  => rom_miso.rdval
-  );
+    generic map (
+      g_ram       => c_mm_rom,
+      g_init_file => c_mif_name
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => mm_clk,
+      rd_en   => rom_mosi.rd,
+      rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
+      rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
+      rd_val  => rom_miso.rdval
+    );
 
 end str;
 
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/node_unb1_fn_terminal_db.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/node_unb1_fn_terminal_db.vhd
index 08fd646a24..dd25e17788 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/node_unb1_fn_terminal_db.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/node_unb1_fn_terminal_db.vhd
@@ -124,13 +124,13 @@
 
 
 library IEEE, common_lib, dp_lib, unb1_board_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
 
 
 entity node_unb1_fn_terminal_db is
@@ -241,7 +241,7 @@ architecture str of node_unb1_fn_terminal_db is
   signal rx_usr_siso_arr          : t_dp_siso_arr(g_usr_nof_streams - 1 downto 0);
   signal rx_usr_sosi_arr          : t_dp_sosi_arr(g_usr_nof_streams - 1 downto 0);
 
-   -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   -- Data buffer
   -----------------------------------------------------------------------------
   signal db_in_sosi_arr           : t_dp_sosi_arr(g_usr_nof_streams - 1 downto 0);
@@ -254,67 +254,67 @@ begin
     -----------------------------------------------------------------------------
 
     u_terminals_mesh : entity unb1_board_lib.unb1_board_terminals_mesh
-    generic map (
-      g_sim                  => g_sim,
-      g_sim_level            => g_sim_level,
-      -- System
-      g_node_type            => e_fn,
-      g_nof_bus              => c_unb1_board_nof_bn,  -- 4 to 4 nodes in mesh
-      -- User
-      g_usr_use_complex      => true,
-      g_usr_data_w           => g_usr_data_w,
-      g_usr_frame_len        => g_usr_block_len,
-      g_usr_nof_streams      => c_usr_nof_streams_per_bus,
-      -- Phy
-      g_phy_nof_serial       => g_mesh_nof_serial,
-      g_phy_gx_mbps          => g_mesh_gx_mbps,
-      g_phy_rx_fifo_size     => c_phy_rx_fifo_size,
-      g_phy_ena_reorder      => g_mesh_ena_reorder,
-      -- Tx
-      g_use_tx               => g_mesh_use_tx,  -- optionally do support diag Tx
-      g_tx_input_use_fifo    => false,  -- no user Tx
-      -- Rx
-      g_use_rx               => true,  -- user Rx must be TRUE for DB in FN,
-      g_rx_output_use_fifo   => true,  -- Rx output provides FIFOs to ensure that dp_distribute does not get blocked due to substantial backpressure on another output
-      g_rx_output_fifo_size  => c_rx_output_fifo_size,
-      g_rx_output_fifo_fill  => c_rx_output_fifo_fill,
-      g_rx_timeout_w         => c_rx_timeout_w,
-      -- Monitoring
-      g_mon_select           => g_mesh_mon_select,
-      g_mon_nof_words        => g_mesh_mon_nof_words,
-      g_mon_use_sync         => g_mesh_mon_use_sync
-    )
-    port map (
-      chip_id                => chip_id,
-
-      mm_rst                 => mm_rst,
-      mm_clk                 => mm_clk,
-      dp_rst                 => dp_rst,
-      dp_clk                 => dp_clk,
-      dp_sync                => dp_pps,
-      tr_clk                 => tr_mesh_clk,
-      cal_clk                => cal_clk,
-
-      -- User interface (4 nodes)(4 input streams)
-      rx_usr_siso_2arr       => rx_usr_siso_2arr,
-      rx_usr_sosi_2arr       => rx_usr_sosi_2arr,  -- Rx (user Tx from FN to BN is unused)
-
-      -- Mesh interface level (4 nodes)(4 lanes)
-      -- . Serial (tr_nonbonded)
-      tx_serial_2arr         => tx_serial_2arr,  -- Tx
-      rx_serial_2arr         => rx_serial_2arr,  -- Rx
-
-      -- MM Control
-      -- . tr_nonbonded
-      reg_tr_nonbonded_mosi  => reg_tr_nonbonded_mosi,
-      reg_tr_nonbonded_miso  => reg_tr_nonbonded_miso,
-      reg_diagnostics_mosi   => reg_diagnostics_mosi,
-      reg_diagnostics_miso   => reg_diagnostics_miso,
-
-      -- . diag_data_buffer
-      ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
-      ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
-    );
+      generic map (
+        g_sim                  => g_sim,
+        g_sim_level            => g_sim_level,
+        -- System
+        g_node_type            => e_fn,
+        g_nof_bus              => c_unb1_board_nof_bn,  -- 4 to 4 nodes in mesh
+        -- User
+        g_usr_use_complex      => true,
+        g_usr_data_w           => g_usr_data_w,
+        g_usr_frame_len        => g_usr_block_len,
+        g_usr_nof_streams      => c_usr_nof_streams_per_bus,
+        -- Phy
+        g_phy_nof_serial       => g_mesh_nof_serial,
+        g_phy_gx_mbps          => g_mesh_gx_mbps,
+        g_phy_rx_fifo_size     => c_phy_rx_fifo_size,
+        g_phy_ena_reorder      => g_mesh_ena_reorder,
+        -- Tx
+        g_use_tx               => g_mesh_use_tx,  -- optionally do support diag Tx
+        g_tx_input_use_fifo    => false,  -- no user Tx
+        -- Rx
+        g_use_rx               => true,  -- user Rx must be TRUE for DB in FN,
+        g_rx_output_use_fifo   => true,  -- Rx output provides FIFOs to ensure that dp_distribute does not get blocked due to substantial backpressure on another output
+        g_rx_output_fifo_size  => c_rx_output_fifo_size,
+        g_rx_output_fifo_fill  => c_rx_output_fifo_fill,
+        g_rx_timeout_w         => c_rx_timeout_w,
+        -- Monitoring
+        g_mon_select           => g_mesh_mon_select,
+        g_mon_nof_words        => g_mesh_mon_nof_words,
+        g_mon_use_sync         => g_mesh_mon_use_sync
+      )
+      port map (
+        chip_id                => chip_id,
+
+        mm_rst                 => mm_rst,
+        mm_clk                 => mm_clk,
+        dp_rst                 => dp_rst,
+        dp_clk                 => dp_clk,
+        dp_sync                => dp_pps,
+        tr_clk                 => tr_mesh_clk,
+        cal_clk                => cal_clk,
+
+        -- User interface (4 nodes)(4 input streams)
+        rx_usr_siso_2arr       => rx_usr_siso_2arr,
+        rx_usr_sosi_2arr       => rx_usr_sosi_2arr,  -- Rx (user Tx from FN to BN is unused)
+
+        -- Mesh interface level (4 nodes)(4 lanes)
+        -- . Serial (tr_nonbonded)
+        tx_serial_2arr         => tx_serial_2arr,  -- Tx
+        rx_serial_2arr         => rx_serial_2arr,  -- Rx
+
+        -- MM Control
+        -- . tr_nonbonded
+        reg_tr_nonbonded_mosi  => reg_tr_nonbonded_mosi,
+        reg_tr_nonbonded_miso  => reg_tr_nonbonded_miso,
+        reg_diagnostics_mosi   => reg_diagnostics_mosi,
+        reg_diagnostics_miso   => reg_diagnostics_miso,
+
+        -- . diag_data_buffer
+        ram_diag_data_buf_mosi => ram_mesh_diag_data_buf_mosi,
+        ram_diag_data_buf_miso => ram_mesh_diag_data_buf_miso
+      );
 
     ---------------------------------------------------------------------------------------
     -- Forward the received streams, rewire for single or multi UniBoard use
@@ -350,51 +350,51 @@ begin
 
     gen_align : if g_use_bsn_align = true generate
       u_bsn_align : entity dp_lib.dp_bsn_align
-      generic map (
-        g_block_size           => g_usr_block_len,
-        g_nof_input            => g_usr_nof_streams,
-        g_xoff_timeout         => c_xoff_timeout,
-        g_sop_timeout          => c_sop_timeout,
-        g_bsn_latency          => c_burst_bsn_latency,
-        g_bsn_request_pipeline => c_bsn_request_pipeline
-      )
-      port map (
-        rst         => dp_rst,
-        clk         => dp_clk,
-        -- ST sinks
-        snk_out_arr => rx_usr_siso_arr,
-        snk_in_arr  => rx_usr_sosi_arr,
-        -- ST source
-        src_in_arr  => dp_out_siso_arr,
-        src_out_arr => db_in_sosi_arr,
-        -- MM
-        in_en_evt   => '0',  -- pulse '1' indicates that the in_en_arr user input enables have been updated
-        in_en_arr   => (others => '1')  -- default all user inputs are enabled
-      );
+        generic map (
+          g_block_size           => g_usr_block_len,
+          g_nof_input            => g_usr_nof_streams,
+          g_xoff_timeout         => c_xoff_timeout,
+          g_sop_timeout          => c_sop_timeout,
+          g_bsn_latency          => c_burst_bsn_latency,
+          g_bsn_request_pipeline => c_bsn_request_pipeline
+        )
+        port map (
+          rst         => dp_rst,
+          clk         => dp_clk,
+          -- ST sinks
+          snk_out_arr => rx_usr_siso_arr,
+          snk_in_arr  => rx_usr_sosi_arr,
+          -- ST source
+          src_in_arr  => dp_out_siso_arr,
+          src_out_arr => db_in_sosi_arr,
+          -- MM
+          in_en_evt   => '0',  -- pulse '1' indicates that the in_en_arr user input enables have been updated
+          in_en_arr   => (others => '1')  -- default all user inputs are enabled
+        );
 
       u_bsn_monitor_align : entity dp_lib.mms_dp_bsn_monitor
-      generic map (
-        g_nof_streams        => 1,  -- All streams are synchronous. Only monitor stream(0).
-        g_cross_clock_domain => true,
-        g_sync_timeout       => g_mesh_sync_timeout,
-        g_bsn_w              => c_dp_stream_bsn_w,
-        g_cnt_sop_w          => c_word_w,
-        g_cnt_valid_w        => c_word_w,
-        g_log_first_bsn      => true
-      )
-      port map (
-        -- Memory-mapped clock domain
-        mm_rst      => mm_rst,
-        mm_clk      => mm_clk,
-        reg_mosi    => reg_bsn_monitor_mosi,
-        reg_miso    => reg_bsn_monitor_miso,
-
-        -- Streaming clock domain
-        dp_rst      => dp_rst,
-        dp_clk      => dp_clk,
-        in_siso_arr => (others => c_dp_siso_rdy),
-        in_sosi_arr => db_in_sosi_arr(0 downto 0)
-      );
+        generic map (
+          g_nof_streams        => 1,  -- All streams are synchronous. Only monitor stream(0).
+          g_cross_clock_domain => true,
+          g_sync_timeout       => g_mesh_sync_timeout,
+          g_bsn_w              => c_dp_stream_bsn_w,
+          g_cnt_sop_w          => c_word_w,
+          g_cnt_valid_w        => c_word_w,
+          g_log_first_bsn      => true
+        )
+        port map (
+          -- Memory-mapped clock domain
+          mm_rst      => mm_rst,
+          mm_clk      => mm_clk,
+          reg_mosi    => reg_bsn_monitor_mosi,
+          reg_miso    => reg_bsn_monitor_miso,
+
+          -- Streaming clock domain
+          dp_rst      => dp_rst,
+          dp_clk      => dp_clk,
+          in_siso_arr => (others => c_dp_siso_rdy),
+          in_sosi_arr => db_in_sosi_arr(0 downto 0)
+        );
     end generate;
 
     -----------------------------------------------------------------------------
@@ -407,27 +407,27 @@ begin
 
     gen_data_buf : if g_use_data_buf = true generate
       u_data_buf : entity diag_lib.mms_diag_data_buffer
-      generic map (
-        g_nof_streams  => g_usr_nof_streams,
-        g_data_w       => g_usr_data_w,
-        g_buf_nof_data => 1024,
-        g_buf_use_sync => true
-      )
-      port map (
-        -- System
-        mm_rst            => mm_rst,
-        mm_clk            => mm_clk,
-        dp_rst            => dp_rst,
-        dp_clk            => dp_clk,
-        -- MM interface
-        ram_data_buf_mosi => ram_diag_data_buf_mosi,
-        ram_data_buf_miso => ram_diag_data_buf_miso,
-        reg_data_buf_mosi => reg_diag_data_buf_mosi,
-        reg_data_buf_miso => reg_diag_data_buf_miso,
-        -- ST interface
-        in_sync           => db_in_sosi_arr(0).sync,
-        in_sosi_arr       => db_in_sosi_arr
-      );
+        generic map (
+          g_nof_streams  => g_usr_nof_streams,
+          g_data_w       => g_usr_data_w,
+          g_buf_nof_data => 1024,
+          g_buf_use_sync => true
+        )
+        port map (
+          -- System
+          mm_rst            => mm_rst,
+          mm_clk            => mm_clk,
+          dp_rst            => dp_rst,
+          dp_clk            => dp_clk,
+          -- MM interface
+          ram_data_buf_mosi => ram_diag_data_buf_mosi,
+          ram_data_buf_miso => ram_diag_data_buf_miso,
+          reg_data_buf_mosi => reg_diag_data_buf_mosi,
+          reg_data_buf_miso => reg_diag_data_buf_miso,
+          -- ST interface
+          in_sync           => db_in_sosi_arr(0).sync,
+          in_sosi_arr       => db_in_sosi_arr
+        );
     end generate;
 
     -----------------------------------------------------------------------------
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_io.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_io.vhd
index e7e030fc9e..131eb3b810 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_io.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_back_io is
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_reorder.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_reorder.vhd
index f5d68d2f2c..91ae17506c 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_reorder.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_reorder.vhd
@@ -53,11 +53,11 @@
 -- . See unb1_board_back_model_sl.vhd for the Apertif backplane model
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_back_reorder is
@@ -100,69 +100,69 @@ begin
     -- Map the usr busses for the other UniBoards to the phy busses 2:0
     case TO_UINT(bck_id) is
       when 0 =>
-           -- UniBoard 0 connects to UniBoards 3,2,1 via phy busses 0,2,1
-           tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(1);  -- to   unb 1
-           tx_usr_siso_2arr(1) <= tx_phy_siso_2arr(1);
-           rx_usr_sosi_2arr(1) <= rx_phy_sosi_2arr(1);  -- from unb 1
-           rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(1);
-
-           tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(2);  -- to   unb 2
-           tx_usr_siso_2arr(2) <= tx_phy_siso_2arr(2);
-           rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(2);  -- from unb 2
-           rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(2);
-
-           tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(3);  -- to   unb 3
-           tx_usr_siso_2arr(3) <= tx_phy_siso_2arr(0);
-           rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(0);  -- from unb 3
-           rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(3);
+        -- UniBoard 0 connects to UniBoards 3,2,1 via phy busses 0,2,1
+        tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(1);  -- to   unb 1
+        tx_usr_siso_2arr(1) <= tx_phy_siso_2arr(1);
+        rx_usr_sosi_2arr(1) <= rx_phy_sosi_2arr(1);  -- from unb 1
+        rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(1);
+
+        tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(2);  -- to   unb 2
+        tx_usr_siso_2arr(2) <= tx_phy_siso_2arr(2);
+        rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(2);  -- from unb 2
+        rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(2);
+
+        tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(3);  -- to   unb 3
+        tx_usr_siso_2arr(3) <= tx_phy_siso_2arr(0);
+        rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(0);  -- from unb 3
+        rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(3);
       when 1 =>
-           -- UniBoard 1 connects to UniBoards 3,2,0 via phy busses 0,1,2
-           tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(0);  -- to   unb 0
-           tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(2);
-           rx_usr_sosi_2arr(0) <= rx_phy_sosi_2arr(2);  -- from unb 0
-           rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(0);
-
-           tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(2);  -- to   unb 2
-           tx_usr_siso_2arr(2) <= tx_phy_siso_2arr(1);
-           rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(1);  -- from unb 2
-           rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(2);
-
-           tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(3);  -- to   unb 3
-           tx_usr_siso_2arr(3) <= tx_phy_siso_2arr(0);
-           rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(0);  -- from unb 3
-           rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(3);
+        -- UniBoard 1 connects to UniBoards 3,2,0 via phy busses 0,1,2
+        tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(0);  -- to   unb 0
+        tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(2);
+        rx_usr_sosi_2arr(0) <= rx_phy_sosi_2arr(2);  -- from unb 0
+        rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(0);
+
+        tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(2);  -- to   unb 2
+        tx_usr_siso_2arr(2) <= tx_phy_siso_2arr(1);
+        rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(1);  -- from unb 2
+        rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(2);
+
+        tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(3);  -- to   unb 3
+        tx_usr_siso_2arr(3) <= tx_phy_siso_2arr(0);
+        rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(0);  -- from unb 3
+        rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(3);
       when 2 =>
-           -- UniBoard 2 connects to UniBoards 3,1,0 via phy busses 1,0,2
-           tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(0);  -- to   unb 0
-           tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(2);
-           rx_usr_sosi_2arr(0) <= rx_phy_sosi_2arr(2);  -- from unb 0
-           rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(0);
-
-           tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(1);  -- to   unb 1
-           tx_usr_siso_2arr(1) <= tx_phy_siso_2arr(0);
-           rx_usr_sosi_2arr(1) <= rx_phy_sosi_2arr(0);  -- from unb 1
-           rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(1);
-
-           tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(3);  -- to   unb 3
-           tx_usr_siso_2arr(3) <= tx_phy_siso_2arr(1);
-           rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(1);  -- from unb 3
-           rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(3);
+        -- UniBoard 2 connects to UniBoards 3,1,0 via phy busses 1,0,2
+        tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(0);  -- to   unb 0
+        tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(2);
+        rx_usr_sosi_2arr(0) <= rx_phy_sosi_2arr(2);  -- from unb 0
+        rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(0);
+
+        tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(1);  -- to   unb 1
+        tx_usr_siso_2arr(1) <= tx_phy_siso_2arr(0);
+        rx_usr_sosi_2arr(1) <= rx_phy_sosi_2arr(0);  -- from unb 1
+        rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(1);
+
+        tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(3);  -- to   unb 3
+        tx_usr_siso_2arr(3) <= tx_phy_siso_2arr(1);
+        rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(1);  -- from unb 3
+        rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(3);
       when 3 =>
-           -- UniBoard 3 connects to UniBoards 2,1,0 via phy busses 2,1,0
-           tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(0);  -- to   unb 0
-           tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(0);
-           rx_usr_sosi_2arr(0) <= rx_phy_sosi_2arr(0);  -- from unb 0
-           rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(0);
-
-           tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(1);  -- to   unb 1
-           tx_usr_siso_2arr(1) <= tx_phy_siso_2arr(1);
-           rx_usr_sosi_2arr(1) <= rx_phy_sosi_2arr(1);  -- from unb 1
-           rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(1);
-
-           tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(2);  -- to   unb 2
-           tx_usr_siso_2arr(2) <= tx_phy_siso_2arr(2);
-           rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(2);  -- from unb 2
-           rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(2);
+        -- UniBoard 3 connects to UniBoards 2,1,0 via phy busses 2,1,0
+        tx_phy_sosi_2arr(0) <= tx_usr_sosi_2arr(0);  -- to   unb 0
+        tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(0);
+        rx_usr_sosi_2arr(0) <= rx_phy_sosi_2arr(0);  -- from unb 0
+        rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(0);
+
+        tx_phy_sosi_2arr(1) <= tx_usr_sosi_2arr(1);  -- to   unb 1
+        tx_usr_siso_2arr(1) <= tx_phy_siso_2arr(1);
+        rx_usr_sosi_2arr(1) <= rx_phy_sosi_2arr(1);  -- from unb 1
+        rx_phy_siso_2arr(1) <= rx_usr_siso_2arr(1);
+
+        tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(2);  -- to   unb 2
+        tx_usr_siso_2arr(2) <= tx_phy_siso_2arr(2);
+        rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(2);  -- from unb 2
+        rx_phy_siso_2arr(2) <= rx_usr_siso_2arr(2);
       when others => null;
     end case;
   end process;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_select.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_select.vhd
index 54e4572ae6..bb75cadd21 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_select.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_select.vhd
@@ -36,11 +36,11 @@
 --   are ignored.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 entity unb1_board_back_select is
   port (
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_uth_terminals_bidir.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_uth_terminals_bidir.vhd
index 8d04d02cc6..b9975ddc82 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_uth_terminals_bidir.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_back_uth_terminals_bidir.vhd
@@ -26,13 +26,13 @@
 --         except for the SOSI entity I/O types and the monitor outputs.
 
 library IEEE, common_lib, dp_lib, uth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use work.unb1_board_pkg.all;
-use uth_lib.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use work.unb1_board_pkg.all;
+  use uth_lib.uth_pkg.all;
 
 entity unb1_board_back_uth_terminals_bidir is
   generic (
@@ -87,51 +87,51 @@ begin
 
   gen_bus : for I in 0 to c_unb1_board_tr_back.nof_bus - 1 generate
     u_uth_terminal_bidir : entity uth_lib.uth_terminal_bidir
-    generic map (
-      -- User
-      g_usr_nof_streams     => g_usr_nof_streams,
-      g_usr_use_complex     => g_usr_use_complex,
-      g_usr_data_w          => g_usr_data_w,
-      g_usr_frame_len       => g_usr_frame_len,
-      -- DP/UTH packet
-      g_packet_data_w       => g_packet_data_w,
-      -- Phy
-      g_phy_nof_serial      => g_phy_nof_serial,
-      -- Tx
-      g_use_tx              => g_use_tx,
-      g_tx_mux_mode         => c_tx_mux_mode,
-      g_tx_input_use_fifo   => g_tx_input_use_fifo,
-      g_tx_input_fifo_size  => g_tx_input_fifo_size,
-      g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
-      -- Rx
-      g_use_rx              => g_use_rx,
-      g_rx_output_use_fifo  => g_rx_output_use_fifo,
-      g_rx_output_fifo_size => g_rx_output_fifo_size,
-      g_rx_output_fifo_fill => g_rx_output_fifo_fill,
-      g_rx_timeout_w        => g_rx_timeout_w
-    )
-    port map (
-      dp_rst                => dp_rst,
-      dp_clk                => dp_clk,
-
-      -- usr side interface
-      tx_dp_sosi_arr        => tx_dp_sosi_2arr(I),
-      tx_dp_siso_arr        => tx_dp_siso_2arr(I),
-
-      rx_dp_sosi_arr        => rx_dp_sosi_2arr(I),
-      rx_dp_siso_arr        => rx_dp_siso_2arr(I),
-
-      -- phy side interface
-      tx_uth_sosi_arr       => tx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
-      tx_uth_siso_arr       => tx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
-
-      rx_uth_sosi_arr       => rx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
-      rx_uth_siso_arr       => rx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
-
-      -- monitoring interface
-      rx_mon_pkt_sosi_arr   => OPEN,
-      rx_mon_dist_sosi_arr  => open
-    );
+      generic map (
+        -- User
+        g_usr_nof_streams     => g_usr_nof_streams,
+        g_usr_use_complex     => g_usr_use_complex,
+        g_usr_data_w          => g_usr_data_w,
+        g_usr_frame_len       => g_usr_frame_len,
+        -- DP/UTH packet
+        g_packet_data_w       => g_packet_data_w,
+        -- Phy
+        g_phy_nof_serial      => g_phy_nof_serial,
+        -- Tx
+        g_use_tx              => g_use_tx,
+        g_tx_mux_mode         => c_tx_mux_mode,
+        g_tx_input_use_fifo   => g_tx_input_use_fifo,
+        g_tx_input_fifo_size  => g_tx_input_fifo_size,
+        g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
+        -- Rx
+        g_use_rx              => g_use_rx,
+        g_rx_output_use_fifo  => g_rx_output_use_fifo,
+        g_rx_output_fifo_size => g_rx_output_fifo_size,
+        g_rx_output_fifo_fill => g_rx_output_fifo_fill,
+        g_rx_timeout_w        => g_rx_timeout_w
+      )
+      port map (
+        dp_rst                => dp_rst,
+        dp_clk                => dp_clk,
+
+        -- usr side interface
+        tx_dp_sosi_arr        => tx_dp_sosi_2arr(I),
+        tx_dp_siso_arr        => tx_dp_siso_2arr(I),
+
+        rx_dp_sosi_arr        => rx_dp_sosi_2arr(I),
+        rx_dp_siso_arr        => rx_dp_siso_2arr(I),
+
+        -- phy side interface
+        tx_uth_sosi_arr       => tx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
+        tx_uth_siso_arr       => tx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
+
+        rx_uth_sosi_arr       => rx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
+        rx_uth_siso_arr       => rx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
+
+        -- monitoring interface
+        rx_mon_pkt_sosi_arr   => OPEN,
+        rx_mon_dist_sosi_arr  => open
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk200_pll.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk200_pll.vhd
index 87130a8e65..887af8b991 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk200_pll.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk200_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 200 MHz
 -- Description:
@@ -108,9 +108,9 @@ entity unb1_board_clk200_pll is
     g_clk3_phase_shift    : string :=  "313";  -- = 022.5  = st_clk_vec[3]
     g_clk4_phase_shift    : string :=  "469";  -- = 033.75 = st_clk_vec[4]
     g_clk5_phase_shift    : string :=  "625";  -- = 045    = st_clk_vec[5]
-                                   --  "781";  -- = 056.25
+    --  "781";  -- = 056.25
     g_clk6_phase_shift    : string :=  "938";  -- = 067.5  = st_clk_vec[6]
-                                   -- "1094";  -- = 078.75
+    -- "1094";  -- = 078.75
     g_clk1_divide_by      : natural := 32;  -- = clk 200/32 MHz
     g_clk2_divide_by      : natural := 32;  -- = clk 200/32 MHz
     g_clk3_divide_by      : natural := 32;  -- = clk 200/32 MHz
@@ -167,97 +167,97 @@ begin
 
   gen_0 : if g_sel = 0 generate
     u_st_pll : entity tech_pll_lib.tech_pll_clk200
-    generic map (
-      g_technology       => g_technology,
-      g_operation_mode   => g_operation_mode,
-      g_clk0_phase_shift => g_clk200_phase_shift,
-      g_clk1_phase_shift => g_clk200p_phase_shift
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200,
-      c0      => i_st_clk200,
-      c1      => i_st_clk200p,
-      c2      => i_st_clk400,
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_operation_mode   => g_operation_mode,
+        g_clk0_phase_shift => g_clk200_phase_shift,
+        g_clk1_phase_shift => g_clk200p_phase_shift
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200,
+        c0      => i_st_clk200,
+        c1      => i_st_clk200p,
+        c2      => i_st_clk400,
+        locked  => st_locked
+      );
   end generate;
 
   gen_1 : if g_sel = 1 generate
     i_st_clk200p <= i_st_clk_vec(0);
 
     u_st_pll_p6 : entity tech_pll_lib.tech_pll_clk200_p6
-    generic map (
-      g_technology       => g_technology,
-      g_operation_mode   => g_operation_mode,
-      g_clk0_phase_shift => g_clk0_phase_shift,
-      g_clk1_used        => c_clk1_used,
-      g_clk2_used        => c_clk2_used,
-      g_clk3_used        => c_clk3_used,
-      g_clk4_used        => c_clk4_used,
-      g_clk5_used        => c_clk5_used,
-      g_clk6_used        => c_clk6_used,
-      g_clk1_divide_by   => g_clk1_divide_by,
-      g_clk2_divide_by   => g_clk2_divide_by,
-      g_clk3_divide_by   => g_clk3_divide_by,
-      g_clk4_divide_by   => g_clk4_divide_by,
-      g_clk5_divide_by   => g_clk5_divide_by,
-      g_clk6_divide_by   => g_clk6_divide_by,
-      g_clk1_phase_shift => g_clk1_phase_shift,
-      g_clk2_phase_shift => g_clk2_phase_shift,
-      g_clk3_phase_shift => g_clk3_phase_shift,
-      g_clk4_phase_shift => g_clk4_phase_shift,
-      g_clk5_phase_shift => g_clk5_phase_shift,
-      g_clk6_phase_shift => g_clk6_phase_shift
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200,
-      c0      => i_st_clk200,
-      c1      => i_st_clk_vec(0),
-      c2      => i_st_clk_vec(1),
-      c3      => i_st_clk_vec(2),
-      c4      => i_st_clk_vec(3),
-      c5      => i_st_clk_vec(4),
-      c6      => i_st_clk_vec(5),
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_operation_mode   => g_operation_mode,
+        g_clk0_phase_shift => g_clk0_phase_shift,
+        g_clk1_used        => c_clk1_used,
+        g_clk2_used        => c_clk2_used,
+        g_clk3_used        => c_clk3_used,
+        g_clk4_used        => c_clk4_used,
+        g_clk5_used        => c_clk5_used,
+        g_clk6_used        => c_clk6_used,
+        g_clk1_divide_by   => g_clk1_divide_by,
+        g_clk2_divide_by   => g_clk2_divide_by,
+        g_clk3_divide_by   => g_clk3_divide_by,
+        g_clk4_divide_by   => g_clk4_divide_by,
+        g_clk5_divide_by   => g_clk5_divide_by,
+        g_clk6_divide_by   => g_clk6_divide_by,
+        g_clk1_phase_shift => g_clk1_phase_shift,
+        g_clk2_phase_shift => g_clk2_phase_shift,
+        g_clk3_phase_shift => g_clk3_phase_shift,
+        g_clk4_phase_shift => g_clk4_phase_shift,
+        g_clk5_phase_shift => g_clk5_phase_shift,
+        g_clk6_phase_shift => g_clk6_phase_shift
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200,
+        c0      => i_st_clk200,
+        c1      => i_st_clk_vec(0),
+        c2      => i_st_clk_vec(1),
+        c3      => i_st_clk_vec(2),
+        c4      => i_st_clk_vec(3),
+        c5      => i_st_clk_vec(4),
+        c6      => i_st_clk_vec(5),
+        locked  => st_locked
+      );
   end generate;
 
   -- Release clock domain resets after some clock cycles when the PLL has locked
   st_locked_n <= not st_locked;
 
   u_rst200 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200,
-    out_rst   => i_st_rst200
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200,
+      out_rst   => i_st_rst200
+    );
 
   u_rst200p : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200p,
-    out_rst   => st_rst200p
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200p,
+      out_rst   => st_rst200p
+    );
 
   u_rst400 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk400,
-    out_rst   => st_rst400
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk400,
+      out_rst   => st_rst400
+    );
 
 end stratix4;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk25_pll.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk25_pll.vhd
index f868d0815e..c010e592c8 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk25_pll.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk25_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 25 MHz
 -- Description:
@@ -55,17 +55,17 @@ architecture stratixiv of unb1_board_clk25_pll is
 begin
 
   u_pll : entity tech_pll_lib.tech_pll_clk25
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    areset  => arst,
-    inclk0  => clk25,
-    c0      => c0_clk20,
-    c1      => c1_clk40,
-    c2      => c2_clk50,
-    c3      => c3_clk125,
-    c4      => c4_clk200,
-    locked  => pll_locked
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      areset  => arst,
+      inclk0  => clk25,
+      c0      => c0_clk20,
+      c1      => c1_clk40,
+      c2      => c2_clk50,
+      c3      => c3_clk125,
+      c4      => c4_clk200,
+      locked  => pll_locked
+    );
 end stratixiv;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk_rst.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk_rst.vhd
index 8154e03d5b..92b302f56c 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk_rst.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_clk_rst.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   1) initial power up xo_rst_n that can be used to reset a SOPC system (via
@@ -59,28 +59,28 @@ begin
   xo_rst_n <= not xo_rst;
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => xo_clk,
-    out_rst   => xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => xo_clk,
+      out_rst   => xo_rst
+    );
 
   -- System clock from SOPC system PLL and system reset
   sys_locked_n <= not sys_locked;
 
   u_common_areset_sys : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => sys_clk,
-    out_rst   => sys_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => sys_clk,
+      out_rst   => sys_rst
+    );
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_front_io.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_front_io.vhd
index b5d260b21b..1ea808a1f1 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_front_io.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_front_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_front_io is
@@ -82,48 +82,48 @@ begin
   -- MDIO buffers
   gen_iobuf_0 : if g_nof_xaui > 0 generate
     u_iobuf_0 : entity common_lib.common_inout
-    port map (
-      dat_inout        => SI_FN_0_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
-      dat_in_from_line => mdio_mdat_in_arr(0),
-      dat_out_to_line  => '0',
-      dat_out_en       => mdio_mdat_oen_arr(0)
-    );
+      port map (
+        dat_inout        => SI_FN_0_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
+        dat_in_from_line => mdio_mdat_in_arr(0),
+        dat_out_to_line  => '0',
+        dat_out_en       => mdio_mdat_oen_arr(0)
+      );
 
     SI_FN_0_CNTRL(c_unb1_board_ci.tr.cntrl_mdc_id) <= mdio_mdc_arr(0);
   end generate;
 
   gen_iobuf_1 : if g_nof_xaui > 1 generate
     u_iobuf_1 : entity common_lib.common_inout
-    port map (
-      dat_inout        => SI_FN_1_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
-      dat_in_from_line => mdio_mdat_in_arr(1),
-      dat_out_to_line  => '0',
-      dat_out_en       => mdio_mdat_oen_arr(1)
-    );
+      port map (
+        dat_inout        => SI_FN_1_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
+        dat_in_from_line => mdio_mdat_in_arr(1),
+        dat_out_to_line  => '0',
+        dat_out_en       => mdio_mdat_oen_arr(1)
+      );
 
     SI_FN_1_CNTRL(c_unb1_board_ci.tr.cntrl_mdc_id) <= mdio_mdc_arr(1);
   end generate;
 
   gen_iobuf_2 : if g_nof_xaui > 2 generate
     u_iobuf_2 : entity common_lib.common_inout
-    port map (
-      dat_inout        => SI_FN_2_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
-      dat_in_from_line => mdio_mdat_in_arr(2),
-      dat_out_to_line  => '0',
-      dat_out_en       => mdio_mdat_oen_arr(2)
-    );
+      port map (
+        dat_inout        => SI_FN_2_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
+        dat_in_from_line => mdio_mdat_in_arr(2),
+        dat_out_to_line  => '0',
+        dat_out_en       => mdio_mdat_oen_arr(2)
+      );
 
     SI_FN_2_CNTRL(c_unb1_board_ci.tr.cntrl_mdc_id) <= mdio_mdc_arr(2);
   end generate;
 
   gen_iobuf_3 : if g_nof_xaui > 3 generate
     u_iobuf_3 : entity common_lib.common_inout
-    port map (
-      dat_inout        => SI_FN_3_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
-      dat_in_from_line => mdio_mdat_in_arr(3),
-      dat_out_to_line  => '0',
-      dat_out_en       => mdio_mdat_oen_arr(3)
-    );
+      port map (
+        dat_inout        => SI_FN_3_CNTRL(c_unb1_board_ci.tr.cntrl_mdio_id),
+        dat_in_from_line => mdio_mdat_in_arr(3),
+        dat_out_to_line  => '0',
+        dat_out_en       => mdio_mdat_oen_arr(3)
+      );
 
     SI_FN_3_CNTRL(c_unb1_board_ci.tr.cntrl_mdc_id) <= mdio_mdc_arr(3);
   end generate;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_io.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_io.vhd
index f33599ea0c..a20e4093ad 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_io.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_io is
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_bidir.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_bidir.vhd
index 7a5783e637..68a8a71253 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_bidir.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_bidir.vhd
@@ -133,11 +133,11 @@
 --   unb1_board_mesh_model_sl.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_reorder_bidir is
@@ -169,32 +169,32 @@ architecture str of unb1_board_mesh_reorder_bidir is
 begin
 
   u_tx : entity work.unb1_board_mesh_reorder_tx
-  generic map (
-    g_node_type => g_node_type,
-    g_reorder   => g_reorder
-  )
-  port map (
-    chip_id          => chip_id,
-    clk              => tx_clk,
-    tx_usr_sosi_2arr => tx_usr_sosi_2arr,
-    rx_usr_siso_2arr => rx_usr_siso_2arr,
-    tx_phy_sosi_2arr => tx_phy_sosi_2arr,
-    rx_phy_siso_2arr => rx_phy_siso_2arr
-  );
+    generic map (
+      g_node_type => g_node_type,
+      g_reorder   => g_reorder
+    )
+    port map (
+      chip_id          => chip_id,
+      clk              => tx_clk,
+      tx_usr_sosi_2arr => tx_usr_sosi_2arr,
+      rx_usr_siso_2arr => rx_usr_siso_2arr,
+      tx_phy_sosi_2arr => tx_phy_sosi_2arr,
+      rx_phy_siso_2arr => rx_phy_siso_2arr
+    );
 
   u_rx : entity work.unb1_board_mesh_reorder_rx
-  generic map (
-    g_node_type => g_node_type,
-    g_reorder   => g_reorder
-  )
-  port map (
-    chip_id          => chip_id,
-    clk              => rx_clk,
-    rx_phy_sosi_2arr => rx_phy_sosi_2arr,
-    tx_phy_siso_2arr => tx_phy_siso_2arr,
-    rx_usr_sosi_2arr => rx_usr_sosi_2arr,
-    tx_usr_siso_2arr => tx_usr_siso_2arr
-  );
+    generic map (
+      g_node_type => g_node_type,
+      g_reorder   => g_reorder
+    )
+    port map (
+      chip_id          => chip_id,
+      clk              => rx_clk,
+      rx_phy_sosi_2arr => rx_phy_sosi_2arr,
+      tx_phy_siso_2arr => tx_phy_siso_2arr,
+      rx_usr_sosi_2arr => rx_usr_sosi_2arr,
+      tx_usr_siso_2arr => tx_usr_siso_2arr
+    );
 
 end str;
 
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_rx.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_rx.vhd
index 4957fde240..20073dbef5 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_rx.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_rx.vhd
@@ -31,11 +31,11 @@
 -- . Indexing for *_2arr = (node id 0,1,2,3)(tr lane 3,2,1,0)
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_reorder_rx is
@@ -97,7 +97,7 @@ begin
           tx_usr_siso_2arr(0) <= tx_phy_siso_2arr(1);
         when 4 |
              5 =>  -- this is BN0
-                  --      or BN1, connect phy bus 0,1,2,3 to usr bus 3,2,1,0
+          --      or BN1, connect phy bus 0,1,2,3 to usr bus 3,2,1,0
           -- sosi
           rx_usr_sosi_2arr(3) <= rx_phy_sosi_2arr(0);
           rx_usr_sosi_2arr(2) <= rx_phy_sosi_2arr(1);
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_tx.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_tx.vhd
index ef2d9e406c..ceb09e6773 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_tx.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_reorder_tx.vhd
@@ -31,11 +31,11 @@
 -- . Indexing for *_2arr = (node id 0,1,2,3)(tr lane 3,2,1,0)
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_reorder_tx is
@@ -64,19 +64,19 @@ begin
   -- Register the chip_id from FPGA pins to ease timing closure.
   -- . Alternatively these registers may better be removed and pin input chip_id[] set as false path for timing closure
   u_chip_id : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => c_meta_delay_len,
-    g_reset_value    => 0,
-    g_in_dat_w       => chip_id'LENGTH,
-    g_out_dat_w      => chip_id'length
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    in_dat  => chip_id,
-    out_dat => chip_id_reg
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => c_meta_delay_len,
+      g_reset_value    => 0,
+      g_in_dat_w       => chip_id'LENGTH,
+      g_out_dat_w      => chip_id'length
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      in_dat  => chip_id,
+      out_dat => chip_id_reg
+    );
 
   -- force chip_id(2) to '0' or '1' to reduce the case options in p_comb if the design will run only on a FN or only on a BN
   chip_id_i <= func_unb1_board_chip_id(chip_id_reg, g_node_type);
@@ -115,7 +115,7 @@ begin
           rx_phy_siso_2arr(0) <= rx_usr_siso_2arr(1);
         when 4 |
              5 =>  -- this is BN0
-                  --      or BN1, connect usr bus 0,1,2,3 to phy bus 3,2,1,0
+          --      or BN1, connect usr bus 0,1,2,3 to phy bus 3,2,1,0
           -- sosi
           tx_phy_sosi_2arr(3) <= tx_usr_sosi_2arr(0);
           tx_phy_sosi_2arr(2) <= tx_usr_sosi_2arr(1);
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_uth_terminals_bidir.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_uth_terminals_bidir.vhd
index 23e79b3b51..e960ef79cb 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_uth_terminals_bidir.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_mesh_uth_terminals_bidir.vhd
@@ -26,13 +26,13 @@
 --         except for the SOSI entity I/O types and the monitor outputs.
 
 library IEEE, common_lib, dp_lib, uth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use work.unb1_board_pkg.all;
-use uth_lib.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use work.unb1_board_pkg.all;
+  use uth_lib.uth_pkg.all;
 
 entity unb1_board_mesh_uth_terminals_bidir is
   generic (
@@ -94,54 +94,54 @@ begin
 
   gen_uth_terminal_bidir : for I in 0 to c_unb1_board_tr_mesh.nof_bus - 1 generate
     u_uth_terminal_bidir : entity uth_lib.uth_terminal_bidir
-    generic map (
-      -- User
-      g_usr_nof_streams     => g_usr_nof_streams,
-      g_usr_use_complex     => g_usr_use_complex,
-      g_usr_data_w          => g_usr_data_w,
-      g_usr_frame_len       => g_usr_frame_len,
-      -- DP/UTH packet
-      g_packet_data_w       => g_packet_data_w,
-      -- Phy
-      g_phy_nof_serial      => g_phy_nof_serial,
-      -- Tx
-      g_use_tx              => g_use_tx,
-      g_tx_mux_mode         => c_tx_mux_mode,
-      g_tx_input_use_fifo   => g_tx_input_use_fifo,
-      g_tx_input_fifo_size  => g_tx_input_fifo_size,
-      g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
-      -- Rx
-      g_use_rx              => g_use_rx,
-      g_rx_output_use_fifo  => g_rx_output_use_fifo,
-      g_rx_output_fifo_size => g_rx_output_fifo_size,
-      g_rx_output_fifo_fill => g_rx_output_fifo_fill,
-      g_rx_timeout_w        => g_rx_timeout_w,
-      -- UTH
-      g_uth_len_max         => g_uth_len_max,
-      g_uth_typ_ofs         => g_uth_typ_ofs
-    )
-    port map (
-      dp_rst                => dp_rst,
-      dp_clk                => dp_clk,
-
-      -- usr side interface
-      tx_dp_sosi_arr        => tx_dp_sosi_2arr(I)(g_usr_nof_streams - 1 downto 0),
-      tx_dp_siso_arr        => tx_dp_siso_2arr(I)(g_usr_nof_streams - 1 downto 0),
-
-      rx_dp_sosi_arr        => rx_dp_sosi_2arr(I)(g_usr_nof_streams - 1 downto 0),
-      rx_dp_siso_arr        => rx_dp_siso_2arr(I)(g_usr_nof_streams - 1 downto 0),
-
-      -- phy side interface
-      tx_uth_sosi_arr       => tx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
-      tx_uth_siso_arr       => tx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
-
-      rx_uth_sosi_arr       => rx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
-      rx_uth_siso_arr       => rx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
-
-      -- monitoring interface
-      rx_mon_pkt_sosi_arr   => rx_mon_pkt_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
-      rx_mon_dist_sosi_arr  => rx_mon_dist_sosi_2arr(I)(g_usr_nof_streams - 1 downto 0)
-    );
+      generic map (
+        -- User
+        g_usr_nof_streams     => g_usr_nof_streams,
+        g_usr_use_complex     => g_usr_use_complex,
+        g_usr_data_w          => g_usr_data_w,
+        g_usr_frame_len       => g_usr_frame_len,
+        -- DP/UTH packet
+        g_packet_data_w       => g_packet_data_w,
+        -- Phy
+        g_phy_nof_serial      => g_phy_nof_serial,
+        -- Tx
+        g_use_tx              => g_use_tx,
+        g_tx_mux_mode         => c_tx_mux_mode,
+        g_tx_input_use_fifo   => g_tx_input_use_fifo,
+        g_tx_input_fifo_size  => g_tx_input_fifo_size,
+        g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
+        -- Rx
+        g_use_rx              => g_use_rx,
+        g_rx_output_use_fifo  => g_rx_output_use_fifo,
+        g_rx_output_fifo_size => g_rx_output_fifo_size,
+        g_rx_output_fifo_fill => g_rx_output_fifo_fill,
+        g_rx_timeout_w        => g_rx_timeout_w,
+        -- UTH
+        g_uth_len_max         => g_uth_len_max,
+        g_uth_typ_ofs         => g_uth_typ_ofs
+      )
+      port map (
+        dp_rst                => dp_rst,
+        dp_clk                => dp_clk,
+
+        -- usr side interface
+        tx_dp_sosi_arr        => tx_dp_sosi_2arr(I)(g_usr_nof_streams - 1 downto 0),
+        tx_dp_siso_arr        => tx_dp_siso_2arr(I)(g_usr_nof_streams - 1 downto 0),
+
+        rx_dp_sosi_arr        => rx_dp_sosi_2arr(I)(g_usr_nof_streams - 1 downto 0),
+        rx_dp_siso_arr        => rx_dp_siso_2arr(I)(g_usr_nof_streams - 1 downto 0),
+
+        -- phy side interface
+        tx_uth_sosi_arr       => tx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
+        tx_uth_siso_arr       => tx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
+
+        rx_uth_sosi_arr       => rx_uth_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
+        rx_uth_siso_arr       => rx_uth_siso_2arr(I)(g_phy_nof_serial - 1 downto 0),
+
+        -- monitoring interface
+        rx_mon_pkt_sosi_arr   => rx_mon_pkt_sosi_2arr(I)(g_phy_nof_serial - 1 downto 0),
+        rx_mon_dist_sosi_arr  => rx_mon_dist_sosi_2arr(I)(g_usr_nof_streams - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_node_ctrl.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_node_ctrl.vhd
index 39383ca4e8..720de1a39d 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_node_ctrl.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Provide the basic node control along with an SOPC Builder system:
@@ -70,60 +70,60 @@ begin
   pulse_ms <= i_pulse_ms;
 
   u_unb1_board_clk_rst : entity work.unb1_board_clk_rst
-  port map (
-    xo_clk     => xo_clk,
-    xo_rst_n   => xo_rst_n,
-    sys_clk    => sys_clk,
-    sys_locked => sys_locked,
-    sys_rst    => i_sys_rst  -- release reset some clock cycles after sys_locked went high
-  );
+    port map (
+      xo_clk     => xo_clk,
+      xo_rst_n   => xo_rst_n,
+      sys_clk    => sys_clk,
+      sys_locked => sys_locked,
+      sys_rst    => i_sys_rst  -- release reset some clock cycles after sys_locked went high
+    );
 
   u_common_areset_cal : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_sys_rst,  -- release reset some clock cycles after i_sys_rst went low
-    clk       => cal_clk,
-    out_rst   => cal_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_sys_rst,  -- release reset some clock cycles after i_sys_rst went low
+      clk       => cal_clk,
+      out_rst   => cal_rst
+    );
 
   u_common_areset_st : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_sys_rst,  -- release reset some clock cycles after i_sys_rst went low
-    clk       => st_clk,
-    out_rst   => st_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_sys_rst,  -- release reset some clock cycles after i_sys_rst went low
+      clk       => st_clk,
+      out_rst   => st_rst
+    );
 
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,
-    g_pulse_ms  => g_pulse_ms,
-    g_pulse_s   => g_pulse_s
-  )
-  port map (
-    rst         => i_sys_rst,
-    clk         => sys_clk,
-    pulse_us    => pulse_us,
-    pulse_ms    => i_pulse_ms,
-    pulse_s     => pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,
+      g_pulse_ms  => g_pulse_ms,
+      g_pulse_s   => g_pulse_s
+    )
+    port map (
+      rst         => i_sys_rst,
+      clk         => sys_clk,
+      pulse_us    => pulse_us,
+      pulse_ms    => i_pulse_ms,
+      pulse_s     => pulse_s
+    );
 
   u_unb1_board_wdi_extend : entity work.unb1_board_wdi_extend
-  generic map (
-    g_extend_w => g_wdi_extend_w
-  )
-  port map (
-    rst        => i_sys_rst,
-    clk        => sys_clk,
-    pulse_ms   => i_pulse_ms,
-    wdi_in     => wdi_in,
-    wdi_out    => wdi_out
-  );
+    generic map (
+      g_extend_w => g_wdi_extend_w
+    )
+    port map (
+      rst        => i_sys_rst,
+      clk        => sys_clk,
+      pulse_ms   => i_pulse_ms,
+      wdi_in     => wdi_in,
+      wdi_out    => wdi_out
+    );
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_peripherals_pkg.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_peripherals_pkg.vhd
index 5cf82154fe..43a370e131 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_peripherals_pkg.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_peripherals_pkg.vhd
@@ -39,7 +39,7 @@
 --   these widths need to be defined locally in that design.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package unb1_board_peripherals_pkg is
 
@@ -76,10 +76,10 @@ package unb1_board_peripherals_pkg is
 
     -- pi_dp_ram_from_mm
     reg_dp_ram_from_mm_adr_w   : natural;  -- = 1   -- fixed, see dp_ram_from_mm.vhd
- -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
+    -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
 
     -- pi_dp_ram_to_mm
---  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
+    --  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
 
     -- pi_epcs (uses DP-MM read and write FIFOs for data access)
     reg_epcs_adr_w             : natural;  -- = 3   -- fixed, from c_mm_reg in epcs_reg
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_pkg.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_pkg.vhd
index 086c5a29f0..53907db77d 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_pkg.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_pkg.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package unb1_board_pkg is
 
@@ -197,7 +197,7 @@ package unb1_board_pkg is
     use_lvds_clk_rst                  : boolean;  -- = FALSE; -- When TRUE then support reset pulse to ADU to align the lvds_clk to the dp_clk, else no support
     lvds_clk_phase                    : natural;  -- = 0;     -- Use PLL phase 0 for center aligned. Only for no DPA
     nof_clocks                        : natural;  -- = 2;     -- 1 --> Use ADC BI clock D or dp_clk and 32 bit port ABCD
-                                                              -- 2 --> Use ADC BI clock A, D and 16 bit ports AB, CD
+    -- 2 --> Use ADC BI clock A, D and 16 bit ports AB, CD
     lvds_deser_factor                 : natural;  -- = 2;     -- The ADC sampled data comes in with a DDR lvds_clk, so lvds_data_rate / 2
     dp_deser_factor                   : natural;  -- = 4;     -- The Data Path clock dp_clk frequency is 200 MHz, so lvds_data_rate / 4
   end record;
@@ -216,7 +216,7 @@ package unb1_board_pkg is
   -- DDR3 (definitions similar as in ug_altmemphy.pdf)
   type t_unb1_board_ddr_in is record
     evt              : std_logic;
-    --nc               : STD_LOGIC;   -- not connect, needed to be able to initialize constant record which has to have more than one field in VHDL
+  --nc               : STD_LOGIC;   -- not connect, needed to be able to initialize constant record which has to have more than one field in VHDL
   end record;
 
   type t_unb1_board_ddr_inout is record
@@ -258,7 +258,7 @@ package unb1_board_pkg is
   type t_c_unb1_board_system_info is record
     version  : natural;  -- UniBoard board HW version (2 bit value)
     id       : natural;  -- UniBoard FPGA node id (8 bit value)
-                         -- Derived ID info:
+    -- Derived ID info:
     bck_id   : natural;  -- = id[7:3], ID part from back plane
     chip_id  : natural;  -- = id[2:0], ID part from UniBoard
     is_bn    : natural;  -- = id[2], 0 for Front Node, 1 for Back Node
@@ -266,35 +266,38 @@ package unb1_board_pkg is
     is_bn3   : natural;  -- 1 for Back Node 3, else 0.
   end record;
 
-  function func_unb1_board_system_info(VERSION : in std_logic_vector(c_unb1_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0)) return t_c_unb1_board_system_info;
+  function func_unb1_board_system_info (
+    VERSION : in std_logic_vector(c_unb1_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0)) return t_c_unb1_board_system_info;
 
-  function func_unb1_board_chip_id(chip_id   : in std_logic_vector(c_unb1_board_aux.chip_id_w - 1 downto 0);
-                                   node_type : in t_e_unb1_board_node) return std_logic_vector;
+  function func_unb1_board_chip_id (
+    chip_id   : in std_logic_vector(c_unb1_board_aux.chip_id_w - 1 downto 0);
+    node_type : in t_e_unb1_board_node) return std_logic_vector;
 
   -- Connect: out_2arr = in_2arr of different types
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr;
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr;
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr;
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr;
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr;
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr;
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr;
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr;
 
   -- Transpose: out_2arr(J)(I) = in_2arr(I)(J) for different types
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_mesh_sosi_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_mesh_siso_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_back_sosi_2arr;
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_back_siso_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_mesh_sosi_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_mesh_siso_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_back_sosi_2arr;
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_back_siso_2arr;
 
 end unb1_board_pkg;
 
 
 package body unb1_board_pkg is
 
-  function func_unb1_board_system_info(VERSION : in std_logic_vector(c_unb1_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0)) return t_c_unb1_board_system_info is
+  function func_unb1_board_system_info (
+    VERSION : in std_logic_vector(c_unb1_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0)) return t_c_unb1_board_system_info is
     variable v_system_info : t_c_unb1_board_system_info;
   begin
     v_system_info.version := to_integer(unsigned(VERSION));
@@ -307,8 +310,9 @@ package body unb1_board_pkg is
     return v_system_info;
   end;
 
-  function func_unb1_board_chip_id(chip_id   : in std_logic_vector(c_unb1_board_aux.chip_id_w - 1 downto 0);
-                                   node_type : in t_e_unb1_board_node) return std_logic_vector is
+  function func_unb1_board_chip_id (
+    chip_id   : in std_logic_vector(c_unb1_board_aux.chip_id_w - 1 downto 0);
+    node_type : in t_e_unb1_board_node) return std_logic_vector is
     variable v_chip_id : std_logic_vector(chip_id'range);  -- [2:0]
   begin
     v_chip_id := chip_id;  -- default for design that can run on either FN or BN
@@ -325,7 +329,7 @@ package body unb1_board_pkg is
   -- Connect mesh 2arr - back 2arr
   ------------------------------------------------------------------------------
 
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr is
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_mesh_hw_nof_bus;  -- = 4 = c_unb1_board_tr_back_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_mesh_hw_bus_w;  -- = 4 = c_unb1_board_tr_back_hw_bus_w
 
@@ -339,7 +343,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr is
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_mesh_hw_nof_bus;  -- = 4 = c_unb1_board_tr_back_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_mesh_hw_bus_w;  -- = 4 = c_unb1_board_tr_back_hw_bus_w
 
@@ -353,7 +357,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr is
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_back_hw_nof_bus;  -- = 4 = c_unb1_board_tr_mesh_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_back_hw_bus_w;  -- = 4 = c_unb1_board_tr_mesh_hw_bus_w
 
@@ -367,7 +371,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_connect_2arr(in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr is
+  function func_unb1_board_connect_2arr (in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_back_hw_nof_bus;  -- = 4 = c_unb1_board_tr_mesh_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_back_hw_bus_w;  -- = 4 = c_unb1_board_tr_mesh_hw_bus_w
 
@@ -385,7 +389,7 @@ package body unb1_board_pkg is
   -- Transpose mesh 2arr - back 2arr
   ------------------------------------------------------------------------------
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_back_sosi_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_mesh_hw_nof_bus;  -- = 4 = c_unb1_board_tr_back_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_mesh_hw_bus_w;  -- = 4 = c_unb1_board_tr_back_hw_bus_w
 
@@ -399,7 +403,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_back_siso_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_mesh_hw_nof_bus;  -- = 4 = c_unb1_board_tr_back_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_mesh_hw_bus_w;  -- = 4 = c_unb1_board_tr_back_hw_bus_w
 
@@ -413,7 +417,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_mesh_sosi_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_back_hw_nof_bus;  -- = 4 = c_unb1_board_tr_mesh_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_back_hw_bus_w;  -- = 4 = c_unb1_board_tr_mesh_hw_bus_w
 
@@ -427,7 +431,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_mesh_siso_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_back_hw_nof_bus;  -- = 4 = c_unb1_board_tr_mesh_hw_nof_bus
     constant c_bus_w   : natural := c_unb1_board_tr_back_hw_bus_w;  -- = 4 = c_unb1_board_tr_mesh_hw_bus_w
 
@@ -445,7 +449,7 @@ package body unb1_board_pkg is
   -- Transpose mesh 2arr -mesh 2arr
   ------------------------------------------------------------------------------
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_mesh_sosi_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_sosi_2arr) return t_unb1_board_mesh_sosi_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_mesh_hw_nof_bus;  -- = 4
     constant c_bus_w   : natural := c_unb1_board_tr_mesh_hw_bus_w;  -- = 4
 
@@ -459,7 +463,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_mesh_siso_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_mesh_siso_2arr) return t_unb1_board_mesh_siso_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_mesh_hw_nof_bus;  -- = 4
     constant c_bus_w   : natural := c_unb1_board_tr_mesh_hw_bus_w;  -- = 4
 
@@ -477,7 +481,7 @@ package body unb1_board_pkg is
   -- Transpose back 2arr -back 2arr
   ------------------------------------------------------------------------------
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_back_sosi_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_sosi_2arr) return t_unb1_board_back_sosi_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_back_hw_nof_bus;  -- = 4
     constant c_bus_w   : natural := c_unb1_board_tr_back_hw_bus_w;  -- = 4
 
@@ -491,7 +495,7 @@ package body unb1_board_pkg is
     return v_out_2arr;
   end;
 
-  function func_unb1_board_transpose_2arr(in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_back_siso_2arr is
+  function func_unb1_board_transpose_2arr (in_2arr : t_unb1_board_back_siso_2arr) return t_unb1_board_back_siso_2arr is
     constant c_nof_bus : natural := c_unb1_board_tr_back_hw_nof_bus;  -- = 4
     constant c_bus_w   : natural := c_unb1_board_tr_back_hw_bus_w;  -- = 4
 
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens.vhd
index 572c988f6c..57db8fc69e 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use i2c_lib.i2c_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use i2c_lib.i2c_pkg.all;
 
 
 entity unb1_board_sens is
@@ -52,7 +52,7 @@ architecture str of unb1_board_sens is
   -- I2C clock rate settings
   constant c_sens_clk_cnt      : natural := sel_a_b(g_sim, 1, func_i2c_calculate_clk_cnt(g_clk_freq / 10**6));  -- define I2C clock rate
   constant c_sens_comma_w      : natural := 0;  -- 2**c_i2c_comma_w * system clock period comma time after I2C start and after each octet
-                                                -- 0 = no comma time
+  -- 0 = no comma time
 
   constant c_sens_phy          : t_c_i2c_phy := (c_sens_clk_cnt, c_sens_comma_w);
 
@@ -67,44 +67,44 @@ architecture str of unb1_board_sens is
 begin
 
   u_unb1_board_sens_ctrl : entity work.unb1_board_sens_ctrl
-  generic map (
-    g_sim        => g_sim,
-    g_nof_result => g_sens_nof_result,
-    g_temp_high  => g_temp_high
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-    start       => start,
-    in_dat      => smbus_out_dat,
-    in_val      => smbus_out_val,
-    in_err      => smbus_out_err,
-    in_ack      => smbus_out_ack,
-    in_end      => smbus_out_end,
-    out_dat     => smbus_in_dat,
-    out_val     => smbus_in_val,
-    result_val  => sens_evt,
-    result_err  => sens_err,
-    result_dat  => sens_data
-  );
+    generic map (
+      g_sim        => g_sim,
+      g_nof_result => g_sens_nof_result,
+      g_temp_high  => g_temp_high
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+      start       => start,
+      in_dat      => smbus_out_dat,
+      in_val      => smbus_out_val,
+      in_err      => smbus_out_err,
+      in_ack      => smbus_out_ack,
+      in_end      => smbus_out_end,
+      out_dat     => smbus_in_dat,
+      out_val     => smbus_in_val,
+      result_val  => sens_evt,
+      result_err  => sens_err,
+      result_dat  => sens_data
+    );
 
   u_i2c_smbus : entity i2c_lib.i2c_smbus
-  generic map (
-    g_i2c_phy   => c_sens_phy
-  )
-  port map (
-    gs_sim      => g_sim,
-    clk         => clk,
-    rst         => rst,
-    in_dat      => smbus_in_dat,
-    in_req      => smbus_in_val,
-    out_dat     => smbus_out_dat,
-    out_val     => smbus_out_val,
-    out_err     => smbus_out_err,
-    out_ack     => smbus_out_ack,
-    st_end      => smbus_out_end,
-    scl         => scl,
-    sda         => sda
-  );
+    generic map (
+      g_i2c_phy   => c_sens_phy
+    )
+    port map (
+      gs_sim      => g_sim,
+      clk         => clk,
+      rst         => rst,
+      in_dat      => smbus_in_dat,
+      in_req      => smbus_in_val,
+      out_dat     => smbus_out_dat,
+      out_val     => smbus_out_val,
+      out_err     => smbus_out_err,
+      out_ack     => smbus_out_ack,
+      st_end      => smbus_out_end,
+      scl         => scl,
+      sda         => sda
+    );
 
 end architecture;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_ctrl.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_ctrl.vhd
index 6e8d2bb9f7..8afdbcd7a3 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_ctrl.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_ctrl.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_max1617_pkg.all;
-use i2c_lib.i2c_dev_ltc4260_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_max1617_pkg.all;
+  use i2c_lib.i2c_dev_ltc4260_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb1_board_sens_ctrl is
@@ -65,11 +65,23 @@ architecture rtl of unb1_board_sens_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE ,    FPGA_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE ,     ETH_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , HOTSWAP_LTC4260_ADR, LTC4260_CMD_SENSE,
-    SMBUS_READ_BYTE , HOTSWAP_LTC4260_ADR, LTC4260_CMD_SOURCE,
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    FPGA_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ETH_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    HOTSWAP_LTC4260_ADR,
+    LTC4260_CMD_SENSE,
+    SMBUS_READ_BYTE ,
+    HOTSWAP_LTC4260_ADR,
+    LTC4260_CMD_SOURCE,
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );  -- = (7 24 1) (7 77 1) (7 68 4) (7 68 5) (20, timeout[0:3]) (19)
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_reg.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_reg.vhd
index 0ef3eb536c..35be2d84d4 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_reg.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_sens_reg.vhd
@@ -60,10 +60,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb1_board_sens_reg is
   generic (
@@ -94,13 +94,15 @@ architecture rtl of unb1_board_sens_reg is
 
   -- Define the actual size of the MM slave register
   constant c_mm_nof_dat : natural := g_sens_nof_result + 1 + 1;  -- +1 to fit user set temp_high one additional address
-                                                             -- +1 to fit sens_err in the last address
-
-  constant c_mm_reg     : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(c_mm_nof_dat),
-                                      dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                      nof_dat  => c_mm_nof_dat,
-                                      init_sl  => '0');
+  -- +1 to fit sens_err in the last address
+
+  constant c_mm_reg     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_mm_nof_dat),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_mm_nof_dat,
+    init_sl  => '0'
+  );
 
   signal i_temp_high    : std_logic_vector(6 downto 0);
 
@@ -134,11 +136,11 @@ begin
       -- Write access: set register value
       if sla_in.wr = '1' then
         if vA = g_sens_nof_result + 1 then
-            -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
-            -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
-            if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
-              i_temp_high <= sla_in.wrdata(6 downto 0);
-            end if;
+          -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
+          -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
+          if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
+            i_temp_high <= sla_in.wrdata(6 downto 0);
+          end if;
         end if;
 
       -- Read access: get register value
@@ -154,7 +156,7 @@ begin
         else
           sla_out.rddata(6 downto 0) <= i_temp_high;
         end if;
-        -- else unused addresses read zero
+      -- else unused addresses read zero
       end if;
     end if;
   end process;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info.vhd
index 4a653cd776..c331aeeb7f 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.unb1_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.unb1_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Keep the UniBoard system info knowledge in this HDL entity and in the
 -- corresponding software functions in unb_common.c,h. This avoids having to
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info_reg.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info_reg.vhd
index f8bc591fea..2628406728 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info_reg.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_system_info_reg.vhd
@@ -44,11 +44,11 @@
 --  =============================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb1_board_pkg.all;
 
 entity unb1_board_system_info_reg is
   generic (
@@ -69,7 +69,7 @@ entity unb1_board_system_info_reg is
     sla_out     : out t_mem_miso;
 
     info        : in  std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end unb1_board_system_info_reg;
 
 
@@ -82,21 +82,23 @@ architecture rtl of unb1_board_system_info_reg is
 
   constant c_nof_regs             : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_design_note_regs;
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_regs),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => c_nof_regs,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   constant c_use_phy_w     : natural := 8;
   constant c_use_phy       : std_logic_vector(c_use_phy_w - 1 downto 0) := TO_UVEC(g_use_phy.eth1g,   1) &
-                                                                         TO_UVEC(g_use_phy.tr_front,1) &
-                                                                         TO_UVEC(g_use_phy.tr_mesh, 1) &
-                                                                         TO_UVEC(g_use_phy.tr_back, 1) &
-                                                                         TO_UVEC(g_use_phy.ddr3_I,  1) &
-                                                                         TO_UVEC(g_use_phy.ddr3_II, 1) &
-                                                                         TO_UVEC(g_use_phy.adc,     1) &
-                                                                         TO_UVEC(g_use_phy.wdi,     1);
+                                                                           TO_UVEC(g_use_phy.tr_front,1) &
+                                                                           TO_UVEC(g_use_phy.tr_mesh, 1) &
+                                                                           TO_UVEC(g_use_phy.tr_back, 1) &
+                                                                           TO_UVEC(g_use_phy.ddr3_I,  1) &
+                                                                           TO_UVEC(g_use_phy.ddr3_II, 1) &
+                                                                           TO_UVEC(g_use_phy.adc,     1) &
+                                                                           TO_UVEC(g_use_phy.wdi,     1);
 
   constant c_design_name    : t_slv_32_arr(0 to c_nof_design_name_regs - 1) := str_to_ascii_slv_32_arr(g_design_name, c_nof_design_name_regs);
   constant c_design_note    : t_slv_32_arr(0 to c_nof_design_note_regs - 1) := str_to_ascii_slv_32_arr(g_design_note, c_nof_design_note_regs);
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_back.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_back.vhd
index 5fc47736ae..98ccc6ae68 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_back.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_back.vhd
@@ -34,14 +34,14 @@
 --     g_use_tx and g_use_rx because they are both TRUE.
 
 library IEEE, common_lib, dp_lib, uth_lib, tr_nonbonded_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use uth_lib.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use uth_lib.uth_pkg.all;
 
 
 entity unb1_board_terminals_back is
@@ -141,80 +141,80 @@ architecture str of unb1_board_terminals_back is
 begin
 
   u_unb1_board_back_select: entity work.unb1_board_back_select
-  port map (
-    bck_id           => bck_id,
-    clk              => dp_clk,
+    port map (
+      bck_id           => bck_id,
+      clk              => dp_clk,
 
-    -- User side
-    tx_usr_sosi_2arr => tx_usr_sosi_2arr,
-    tx_usr_siso_2arr => tx_usr_siso_2arr,
+      -- User side
+      tx_usr_sosi_2arr => tx_usr_sosi_2arr,
+      tx_usr_siso_2arr => tx_usr_siso_2arr,
 
-    rx_usr_sosi_2arr => rx_usr_sosi_2arr,
-    rx_usr_siso_2arr => rx_usr_siso_2arr,
+      rx_usr_sosi_2arr => rx_usr_sosi_2arr,
+      rx_usr_siso_2arr => rx_usr_siso_2arr,
 
-    -- Phy side
-    tx_phy_sosi_2arr => tx_sel_sosi_2arr,
-    tx_phy_siso_2arr => tx_sel_siso_2arr,
+      -- Phy side
+      tx_phy_sosi_2arr => tx_sel_sosi_2arr,
+      tx_phy_siso_2arr => tx_sel_siso_2arr,
 
-    rx_phy_sosi_2arr => rx_sel_sosi_2arr,
-    rx_phy_siso_2arr => rx_sel_siso_2arr
-  );
+      rx_phy_sosi_2arr => rx_sel_sosi_2arr,
+      rx_phy_siso_2arr => rx_sel_siso_2arr
+    );
 
   u_unb1_board_back_reorder : entity work.unb1_board_back_reorder
-  port map (
-    bck_id           => bck_id,
-    clk              => dp_clk,
+    port map (
+      bck_id           => bck_id,
+      clk              => dp_clk,
 
-    -- User side
-    tx_usr_sosi_2arr => tx_sel_sosi_2arr,
-    tx_usr_siso_2arr => tx_sel_siso_2arr,
+      -- User side
+      tx_usr_sosi_2arr => tx_sel_sosi_2arr,
+      tx_usr_siso_2arr => tx_sel_siso_2arr,
 
-    rx_usr_sosi_2arr => rx_sel_sosi_2arr,
-    rx_usr_siso_2arr => rx_sel_siso_2arr,
+      rx_usr_sosi_2arr => rx_sel_sosi_2arr,
+      rx_usr_siso_2arr => rx_sel_siso_2arr,
 
-    -- Phy side
-    tx_phy_sosi_2arr => tx_term_sosi_2arr,
-    tx_phy_siso_2arr => tx_term_siso_2arr,
+      -- Phy side
+      tx_phy_sosi_2arr => tx_term_sosi_2arr,
+      tx_phy_siso_2arr => tx_term_siso_2arr,
 
-    rx_phy_sosi_2arr => rx_term_sosi_2arr,
-    rx_phy_siso_2arr => rx_term_siso_2arr
-  );
+      rx_phy_sosi_2arr => rx_term_sosi_2arr,
+      rx_phy_siso_2arr => rx_term_siso_2arr
+    );
 
   u_unb1_board_back_uth_terminals_bidir : entity work.unb1_board_back_uth_terminals_bidir
-  generic map (
-    -- User
-    g_usr_nof_streams     => g_usr_nof_streams,
-    g_usr_use_complex     => g_usr_use_complex,
-    g_usr_data_w          => g_usr_data_w,
-    g_usr_frame_len       => g_usr_frame_len,
-    -- DP/UTH packet
-    g_packet_data_w       => c_packet_data_w,
-    -- Phy
-    g_phy_nof_serial      => g_phy_nof_serial,
-    -- Tx
-    g_tx_input_use_fifo   => g_tx_input_use_fifo,
-    -- Rx
-    g_rx_output_use_fifo  => g_rx_output_use_fifo,
-    g_rx_timeout_w        => g_rx_timeout_w
-  )
-  port map (
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    -- User
-    tx_dp_sosi_2arr  => tx_term_sosi_2arr,
-    tx_dp_siso_2arr  => tx_term_siso_2arr,
-
-    rx_dp_sosi_2arr  => rx_term_sosi_2arr,
-    rx_dp_siso_2arr  => rx_term_siso_2arr,
-
-    -- Phy
-    tx_uth_sosi_2arr => tx_phy_sosi_2arr,
-    tx_uth_siso_2arr => tx_phy_siso_2arr,
-
-    rx_uth_sosi_2arr => rx_phy_sosi_2arr,
-    rx_uth_siso_2arr => rx_phy_siso_2arr
-  );
+    generic map (
+      -- User
+      g_usr_nof_streams     => g_usr_nof_streams,
+      g_usr_use_complex     => g_usr_use_complex,
+      g_usr_data_w          => g_usr_data_w,
+      g_usr_frame_len       => g_usr_frame_len,
+      -- DP/UTH packet
+      g_packet_data_w       => c_packet_data_w,
+      -- Phy
+      g_phy_nof_serial      => g_phy_nof_serial,
+      -- Tx
+      g_tx_input_use_fifo   => g_tx_input_use_fifo,
+      -- Rx
+      g_rx_output_use_fifo  => g_rx_output_use_fifo,
+      g_rx_timeout_w        => g_rx_timeout_w
+    )
+    port map (
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      -- User
+      tx_dp_sosi_2arr  => tx_term_sosi_2arr,
+      tx_dp_siso_2arr  => tx_term_siso_2arr,
+
+      rx_dp_sosi_2arr  => rx_term_sosi_2arr,
+      rx_dp_siso_2arr  => rx_term_siso_2arr,
+
+      -- Phy
+      tx_uth_sosi_2arr => tx_phy_sosi_2arr,
+      tx_uth_siso_2arr => tx_phy_siso_2arr,
+
+      rx_uth_sosi_2arr => rx_phy_sosi_2arr,
+      rx_uth_siso_2arr => rx_phy_siso_2arr
+    );
 
   ------------------------------------------------------------------------------
   -- GX serial interface level (g_sim_level)
@@ -223,55 +223,55 @@ begin
   -- Map 1-dim array on 2-dim array
   gen_bus : for i in c_nof_bus_serial - 1 downto 0 generate
     gen_lane : for j in g_phy_nof_serial - 1 downto 0 generate
-       -- SOSI
-       tx_phy_sosi_arr(i * g_phy_nof_serial + j) <= tx_phy_sosi_2arr(i)(j);
-       tx_phy_siso_2arr(i)(j)                  <= tx_phy_siso_arr(i * g_phy_nof_serial + j);
+      -- SOSI
+      tx_phy_sosi_arr(i * g_phy_nof_serial + j) <= tx_phy_sosi_2arr(i)(j);
+      tx_phy_siso_2arr(i)(j)                  <= tx_phy_siso_arr(i * g_phy_nof_serial + j);
 
-       rx_phy_sosi_2arr(i)(j)                  <= rx_phy_sosi_arr(i * g_phy_nof_serial + j);
-       rx_phy_siso_arr(i * g_phy_nof_serial + j) <= rx_phy_siso_2arr(i)(j);
+      rx_phy_sosi_2arr(i)(j)                  <= rx_phy_sosi_arr(i * g_phy_nof_serial + j);
+      rx_phy_siso_arr(i * g_phy_nof_serial + j) <= rx_phy_siso_2arr(i)(j);
 
-       -- Serial
-       tx_serial_2arr(i)(j)                  <= tx_serial_arr(i * g_phy_nof_serial + j);
-       rx_serial_arr(i * g_phy_nof_serial + j) <= rx_serial_2arr(i)(j);
+      -- Serial
+      tx_serial_2arr(i)(j)                  <= tx_serial_arr(i * g_phy_nof_serial + j);
+      rx_serial_arr(i * g_phy_nof_serial + j) <= rx_serial_2arr(i)(j);
     end generate;
   end generate;
 
   u_tr_nonbonded : entity tr_nonbonded_lib.mms_tr_nonbonded
-  generic map (
-    g_sim           => g_sim,
-    g_sim_level     => g_sim_level,
-    g_nof_gx        => c_nof_gx,
-    g_mbps          => g_phy_gx_mbps,
-    g_tx            => true,
-    g_rx            => true,
-    g_rx_fifo_depth => g_phy_rx_fifo_size
-  )
-  port map (
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-
-    st_rst               => dp_rst,
-    st_clk               => dp_clk,
-
-    tr_clk               => tr_clk,
-    cal_rec_clk          => cal_clk,
-
-    --Serial data I/O
-    tx_dataout           => tx_serial_arr,
-    rx_datain            => rx_serial_arr,
-
-    --Streaming I/O
-    snk_out_arr          => tx_phy_siso_arr,
-    snk_in_arr           => tx_phy_sosi_arr,
-
-    src_in_arr           => rx_phy_siso_arr,
-    src_out_arr          => rx_phy_sosi_arr,
-
-    tr_nonbonded_mm_mosi => reg_tr_nonbonded_mosi,
-    tr_nonbonded_mm_miso => reg_tr_nonbonded_miso,
-
-    diagnostics_mm_mosi  => reg_diagnostics_mosi,
-    diagnostics_mm_miso  => reg_diagnostics_miso
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_sim_level     => g_sim_level,
+      g_nof_gx        => c_nof_gx,
+      g_mbps          => g_phy_gx_mbps,
+      g_tx            => true,
+      g_rx            => true,
+      g_rx_fifo_depth => g_phy_rx_fifo_size
+    )
+    port map (
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+
+      st_rst               => dp_rst,
+      st_clk               => dp_clk,
+
+      tr_clk               => tr_clk,
+      cal_rec_clk          => cal_clk,
+
+      --Serial data I/O
+      tx_dataout           => tx_serial_arr,
+      rx_datain            => rx_serial_arr,
+
+      --Streaming I/O
+      snk_out_arr          => tx_phy_siso_arr,
+      snk_in_arr           => tx_phy_sosi_arr,
+
+      src_in_arr           => rx_phy_siso_arr,
+      src_out_arr          => rx_phy_sosi_arr,
+
+      tr_nonbonded_mm_mosi => reg_tr_nonbonded_mosi,
+      tr_nonbonded_mm_miso => reg_tr_nonbonded_miso,
+
+      diagnostics_mm_mosi  => reg_diagnostics_mosi,
+      diagnostics_mm_miso  => reg_diagnostics_miso
+    );
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_mesh.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_mesh.vhd
index db68198b49..85c1c71f8d 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_mesh.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_terminals_mesh.vhd
@@ -50,14 +50,14 @@
 
 
 library IEEE, common_lib, dp_lib, uth_lib, tr_nonbonded_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb1_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use uth_lib.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb1_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use uth_lib.uth_pkg.all;
 
 
 entity unb1_board_terminals_mesh is
@@ -90,12 +90,12 @@ entity unb1_board_terminals_mesh is
     g_rx_timeout_w            : natural := 0;  -- when 0 then no timeout else when > 0 then flush pending rx payload after 2**g_timeout_w clk cylces of inactive uth_rx snk_in.valid
     -- Monitoring
     g_mon_select              : natural := 0;  -- 0 = no SOSI data buffers monitor via MM
-                                                   -- 1 = enable monitor the Rx UTH packets per serial lane after the tr_nonbonded
-                                                   -- 2 = enable monitor the Rx UTH packets per serial lane after the mesh reorder
-                                                   -- 3 = enable monitor the Rx DP  packets per serial lane after the uth_rx
-                                                   -- 4 = enable monitor the Rx DP  packets per user stream after the dp_distribute
-                                                   -- 5 = enable monitor the Tx UTH packets per serial lane to the tr_nonbonded
-                                                   -- 6 = enable monitor the Tx UTH packets per serial lane to the mesh reorder
+    -- 1 = enable monitor the Rx UTH packets per serial lane after the tr_nonbonded
+    -- 2 = enable monitor the Rx UTH packets per serial lane after the mesh reorder
+    -- 3 = enable monitor the Rx DP  packets per serial lane after the uth_rx
+    -- 4 = enable monitor the Rx DP  packets per user stream after the dp_distribute
+    -- 5 = enable monitor the Tx UTH packets per serial lane to the tr_nonbonded
+    -- 6 = enable monitor the Tx UTH packets per serial lane to the mesh reorder
     g_mon_nof_words           : natural := 1024;
     g_mon_use_sync            : boolean := true;
     -- UTH
@@ -149,19 +149,19 @@ architecture str of unb1_board_terminals_mesh is
   -- g_mon_select
   constant c_usr_nof_streams          : natural := g_nof_bus * g_usr_nof_streams;
   constant c_mon_nof_streams          : natural := sel_n(g_mon_select, c_phy_nof_gx,
-                                                                       c_phy_nof_gx,
-                                                                       c_phy_nof_gx,
-                                                                       c_phy_nof_gx,
-                                                                       c_usr_nof_streams,
-                                                                       c_phy_nof_gx,
-                                                                       c_phy_nof_gx);
+                                                         c_phy_nof_gx,
+                                                         c_phy_nof_gx,
+                                                         c_phy_nof_gx,
+                                                         c_usr_nof_streams,
+                                                         c_phy_nof_gx,
+                                                         c_phy_nof_gx);
   constant c_mon_data_w               : natural := sel_n(g_mon_select, c_packet_data_w,
-                                                                       c_packet_data_w,
-                                                                       c_packet_data_w,
-                                                                       c_packet_data_w,
-                                                                       g_usr_data_w,
-                                                                       c_packet_data_w,
-                                                                       c_packet_data_w);
+                                                         c_packet_data_w,
+                                                         c_packet_data_w,
+                                                         c_packet_data_w,
+                                                         g_usr_data_w,
+                                                         c_packet_data_w,
+                                                         c_packet_data_w);
 
   -- uth terminals
   signal tx_term_siso_2arr          : t_unb1_board_mesh_siso_2arr;
@@ -244,25 +244,25 @@ begin
 
   gen_monitor : if g_mon_select >= 1 generate
     u_data_buf : entity diag_lib.mms_diag_data_buffer
-    generic map (
-      g_nof_streams  => c_mon_nof_streams,
-      g_data_w       => c_mon_data_w,  -- stream data width must be <= c_word_w = 32b, the MM word width
-      g_buf_nof_data => g_mon_nof_words,  -- nof words per data buffer
-      g_buf_use_sync => g_mon_use_sync  -- when TRUE start filling the buffer after the in_sync, else after the last word was read
-    )
-    port map (
-      -- System
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      -- MM interface
-      ram_data_buf_mosi => ram_diag_data_buf_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_miso,
-      -- ST interface
-      in_sync           => dp_sync,  -- input sync pulse in ST dp_clk domain that starts data buffer when g_use_in_sync = TRUE
-      in_sosi_arr       => mon_sosi_arr
-    );
+      generic map (
+        g_nof_streams  => c_mon_nof_streams,
+        g_data_w       => c_mon_data_w,  -- stream data width must be <= c_word_w = 32b, the MM word width
+        g_buf_nof_data => g_mon_nof_words,  -- nof words per data buffer
+        g_buf_use_sync => g_mon_use_sync  -- when TRUE start filling the buffer after the in_sync, else after the last word was read
+      )
+      port map (
+        -- System
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        -- MM interface
+        ram_data_buf_mosi => ram_diag_data_buf_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_miso,
+        -- ST interface
+        in_sync           => dp_sync,  -- input sync pulse in ST dp_clk domain that starts data buffer when g_use_in_sync = TRUE
+        in_sosi_arr       => mon_sosi_arr
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -270,85 +270,85 @@ begin
   ------------------------------------------------------------------------------
 
   u_unb1_board_mesh_uth_terminals_bidir : entity work.unb1_board_mesh_uth_terminals_bidir
-  generic map (
-    -- User
-    g_usr_nof_streams     => g_usr_nof_streams,
-    g_usr_use_complex     => g_usr_use_complex,
-    g_usr_data_w          => g_usr_data_w,
-    g_usr_frame_len       => g_usr_frame_len,
-    -- DP/UTH packet
-    g_packet_data_w       => c_packet_data_w,
-    -- Phy
-    g_phy_nof_serial      => g_phy_nof_serial,
-    -- Tx
-    g_use_tx              => g_use_tx,
-    g_tx_input_use_fifo   => g_tx_input_use_fifo,
-    g_tx_input_fifo_size  => g_tx_input_fifo_size,
-    g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
-    -- Rx
-    g_use_rx              => g_use_rx,
-    g_rx_output_use_fifo  => g_rx_output_use_fifo,
-    g_rx_output_fifo_size => g_rx_output_fifo_size,
-    g_rx_output_fifo_fill => g_rx_output_fifo_fill,
-    g_rx_timeout_w        => g_rx_timeout_w,
-    -- UTH
-    g_uth_len_max         => g_uth_len_max,
-    g_uth_typ_ofs         => g_uth_typ_ofs
-  )
-  port map (
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
+    generic map (
+      -- User
+      g_usr_nof_streams     => g_usr_nof_streams,
+      g_usr_use_complex     => g_usr_use_complex,
+      g_usr_data_w          => g_usr_data_w,
+      g_usr_frame_len       => g_usr_frame_len,
+      -- DP/UTH packet
+      g_packet_data_w       => c_packet_data_w,
+      -- Phy
+      g_phy_nof_serial      => g_phy_nof_serial,
+      -- Tx
+      g_use_tx              => g_use_tx,
+      g_tx_input_use_fifo   => g_tx_input_use_fifo,
+      g_tx_input_fifo_size  => g_tx_input_fifo_size,
+      g_tx_input_fifo_fill  => g_tx_input_fifo_fill,
+      -- Rx
+      g_use_rx              => g_use_rx,
+      g_rx_output_use_fifo  => g_rx_output_use_fifo,
+      g_rx_output_fifo_size => g_rx_output_fifo_size,
+      g_rx_output_fifo_fill => g_rx_output_fifo_fill,
+      g_rx_timeout_w        => g_rx_timeout_w,
+      -- UTH
+      g_uth_len_max         => g_uth_len_max,
+      g_uth_typ_ofs         => g_uth_typ_ofs
+    )
+    port map (
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
 
-    -- User
-    tx_dp_sosi_2arr  => tx_usr_sosi_2arr,
-    tx_dp_siso_2arr  => tx_usr_siso_2arr,
+      -- User
+      tx_dp_sosi_2arr  => tx_usr_sosi_2arr,
+      tx_dp_siso_2arr  => tx_usr_siso_2arr,
 
-    rx_dp_sosi_2arr  => rx_usr_sosi_2arr,
-    rx_dp_siso_2arr  => rx_usr_siso_2arr,
+      rx_dp_sosi_2arr  => rx_usr_sosi_2arr,
+      rx_dp_siso_2arr  => rx_usr_siso_2arr,
 
-    -- Phy
-    tx_uth_sosi_2arr => tx_term_sosi_2arr,
-    tx_uth_siso_2arr => tx_term_siso_2arr,
+      -- Phy
+      tx_uth_sosi_2arr => tx_term_sosi_2arr,
+      tx_uth_siso_2arr => tx_term_siso_2arr,
 
-    rx_uth_sosi_2arr => rx_term_sosi_2arr,
-    rx_uth_siso_2arr => rx_term_siso_2arr,
+      rx_uth_sosi_2arr => rx_term_sosi_2arr,
+      rx_uth_siso_2arr => rx_term_siso_2arr,
 
-    -- Monitoring
-    rx_mon_pkt_sosi_2arr  => mon_rx_term_pkt_sosi_2arr,
-    rx_mon_dist_sosi_2arr => mon_rx_term_dist_sosi_2arr
-  );
+      -- Monitoring
+      rx_mon_pkt_sosi_2arr  => mon_rx_term_pkt_sosi_2arr,
+      rx_mon_dist_sosi_2arr => mon_rx_term_dist_sosi_2arr
+    );
 
   ------------------------------------------------------------------------------
   -- Compensate for mesh reorder (g_phy_ena_reorder)
   ------------------------------------------------------------------------------
 
   u_tx : entity work.unb1_board_mesh_reorder_tx
-  generic map (
-    g_node_type => g_node_type,
-    g_reorder   => g_phy_ena_reorder
-  )
-  port map (
-    chip_id          => chip_id,
-    clk              => dp_clk,
-    tx_usr_sosi_2arr => tx_term_sosi_2arr,  -- g_use_tx
-    rx_usr_siso_2arr => rx_term_siso_2arr,  -- g_use_rx
-    tx_phy_sosi_2arr => tx_phy_sosi_2arr,
-    rx_phy_siso_2arr => rx_phy_siso_2arr
-  );
+    generic map (
+      g_node_type => g_node_type,
+      g_reorder   => g_phy_ena_reorder
+    )
+    port map (
+      chip_id          => chip_id,
+      clk              => dp_clk,
+      tx_usr_sosi_2arr => tx_term_sosi_2arr,  -- g_use_tx
+      rx_usr_siso_2arr => rx_term_siso_2arr,  -- g_use_rx
+      tx_phy_sosi_2arr => tx_phy_sosi_2arr,
+      rx_phy_siso_2arr => rx_phy_siso_2arr
+    );
 
   u_rx : entity work.unb1_board_mesh_reorder_rx
-  generic map (
-    g_node_type => g_node_type,
-    g_reorder   => g_phy_ena_reorder
-  )
-  port map (
-    chip_id          => chip_id,
-    clk              => dp_clk,
-    rx_phy_sosi_2arr => rx_phy_sosi_2arr,
-    tx_phy_siso_2arr => tx_phy_siso_2arr,
-    rx_usr_sosi_2arr => rx_term_sosi_2arr,  -- g_use_rx
-    tx_usr_siso_2arr => tx_term_siso_2arr  -- g_use_tx
-  );
+    generic map (
+      g_node_type => g_node_type,
+      g_reorder   => g_phy_ena_reorder
+    )
+    port map (
+      chip_id          => chip_id,
+      clk              => dp_clk,
+      rx_phy_sosi_2arr => rx_phy_sosi_2arr,
+      tx_phy_siso_2arr => tx_phy_siso_2arr,
+      rx_usr_sosi_2arr => rx_term_sosi_2arr,  -- g_use_rx
+      tx_usr_siso_2arr => tx_term_siso_2arr  -- g_use_tx
+    );
 
 
   ------------------------------------------------------------------------------
@@ -358,57 +358,57 @@ begin
   -- Map 1-dim array on 2-dim array
   gen_bus : for I in g_nof_bus - 1 downto 0 generate
     gen_lane : for J in g_phy_nof_serial - 1 downto 0 generate
-       -- SOSI
-       tx_phy_sosi_arr(I * g_phy_nof_serial + J) <= tx_phy_sosi_2arr(I)(J);
-       tx_phy_siso_2arr(I)(J)                  <= tx_phy_siso_arr(I * g_phy_nof_serial + J);
+      -- SOSI
+      tx_phy_sosi_arr(I * g_phy_nof_serial + J) <= tx_phy_sosi_2arr(I)(J);
+      tx_phy_siso_2arr(I)(J)                  <= tx_phy_siso_arr(I * g_phy_nof_serial + J);
 
-       rx_phy_sosi_2arr(I)(J)                  <= rx_phy_sosi_arr(I * g_phy_nof_serial + J);
-       rx_phy_siso_arr(I * g_phy_nof_serial + J) <= rx_phy_siso_2arr(I)(J);
+      rx_phy_sosi_2arr(I)(J)                  <= rx_phy_sosi_arr(I * g_phy_nof_serial + J);
+      rx_phy_siso_arr(I * g_phy_nof_serial + J) <= rx_phy_siso_2arr(I)(J);
 
-       -- Serial
-       tx_serial_2arr(I)(J)                  <= tx_serial_arr(I * g_phy_nof_serial + J);
-       rx_serial_arr(I * g_phy_nof_serial + J) <= rx_serial_2arr(I)(J);
+      -- Serial
+      tx_serial_2arr(I)(J)                  <= tx_serial_arr(I * g_phy_nof_serial + J);
+      rx_serial_arr(I * g_phy_nof_serial + J) <= rx_serial_2arr(I)(J);
     end generate;
   end generate;
 
   u_tr_nonbonded : entity tr_nonbonded_lib.mms_tr_nonbonded
-  generic map (
-    g_sim           => g_sim,
-    g_sim_level     => g_sim_level,
-    g_data_w        => c_phy_data_w,
-    g_nof_gx        => c_phy_nof_gx,
-    g_mbps          => g_phy_gx_mbps,
-    g_tx            => g_use_tx,
-    g_rx            => g_use_rx,
-    g_rx_fifo_depth => g_phy_rx_fifo_size
-  )
-  port map (
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-
-    st_rst               => dp_rst,
-    st_clk               => dp_clk,
-
-    tr_clk               => tr_clk,
-    cal_rec_clk          => cal_clk,
-
-    --Serial data I/O
-    tx_dataout           => tx_serial_arr,
-    rx_datain            => rx_serial_arr,
-
-    --Streaming I/O
-    snk_out_arr          => tx_phy_siso_arr,
-    snk_in_arr           => tx_phy_sosi_arr,
-
-    src_in_arr           => rx_phy_siso_arr,
-    src_out_arr          => rx_phy_sosi_arr,
-
-    tr_nonbonded_mm_mosi => reg_tr_nonbonded_mosi,
-    tr_nonbonded_mm_miso => reg_tr_nonbonded_miso,
-
-    diagnostics_mm_mosi  => reg_diagnostics_mosi,
-    diagnostics_mm_miso  => reg_diagnostics_miso
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_sim_level     => g_sim_level,
+      g_data_w        => c_phy_data_w,
+      g_nof_gx        => c_phy_nof_gx,
+      g_mbps          => g_phy_gx_mbps,
+      g_tx            => g_use_tx,
+      g_rx            => g_use_rx,
+      g_rx_fifo_depth => g_phy_rx_fifo_size
+    )
+    port map (
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+
+      st_rst               => dp_rst,
+      st_clk               => dp_clk,
+
+      tr_clk               => tr_clk,
+      cal_rec_clk          => cal_clk,
+
+      --Serial data I/O
+      tx_dataout           => tx_serial_arr,
+      rx_datain            => rx_serial_arr,
+
+      --Streaming I/O
+      snk_out_arr          => tx_phy_siso_arr,
+      snk_in_arr           => tx_phy_sosi_arr,
+
+      src_in_arr           => rx_phy_siso_arr,
+      src_out_arr          => rx_phy_sosi_arr,
+
+      tr_nonbonded_mm_mosi => reg_tr_nonbonded_mosi,
+      tr_nonbonded_mm_miso => reg_tr_nonbonded_miso,
+
+      diagnostics_mm_mosi  => reg_diagnostics_mosi,
+      diagnostics_mm_miso  => reg_diagnostics_miso
+    );
 
 end str;
 
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_extend.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_extend.vhd
index 20437a399b..644a98c601 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_extend.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_extend.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Extend the input WDI that is controlled in SW (as it should be) to avoid
@@ -72,27 +72,27 @@ begin
   nxt_wdi_out <= not i_wdi_out when wdi_cnt_en = '1' else i_wdi_out;
 
   u_common_evt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "BOTH",
-    g_out_reg  => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => wdi_in,
-    out_evt  => wdi_evt
-  );
+    generic map (
+      g_evt_type => "BOTH",
+      g_out_reg  => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => wdi_in,
+      out_evt  => wdi_evt
+    );
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width   => g_extend_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => wdi_evt,
-    cnt_en  => wdi_cnt_en,
-    count   => wdi_cnt
-  );
+    generic map (
+      g_width   => g_extend_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => wdi_evt,
+      cnt_en  => wdi_cnt_en,
+      count   => wdi_cnt
+    );
 
 end str;
diff --git a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_reg.vhd b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_reg.vhd
index d03edab58d..5a26a09146 100644
--- a/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_reg.vhd
+++ b/boards/uniboard1/libraries/unb1_board/src/vhdl/unb1_board_wdi_reg.vhd
@@ -24,9 +24,9 @@
 --   Write 0xB007FAC7 to address 0x0.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb1_board_wdi_reg is
   port (
@@ -40,18 +40,20 @@ entity unb1_board_wdi_reg is
 
     -- MM registers in st_clk domain
     wdi_override      : out std_logic
- );
+  );
 end unb1_board_wdi_reg;
 
 
 architecture rtl of unb1_board_wdi_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(1),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- For safety, WDI override requires the following word to be written:
   constant c_cmd_reconfigure : std_logic_vector(c_word_w - 1 downto 0 ) := x"B007FAC7";  -- "Boot factory"
@@ -64,7 +66,7 @@ begin
       -- Read access
       sla_out   <= c_mem_miso_rst;
       -- Write access, register values
-        wdi_override <= '0';
+      wdi_override <= '0';
     elsif rising_edge(mm_clk) then
       -- Read access defaults: unused
       sla_out   <= c_mem_miso_rst;
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_mms_unb1_board_sens.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_mms_unb1_board_sens.vhd
index 0de4b7a343..757b9115a9 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_mms_unb1_board_sens.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_mms_unb1_board_sens.vhd
@@ -32,11 +32,11 @@ entity tb_mms_unb1_board_sens is
 end tb_mms_unb1_board_sens;
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 
 architecture tb of tb_mms_unb1_board_sens is
@@ -153,60 +153,60 @@ begin
 
   -- I2C sensors master
   u_mms_unb1_board_sens : entity work.mms_unb1_board_sens
-  generic map (
-    g_sim       => c_sim,
-    g_clk_freq  => c_clk_freq,
-    g_temp_high => c_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => rst,
-    mm_clk    => clk,
-    mm_start  => start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_mosi,
-    reg_miso  => reg_miso,
-
-    -- i2c bus
-    scl       => scl,
-    sda       => sda
-  );
+    generic map (
+      g_sim       => c_sim,
+      g_clk_freq  => c_clk_freq,
+      g_temp_high => c_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => rst,
+      mm_clk    => clk,
+      mm_start  => start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_mosi,
+      reg_miso  => reg_miso,
+
+      -- i2c bus
+      scl       => scl,
+      sda       => sda
+    );
 
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => scl,
-    sda               => sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => scl,
+      sda               => sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
 
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_tb_tb_unb1_board_regression.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_tb_tb_unb1_board_regression.vhd
index 59732556ad..76652bfbdc 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_tb_tb_unb1_board_regression.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_tb_tb_unb1_board_regression.vhd
@@ -27,7 +27,7 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_tb_unb1_board_regression is
 end tb_tb_tb_unb1_board_regression;
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_clk200_pll.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_clk200_pll.vhd
index 820d767d9a..75b8bd8b95 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_clk200_pll.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_clk200_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb1_board_clk200_pll is
@@ -74,69 +74,69 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb1_board_clk200_pll
-  generic map (
-    g_sel                 => 0,  -- g_sel=0 for clk200_pll.vhd
-    -- g_sel=0 for clk200_pll.vhd
-    g_clk200_phase_shift  => "0",
-    g_clk200p_phase_shift => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_0,
-    st_rst200  => st_rst200_0,
-    st_clk200p => st_clk200p0,
-    st_rst200p => st_rst200p0,
-    st_clk400  => st_clk400,
-    st_rst400  => st_rst400
-  );
+    generic map (
+      g_sel                 => 0,  -- g_sel=0 for clk200_pll.vhd
+      -- g_sel=0 for clk200_pll.vhd
+      g_clk200_phase_shift  => "0",
+      g_clk200p_phase_shift => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_0,
+      st_rst200  => st_rst200_0,
+      st_clk200p => st_clk200p0,
+      st_rst200p => st_rst200p0,
+      st_clk400  => st_clk400,
+      st_rst400  => st_rst400
+    );
 
   dut_45 : entity work.unb1_board_clk200_pll
-  generic map (
-    g_sel                 => 0,  -- g_sel=0 for clk200_pll.vhd
-    -- g_sel=0 for clk200_pll.vhd
-    g_clk200_phase_shift  => "625",
-    g_clk200p_phase_shift => "625"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_45,
-    st_rst200  => st_rst200_45,
-    st_clk200p => st_clk200p45,
-    st_rst200p => st_rst200p45,
-    st_clk400  => OPEN,
-    st_rst400  => open
-  );
+    generic map (
+      g_sel                 => 0,  -- g_sel=0 for clk200_pll.vhd
+      -- g_sel=0 for clk200_pll.vhd
+      g_clk200_phase_shift  => "625",
+      g_clk200p_phase_shift => "625"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_45,
+      st_rst200  => st_rst200_45,
+      st_clk200p => st_clk200p45,
+      st_rst200p => st_rst200p45,
+      st_clk400  => OPEN,
+      st_rst400  => open
+    );
 
   dut_p6 : entity work.unb1_board_clk200_pll
-  generic map (
-    g_sel                 => 1,  -- g_sel=0 for clk200_pll.vhd
-                                 -- g_sel=1 for clk200_pll_p6.vhd
-    g_clk200_phase_shift  => "0",
-    -- g_sel=1 for clk200_pll_p6.vhd
-    g_clk0_phase_shift    =>    "0",
-    g_clk_vec_w           => c_clk_vec_w,
-    g_clk1_phase_shift    =>    "0",
-    g_clk2_phase_shift    =>  "156",
-    g_clk3_phase_shift    =>  "313",
-    g_clk4_phase_shift    =>  "469",
-    g_clk5_phase_shift    =>  "625",
-    g_clk6_phase_shift    =>  "938",
-    g_clk1_divide_by      => c_clk_div,
-    g_clk2_divide_by      => c_clk_div,
-    g_clk3_divide_by      => c_clk_div,
-    g_clk4_divide_by      => c_clk_div,
-    g_clk5_divide_by      => c_clk_div,
-    g_clk6_divide_by      => c_clk_div
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => dp_clk200,
-    st_rst200  => dp_rst200,
-    -- . g_sel=1
-    st_clk_vec => st_clk_vec
-  );
+    generic map (
+      g_sel                 => 1,  -- g_sel=0 for clk200_pll.vhd
+      -- g_sel=1 for clk200_pll_p6.vhd
+      g_clk200_phase_shift  => "0",
+      -- g_sel=1 for clk200_pll_p6.vhd
+      g_clk0_phase_shift    =>    "0",
+      g_clk_vec_w           => c_clk_vec_w,
+      g_clk1_phase_shift    =>    "0",
+      g_clk2_phase_shift    =>  "156",
+      g_clk3_phase_shift    =>  "313",
+      g_clk4_phase_shift    =>  "469",
+      g_clk5_phase_shift    =>  "625",
+      g_clk6_phase_shift    =>  "938",
+      g_clk1_divide_by      => c_clk_div,
+      g_clk2_divide_by      => c_clk_div,
+      g_clk3_divide_by      => c_clk_div,
+      g_clk4_divide_by      => c_clk_div,
+      g_clk5_divide_by      => c_clk_div,
+      g_clk6_divide_by      => c_clk_div
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => dp_clk200,
+      st_rst200  => dp_rst200,
+      -- . g_sel=1
+      st_clk_vec => st_clk_vec
+    );
 
 end tb;
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_mesh_reorder_bidir.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_mesh_reorder_bidir.vhd
index 050793a9a4..8535eb6aea 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_mesh_reorder_bidir.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_mesh_reorder_bidir.vhd
@@ -42,12 +42,12 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
-use work.tb_unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
+  use work.tb_unb1_board_pkg.all;
 
 
 entity tb_unb1_board_mesh_reorder_bidir is
@@ -230,27 +230,27 @@ begin
 
   gen_fn : for I in 0 to c_nof_node-1 generate
     u_order : entity work.unb1_board_mesh_reorder_bidir
-    generic map (
-      g_node_type => e_fn,
-      g_reorder   => c_reorder
-    )
-    port map (
-      chip_id          => TO_UVEC(I, c_chip_id_w),  -- chip id 0, 1, 2, 3
-
-      -- Transmit clock domain
-      tx_clk           => clk,
-      tx_usr_sosi_2arr => fn_tx_usr_sosi_3arr(I),  -- user sosi to phy = sosi.valid driver from FN user
-      tx_usr_siso_2arr => fn_tx_usr_siso_3arr(I),  -- user siso from phy = siso.ready result to FN user
-      tx_phy_sosi_2arr => fn_tx_phy_sosi_3arr(I),  -- phy sosi to mesh
-      tx_phy_siso_2arr => fn_tx_phy_siso_3arr(I),  -- phy siso from mesh
-
-      -- Receive clock domain
-      rx_clk           => clk,
-      rx_phy_sosi_2arr => fn_rx_phy_sosi_3arr(I),  -- phy sosi from mesh
-      rx_phy_siso_2arr => fn_rx_phy_siso_3arr(I),  -- phy siso to mesh
-      rx_usr_sosi_2arr => fn_rx_usr_sosi_3arr(I),  -- user sosi from phy = sosi.valid result to FN user
-      rx_usr_siso_2arr => fn_rx_usr_siso_3arr(I)  -- user siso to phy = siso.ready driver from FN user
-    );
+      generic map (
+        g_node_type => e_fn,
+        g_reorder   => c_reorder
+      )
+      port map (
+        chip_id          => TO_UVEC(I, c_chip_id_w),  -- chip id 0, 1, 2, 3
+
+        -- Transmit clock domain
+        tx_clk           => clk,
+        tx_usr_sosi_2arr => fn_tx_usr_sosi_3arr(I),  -- user sosi to phy = sosi.valid driver from FN user
+        tx_usr_siso_2arr => fn_tx_usr_siso_3arr(I),  -- user siso from phy = siso.ready result to FN user
+        tx_phy_sosi_2arr => fn_tx_phy_sosi_3arr(I),  -- phy sosi to mesh
+        tx_phy_siso_2arr => fn_tx_phy_siso_3arr(I),  -- phy siso from mesh
+
+        -- Receive clock domain
+        rx_clk           => clk,
+        rx_phy_sosi_2arr => fn_rx_phy_sosi_3arr(I),  -- phy sosi from mesh
+        rx_phy_siso_2arr => fn_rx_phy_siso_3arr(I),  -- phy siso to mesh
+        rx_usr_sosi_2arr => fn_rx_usr_sosi_3arr(I),  -- user sosi from phy = sosi.valid result to FN user
+        rx_usr_siso_2arr => fn_rx_usr_siso_3arr(I)  -- user siso to phy = siso.ready driver from FN user
+      );
   end generate;
 
 
@@ -271,18 +271,18 @@ begin
   end generate;
 
   u_pcb_mesh_serial : entity work.unb1_board_mesh_model_sl
-  generic map (
-    g_reorder => c_reorder
-  )
-  port map (
-    -- FN to BN
-    fn_tx_sl_3arr  => fn_tx_phy_sl_3arr,
-    bn_rx_sl_3arr  => bn_rx_phy_sl_3arr,
-
-    -- BN to FN
-    bn_tx_sl_3arr  => bn_tx_phy_sl_3arr,
-    fn_rx_sl_3arr  => fn_rx_phy_sl_3arr
-  );
+    generic map (
+      g_reorder => c_reorder
+    )
+    port map (
+      -- FN to BN
+      fn_tx_sl_3arr  => fn_tx_phy_sl_3arr,
+      bn_rx_sl_3arr  => bn_rx_phy_sl_3arr,
+
+      -- BN to FN
+      bn_tx_sl_3arr  => bn_tx_phy_sl_3arr,
+      fn_rx_sl_3arr  => fn_rx_phy_sl_3arr
+    );
 
   -- Use rx_phy SOSI.valid as reference output to verify output of unb1_board_mesh_model_sl
   mon_rx_serial : for I in 0 to c_nof_node-1 generate
@@ -310,63 +310,63 @@ begin
   -- >>> unb1_board_mesh_model_sosi
 
   u_pcb_mesh_sosi : entity work.unb1_board_mesh_model_sosi
-  generic map (
-    g_reorder => c_reorder
-  )
-  port map (
-    -- FN to BN
-    fn0_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(0),
-    fn1_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(1),
-    fn2_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(2),
-    fn3_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(3),
-
-    bn0_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(0),
-    bn1_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(1),
-    bn2_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(2),
-    bn3_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(3),
-
-    -- BN to FN
-    bn0_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(0),
-    bn1_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(1),
-    bn2_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(2),
-    bn3_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(3),
-
-    fn0_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(0),
-    fn1_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(1),
-    fn2_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(2),
-    fn3_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(3)
-  );
+    generic map (
+      g_reorder => c_reorder
+    )
+    port map (
+      -- FN to BN
+      fn0_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(0),
+      fn1_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(1),
+      fn2_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(2),
+      fn3_tx_sosi_2arr  => fn_tx_phy_sosi_3arr(3),
+
+      bn0_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(0),
+      bn1_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(1),
+      bn2_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(2),
+      bn3_rx_sosi_2arr  => bn_rx_phy_sosi_3arr(3),
+
+      -- BN to FN
+      bn0_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(0),
+      bn1_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(1),
+      bn2_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(2),
+      bn3_tx_sosi_2arr  => bn_tx_phy_sosi_3arr(3),
+
+      fn0_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(0),
+      fn1_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(1),
+      fn2_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(2),
+      fn3_rx_sosi_2arr  => fn_rx_phy_sosi_3arr(3)
+    );
 
 
   -- >>> unb1_board_mesh_model_siso
 
   u_pcb_mesh_siso : entity work.unb1_board_mesh_model_siso
-  generic map (
-    g_reorder => c_reorder
-  )
-  port map (
-    -- FN to BN
-    fn0_rx_siso_2arr  => fn_rx_phy_siso_3arr(0),
-    fn1_rx_siso_2arr  => fn_rx_phy_siso_3arr(1),
-    fn2_rx_siso_2arr  => fn_rx_phy_siso_3arr(2),
-    fn3_rx_siso_2arr  => fn_rx_phy_siso_3arr(3),
-
-    bn0_tx_siso_2arr  => bn_tx_phy_siso_3arr(0),
-    bn1_tx_siso_2arr  => bn_tx_phy_siso_3arr(1),
-    bn2_tx_siso_2arr  => bn_tx_phy_siso_3arr(2),
-    bn3_tx_siso_2arr  => bn_tx_phy_siso_3arr(3),
-
-    -- BN to FN
-    bn0_rx_siso_2arr  => bn_rx_phy_siso_3arr(0),
-    bn1_rx_siso_2arr  => bn_rx_phy_siso_3arr(1),
-    bn2_rx_siso_2arr  => bn_rx_phy_siso_3arr(2),
-    bn3_rx_siso_2arr  => bn_rx_phy_siso_3arr(3),
-
-    fn0_tx_siso_2arr  => fn_tx_phy_siso_3arr(0),
-    fn1_tx_siso_2arr  => fn_tx_phy_siso_3arr(1),
-    fn2_tx_siso_2arr  => fn_tx_phy_siso_3arr(2),
-    fn3_tx_siso_2arr  => fn_tx_phy_siso_3arr(3)
-  );
+    generic map (
+      g_reorder => c_reorder
+    )
+    port map (
+      -- FN to BN
+      fn0_rx_siso_2arr  => fn_rx_phy_siso_3arr(0),
+      fn1_rx_siso_2arr  => fn_rx_phy_siso_3arr(1),
+      fn2_rx_siso_2arr  => fn_rx_phy_siso_3arr(2),
+      fn3_rx_siso_2arr  => fn_rx_phy_siso_3arr(3),
+
+      bn0_tx_siso_2arr  => bn_tx_phy_siso_3arr(0),
+      bn1_tx_siso_2arr  => bn_tx_phy_siso_3arr(1),
+      bn2_tx_siso_2arr  => bn_tx_phy_siso_3arr(2),
+      bn3_tx_siso_2arr  => bn_tx_phy_siso_3arr(3),
+
+      -- BN to FN
+      bn0_rx_siso_2arr  => bn_rx_phy_siso_3arr(0),
+      bn1_rx_siso_2arr  => bn_rx_phy_siso_3arr(1),
+      bn2_rx_siso_2arr  => bn_rx_phy_siso_3arr(2),
+      bn3_rx_siso_2arr  => bn_rx_phy_siso_3arr(3),
+
+      fn0_tx_siso_2arr  => fn_tx_phy_siso_3arr(0),
+      fn1_tx_siso_2arr  => fn_tx_phy_siso_3arr(1),
+      fn2_tx_siso_2arr  => fn_tx_phy_siso_3arr(2),
+      fn3_tx_siso_2arr  => fn_tx_phy_siso_3arr(3)
+    );
 
 
   ------------------------------------------------------------------------------
@@ -375,27 +375,27 @@ begin
 
   gen_bn : for I in 0 to c_nof_node-1 generate
     u_order : entity work.unb1_board_mesh_reorder_bidir
-    generic map (
-      g_node_type => e_bn,
-      g_reorder   => c_reorder
-    )
-    port map (
-      chip_id          => TO_UVEC(c_nof_node + I, c_chip_id_w),  -- chip id 4, 5, 6, 7
-
-      -- Transmit clock domain
-      tx_clk           => clk,
-      tx_usr_sosi_2arr => bn_tx_usr_sosi_3arr(I),  -- user sosi to phy = sosi.valid driver from BN user
-      tx_usr_siso_2arr => bn_tx_usr_siso_3arr(I),  -- user siso from phy = siso.ready result to BN user
-      tx_phy_sosi_2arr => bn_tx_phy_sosi_3arr(I),  -- phy sosi to mesh
-      tx_phy_siso_2arr => bn_tx_phy_siso_3arr(I),  -- phy siso from mesh
-
-      -- Receive clock domain
-      rx_clk           => clk,
-      rx_phy_sosi_2arr => bn_rx_phy_sosi_3arr(I),  -- phy sosi from mesh
-      rx_phy_siso_2arr => bn_rx_phy_siso_3arr(I),  -- phy siso to mesh
-      rx_usr_sosi_2arr => bn_rx_usr_sosi_3arr(I),  -- user sosi from phy = sosi.valid result to BN user
-      rx_usr_siso_2arr => bn_rx_usr_siso_3arr(I)  -- user siso to phy = siso.ready driver from BN user
-    );
+      generic map (
+        g_node_type => e_bn,
+        g_reorder   => c_reorder
+      )
+      port map (
+        chip_id          => TO_UVEC(c_nof_node + I, c_chip_id_w),  -- chip id 4, 5, 6, 7
+
+        -- Transmit clock domain
+        tx_clk           => clk,
+        tx_usr_sosi_2arr => bn_tx_usr_sosi_3arr(I),  -- user sosi to phy = sosi.valid driver from BN user
+        tx_usr_siso_2arr => bn_tx_usr_siso_3arr(I),  -- user siso from phy = siso.ready result to BN user
+        tx_phy_sosi_2arr => bn_tx_phy_sosi_3arr(I),  -- phy sosi to mesh
+        tx_phy_siso_2arr => bn_tx_phy_siso_3arr(I),  -- phy siso from mesh
+
+        -- Receive clock domain
+        rx_clk           => clk,
+        rx_phy_sosi_2arr => bn_rx_phy_sosi_3arr(I),  -- phy sosi from mesh
+        rx_phy_siso_2arr => bn_rx_phy_siso_3arr(I),  -- phy siso to mesh
+        rx_usr_sosi_2arr => bn_rx_usr_sosi_3arr(I),  -- user sosi from phy = sosi.valid result to BN user
+        rx_usr_siso_2arr => bn_rx_usr_siso_3arr(I)  -- user siso to phy = siso.ready driver from BN user
+      );
   end generate;
 
 end tb;
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_node_ctrl.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_node_ctrl.vhd
index 5b8ebe20f3..50f317e6d0 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_node_ctrl.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb1_board_node_ctrl is
@@ -81,23 +81,23 @@ begin
   wdi_in <= wdi and sw;  -- sw wdi only when sw is active, during sw inactive the wdi_out will be extended
 
   dut : entity work.unb1_board_node_ctrl
-  generic map (
-    g_pulse_us     => c_pulse_us,
-    g_pulse_ms     => c_pulse_ms,
-    g_pulse_s      => c_pulse_s,
-    g_wdi_extend_w => c_wdi_extend_w
-  )
-  port map (
-    xo_clk      => xo_clk,
-    xo_rst_n    => xo_rst_n,
-    sys_clk     => sys_clk,
-    sys_locked  => sys_locked,
-    sys_rst     => sys_rst,
-    wdi_in      => wdi_in,
-    wdi_out     => wdi_out,
-    pulse_us    => pulse_us,
-    pulse_ms    => pulse_ms,
-    pulse_s     => pulse_s
-  );
+    generic map (
+      g_pulse_us     => c_pulse_us,
+      g_pulse_ms     => c_pulse_ms,
+      g_pulse_s      => c_pulse_s,
+      g_wdi_extend_w => c_wdi_extend_w
+    )
+    port map (
+      xo_clk      => xo_clk,
+      xo_rst_n    => xo_rst_n,
+      sys_clk     => sys_clk,
+      sys_locked  => sys_locked,
+      sys_rst     => sys_rst,
+      wdi_in      => wdi_in,
+      wdi_out     => wdi_out,
+      pulse_us    => pulse_us,
+      pulse_ms    => pulse_ms,
+      pulse_s     => pulse_s
+    );
 
 end tb;
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_pkg.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_pkg.vhd
index 2b119ed295..f6f49f060f 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_pkg.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/tb_unb1_board_pkg.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 package tb_unb1_board_pkg is
 
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sl.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sl.vhd
index 195d05a17d..a5791b1e0e 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sl.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sl.vhd
@@ -35,11 +35,11 @@
 -- . Phy bus 3 is not used and left not connected on the backplane.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use work.unb1_board_pkg.all;
-use work.tb_unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use work.unb1_board_pkg.all;
+  use work.tb_unb1_board_pkg.all;
 
 entity unb1_board_back_model_sl is
   port (
@@ -74,12 +74,12 @@ begin
     backplane_out_serial_4arr(3)(BN)(0) <= backplane_in_serial_4arr(0)(BN)(0);
     backplane_out_serial_4arr(3)(BN)(1) <= backplane_in_serial_4arr(1)(BN)(0);
     backplane_out_serial_4arr(3)(BN)(2) <= backplane_in_serial_4arr(2)(BN)(1);
-    --                        ^   ^  ^                              ^      ^
-    --                        |   |  |                              |      |
-    --                        |   |  BN_BI RX phy bus               |      BN_BI TX phy bus
-    --                        |   |                                 Transmitting UniBoard
-    --                        |   Same scheme applies to all back nodes
-    --                        Receiving UniBoard
+  --                        ^   ^  ^                              ^      ^
+  --                        |   |  |                              |      |
+  --                        |   |  BN_BI RX phy bus               |      BN_BI TX phy bus
+  --                        |   |                                 Transmitting UniBoard
+  --                        |   Same scheme applies to all back nodes
+  --                        Receiving UniBoard
 
   end generate;
 
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sosi.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sosi.vhd
index bfd7635362..e7908399e1 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sosi.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_back_model_sosi.vhd
@@ -26,11 +26,11 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use work.unb1_board_pkg.all;
-use work.tb_unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use work.unb1_board_pkg.all;
+  use work.tb_unb1_board_pkg.all;
 
 entity unb1_board_back_model_sosi is
   port (
@@ -65,12 +65,12 @@ begin
     backplane_out_sosi_4arr(3)(BN)(0) <= backplane_in_sosi_4arr(0)(BN)(0);
     backplane_out_sosi_4arr(3)(BN)(1) <= backplane_in_sosi_4arr(1)(BN)(0);
     backplane_out_sosi_4arr(3)(BN)(2) <= backplane_in_sosi_4arr(2)(BN)(1);
-    --                      ^   ^  ^                            ^      ^
-    --                      |   |  |                            |      |
-    --                      |   |  BN_BI RX phy bu              |      BN_BI TX phy bus
-    --                      |   |                               Transmitting UniBoard
-    --                      |   Same scheme applies to all back nodes
-    --                      Receiving UniBoard
+  --                      ^   ^  ^                            ^      ^
+  --                      |   |  |                            |      |
+  --                      |   |  BN_BI RX phy bu              |      BN_BI TX phy bus
+  --                      |   |                               Transmitting UniBoard
+  --                      |   Same scheme applies to all back nodes
+  --                      Receiving UniBoard
 
   end generate;
 
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_siso.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_siso.vhd
index b7a65aa7a5..94c3134db2 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_siso.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_siso.vhd
@@ -24,11 +24,11 @@
 -- Description: See unb1_board_mesh_reorder_bidir.vhd
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_model_siso is
@@ -111,7 +111,7 @@ begin
 
   -- Actual UniBoard PCB mesh connect for transpose
   gen_reorder : if g_reorder = true generate
-                                            -- BN, phy  <= FN, phy
+    -- BN, phy  <= FN, phy
     bn0_tx_siso_2arr(0) <= fn3_rx_siso_2arr(1);  -- 0,0 <= 3,1
     bn0_tx_siso_2arr(1) <= fn2_rx_siso_2arr(0);  -- 0,1 <= 2,0
     bn0_tx_siso_2arr(2) <= fn1_rx_siso_2arr(0);  -- 0,2 <= 1,0
@@ -132,7 +132,7 @@ begin
     bn3_tx_siso_2arr(2) <= fn2_rx_siso_2arr(3);  -- 3,2 <= 2,3
     bn3_tx_siso_2arr(3) <= fn1_rx_siso_2arr(2);  -- 3,3 <= 1,2
 
-                                             -- FN, phy <= BN, phy
+    -- FN, phy <= BN, phy
     fn0_tx_siso_2arr(0) <= bn0_rx_siso_2arr(3);  -- 0,0 <= 0,3
     fn0_tx_siso_2arr(1) <= bn3_rx_siso_2arr(1);  -- 0,1 <= 3,1
     fn0_tx_siso_2arr(2) <= bn2_rx_siso_2arr(2);  -- 0,2 <= 2,2
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sl.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sl.vhd
index 1eb7f377b5..b78c285ac0 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sl.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sl.vhd
@@ -25,12 +25,12 @@
 -- Description: See unb1_board_mesh_reorder_bidir.vhd
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
-use work.tb_unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
+  use work.tb_unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_model_sl is
@@ -66,7 +66,7 @@ begin
 
   -- Actual UniBoard PCB mesh connect for transpose
   gen_reorder : if g_reorder = true generate
-                                        -- BN, phy  <= FN, phy
+    -- BN, phy  <= FN, phy
     bn_rx_sl_3arr(0)(0) <= fn_tx_sl_3arr(3)(1);  -- 0,0 <= 3,1
     bn_rx_sl_3arr(0)(1) <= fn_tx_sl_3arr(2)(0);  -- 0,1 <= 2,0
     bn_rx_sl_3arr(0)(2) <= fn_tx_sl_3arr(1)(0);  -- 0,2 <= 1,0
@@ -87,7 +87,7 @@ begin
     bn_rx_sl_3arr(3)(2) <= fn_tx_sl_3arr(2)(3);  -- 3,2 <= 2,3
     bn_rx_sl_3arr(3)(3) <= fn_tx_sl_3arr(1)(2);  -- 3,3 <= 1,2
 
-                                         -- FN, phy <= BN, phy
+    -- FN, phy <= BN, phy
     fn_rx_sl_3arr(0)(0) <= bn_tx_sl_3arr(0)(3);  -- 0,0 <= 0,3
     fn_rx_sl_3arr(0)(1) <= bn_tx_sl_3arr(3)(1);  -- 0,1 <= 3,1
     fn_rx_sl_3arr(0)(2) <= bn_tx_sl_3arr(2)(2);  -- 0,2 <= 2,2
diff --git a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sosi.vhd b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sosi.vhd
index 16a1b0166e..fbde813873 100644
--- a/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sosi.vhd
+++ b/boards/uniboard1/libraries/unb1_board/tb/vhdl/unb1_board_mesh_model_sosi.vhd
@@ -24,11 +24,11 @@
 -- Description: See unb1_board_mesh_reorder_bidir.vhd
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb1_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb1_board_pkg.all;
 
 
 entity unb1_board_mesh_model_sosi is
@@ -111,7 +111,7 @@ begin
 
   -- Actual UniBoard PCB mesh connect for transpose
   gen_reorder : if g_reorder = true generate
-                                            -- BN, phy  <= FN, phy
+    -- BN, phy  <= FN, phy
     bn0_rx_sosi_2arr(0) <= fn3_tx_sosi_2arr(1);  -- 0,0 <= 3,1
     bn0_rx_sosi_2arr(1) <= fn2_tx_sosi_2arr(0);  -- 0,1 <= 2,0
     bn0_rx_sosi_2arr(2) <= fn1_tx_sosi_2arr(0);  -- 0,2 <= 1,0
@@ -132,7 +132,7 @@ begin
     bn3_rx_sosi_2arr(2) <= fn2_tx_sosi_2arr(3);  -- 3,2 <= 2,3
     bn3_rx_sosi_2arr(3) <= fn1_tx_sosi_2arr(2);  -- 3,3 <= 1,2
 
-                                             -- FN, phy <= BN, phy
+    -- FN, phy <= BN, phy
     fn0_rx_sosi_2arr(0) <= bn0_tx_sosi_2arr(3);  -- 0,0 <= 0,3
     fn0_rx_sosi_2arr(1) <= bn3_tx_sosi_2arr(1);  -- 0,1 <= 3,1
     fn0_rx_sosi_2arr(2) <= bn2_tx_sosi_2arr(2);  -- 0,2 <= 2,2
diff --git a/boards/uniboard2/designs/unb2_led/src/vhdl/unb2_led.vhd b/boards/uniboard2/designs/unb2_led/src/vhdl/unb2_led.vhd
index 8974e7b86e..73a3b0bcab 100644
--- a/boards/uniboard2/designs/unb2_led/src/vhdl/unb2_led.vhd
+++ b/boards/uniboard2/designs/unb2_led/src/vhdl/unb2_led.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
 
 entity unb2_led is
   generic (
@@ -102,15 +102,15 @@ begin
   i_xo_ethclk <= ETH_CLK;  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_xo_ethclk,
-    out_rst   => i_xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_xo_ethclk,
+      out_rst   => i_xo_rst
+    );
 
   -----------------------------------------------------------------------------
   -- mm_clk
@@ -121,40 +121,40 @@ begin
   i_mm_clk <= clk50;
 
   gen_mm_clk_sim: if g_sim = true generate
-      clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
-      mm_locked   <= '0', '1' after 70 ns;
+    clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
+    mm_locked   <= '0', '1' after 70 ns;
   end generate;
 
   gen_mm_clk_hardware: if g_sim = false generate
     u_unb2_board_clk125_pll : entity unb2_board_lib.unb2_board_clk125_pll
+      generic map (
+        g_use_fpll   => true,
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk125     => i_xo_ethclk,
+        c1_clk50   => clk50,
+        pll_locked => mm_locked
+      );
+  end generate;
+
+  u_unb2_board_node_ctrl : entity unb2_board_lib.unb2_board_node_ctrl
     generic map (
-      g_use_fpll   => true,
-      g_technology => g_technology
+      g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
     )
     port map (
-      arst       => i_xo_rst,
-      clk125     => i_xo_ethclk,
-      c1_clk50   => clk50,
-      pll_locked => mm_locked
+      -- MM clock domain reset
+      mm_clk      => i_mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => i_mm_rst,
+      -- WDI extend
+      mm_wdi_in   => mm_pulse_s,
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
     );
-  end generate;
-
-  u_unb2_board_node_ctrl : entity unb2_board_lib.unb2_board_node_ctrl
-  generic map (
-    g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => i_mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => i_mm_rst,
-    -- WDI extend
-    mm_wdi_in   => mm_pulse_s,
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
 
   ------------------------------------------------------------------------------
   -- Toggle red LED when unb2_minimal is running, green LED for other designs.
@@ -164,15 +164,15 @@ begin
 
 
   u_extend : common_lib.common_pulse_extend
-  generic map (
-    g_extend_w => 22  -- (2^22) / 50e6 = 0.083886 th of 1 sec
-  )
-  port map (
-    rst     => i_mm_rst,
-    clk     => i_mm_clk,
-    p_in    => mm_pulse_s,
-    ep_out  => led_flash
-  );
+    generic map (
+      g_extend_w => 22  -- (2^22) / 50e6 = 0.083886 th of 1 sec
+    )
+    port map (
+      rst     => i_mm_rst,
+      clk     => i_mm_clk,
+      p_in    => mm_pulse_s,
+      ep_out  => led_flash
+    );
 
 
 
@@ -186,36 +186,36 @@ begin
 
 
   u_common_pulser_10Hz : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => 100,
-    g_pulse_phase  => 100 - 1
-  )
-  port map (
-    rst            => i_mm_rst,
-    clk            => i_mm_clk,
-    clken          => '1',
-    pulse_en       => mm_pulse_ms,
-    pulse_out      => pulse_10Hz
-  );
+    generic map (
+      g_pulse_period => 100,
+      g_pulse_phase  => 100 - 1
+    )
+    port map (
+      rst            => i_mm_rst,
+      clk            => i_mm_clk,
+      clken          => '1',
+      pulse_en       => mm_pulse_ms,
+      pulse_out      => pulse_10Hz
+    );
 
   u_extend_10Hz : common_lib.common_pulse_extend
-  generic map (
-    g_extend_w => 21  -- (2^21) / 50e6 = 0.041943 th of 1 sec
-  )
-  port map (
-    rst     => i_mm_rst,
-    clk     => i_mm_clk,
-    p_in    => pulse_10Hz,
-    ep_out  => pulse_10Hz_extended
-  );
+    generic map (
+      g_extend_w => 21  -- (2^21) / 50e6 = 0.041943 th of 1 sec
+    )
+    port map (
+      rst     => i_mm_rst,
+      clk     => i_mm_clk,
+      p_in    => pulse_10Hz,
+      ep_out  => pulse_10Hz_extended
+    );
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => i_mm_rst,
-    clk         => i_mm_clk,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => i_mm_rst,
+      clk         => i_mm_clk,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
   QSFP_LED(2)  <= pulse_10Hz_extended;
   QSFP_LED(6)  <= led_toggle;
diff --git a/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd b/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd
index 26d6ca1ef6..0db2dfb363 100644
--- a/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd
+++ b/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd
@@ -40,17 +40,17 @@
 
 library IEEE, common_lib, unb2_board_lib, ;
 use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb2_led is
-    generic (
-      g_design_name : string  := "unb2_led";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2_led";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2_led;
 
 architecture tb of tb_unb2_led is
diff --git a/boards/uniboard2/designs/unb2_minimal/src/vhdl/mmm_unb2_minimal.vhd b/boards/uniboard2/designs/unb2_minimal/src/vhdl/mmm_unb2_minimal.vhd
index 3e498fbde5..b4065c7dfb 100644
--- a/boards/uniboard2/designs/unb2_minimal/src/vhdl/mmm_unb2_minimal.vhd
+++ b/boards/uniboard2/designs/unb2_minimal/src/vhdl/mmm_unb2_minimal.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use unb2_board_lib.unb2_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2_minimal_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use unb2_board_lib.unb2_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2_minimal_pkg.all;
 
 
 entity mmm_unb2_minimal is
@@ -116,32 +116,32 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -158,145 +158,145 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2_minimal
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard2/designs/unb2_minimal/src/vhdl/qsys_unb2_minimal_pkg.vhd b/boards/uniboard2/designs/unb2_minimal/src/vhdl/qsys_unb2_minimal_pkg.vhd
index 7f2a14415b..8958182068 100644
--- a/boards/uniboard2/designs/unb2_minimal/src/vhdl/qsys_unb2_minimal_pkg.vhd
+++ b/boards/uniboard2/designs/unb2_minimal/src/vhdl/qsys_unb2_minimal_pkg.vhd
@@ -20,137 +20,137 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2_minimal_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v14 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v14 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_unb2_minimal is
-        port (
-            avs_eth_0_clk_export               : out std_logic;  -- export
-            avs_eth_0_irq_export               : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export          : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export         : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export       : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export          : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export         : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export             : out std_logic;  -- export
-            avs_eth_0_tse_address_export       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export          : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export         : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                            : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export             : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                 : out std_logic;  -- export
-            pio_pps_read_export                : out std_logic;  -- export
-            pio_pps_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export               : out std_logic;  -- export
-            pio_pps_write_export               : out std_logic;  -- export
-            pio_pps_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export     : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export         : out std_logic;  -- export
-            pio_system_info_read_export        : out std_logic;  -- export
-            pio_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export       : out std_logic;  -- export
-            pio_system_info_write_export       : out std_logic;  -- export
-            pio_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export           : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export          : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export         : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export         : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export           : out std_logic;  -- export
-            reg_dpmm_data_read_export          : out std_logic;  -- export
-            reg_dpmm_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export         : out std_logic;  -- export
-            reg_dpmm_data_write_export         : out std_logic;  -- export
-            reg_dpmm_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                : out std_logic;  -- export
-            reg_epcs_read_export               : out std_logic;  -- export
-            reg_epcs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export              : out std_logic;  -- export
-            reg_epcs_write_export              : out std_logic;  -- export
-            reg_epcs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export           : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export          : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export         : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export         : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export           : out std_logic;  -- export
-            reg_mmdp_data_read_export          : out std_logic;  -- export
-            reg_mmdp_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export         : out std_logic;  -- export
-            reg_mmdp_data_write_export         : out std_logic;  -- export
-            reg_mmdp_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                : out std_logic;  -- export
-            reg_remu_read_export               : out std_logic;  -- export
-            reg_remu_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export              : out std_logic;  -- export
-            reg_remu_write_export              : out std_logic;  -- export
-            reg_remu_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export        : out std_logic_vector(2 downto 0);  -- export
-            reg_unb_sens_clk_export            : out std_logic;  -- export
-            reg_unb_sens_read_export           : out std_logic;  -- export
-            reg_unb_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export          : out std_logic;  -- export
-            reg_unb_sens_write_export          : out std_logic;  -- export
-            reg_unb_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                 : out std_logic;  -- export
-            reg_wdi_read_export                : out std_logic;  -- export
-            reg_wdi_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export               : out std_logic;  -- export
-            reg_wdi_write_export               : out std_logic;  -- export
-            reg_wdi_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                      : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export     : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export         : out std_logic;  -- export
-            rom_system_info_read_export        : out std_logic;  -- export
-            rom_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export       : out std_logic;  -- export
-            rom_system_info_write_export       : out std_logic;  -- export
-            rom_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_read_export     : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export: out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_write_export    : out std_logic;  -- export
-            reg_fpga_temp_sens_address_export  : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export      : out std_logic;  -- export
-            reg_fpga_temp_sens_reset_export    : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_read_export          : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_write_export         : out std_logic;  -- export
-            reg_unb_pmbus_address_export       : out std_logic_vector(4 downto 0);  -- export
-            reg_unb_pmbus_clk_export           : out std_logic;  -- export
-            reg_unb_pmbus_reset_export         : out std_logic  -- export
-        );
-    end component qsys_unb2_minimal;
+  component qsys_unb2_minimal is
+    port (
+      avs_eth_0_clk_export               : out std_logic;  -- export
+      avs_eth_0_irq_export               : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export          : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export         : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export       : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export          : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export         : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export             : out std_logic;  -- export
+      avs_eth_0_tse_address_export       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export          : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export         : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                            : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export             : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                 : out std_logic;  -- export
+      pio_pps_read_export                : out std_logic;  -- export
+      pio_pps_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export               : out std_logic;  -- export
+      pio_pps_write_export               : out std_logic;  -- export
+      pio_pps_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export     : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export         : out std_logic;  -- export
+      pio_system_info_read_export        : out std_logic;  -- export
+      pio_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export       : out std_logic;  -- export
+      pio_system_info_write_export       : out std_logic;  -- export
+      pio_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export           : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export          : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export         : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export         : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export           : out std_logic;  -- export
+      reg_dpmm_data_read_export          : out std_logic;  -- export
+      reg_dpmm_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export         : out std_logic;  -- export
+      reg_dpmm_data_write_export         : out std_logic;  -- export
+      reg_dpmm_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                : out std_logic;  -- export
+      reg_epcs_read_export               : out std_logic;  -- export
+      reg_epcs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export              : out std_logic;  -- export
+      reg_epcs_write_export              : out std_logic;  -- export
+      reg_epcs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export           : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export          : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export         : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export         : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export           : out std_logic;  -- export
+      reg_mmdp_data_read_export          : out std_logic;  -- export
+      reg_mmdp_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export         : out std_logic;  -- export
+      reg_mmdp_data_write_export         : out std_logic;  -- export
+      reg_mmdp_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                : out std_logic;  -- export
+      reg_remu_read_export               : out std_logic;  -- export
+      reg_remu_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export              : out std_logic;  -- export
+      reg_remu_write_export              : out std_logic;  -- export
+      reg_remu_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export        : out std_logic_vector(2 downto 0);  -- export
+      reg_unb_sens_clk_export            : out std_logic;  -- export
+      reg_unb_sens_read_export           : out std_logic;  -- export
+      reg_unb_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export          : out std_logic;  -- export
+      reg_unb_sens_write_export          : out std_logic;  -- export
+      reg_unb_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                 : out std_logic;  -- export
+      reg_wdi_read_export                : out std_logic;  -- export
+      reg_wdi_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export               : out std_logic;  -- export
+      reg_wdi_write_export               : out std_logic;  -- export
+      reg_wdi_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                      : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export     : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export         : out std_logic;  -- export
+      rom_system_info_read_export        : out std_logic;  -- export
+      rom_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export       : out std_logic;  -- export
+      rom_system_info_write_export       : out std_logic;  -- export
+      rom_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_read_export     : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export: out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_write_export    : out std_logic;  -- export
+      reg_fpga_temp_sens_address_export  : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export      : out std_logic;  -- export
+      reg_fpga_temp_sens_reset_export    : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_read_export          : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_write_export         : out std_logic;  -- export
+      reg_unb_pmbus_address_export       : out std_logic_vector(4 downto 0);  -- export
+      reg_unb_pmbus_clk_export           : out std_logic;  -- export
+      reg_unb_pmbus_reset_export         : out std_logic  -- export
+    );
+  end component qsys_unb2_minimal;
 
 end qsys_unb2_minimal_pkg;
diff --git a/boards/uniboard2/designs/unb2_minimal/src/vhdl/unb2_minimal.vhd b/boards/uniboard2/designs/unb2_minimal/src/vhdl/unb2_minimal.vhd
index 3671e774db..3c4c14e227 100644
--- a/boards/uniboard2/designs/unb2_minimal/src/vhdl/unb2_minimal.vhd
+++ b/boards/uniboard2/designs/unb2_minimal/src/vhdl/unb2_minimal.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
 
 entity unb2_minimal is
   generic (
@@ -160,197 +160,197 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2_board_lib.ctrl_unb2_board
-  generic map (
-    g_sim           => g_sim,
-    g_technology    => g_technology,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_stamp_svn     => g_stamp_svn,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_mm_clk_freq,
-    g_eth_clk_freq  => c_unb2_board_eth_clk_freq_125M,
-    g_aux           => c_unb2_board_aux,
-    g_factory_image => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT,
-
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_technology    => g_technology,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_stamp_svn     => g_stamp_svn,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_mm_clk_freq,
+      g_eth_clk_freq  => c_unb2_board_eth_clk_freq_125M,
+      g_aux           => c_unb2_board_aux,
+      g_factory_image => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT,
+
+      QSFP_LED      => QSFP_LED
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2_minimal
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso
+    );
 
 --  u_front_led : ENTITY unb2_board_lib.unb2_board_qsfp_leds
 --  GENERIC MAP (
diff --git a/boards/uniboard2/designs/unb2_minimal/tb/vhdl/tb_unb2_minimal.vhd b/boards/uniboard2/designs/unb2_minimal/tb/vhdl/tb_unb2_minimal.vhd
index f4bc5b2a06..36c52b4977 100644
--- a/boards/uniboard2/designs/unb2_minimal/tb/vhdl/tb_unb2_minimal.vhd
+++ b/boards/uniboard2/designs/unb2_minimal/tb/vhdl/tb_unb2_minimal.vhd
@@ -43,18 +43,18 @@
 --
 
 library IEEE, common_lib, unb2_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb2_minimal is
-    generic (
-      g_design_name : string  := "unb2_minimal";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2_minimal";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2_minimal;
 
 architecture tb of tb_unb2_minimal is
@@ -184,37 +184,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd b/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd
index 9fa20f22f2..e99dfa9b49 100644
--- a/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd
+++ b/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb_common_lib;
-use unb_common_lib.unb_common_pkg.all;
-use IEEE.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use unb_common_lib.unb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 
 entity unb2_pinning is
@@ -132,8 +132,8 @@ entity unb2_pinning is
     -- I2C Interface to Sensors
     SENS_SC                : inout   std_logic;
     SENS_SD                : inout std_logic;
-     -- Others
---    CFG_DATA               : inout std_logic_vector (3 downto 0);
+    -- Others
+    --    CFG_DATA               : inout std_logic_vector (3 downto 0);
     VERSION                : in    std_logic_vector(1 downto 0);
     ID                     : in    std_logic_vector(7 downto 0);
     TESTIO                 : inout std_logic_vector(5 downto 0);
@@ -145,185 +145,185 @@ end unb2_pinning;
 
 architecture str of unb2_pinning is
 
-    component ddr4 is
-      port (
-        global_reset_n      : in    std_logic                      := 'X';  -- reset_n
-        pll_ref_clk         : in    std_logic                      := 'X';  -- clk
-        oct_rzqin           : in    std_logic                      := 'X';  -- oct_rzqin
-        mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_ck
-        mem_ck_n            : out   std_logic_vector(1 downto 0);  -- mem_ck_n
-        mem_a               : out   std_logic_vector(16 downto 0);  -- mem_a
-        mem_act_n           : out   std_logic_vector(0 downto 0);  -- mem_act_n
-        mem_ba              : out   std_logic_vector(1 downto 0);  -- mem_ba
-        mem_bg              : out   std_logic_vector(1 downto 0);  -- mem_bg
-        mem_cke             : out   std_logic_vector(1 downto 0);  -- mem_cke
-        mem_cs_n            : out   std_logic_vector(1 downto 0);  -- mem_cs_n
-        mem_odt             : out   std_logic_vector(1 downto 0);  -- mem_odt
-        mem_reset_n         : out   std_logic_vector(0 downto 0);  -- mem_reset_n
-        mem_alert_n         : in   std_logic_vector(0 downto 0);  -- mem_alert_n
-        mem_par             : out   std_logic_vector(0 downto 0);  -- mem_par  ** new in 14.0 **
-        mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => 'X');  -- mem_dqs
-        mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => 'X');  -- mem_dqs_n
-        mem_dq              : inout std_logic_vector(71 downto 0)  := (others => 'X');  -- mem_dq
-        mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => 'X');  -- mem_dbi_n
-        local_cal_success   : out   std_logic;  -- local_cal_success
-        local_cal_fail      : out   std_logic;  -- local_cal_fail
-        emif_usr_reset_n    : out   std_logic;  -- reset_n
-        emif_usr_clk        : out   std_logic;  -- clk
-        amm_ready_0         : out   std_logic;  -- waitrequest_n
-        amm_read_0          : in    std_logic                      := 'X';  -- read
-        amm_write_0         : in    std_logic                      := 'X';  -- write
-        amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => 'X');  -- address ** chg from 23 bits in 14.0 **
-        amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- readdata
-        amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => 'X');  -- writedata
-        amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => 'X');  -- burstcount ** chg from 8 bits in 14.0 **
-        amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => 'X');  -- byteenable
-        amm_readdatavalid_0 : out   std_logic  -- readdatavalid
-      );
-    end component ddr4;
-
-    component transceiver_phy is
-      port (
-        tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_analogreset
-        tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_digitalreset
-        rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- rx_analogreset
-        rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- rx_digitalreset
-        tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy
-        rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy
-        rx_is_lockedtodata      : out  std_logic_vector(47 downto 0) := (others => 'X');  -- rx_is_lockedtodata
-        tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- clk
-        rx_cdr_refclk0          : in  std_logic                       := 'X';  -- clk
-        tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data
-        rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- rx_serial_data
-        tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- clk
-        rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- clk
-        tx_clkout               : out std_logic_vector(47 downto 0);  -- clk
-        rx_clkout               : out std_logic_vector(47 downto 0);  -- clk
-        tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_enh_data_valid
-        rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid
-        rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock
-        tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => 'X');  -- tx_parallel_data
-        tx_control              : in  std_logic_vector(383 downto 0)  := (others => 'X');  -- tx_control
-        tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_err_ins
-        unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => 'X');  -- unused_tx_parallel_data
-        unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => 'X');  -- unused_tx_control
-        rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data
-        rx_control              : out std_logic_vector(383 downto 0);  -- rx_control
-        unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data
-        unused_rx_control       : out std_logic_vector(575 downto 0)  -- unused_rx_control
-      );
-    end component transceiver_phy;
-
-    component transceiver_phy_24channel is
-      port (
-        tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_analogreset
-        tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_digitalreset
-        rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- rx_analogreset
-        rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- rx_digitalreset
-        tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy
-        rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy
-        rx_is_lockedtodata      : out  std_logic_vector(23 downto 0) := (others => 'X');  -- rx_is_lockedtodata
-        tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- clk
-        rx_cdr_refclk0          : in  std_logic                       := 'X';  -- clk
-        tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data
-        rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- rx_serial_data
-        tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- clk
-        rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- clk
-        tx_clkout               : out std_logic_vector(23 downto 0);  -- clk
-        rx_clkout               : out std_logic_vector(23 downto 0);  -- clk
-        tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_enh_data_valid
-        rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid
-        rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock
-        tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => 'X');  -- tx_parallel_data
-        tx_control              : in  std_logic_vector(191 downto 0)  := (others => 'X');  -- tx_control
-        tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_err_ins
-        unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => 'X');  -- unused_tx_parallel_data
-        unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => 'X');  -- unused_tx_control
-        rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data
-        rx_control              : out std_logic_vector(191 downto 0);  -- rx_control
-        unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data
-        unused_rx_control       : out std_logic_vector(287 downto 0)  -- unused_rx_control
-      );
-    end component transceiver_phy_24channel;
-
-    component transceiver_reset_controller is
-      port (
-        clock              : in  std_logic                     := 'X';  -- clk
-        reset              : in  std_logic                     := 'X';  -- reset
-        pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown
-        tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset
-        tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset
-        tx_ready           : out std_logic_vector(47 downto 0);  -- tx_ready
-        pll_locked         : in  std_logic_vector(0 downto 0) := (others => 'X');  -- pll_locked
-        pll_select         : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_select
-        tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => 'X');  -- tx_cal_busy
-        rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset
-        rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset
-        rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready
-        rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => 'X');  -- rx_is_lockedtodata
-        rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => 'X')  -- rx_cal_busy
-      );
-    end component transceiver_reset_controller;
-
-    component transceiver_reset_controller_24 is
-      port (
-        clock              : in  std_logic                     := 'X';  -- clk
-        reset              : in  std_logic                     := 'X';  -- reset
-        pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown
-        tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset
-        tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset
-        tx_ready           : out std_logic_vector(23 downto 0);  -- tx_ready
-        pll_locked         : in  std_logic_vector(0 downto 0) := (others => 'X');  -- pll_locked
-        pll_select         : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_select
-        tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => 'X');  -- tx_cal_busy
-        rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset
-        rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset
-        rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready
-        rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => 'X');  -- rx_is_lockedtodata
-        rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => 'X')  -- rx_cal_busy
-      );
-    end component transceiver_reset_controller_24;
-
-    component transceiver_pll is
-      port (
-        pll_powerdown   : in  std_logic := 'X';  -- pll_powerdown
-        pll_refclk0     : in  std_logic := 'X';  -- clk
-        pll_locked      : out std_logic;  -- pll_locked
-        pll_cal_busy    : out std_logic;  -- pll_cal_busy
-        mcgb_rst        : in  std_logic := 'X';  -- mcgb_rst
-        mcgb_serial_clk : out std_logic  -- clk
-     );
-   end component transceiver_pll;
-
-    component sys_clkctrl is
-      port (
-        inclk  : in  std_logic := 'X';  -- inclk
-        outclk : out std_logic  -- outclk
-      );
-    end component sys_clkctrl;
-
-
-   component system_pll is
-     port (
-       refclk    : in  std_logic := 'X';  -- clk
-       rst       : in  std_logic := 'X';
-       locked    : out std_logic;
-       outclk_0  : out std_logic;  -- outclk0
-       outclk_1  : out std_logic;  -- outclk1
-       outclk_2  : out std_logic  -- outclk2
-     );
+  component ddr4 is
+    port (
+      global_reset_n      : in    std_logic                      := 'X';  -- reset_n
+      pll_ref_clk         : in    std_logic                      := 'X';  -- clk
+      oct_rzqin           : in    std_logic                      := 'X';  -- oct_rzqin
+      mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_ck
+      mem_ck_n            : out   std_logic_vector(1 downto 0);  -- mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- mem_bg
+      mem_cke             : out   std_logic_vector(1 downto 0);  -- mem_cke
+      mem_cs_n            : out   std_logic_vector(1 downto 0);  -- mem_cs_n
+      mem_odt             : out   std_logic_vector(1 downto 0);  -- mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- mem_reset_n
+      mem_alert_n         : in   std_logic_vector(0 downto 0);  -- mem_alert_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- mem_par  ** new in 14.0 **
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => 'X');  -- mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => 'X');  -- mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => 'X');  -- mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => 'X');  -- mem_dbi_n
+      local_cal_success   : out   std_logic;  -- local_cal_success
+      local_cal_fail      : out   std_logic;  -- local_cal_fail
+      emif_usr_reset_n    : out   std_logic;  -- reset_n
+      emif_usr_clk        : out   std_logic;  -- clk
+      amm_ready_0         : out   std_logic;  -- waitrequest_n
+      amm_read_0          : in    std_logic                      := 'X';  -- read
+      amm_write_0         : in    std_logic                      := 'X';  -- write
+      amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => 'X');  -- address ** chg from 23 bits in 14.0 **
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => 'X');  -- writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => 'X');  -- burstcount ** chg from 8 bits in 14.0 **
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => 'X');  -- byteenable
+      amm_readdatavalid_0 : out   std_logic  -- readdatavalid
+    );
+  end component ddr4;
+
+  component transceiver_phy is
+    port (
+      tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_analogreset
+      tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_digitalreset
+      rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- rx_analogreset
+      rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- rx_digitalreset
+      tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy
+      rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy
+      rx_is_lockedtodata      : out  std_logic_vector(47 downto 0) := (others => 'X');  -- rx_is_lockedtodata
+      tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- clk
+      rx_cdr_refclk0          : in  std_logic                       := 'X';  -- clk
+      tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data
+      rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- rx_serial_data
+      tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- clk
+      rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- clk
+      tx_clkout               : out std_logic_vector(47 downto 0);  -- clk
+      rx_clkout               : out std_logic_vector(47 downto 0);  -- clk
+      tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_enh_data_valid
+      rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid
+      rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock
+      tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => 'X');  -- tx_parallel_data
+      tx_control              : in  std_logic_vector(383 downto 0)  := (others => 'X');  -- tx_control
+      tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => 'X');  -- tx_err_ins
+      unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => 'X');  -- unused_tx_parallel_data
+      unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => 'X');  -- unused_tx_control
+      rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data
+      rx_control              : out std_logic_vector(383 downto 0);  -- rx_control
+      unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data
+      unused_rx_control       : out std_logic_vector(575 downto 0)  -- unused_rx_control
+    );
+  end component transceiver_phy;
+
+  component transceiver_phy_24channel is
+    port (
+      tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_analogreset
+      tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_digitalreset
+      rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- rx_analogreset
+      rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- rx_digitalreset
+      tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy
+      rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy
+      rx_is_lockedtodata      : out  std_logic_vector(23 downto 0) := (others => 'X');  -- rx_is_lockedtodata
+      tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- clk
+      rx_cdr_refclk0          : in  std_logic                       := 'X';  -- clk
+      tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data
+      rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- rx_serial_data
+      tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- clk
+      rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- clk
+      tx_clkout               : out std_logic_vector(23 downto 0);  -- clk
+      rx_clkout               : out std_logic_vector(23 downto 0);  -- clk
+      tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_enh_data_valid
+      rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid
+      rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock
+      tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => 'X');  -- tx_parallel_data
+      tx_control              : in  std_logic_vector(191 downto 0)  := (others => 'X');  -- tx_control
+      tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => 'X');  -- tx_err_ins
+      unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => 'X');  -- unused_tx_parallel_data
+      unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => 'X');  -- unused_tx_control
+      rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data
+      rx_control              : out std_logic_vector(191 downto 0);  -- rx_control
+      unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data
+      unused_rx_control       : out std_logic_vector(287 downto 0)  -- unused_rx_control
+    );
+  end component transceiver_phy_24channel;
+
+  component transceiver_reset_controller is
+    port (
+      clock              : in  std_logic                     := 'X';  -- clk
+      reset              : in  std_logic                     := 'X';  -- reset
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown
+      tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset
+      tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset
+      tx_ready           : out std_logic_vector(47 downto 0);  -- tx_ready
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => 'X');  -- pll_locked
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_select
+      tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => 'X');  -- tx_cal_busy
+      rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset
+      rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset
+      rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready
+      rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => 'X');  -- rx_is_lockedtodata
+      rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => 'X')  -- rx_cal_busy
+    );
+  end component transceiver_reset_controller;
+
+  component transceiver_reset_controller_24 is
+    port (
+      clock              : in  std_logic                     := 'X';  -- clk
+      reset              : in  std_logic                     := 'X';  -- reset
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown
+      tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset
+      tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset
+      tx_ready           : out std_logic_vector(23 downto 0);  -- tx_ready
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => 'X');  -- pll_locked
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_select
+      tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => 'X');  -- tx_cal_busy
+      rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset
+      rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset
+      rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready
+      rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => 'X');  -- rx_is_lockedtodata
+      rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => 'X')  -- rx_cal_busy
+    );
+  end component transceiver_reset_controller_24;
+
+  component transceiver_pll is
+    port (
+      pll_powerdown   : in  std_logic := 'X';  -- pll_powerdown
+      pll_refclk0     : in  std_logic := 'X';  -- clk
+      pll_locked      : out std_logic;  -- pll_locked
+      pll_cal_busy    : out std_logic;  -- pll_cal_busy
+      mcgb_rst        : in  std_logic := 'X';  -- mcgb_rst
+      mcgb_serial_clk : out std_logic  -- clk
+    );
+  end component transceiver_pll;
+
+  component sys_clkctrl is
+    port (
+      inclk  : in  std_logic := 'X';  -- inclk
+      outclk : out std_logic  -- outclk
+    );
+  end component sys_clkctrl;
+
+
+  component system_pll is
+    port (
+      refclk    : in  std_logic := 'X';  -- clk
+      rst       : in  std_logic := 'X';
+      locked    : out std_logic;
+      outclk_0  : out std_logic;  -- outclk0
+      outclk_1  : out std_logic;  -- outclk1
+      outclk_2  : out std_logic  -- outclk2
+    );
   end component system_pll;
 
-   component system_fpll is
-     port (
-       pll_refclk0    : in  std_logic := 'X';  -- clk
-       pll_powerdown  : in  std_logic := 'X';
-       pll_locked     : out std_logic;
-       pll_cal_busy   : out std_logic;
-       outclk0        : out std_logic;  -- outclk0
-       outclk1        : out std_logic;  -- outclk1
-       outclk2        : out std_logic  -- outclk2
-     );
+  component system_fpll is
+    port (
+      pll_refclk0    : in  std_logic := 'X';  -- clk
+      pll_powerdown  : in  std_logic := 'X';
+      pll_locked     : out std_logic;
+      pll_cal_busy   : out std_logic;
+      outclk0        : out std_logic;  -- outclk0
+      outclk1        : out std_logic;  -- outclk1
+      outclk2        : out std_logic  -- outclk2
+    );
   end component system_fpll;
 
   component unb2_pinning_qsys is
@@ -386,616 +386,616 @@ architecture str of unb2_pinning is
       eth_tse_1_serial_connection_txp_0          : out   std_logic;  -- txp
       pio_0_external_connection_export           : in    std_logic_vector(11 downto 0) := (others => 'X')  -- export
 
-   );
+    );
   end component unb2_pinning_qsys;
 
-    -- constants
-    constant cs_sim                : std_logic := '0';
-    constant cs_sync               : std_logic := '1';
-
-    -- general reset and clock signals
-    signal reset_n                 : std_logic;
-    signal reset_p                 : std_logic;
-    signal pout_wdi                : std_logic := '0';
-    signal sys_clk                 : std_logic := '0';
-    signal sys_locked              : std_logic := '0';
-    signal mm_clk                  : std_logic := '0';
-    signal clk_125                 : std_logic := '0';
-    signal CLK_buffered            : std_logic := '0';
-
-    -- signals for the ddr4 controllers
-    signal local_i_cal_success     : std_logic;
-    signal local_i_cal_fail        : std_logic;
-    signal local_i_reset_n         : std_logic;
-    signal local_i_clk             : std_logic;
-    signal local_i_ready           : std_logic;
-    signal local_i_read            : std_logic;
-    signal local_i_write           : std_logic;
-    signal local_i_address         : std_logic_vector(26 downto 0);
-    signal local_i_readdata        : std_logic_vector(575 downto 0);
-    signal local_i_writedata       : std_logic_vector(575 downto 0);
-    signal local_i_burstcount      : std_logic_vector(6 downto 0);
-    signal local_i_be              : std_logic_vector(71 downto 0);
-    signal local_i_read_data_valid : std_logic;
-    signal mb_i_a_internal         : std_logic_vector(16 downto 0);
-    signal local_ii_cal_success    : std_logic;
-    signal local_ii_cal_fail       : std_logic;
-    signal local_ii_reset_n        : std_logic;
-    signal local_ii_clk            : std_logic;
-    signal local_ii_ready          : std_logic;
-    signal local_ii_read           : std_logic;
-    signal local_ii_write          : std_logic;
-    signal local_ii_address        : std_logic_vector(26 downto 0);
-    signal local_ii_readdata       : std_logic_vector(575 downto 0);
-    signal local_ii_writedata      : std_logic_vector(575 downto 0);
-    signal local_ii_burstcount     : std_logic_vector(6 downto 0);
-    signal local_ii_be             : std_logic_vector(71 downto 0);
-    signal local_ii_read_data_valid: std_logic;
-    signal mb_ii_a_internal        : std_logic_vector(16 downto 0);
-
-    -- signals for the transceivers
-    signal tx_serial_data_front    : std_logic_vector(47 downto 0);
-    signal rx_serial_data_front    : std_logic_vector(47 downto 0);
-    signal dataloopback_front      : std_logic_vector(3071 downto 0);
-    signal controlloopback_front   : std_logic_vector(383 downto 0);
-    signal tx_serdesclk_front      : std_logic_vector(47 downto 0);
-    signal validloopback_front     : std_logic_vector(47 downto 0);
-    signal tx_analogreset_front    : std_logic_vector(47 downto 0);
-    signal tx_digitalreset_front   : std_logic_vector(47 downto 0);
-    signal rx_analogreset_front    : std_logic_vector(47 downto 0);
-    signal rx_digitalreset_front   : std_logic_vector(47 downto 0);
-    signal tx_cal_busy_front       : std_logic_vector(47 downto 0);
-    signal rx_cal_busy_front       : std_logic_vector(47 downto 0);
-    signal txpll_cal_busy_front    : std_logic_vector(47 downto 0);
-    signal pll_cal_busy_front      : std_logic;
-    signal rx_is_lockedtodata_front: std_logic_vector(47 downto 0);
-    signal pll_powerdown_front     : std_logic_vector(0 downto 0);
-    signal pll_locked_front        : std_logic_vector(0 downto 0);
-    signal tx_serial_clk_front     : std_logic_vector(47 downto 0);
-    signal mcgb_serial_clk_front   : std_logic;
-
-    signal tx_serial_data_back    : std_logic_vector(47 downto 0);
-    signal rx_serial_data_back    : std_logic_vector(47 downto 0);
-    signal dataloopback_back      : std_logic_vector(3071 downto 0);
-    signal controlloopback_back   : std_logic_vector(383 downto 0);
-    signal dataloopback_test      : std_logic_vector(1535 downto 0);
-    signal controlloopback_test   : std_logic_vector(191 downto 0);
-    signal tx_serdesclk_back      : std_logic_vector(47 downto 0);
-    signal validloopback_back     : std_logic_vector(47 downto 0);
-    signal tx_analogreset_back    : std_logic_vector(47 downto 0);
-    signal tx_digitalreset_back   : std_logic_vector(47 downto 0);
-    signal rx_analogreset_back    : std_logic_vector(47 downto 0);
-    signal rx_digitalreset_back   : std_logic_vector(47 downto 0);
-    signal tx_cal_busy_back       : std_logic_vector(47 downto 0);
-    signal rx_cal_busy_back       : std_logic_vector(47 downto 0);
-    signal txpll_cal_busy_back    : std_logic_vector(47 downto 0);
-    signal pll_cal_busy_back_upper      : std_logic;
-    signal pll_cal_busy_back_lower      : std_logic;
-    signal rx_is_lockedtodata_back: std_logic_vector(47 downto 0);
-    signal pll_powerdown_back_upper     : std_logic_vector(0 downto 0);
-    signal pll_powerdown_back_lower     : std_logic_vector(0 downto 0);
-    signal pll_locked_back_upper        : std_logic_vector(0 downto 0);
-    signal pll_locked_back_lower        : std_logic_vector(0 downto 0);
-    signal tx_serial_clk_back     : std_logic_vector(47 downto 0);
-    signal mcgb_serial_clk_back_upper   : std_logic;
-    signal mcgb_serial_clk_back_lower   : std_logic;
-
-    -- signals for the bidirectional and misc ios
-    signal inta_in    : std_logic;
-    signal intb_in    : std_logic;
-    signal testio_in  : std_logic_vector(5 downto 0);
-    signal qsfp_led_in  : std_logic_vector(11 downto 0);
-    signal bck_err_in : std_logic_vector(2 downto 0);
-    signal inta_out   : std_logic;
-    signal intb_out   : std_logic;
-    signal testio_out : std_logic_vector(5 downto 0);
-    signal qsfp_led_out  : std_logic_vector(11 downto 0);
-    signal bck_err_out : std_logic_vector(2 downto 0);
-    signal ver_id_pmbusalert     : std_logic_vector(11 downto 0);
+  -- constants
+  constant cs_sim                : std_logic := '0';
+  constant cs_sync               : std_logic := '1';
+
+  -- general reset and clock signals
+  signal reset_n                 : std_logic;
+  signal reset_p                 : std_logic;
+  signal pout_wdi                : std_logic := '0';
+  signal sys_clk                 : std_logic := '0';
+  signal sys_locked              : std_logic := '0';
+  signal mm_clk                  : std_logic := '0';
+  signal clk_125                 : std_logic := '0';
+  signal CLK_buffered            : std_logic := '0';
+
+  -- signals for the ddr4 controllers
+  signal local_i_cal_success     : std_logic;
+  signal local_i_cal_fail        : std_logic;
+  signal local_i_reset_n         : std_logic;
+  signal local_i_clk             : std_logic;
+  signal local_i_ready           : std_logic;
+  signal local_i_read            : std_logic;
+  signal local_i_write           : std_logic;
+  signal local_i_address         : std_logic_vector(26 downto 0);
+  signal local_i_readdata        : std_logic_vector(575 downto 0);
+  signal local_i_writedata       : std_logic_vector(575 downto 0);
+  signal local_i_burstcount      : std_logic_vector(6 downto 0);
+  signal local_i_be              : std_logic_vector(71 downto 0);
+  signal local_i_read_data_valid : std_logic;
+  signal mb_i_a_internal         : std_logic_vector(16 downto 0);
+  signal local_ii_cal_success    : std_logic;
+  signal local_ii_cal_fail       : std_logic;
+  signal local_ii_reset_n        : std_logic;
+  signal local_ii_clk            : std_logic;
+  signal local_ii_ready          : std_logic;
+  signal local_ii_read           : std_logic;
+  signal local_ii_write          : std_logic;
+  signal local_ii_address        : std_logic_vector(26 downto 0);
+  signal local_ii_readdata       : std_logic_vector(575 downto 0);
+  signal local_ii_writedata      : std_logic_vector(575 downto 0);
+  signal local_ii_burstcount     : std_logic_vector(6 downto 0);
+  signal local_ii_be             : std_logic_vector(71 downto 0);
+  signal local_ii_read_data_valid: std_logic;
+  signal mb_ii_a_internal        : std_logic_vector(16 downto 0);
+
+  -- signals for the transceivers
+  signal tx_serial_data_front    : std_logic_vector(47 downto 0);
+  signal rx_serial_data_front    : std_logic_vector(47 downto 0);
+  signal dataloopback_front      : std_logic_vector(3071 downto 0);
+  signal controlloopback_front   : std_logic_vector(383 downto 0);
+  signal tx_serdesclk_front      : std_logic_vector(47 downto 0);
+  signal validloopback_front     : std_logic_vector(47 downto 0);
+  signal tx_analogreset_front    : std_logic_vector(47 downto 0);
+  signal tx_digitalreset_front   : std_logic_vector(47 downto 0);
+  signal rx_analogreset_front    : std_logic_vector(47 downto 0);
+  signal rx_digitalreset_front   : std_logic_vector(47 downto 0);
+  signal tx_cal_busy_front       : std_logic_vector(47 downto 0);
+  signal rx_cal_busy_front       : std_logic_vector(47 downto 0);
+  signal txpll_cal_busy_front    : std_logic_vector(47 downto 0);
+  signal pll_cal_busy_front      : std_logic;
+  signal rx_is_lockedtodata_front: std_logic_vector(47 downto 0);
+  signal pll_powerdown_front     : std_logic_vector(0 downto 0);
+  signal pll_locked_front        : std_logic_vector(0 downto 0);
+  signal tx_serial_clk_front     : std_logic_vector(47 downto 0);
+  signal mcgb_serial_clk_front   : std_logic;
+
+  signal tx_serial_data_back    : std_logic_vector(47 downto 0);
+  signal rx_serial_data_back    : std_logic_vector(47 downto 0);
+  signal dataloopback_back      : std_logic_vector(3071 downto 0);
+  signal controlloopback_back   : std_logic_vector(383 downto 0);
+  signal dataloopback_test      : std_logic_vector(1535 downto 0);
+  signal controlloopback_test   : std_logic_vector(191 downto 0);
+  signal tx_serdesclk_back      : std_logic_vector(47 downto 0);
+  signal validloopback_back     : std_logic_vector(47 downto 0);
+  signal tx_analogreset_back    : std_logic_vector(47 downto 0);
+  signal tx_digitalreset_back   : std_logic_vector(47 downto 0);
+  signal rx_analogreset_back    : std_logic_vector(47 downto 0);
+  signal rx_digitalreset_back   : std_logic_vector(47 downto 0);
+  signal tx_cal_busy_back       : std_logic_vector(47 downto 0);
+  signal rx_cal_busy_back       : std_logic_vector(47 downto 0);
+  signal txpll_cal_busy_back    : std_logic_vector(47 downto 0);
+  signal pll_cal_busy_back_upper      : std_logic;
+  signal pll_cal_busy_back_lower      : std_logic;
+  signal rx_is_lockedtodata_back: std_logic_vector(47 downto 0);
+  signal pll_powerdown_back_upper     : std_logic_vector(0 downto 0);
+  signal pll_powerdown_back_lower     : std_logic_vector(0 downto 0);
+  signal pll_locked_back_upper        : std_logic_vector(0 downto 0);
+  signal pll_locked_back_lower        : std_logic_vector(0 downto 0);
+  signal tx_serial_clk_back     : std_logic_vector(47 downto 0);
+  signal mcgb_serial_clk_back_upper   : std_logic;
+  signal mcgb_serial_clk_back_lower   : std_logic;
+
+  -- signals for the bidirectional and misc ios
+  signal inta_in    : std_logic;
+  signal intb_in    : std_logic;
+  signal testio_in  : std_logic_vector(5 downto 0);
+  signal qsfp_led_in  : std_logic_vector(11 downto 0);
+  signal bck_err_in : std_logic_vector(2 downto 0);
+  signal inta_out   : std_logic;
+  signal intb_out   : std_logic;
+  signal testio_out : std_logic_vector(5 downto 0);
+  signal qsfp_led_out  : std_logic_vector(11 downto 0);
+  signal bck_err_out : std_logic_vector(2 downto 0);
+  signal ver_id_pmbusalert     : std_logic_vector(11 downto 0);
 
 begin
 
-    WDI <= 'Z';
-
-    --  ****** DDR4 memory controllers ******
-
-    mb_i_a <= mb_i_a_internal(13 downto 0);
-    mb_i_we_a14 <= mb_i_a_internal(14);
-    mb_i_cas_a15 <= mb_i_a_internal(15);
-    mb_i_ras_a16 <= mb_i_a_internal(16);
-
-    local_i_proc : process(local_i_clk, local_i_reset_n)
-    begin
-      if local_i_reset_n = '0' then
-        local_i_read <= '0';
-        local_i_write <= '0';
-        local_i_address <= (others => '0');
-        local_i_writedata <= (others => '0');
-        local_i_burstcount <= (others => '0');
-        local_i_be <= (others => '0');
-      else
-        if local_i_clk'event and local_i_clk = '1' then
-          local_i_be <= (others => '1');
-          if local_i_ready = '1' then
-	    local_i_read <= not local_i_read;
-            local_i_write <= local_i_read_data_valid;
-            local_i_address <= local_i_address + 1;
-	    if local_i_read_data_valid = '1' then
-              local_i_writedata <= not local_i_readdata;
-	    else
-	      local_i_writedata <= (others => '1');
-            end if;
+  WDI <= 'Z';
+
+  --  ****** DDR4 memory controllers ******
+
+  mb_i_a <= mb_i_a_internal(13 downto 0);
+  mb_i_we_a14 <= mb_i_a_internal(14);
+  mb_i_cas_a15 <= mb_i_a_internal(15);
+  mb_i_ras_a16 <= mb_i_a_internal(16);
+
+  local_i_proc : process(local_i_clk, local_i_reset_n)
+  begin
+    if local_i_reset_n = '0' then
+      local_i_read <= '0';
+      local_i_write <= '0';
+      local_i_address <= (others => '0');
+      local_i_writedata <= (others => '0');
+      local_i_burstcount <= (others => '0');
+      local_i_be <= (others => '0');
+    else
+      if local_i_clk'event and local_i_clk = '1' then
+        local_i_be <= (others => '1');
+        if local_i_ready = '1' then
+          local_i_read <= not local_i_read;
+          local_i_write <= local_i_read_data_valid;
+          local_i_address <= local_i_address + 1;
+          if local_i_read_data_valid = '1' then
+            local_i_writedata <= not local_i_readdata;
+          else
+            local_i_writedata <= (others => '1');
           end if;
-	end if;
+        end if;
       end if;
-    end process;
-
-    u_ddr4_i : ddr4
-      port map (
-        global_reset_n      => reset_n,
-        pll_ref_clk         => MB_I_REF_CLK,
-        oct_rzqin           => MB_I_RZQ,
-        mem_ck              => mb_i_ck,
-        mem_ck_n            => mb_i_ck_n,
-        mem_a               => mb_i_a_internal,
-        mem_act_n           => mb_i_act_n,
-        mem_ba              => mb_i_ba,
-        mem_bg              => mb_i_bg,
-        mem_cke             => mb_i_cke,
-        mem_cs_n            => mb_i_cs,
-        mem_odt             => mb_i_odt,
-        mem_reset_n         => mb_i_reset_n,
-        mem_alert_n         => mb_i_alert_n,
-        mem_par             => mb_i_parity,
-        mem_dqs             => mb_i_dqs,
-        mem_dqs_n           => mb_i_dqs_n,
-        mem_dq(63 downto 0) => mb_i_dq,
-        mem_dq(71 downto 64) => mb_i_cb,
-        mem_dbi_n           => mb_i_dm,
-        local_cal_success   => local_i_cal_success,
-        local_cal_fail      => local_i_cal_fail,
-        emif_usr_reset_n    => local_i_reset_n,
-        emif_usr_clk        => local_i_clk,
-        amm_ready_0         => local_i_ready,
-        amm_read_0          => local_i_read,
-        amm_write_0         => local_i_write,
-        amm_address_0       => local_i_address,
-        amm_readdata_0      => local_i_readdata,
-        amm_writedata_0     => local_i_writedata,
-        amm_burstcount_0    => local_i_burstcount,
-        amm_byteenable_0    => local_i_be,
-        amm_readdatavalid_0 => local_i_read_data_valid
-     );
-
-    mb_ii_a <= mb_ii_a_internal(13 downto 0);
-    mb_ii_we_a14 <= mb_ii_a_internal(14);
-    mb_ii_cas_a15 <= mb_ii_a_internal(15);
-    mb_ii_ras_a16 <= mb_ii_a_internal(16);
-
-    local_ii_proc : process(local_ii_clk, local_ii_reset_n)
-    begin
-      if local_ii_reset_n = '0' then
-        local_ii_read <= '0';
-        local_ii_write <= '0';
-        local_ii_address <= (others => '0');
-        local_ii_writedata <= (others => '0');
-        local_ii_burstcount <= (others => '0');
-        local_ii_be <= (others => '0');
-      else
-        if local_ii_clk'event and local_ii_clk = '1' then
-          local_ii_be <= (others => '1');
-          if local_ii_ready = '1' then
-	    local_ii_read <= not local_ii_read;
-            local_ii_write <= local_ii_read_data_valid;
-            local_ii_address <= local_ii_address + 1;
-	    if local_ii_read_data_valid = '1' then
-              local_ii_writedata <= not local_ii_readdata;
-	    else
-	      local_ii_writedata <= (others => '1');
-            end if;
+    end if;
+  end process;
+
+  u_ddr4_i : ddr4
+    port map (
+      global_reset_n      => reset_n,
+      pll_ref_clk         => MB_I_REF_CLK,
+      oct_rzqin           => MB_I_RZQ,
+      mem_ck              => mb_i_ck,
+      mem_ck_n            => mb_i_ck_n,
+      mem_a               => mb_i_a_internal,
+      mem_act_n           => mb_i_act_n,
+      mem_ba              => mb_i_ba,
+      mem_bg              => mb_i_bg,
+      mem_cke             => mb_i_cke,
+      mem_cs_n            => mb_i_cs,
+      mem_odt             => mb_i_odt,
+      mem_reset_n         => mb_i_reset_n,
+      mem_alert_n         => mb_i_alert_n,
+      mem_par             => mb_i_parity,
+      mem_dqs             => mb_i_dqs,
+      mem_dqs_n           => mb_i_dqs_n,
+      mem_dq(63 downto 0) => mb_i_dq,
+      mem_dq(71 downto 64) => mb_i_cb,
+      mem_dbi_n           => mb_i_dm,
+      local_cal_success   => local_i_cal_success,
+      local_cal_fail      => local_i_cal_fail,
+      emif_usr_reset_n    => local_i_reset_n,
+      emif_usr_clk        => local_i_clk,
+      amm_ready_0         => local_i_ready,
+      amm_read_0          => local_i_read,
+      amm_write_0         => local_i_write,
+      amm_address_0       => local_i_address,
+      amm_readdata_0      => local_i_readdata,
+      amm_writedata_0     => local_i_writedata,
+      amm_burstcount_0    => local_i_burstcount,
+      amm_byteenable_0    => local_i_be,
+      amm_readdatavalid_0 => local_i_read_data_valid
+    );
+
+  mb_ii_a <= mb_ii_a_internal(13 downto 0);
+  mb_ii_we_a14 <= mb_ii_a_internal(14);
+  mb_ii_cas_a15 <= mb_ii_a_internal(15);
+  mb_ii_ras_a16 <= mb_ii_a_internal(16);
+
+  local_ii_proc : process(local_ii_clk, local_ii_reset_n)
+  begin
+    if local_ii_reset_n = '0' then
+      local_ii_read <= '0';
+      local_ii_write <= '0';
+      local_ii_address <= (others => '0');
+      local_ii_writedata <= (others => '0');
+      local_ii_burstcount <= (others => '0');
+      local_ii_be <= (others => '0');
+    else
+      if local_ii_clk'event and local_ii_clk = '1' then
+        local_ii_be <= (others => '1');
+        if local_ii_ready = '1' then
+          local_ii_read <= not local_ii_read;
+          local_ii_write <= local_ii_read_data_valid;
+          local_ii_address <= local_ii_address + 1;
+          if local_ii_read_data_valid = '1' then
+            local_ii_writedata <= not local_ii_readdata;
+          else
+            local_ii_writedata <= (others => '1');
           end if;
-	end if;
+        end if;
       end if;
-    end process;
-
-    u_ddr4_ii : ddr4
-      port map (
-        global_reset_n      => reset_n,
-        pll_ref_clk         => MB_II_REF_CLK,
-        oct_rzqin           => MB_II_RZQ,
-        mem_ck              => mb_ii_ck,
-        mem_ck_n            => mb_ii_ck_n,
-        mem_a               => mb_ii_a_internal,
-        mem_act_n           => mb_ii_act_n,
-        mem_ba              => mb_ii_ba,
-        mem_bg              => mb_ii_bg,
-        mem_cke             => mb_ii_cke,
-        mem_cs_n            => mb_ii_cs,
-        mem_odt             => mb_ii_odt,
-        mem_reset_n         => mb_ii_reset_n,
-        mem_alert_n         => mb_ii_alert_n,
-        mem_par             => mb_ii_parity,
-        mem_dqs             => mb_ii_dqs,
-        mem_dqs_n           => mb_ii_dqs_n,
-        mem_dq(63 downto 0) => mb_ii_dq,
-        mem_dq(71 downto 64) => mb_ii_cb,
-        mem_dbi_n           => mb_ii_dm,
-        local_cal_success   => local_ii_cal_success,
-        local_cal_fail      => local_ii_cal_fail,
-        emif_usr_reset_n    => local_ii_reset_n,
-        emif_usr_clk        => local_ii_clk,
-        amm_ready_0         => local_ii_ready,
-        amm_read_0          => local_ii_read,
-        amm_write_0         => local_ii_write,
-        amm_address_0       => local_ii_address,
-        amm_readdata_0      => local_ii_readdata,
-        amm_writedata_0     => local_ii_writedata,
-        amm_burstcount_0    => local_ii_burstcount,
-        amm_byteenable_0    => local_ii_be,
-        amm_readdatavalid_0 => local_ii_read_data_valid
-     );
-
-
---    -- ****** Front side transceivers ******
---
-    RING_0_TX <= tx_serial_data_front(47 downto 36);
-    QSFP_0_TX  <= tx_serial_data_front(35 downto 32);
-    QSFP_1_TX  <= tx_serial_data_front(31 downto 28);
-    QSFP_2_TX  <= tx_serial_data_front(27 downto 24);
-    QSFP_3_TX  <= tx_serial_data_front(23 downto 20);
-    QSFP_4_TX  <= tx_serial_data_front(19 downto 16);
-    QSFP_5_TX  <= tx_serial_data_front(15 downto 12);
-    RING_1_TX <= tx_serial_data_front(11 downto 0);
-
-    rx_serial_data_front <= RING_0_RX
+    end if;
+  end process;
+
+  u_ddr4_ii : ddr4
+    port map (
+      global_reset_n      => reset_n,
+      pll_ref_clk         => MB_II_REF_CLK,
+      oct_rzqin           => MB_II_RZQ,
+      mem_ck              => mb_ii_ck,
+      mem_ck_n            => mb_ii_ck_n,
+      mem_a               => mb_ii_a_internal,
+      mem_act_n           => mb_ii_act_n,
+      mem_ba              => mb_ii_ba,
+      mem_bg              => mb_ii_bg,
+      mem_cke             => mb_ii_cke,
+      mem_cs_n            => mb_ii_cs,
+      mem_odt             => mb_ii_odt,
+      mem_reset_n         => mb_ii_reset_n,
+      mem_alert_n         => mb_ii_alert_n,
+      mem_par             => mb_ii_parity,
+      mem_dqs             => mb_ii_dqs,
+      mem_dqs_n           => mb_ii_dqs_n,
+      mem_dq(63 downto 0) => mb_ii_dq,
+      mem_dq(71 downto 64) => mb_ii_cb,
+      mem_dbi_n           => mb_ii_dm,
+      local_cal_success   => local_ii_cal_success,
+      local_cal_fail      => local_ii_cal_fail,
+      emif_usr_reset_n    => local_ii_reset_n,
+      emif_usr_clk        => local_ii_clk,
+      amm_ready_0         => local_ii_ready,
+      amm_read_0          => local_ii_read,
+      amm_write_0         => local_ii_write,
+      amm_address_0       => local_ii_address,
+      amm_readdata_0      => local_ii_readdata,
+      amm_writedata_0     => local_ii_writedata,
+      amm_burstcount_0    => local_ii_burstcount,
+      amm_byteenable_0    => local_ii_be,
+      amm_readdatavalid_0 => local_ii_read_data_valid
+    );
+
+
+  --    -- ****** Front side transceivers ******
+  --
+  RING_0_TX <= tx_serial_data_front(47 downto 36);
+  QSFP_0_TX  <= tx_serial_data_front(35 downto 32);
+  QSFP_1_TX  <= tx_serial_data_front(31 downto 28);
+  QSFP_2_TX  <= tx_serial_data_front(27 downto 24);
+  QSFP_3_TX  <= tx_serial_data_front(23 downto 20);
+  QSFP_4_TX  <= tx_serial_data_front(19 downto 16);
+  QSFP_5_TX  <= tx_serial_data_front(15 downto 12);
+  RING_1_TX <= tx_serial_data_front(11 downto 0);
+
+  rx_serial_data_front <= RING_0_RX
                           & QSFP_0_RX & QSFP_1_RX & QSFP_2_RX & QSFP_3_RX & QSFP_4_RX & QSFP_5_RX
                           & RING_1_RX ;
 
 
-   transceiver_phy_front : transceiver_phy
-      port map (
-        tx_analogreset          => tx_analogreset_front,
-        tx_digitalreset         => tx_digitalreset_front,
-        rx_analogreset          => rx_analogreset_front,
-        rx_digitalreset         => rx_digitalreset_front,
-        tx_cal_busy             => tx_cal_busy_front,
-        rx_cal_busy             => rx_cal_busy_front,
-        rx_is_lockedtodata      => rx_is_lockedtodata_front,
-        tx_serial_clk0          => tx_serial_clk_front,
-        rx_cdr_refclk0          => sa_clk,
-        tx_serial_data          => tx_serial_data_front,
-        rx_serial_data          => rx_serial_data_front,
-	tx_coreclkin            => tx_serdesclk_front,  -- write side clock for tx fifo
-        rx_coreclkin            => tx_serdesclk_front,
-        tx_clkout               => tx_serdesclk_front,
-        rx_clkout               => open,
-        tx_enh_data_valid       => validloopback_front,
-        rx_enh_data_valid       => validloopback_front,
-        rx_enh_blk_lock         => open,
-        tx_parallel_data        => dataloopback_front,
-        tx_control              => controlloopback_front,
-        tx_err_ins              => (others => '0'),  -- use to insert sync errors
-        unused_tx_parallel_data => (others => '0'),
-        unused_tx_control       => (others => '0'),
-        rx_parallel_data        => dataloopback_front,
-        rx_control              => controlloopback_front,
-        unused_rx_parallel_data => open,
-        unused_rx_control       => open
-      );
-
-    transceiver_reset_front : transceiver_reset_controller
-      port map (
-        clock                   => clk,
-        reset                   => reset_p,
-        pll_powerdown           => pll_powerdown_front,
-        tx_analogreset          => tx_analogreset_front,
-        tx_digitalreset         => tx_digitalreset_front,
-        tx_ready                => open,
-        pll_locked              => pll_locked_front,
-        pll_select              => "0",
-        tx_cal_busy             => txpll_cal_busy_front,
-        rx_analogreset          => rx_analogreset_front,
-        rx_digitalreset         => rx_digitalreset_front,
-        rx_ready                => open,
-        rx_is_lockedtodata      => rx_is_lockedtodata_front,
-        rx_cal_busy             => rx_cal_busy_front
-      );
-
-    transceiver_pll_front : transceiver_pll
-      port map (
-        pll_powerdown           => pll_powerdown_front(0),
-        pll_refclk0             => sa_clk,
-        pll_locked              => pll_locked_front(0),
-        pll_cal_busy            => pll_cal_busy_front,
-        mcgb_rst                => pll_powerdown_front(0),
-        mcgb_serial_clk         => mcgb_serial_clk_front
-      );
-
-    tx_serial_clk_front <= (others => mcgb_serial_clk_front);
-    txpll_cal_busy_front <= tx_cal_busy_front when pll_cal_busy_front = '0' else (others => '1');
-
-    -- ****** Back side transceivers ******
-    -- upper 24 transceivers use sb_clk
-    -- Nov 4 - temporarily disconnect BCK_TX/RX(47) to see what gets synthesised away
-
-    BCK_TX(47 downto 0) <= tx_serial_data_back(47 downto 0);
---    BCK_TX(47) <= '0';
-
-    rx_serial_data_back(47 downto 0) <= BCK_RX(47 downto 0);
---    dataloopback_test <= X"0000000000000000" & dataloopback_back(3007 downto 1536);
---    controlloopback_test <= X"00" & controlloopback_back(375 downto 192);
-
-   transceiver_phy_back_upper : transceiver_phy_24channel
-      port map (
-        tx_analogreset          => tx_analogreset_back(47 downto 24),
-        tx_digitalreset         => tx_digitalreset_back(47 downto 24),
-        rx_analogreset          => rx_analogreset_back(47 downto 24),
-        rx_digitalreset         => rx_digitalreset_back(47 downto 24),
-        tx_cal_busy             => tx_cal_busy_back(47 downto 24),
-        rx_cal_busy             => rx_cal_busy_back(47 downto 24),
-        rx_is_lockedtodata      => rx_is_lockedtodata_back(47 downto 24),
-        tx_serial_clk0          => tx_serial_clk_back(47 downto 24),
-        rx_cdr_refclk0          => sb_clk,
-        tx_serial_data          => tx_serial_data_back(47 downto 24),
-        rx_serial_data          => rx_serial_data_back(47 downto 24),
-	tx_coreclkin            => tx_serdesclk_back(47 downto 24),  -- write side clock for tx fifo
-        rx_coreclkin            => tx_serdesclk_back(47 downto 24),
-        tx_clkout               => tx_serdesclk_back(47 downto 24),
-        rx_clkout               => open,
-        tx_enh_data_valid       => validloopback_back(47 downto 24),
-        rx_enh_data_valid       => validloopback_back(47 downto 24),
-        rx_enh_blk_lock         => open,
-        tx_parallel_data        => dataloopback_back(3071 downto 1536),
-        tx_control              => controlloopback_back(383 downto 192),
-        tx_err_ins              => (others => '0'),  -- use to insert sync errors
-        unused_tx_parallel_data => (others => '0'),
-        unused_tx_control       => (others => '0'),
-        rx_parallel_data        => dataloopback_back(3071 downto 1536),
-        rx_control              => controlloopback_back(383 downto 192),
-        unused_rx_parallel_data => open,
-        unused_rx_control       => open
-      );
-
-    transceiver_reset_back_upper : transceiver_reset_controller_24
-      port map (
-        clock                   => clk,
-        reset                   => reset_p,
-        pll_powerdown           => pll_powerdown_back_upper,
-        tx_analogreset          => tx_analogreset_back(47 downto 24),
-        tx_digitalreset         => tx_digitalreset_back(47 downto 24),
-        tx_ready                => open,
-        pll_locked              => pll_locked_back_upper,
-        pll_select              => "0",
-        tx_cal_busy             => txpll_cal_busy_back(47 downto 24),
-        rx_analogreset          => rx_analogreset_back(47 downto 24),
-        rx_digitalreset         => rx_digitalreset_back(47 downto 24),
-        rx_ready                => open,
-        rx_is_lockedtodata      => rx_is_lockedtodata_back(47 downto 24),
-        rx_cal_busy             => rx_cal_busy_back(47 downto 24)
-      );
-
-    transceiver_pll_back_upper : transceiver_pll
-      port map (
-        pll_powerdown           => pll_powerdown_back_upper(0),
-        pll_refclk0             => sb_clk,
-        pll_locked              => pll_locked_back_upper(0),
-        pll_cal_busy            => pll_cal_busy_back_upper,
-        mcgb_rst                => pll_powerdown_back_upper(0),
-        mcgb_serial_clk         => mcgb_serial_clk_back_upper
-      );
-
-    tx_serial_clk_back(47 downto 24) <= (others => mcgb_serial_clk_back_upper);
-    txpll_cal_busy_back(47 downto 24) <= tx_cal_busy_back(47 downto 24) when pll_cal_busy_back_upper = '0' else (others => '1');
-
-    -- lower 24 transceivers use sb_clk
-
-
-   transceiver_phy_back_lower : transceiver_phy_24channel
-      port map (
-        tx_analogreset          => tx_analogreset_back(23 downto 0),
-        tx_digitalreset         => tx_digitalreset_back(23 downto 0),
-        rx_analogreset          => rx_analogreset_back(23 downto 0),
-        rx_digitalreset         => rx_digitalreset_back(23 downto 0),
-        tx_cal_busy             => tx_cal_busy_back(23 downto 0),
-        rx_cal_busy             => rx_cal_busy_back(23 downto 0),
-        rx_is_lockedtodata      => rx_is_lockedtodata_back(23 downto 0),
-        tx_serial_clk0          => tx_serial_clk_back(23 downto 0),
-        rx_cdr_refclk0          => bck_ref_clk,
-        tx_serial_data          => tx_serial_data_back(23 downto 0),
-        rx_serial_data          => rx_serial_data_back(23 downto 0),
-	tx_coreclkin            => tx_serdesclk_back(23 downto 0),  -- write side clock for tx fifo
-        rx_coreclkin            => tx_serdesclk_back(23 downto 0),
-        tx_clkout               => tx_serdesclk_back(23 downto 0),
-        rx_clkout               => open,
-        tx_enh_data_valid       => validloopback_back(23 downto 0),
-        rx_enh_data_valid       => validloopback_back(23 downto 0),
-        rx_enh_blk_lock         => open,
-        tx_parallel_data        => dataloopback_back(1535 downto 0),
-        tx_control              => controlloopback_back(191 downto 0),
-        tx_err_ins              => (others => '0'),  -- use to insert sync errors
-        unused_tx_parallel_data => (others => '0'),
-        unused_tx_control       => (others => '0'),
-        rx_parallel_data        => dataloopback_back(1535 downto 0),
-        rx_control              => controlloopback_back(191 downto 0),
-        unused_rx_parallel_data => open,
-        unused_rx_control       => open
-      );
-
-    transceiver_reset_back_lower : transceiver_reset_controller_24
-      port map (
-        clock                   => clk,
-        reset                   => reset_p,
-        pll_powerdown           => pll_powerdown_back_lower,
-        tx_analogreset          => tx_analogreset_back(23 downto 0),
-        tx_digitalreset         => tx_digitalreset_back(23 downto 0),
-        tx_ready                => open,
-        pll_locked              => pll_locked_back_lower,
-        pll_select              => "0",
-        tx_cal_busy             => txpll_cal_busy_back(23 downto 0),
-        rx_analogreset          => rx_analogreset_back(23 downto 0),
-        rx_digitalreset         => rx_digitalreset_back(23 downto 0),
-        rx_ready                => open,
-        rx_is_lockedtodata      => rx_is_lockedtodata_back(23 downto 0),
-        rx_cal_busy             => rx_cal_busy_back(23 downto 0)
-      );
-
-    transceiver_pll_back_lower : transceiver_pll
-      port map (
-        pll_powerdown           => pll_powerdown_back_lower(0),
-        pll_refclk0             => bck_ref_clk,
-        pll_locked              => pll_locked_back_lower(0),
-        pll_cal_busy            => pll_cal_busy_back_lower,
-        mcgb_rst                => pll_powerdown_back_lower(0),
-        mcgb_serial_clk         => mcgb_serial_clk_back_lower
-      );
-
-    tx_serial_clk_back(23 downto 0) <= (others => mcgb_serial_clk_back_lower);
-    txpll_cal_busy_back(23 downto 0) <= tx_cal_busy_back(23 downto 0) when pll_cal_busy_back_lower = '0' else (others => '1');
-
-    -- ****** node control for resets and wdi
-
-    u_node_ctrl : entity unb_common_lib.unb_node_ctrl
-      generic map (
-        g_pulse_us => c_unb_tse_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-      )
-      port map (
-        xo_clk      => ETH_clk,
-        xo_rst_n    => reset_n,
-        sys_clk     => sys_clk,
-        sys_locked  => sys_locked,
-        sys_rst     => open,
-        st_clk      => clk,
-        st_rst      => open,
-        wdi_in      => pout_wdi,
-        wdi_out     => WDI,  -- overrule default WDI = 'Z' and let SW toggle WDI via pout_wdi to enable the watchdog
-        pulse_us    => open,
-        pulse_ms    => open,
-        pulse_s     => open  -- could be used to toggle a LED
-      );
-
-    reset_p <= not reset_n;
-
-    u0 : component sys_clkctrl
-      port map (
-        inclk  => CLK,  -- altclkctrl_input.inclk
-        outclk => CLK_buffered  -- altclkctrl_output.outclk
+  transceiver_phy_front : transceiver_phy
+    port map (
+      tx_analogreset          => tx_analogreset_front,
+      tx_digitalreset         => tx_digitalreset_front,
+      rx_analogreset          => rx_analogreset_front,
+      rx_digitalreset         => rx_digitalreset_front,
+      tx_cal_busy             => tx_cal_busy_front,
+      rx_cal_busy             => rx_cal_busy_front,
+      rx_is_lockedtodata      => rx_is_lockedtodata_front,
+      tx_serial_clk0          => tx_serial_clk_front,
+      rx_cdr_refclk0          => sa_clk,
+      tx_serial_data          => tx_serial_data_front,
+      rx_serial_data          => rx_serial_data_front,
+      tx_coreclkin            => tx_serdesclk_front,  -- write side clock for tx fifo
+      rx_coreclkin            => tx_serdesclk_front,
+      tx_clkout               => tx_serdesclk_front,
+      rx_clkout               => open,
+      tx_enh_data_valid       => validloopback_front,
+      rx_enh_data_valid       => validloopback_front,
+      rx_enh_blk_lock         => open,
+      tx_parallel_data        => dataloopback_front,
+      tx_control              => controlloopback_front,
+      tx_err_ins              => (others => '0'),  -- use to insert sync errors
+      unused_tx_parallel_data => (others => '0'),
+      unused_tx_control       => (others => '0'),
+      rx_parallel_data        => dataloopback_front,
+      rx_control              => controlloopback_front,
+      unused_rx_parallel_data => open,
+      unused_rx_control       => open
+    );
+
+  transceiver_reset_front : transceiver_reset_controller
+    port map (
+      clock                   => clk,
+      reset                   => reset_p,
+      pll_powerdown           => pll_powerdown_front,
+      tx_analogreset          => tx_analogreset_front,
+      tx_digitalreset         => tx_digitalreset_front,
+      tx_ready                => open,
+      pll_locked              => pll_locked_front,
+      pll_select              => "0",
+      tx_cal_busy             => txpll_cal_busy_front,
+      rx_analogreset          => rx_analogreset_front,
+      rx_digitalreset         => rx_digitalreset_front,
+      rx_ready                => open,
+      rx_is_lockedtodata      => rx_is_lockedtodata_front,
+      rx_cal_busy             => rx_cal_busy_front
+    );
+
+  transceiver_pll_front : transceiver_pll
+    port map (
+      pll_powerdown           => pll_powerdown_front(0),
+      pll_refclk0             => sa_clk,
+      pll_locked              => pll_locked_front(0),
+      pll_cal_busy            => pll_cal_busy_front,
+      mcgb_rst                => pll_powerdown_front(0),
+      mcgb_serial_clk         => mcgb_serial_clk_front
     );
 
+  tx_serial_clk_front <= (others => mcgb_serial_clk_front);
+  txpll_cal_busy_front <= tx_cal_busy_front when pll_cal_busy_front = '0' else (others => '1');
+
+  -- ****** Back side transceivers ******
+  -- upper 24 transceivers use sb_clk
+  -- Nov 4 - temporarily disconnect BCK_TX/RX(47) to see what gets synthesised away
+
+  BCK_TX(47 downto 0) <= tx_serial_data_back(47 downto 0);
+  --    BCK_TX(47) <= '0';
+
+  rx_serial_data_back(47 downto 0) <= BCK_RX(47 downto 0);
+  --    dataloopback_test <= X"0000000000000000" & dataloopback_back(3007 downto 1536);
+  --    controlloopback_test <= X"00" & controlloopback_back(375 downto 192);
+
+  transceiver_phy_back_upper : transceiver_phy_24channel
+    port map (
+      tx_analogreset          => tx_analogreset_back(47 downto 24),
+      tx_digitalreset         => tx_digitalreset_back(47 downto 24),
+      rx_analogreset          => rx_analogreset_back(47 downto 24),
+      rx_digitalreset         => rx_digitalreset_back(47 downto 24),
+      tx_cal_busy             => tx_cal_busy_back(47 downto 24),
+      rx_cal_busy             => rx_cal_busy_back(47 downto 24),
+      rx_is_lockedtodata      => rx_is_lockedtodata_back(47 downto 24),
+      tx_serial_clk0          => tx_serial_clk_back(47 downto 24),
+      rx_cdr_refclk0          => sb_clk,
+      tx_serial_data          => tx_serial_data_back(47 downto 24),
+      rx_serial_data          => rx_serial_data_back(47 downto 24),
+      tx_coreclkin            => tx_serdesclk_back(47 downto 24),  -- write side clock for tx fifo
+      rx_coreclkin            => tx_serdesclk_back(47 downto 24),
+      tx_clkout               => tx_serdesclk_back(47 downto 24),
+      rx_clkout               => open,
+      tx_enh_data_valid       => validloopback_back(47 downto 24),
+      rx_enh_data_valid       => validloopback_back(47 downto 24),
+      rx_enh_blk_lock         => open,
+      tx_parallel_data        => dataloopback_back(3071 downto 1536),
+      tx_control              => controlloopback_back(383 downto 192),
+      tx_err_ins              => (others => '0'),  -- use to insert sync errors
+      unused_tx_parallel_data => (others => '0'),
+      unused_tx_control       => (others => '0'),
+      rx_parallel_data        => dataloopback_back(3071 downto 1536),
+      rx_control              => controlloopback_back(383 downto 192),
+      unused_rx_parallel_data => open,
+      unused_rx_control       => open
+    );
+
+  transceiver_reset_back_upper : transceiver_reset_controller_24
+    port map (
+      clock                   => clk,
+      reset                   => reset_p,
+      pll_powerdown           => pll_powerdown_back_upper,
+      tx_analogreset          => tx_analogreset_back(47 downto 24),
+      tx_digitalreset         => tx_digitalreset_back(47 downto 24),
+      tx_ready                => open,
+      pll_locked              => pll_locked_back_upper,
+      pll_select              => "0",
+      tx_cal_busy             => txpll_cal_busy_back(47 downto 24),
+      rx_analogreset          => rx_analogreset_back(47 downto 24),
+      rx_digitalreset         => rx_digitalreset_back(47 downto 24),
+      rx_ready                => open,
+      rx_is_lockedtodata      => rx_is_lockedtodata_back(47 downto 24),
+      rx_cal_busy             => rx_cal_busy_back(47 downto 24)
+    );
+
+  transceiver_pll_back_upper : transceiver_pll
+    port map (
+      pll_powerdown           => pll_powerdown_back_upper(0),
+      pll_refclk0             => sb_clk,
+      pll_locked              => pll_locked_back_upper(0),
+      pll_cal_busy            => pll_cal_busy_back_upper,
+      mcgb_rst                => pll_powerdown_back_upper(0),
+      mcgb_serial_clk         => mcgb_serial_clk_back_upper
+    );
+
+  tx_serial_clk_back(47 downto 24) <= (others => mcgb_serial_clk_back_upper);
+  txpll_cal_busy_back(47 downto 24) <= tx_cal_busy_back(47 downto 24) when pll_cal_busy_back_upper = '0' else (others => '1');
+
+  -- lower 24 transceivers use sb_clk
+
+
+  transceiver_phy_back_lower : transceiver_phy_24channel
+    port map (
+      tx_analogreset          => tx_analogreset_back(23 downto 0),
+      tx_digitalreset         => tx_digitalreset_back(23 downto 0),
+      rx_analogreset          => rx_analogreset_back(23 downto 0),
+      rx_digitalreset         => rx_digitalreset_back(23 downto 0),
+      tx_cal_busy             => tx_cal_busy_back(23 downto 0),
+      rx_cal_busy             => rx_cal_busy_back(23 downto 0),
+      rx_is_lockedtodata      => rx_is_lockedtodata_back(23 downto 0),
+      tx_serial_clk0          => tx_serial_clk_back(23 downto 0),
+      rx_cdr_refclk0          => bck_ref_clk,
+      tx_serial_data          => tx_serial_data_back(23 downto 0),
+      rx_serial_data          => rx_serial_data_back(23 downto 0),
+      tx_coreclkin            => tx_serdesclk_back(23 downto 0),  -- write side clock for tx fifo
+      rx_coreclkin            => tx_serdesclk_back(23 downto 0),
+      tx_clkout               => tx_serdesclk_back(23 downto 0),
+      rx_clkout               => open,
+      tx_enh_data_valid       => validloopback_back(23 downto 0),
+      rx_enh_data_valid       => validloopback_back(23 downto 0),
+      rx_enh_blk_lock         => open,
+      tx_parallel_data        => dataloopback_back(1535 downto 0),
+      tx_control              => controlloopback_back(191 downto 0),
+      tx_err_ins              => (others => '0'),  -- use to insert sync errors
+      unused_tx_parallel_data => (others => '0'),
+      unused_tx_control       => (others => '0'),
+      rx_parallel_data        => dataloopback_back(1535 downto 0),
+      rx_control              => controlloopback_back(191 downto 0),
+      unused_rx_parallel_data => open,
+      unused_rx_control       => open
+    );
+
+  transceiver_reset_back_lower : transceiver_reset_controller_24
+    port map (
+      clock                   => clk,
+      reset                   => reset_p,
+      pll_powerdown           => pll_powerdown_back_lower,
+      tx_analogreset          => tx_analogreset_back(23 downto 0),
+      tx_digitalreset         => tx_digitalreset_back(23 downto 0),
+      tx_ready                => open,
+      pll_locked              => pll_locked_back_lower,
+      pll_select              => "0",
+      tx_cal_busy             => txpll_cal_busy_back(23 downto 0),
+      rx_analogreset          => rx_analogreset_back(23 downto 0),
+      rx_digitalreset         => rx_digitalreset_back(23 downto 0),
+      rx_ready                => open,
+      rx_is_lockedtodata      => rx_is_lockedtodata_back(23 downto 0),
+      rx_cal_busy             => rx_cal_busy_back(23 downto 0)
+    );
+
+  transceiver_pll_back_lower : transceiver_pll
+    port map (
+      pll_powerdown           => pll_powerdown_back_lower(0),
+      pll_refclk0             => bck_ref_clk,
+      pll_locked              => pll_locked_back_lower(0),
+      pll_cal_busy            => pll_cal_busy_back_lower,
+      mcgb_rst                => pll_powerdown_back_lower(0),
+      mcgb_serial_clk         => mcgb_serial_clk_back_lower
+    );
+
+  tx_serial_clk_back(23 downto 0) <= (others => mcgb_serial_clk_back_lower);
+  txpll_cal_busy_back(23 downto 0) <= tx_cal_busy_back(23 downto 0) when pll_cal_busy_back_lower = '0' else (others => '1');
+
+  -- ****** node control for resets and wdi
+
+  u_node_ctrl : entity unb_common_lib.unb_node_ctrl
+    generic map (
+      g_pulse_us => c_unb_tse_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
+    )
+    port map (
+      xo_clk      => ETH_clk,
+      xo_rst_n    => reset_n,
+      sys_clk     => sys_clk,
+      sys_locked  => sys_locked,
+      sys_rst     => open,
+      st_clk      => clk,
+      st_rst      => open,
+      wdi_in      => pout_wdi,
+      wdi_out     => WDI,  -- overrule default WDI = 'Z' and let SW toggle WDI via pout_wdi to enable the watchdog
+      pulse_us    => open,
+      pulse_ms    => open,
+      pulse_s     => open  -- could be used to toggle a LED
+    );
+
+  reset_p <= not reset_n;
+
+  u0 : component sys_clkctrl
+    port map (
+      inclk  => CLK,  -- altclkctrl_input.inclk
+      outclk => CLK_buffered  -- altclkctrl_output.outclk
+    );
+
+
+  u_system_pll : system_pll
+    port map(
+      --        refclk       => ETH_CLK,
+      refclk       => CLK_buffered,
+      --        refclk       => INTB,
+      rst          => reset_p,
+      locked       => sys_locked,
+      outclk_0     => mm_clk,  -- 100MHz
+      outclk_1     => sys_clk,  -- 300MHz
+      outclk_2     => clk_125  -- 125MHz for 1ge
+    );
+
+  --    u_system_pll : system_fpll
+  --      port map(
+  --        pll_refclk0       => INTB,
+  --        pll_powerdown     => reset_p,
+  --        pll_locked        => sys_locked,
+  --        pll_cal_busy      => open,
+  --        outclk0           => mm_clk,  -- 100MHz
+  --        outclk1           => sys_clk, -- 300MHz
+  --        outclk2           => clk_125  -- 125MHz for 1ge
+  --     );
+
+
+  -- ****** i2c interfaces ******
+
+  u_qsys : unb2_pinning_qsys
+    port map (
+      clk_clk                          => mm_clk,
+      reset_reset_n                    => reset_n,
+      avs_i2c_master_0_gs_sim_export   => cs_sim,
+      avs_i2c_master_0_sync_export     => cs_sync,
+      avs_i2c_master_0_i2c_scl_export  => sens_sc,
+      avs_i2c_master_0_i2c_sda_export  => sens_sd,
+      avs_i2c_master_1_gs_sim_export   => cs_sim,
+      avs_i2c_master_1_sync_export     => cs_sync,
+      avs_i2c_master_1_i2c_scl_export  => pmbus_sc,
+      avs_i2c_master_1_i2c_sda_export  => pmbus_sd,
+      avs_i2c_master_2_gs_sim_export   => cs_sim,
+      avs_i2c_master_2_sync_export     => cs_sync,
+      avs_i2c_master_2_i2c_scl_export  => bck_scl(0),
+      avs_i2c_master_2_i2c_sda_export  => bck_sda(0),
+      avs_i2c_master_3_gs_sim_export   => cs_sim,
+      avs_i2c_master_3_sync_export     => cs_sync,
+      avs_i2c_master_3_i2c_scl_export  => bck_scl(1),
+      avs_i2c_master_3_i2c_sda_export  => bck_sda(1),
+      avs_i2c_master_4_sync_export     => cs_sync,
+      avs_i2c_master_4_gs_sim_export   => cs_sim,
+      avs_i2c_master_4_i2c_scl_export  => bck_scl(2),
+      avs_i2c_master_4_i2c_sda_export  => bck_sda(2),
+      avs_i2c_master_5_sync_export     => cs_sync,
+      avs_i2c_master_5_gs_sim_export   => cs_sim,
+      avs_i2c_master_5_i2c_sda_export  => qsfp_sda(0),
+      avs_i2c_master_5_i2c_scl_export  => qsfp_scl(0),
+      avs_i2c_master_6_sync_export     => cs_sync,
+      avs_i2c_master_6_gs_sim_export   => cs_sim,
+      avs_i2c_master_6_i2c_sda_export  => qsfp_sda(1),
+      avs_i2c_master_6_i2c_scl_export  => qsfp_scl(1),
+      avs_i2c_master_7_sync_export     => cs_sync,
+      avs_i2c_master_7_gs_sim_export   => cs_sim,
+      avs_i2c_master_7_i2c_sda_export  => qsfp_sda(2),
+      avs_i2c_master_7_i2c_scl_export  => qsfp_scl(2),
+      avs_i2c_master_8_sync_export     => cs_sync,
+      avs_i2c_master_8_gs_sim_export   => cs_sim,
+      avs_i2c_master_8_i2c_sda_export  => qsfp_sda(3),
+      avs_i2c_master_8_i2c_scl_export  => qsfp_scl(3),
+      avs_i2c_master_9_sync_export     => cs_sync,
+      avs_i2c_master_9_gs_sim_export   => cs_sim,
+      avs_i2c_master_9_i2c_sda_export  => qsfp_sda(4),
+      avs_i2c_master_9_i2c_scl_export  => qsfp_scl(4),
+      avs_i2c_master_10_sync_export    => cs_sync,
+      avs_i2c_master_10_gs_sim_export  => cs_sim,
+      avs_i2c_master_10_i2c_sda_export => qsfp_sda(5),
+      avs_i2c_master_10_i2c_scl_export => qsfp_scl(5),
+      avs_i2c_master_11_sync_export    => cs_sync,
+      avs_i2c_master_11_gs_sim_export  => cs_sim,
+      avs_i2c_master_11_i2c_sda_export => mb_sda,
+      avs_i2c_master_11_i2c_scl_export => mb_scl,
+      eth_tse_0_serial_connection_rxp_0          => ETH_SGIN(0),
+      eth_tse_0_serial_connection_txp_0          => ETH_SGOUT(0),
+      --eth_tse_0_pcs_ref_clk_clock_connection_clk => clk_125,
+      eth_tse_0_pcs_ref_clk_clock_connection_clk => ETH_CLK,
+      --eth_tse_1_pcs_ref_clk_clock_connection_clk => clk_125,
+      eth_tse_1_pcs_ref_clk_clock_connection_clk => ETH_CLK,
+      eth_tse_1_serial_connection_rxp_0          => ETH_SGIN(1),
+      eth_tse_1_serial_connection_txp_0          => ETH_SGOUT(1),
+      pio_0_external_connection_export           => ver_id_pmbusalert
+    );
 
-    u_system_pll : system_pll
-      port map(
---        refclk       => ETH_CLK,
-        refclk       => CLK_buffered,
---        refclk       => INTB,
-        rst          => reset_p,
-        locked       => sys_locked,
-        outclk_0     => mm_clk,  -- 100MHz
-        outclk_1     => sys_clk,  -- 300MHz
-        outclk_2     => clk_125  -- 125MHz for 1ge
-     );
-
---    u_system_pll : system_fpll
---      port map(
---        pll_refclk0       => INTB,
---        pll_powerdown     => reset_p,
---        pll_locked        => sys_locked,
---        pll_cal_busy      => open,
---        outclk0           => mm_clk,  -- 100MHz
---        outclk1           => sys_clk, -- 300MHz
---        outclk2           => clk_125  -- 125MHz for 1ge
---     );
-
-
-    -- ****** i2c interfaces ******
-
-    u_qsys : unb2_pinning_qsys
-      port map (
-        clk_clk                          => mm_clk,
-        reset_reset_n                    => reset_n,
-        avs_i2c_master_0_gs_sim_export   => cs_sim,
-        avs_i2c_master_0_sync_export     => cs_sync,
-        avs_i2c_master_0_i2c_scl_export  => sens_sc,
-        avs_i2c_master_0_i2c_sda_export  => sens_sd,
-        avs_i2c_master_1_gs_sim_export   => cs_sim,
-        avs_i2c_master_1_sync_export     => cs_sync,
-        avs_i2c_master_1_i2c_scl_export  => pmbus_sc,
-        avs_i2c_master_1_i2c_sda_export  => pmbus_sd,
-        avs_i2c_master_2_gs_sim_export   => cs_sim,
-        avs_i2c_master_2_sync_export     => cs_sync,
-        avs_i2c_master_2_i2c_scl_export  => bck_scl(0),
-        avs_i2c_master_2_i2c_sda_export  => bck_sda(0),
-        avs_i2c_master_3_gs_sim_export   => cs_sim,
-        avs_i2c_master_3_sync_export     => cs_sync,
-        avs_i2c_master_3_i2c_scl_export  => bck_scl(1),
-        avs_i2c_master_3_i2c_sda_export  => bck_sda(1),
-        avs_i2c_master_4_sync_export     => cs_sync,
-        avs_i2c_master_4_gs_sim_export   => cs_sim,
-        avs_i2c_master_4_i2c_scl_export  => bck_scl(2),
-        avs_i2c_master_4_i2c_sda_export  => bck_sda(2),
-        avs_i2c_master_5_sync_export     => cs_sync,
-        avs_i2c_master_5_gs_sim_export   => cs_sim,
-        avs_i2c_master_5_i2c_sda_export  => qsfp_sda(0),
-        avs_i2c_master_5_i2c_scl_export  => qsfp_scl(0),
-        avs_i2c_master_6_sync_export     => cs_sync,
-        avs_i2c_master_6_gs_sim_export   => cs_sim,
-        avs_i2c_master_6_i2c_sda_export  => qsfp_sda(1),
-        avs_i2c_master_6_i2c_scl_export  => qsfp_scl(1),
-        avs_i2c_master_7_sync_export     => cs_sync,
-        avs_i2c_master_7_gs_sim_export   => cs_sim,
-        avs_i2c_master_7_i2c_sda_export  => qsfp_sda(2),
-        avs_i2c_master_7_i2c_scl_export  => qsfp_scl(2),
-        avs_i2c_master_8_sync_export     => cs_sync,
-        avs_i2c_master_8_gs_sim_export   => cs_sim,
-        avs_i2c_master_8_i2c_sda_export  => qsfp_sda(3),
-        avs_i2c_master_8_i2c_scl_export  => qsfp_scl(3),
-        avs_i2c_master_9_sync_export     => cs_sync,
-        avs_i2c_master_9_gs_sim_export   => cs_sim,
-        avs_i2c_master_9_i2c_sda_export  => qsfp_sda(4),
-        avs_i2c_master_9_i2c_scl_export  => qsfp_scl(4),
-        avs_i2c_master_10_sync_export    => cs_sync,
-        avs_i2c_master_10_gs_sim_export  => cs_sim,
-        avs_i2c_master_10_i2c_sda_export => qsfp_sda(5),
-        avs_i2c_master_10_i2c_scl_export => qsfp_scl(5),
-        avs_i2c_master_11_sync_export    => cs_sync,
-        avs_i2c_master_11_gs_sim_export  => cs_sim,
-        avs_i2c_master_11_i2c_sda_export => mb_sda,
-        avs_i2c_master_11_i2c_scl_export => mb_scl,
-        eth_tse_0_serial_connection_rxp_0          => ETH_SGIN(0),
-        eth_tse_0_serial_connection_txp_0          => ETH_SGOUT(0),
-        --eth_tse_0_pcs_ref_clk_clock_connection_clk => clk_125,
-        eth_tse_0_pcs_ref_clk_clock_connection_clk => ETH_CLK,
-        --eth_tse_1_pcs_ref_clk_clock_connection_clk => clk_125,
-        eth_tse_1_pcs_ref_clk_clock_connection_clk => ETH_CLK,
-        eth_tse_1_serial_connection_rxp_0          => ETH_SGIN(1),
-        eth_tse_1_serial_connection_txp_0          => ETH_SGOUT(1),
-        pio_0_external_connection_export           => ver_id_pmbusalert
-     );
-
--- bidirectional and misc
--- use PPS as output enable
-
-    INTA <= inta_out when PPS = '1' else 'Z';
-    INTB <= intb_out when PPS = '1' else 'Z';
-    TESTIO(5 downto 0) <= testio_out(5 downto 0) when PPS = '1' else "ZZZZZZ";
-    QSFP_LED <= qsfp_led_out when PPS = '1' else "ZZZZZZZZZZZZ";
-    BCK_ERR <= bck_err_out when PPS = '1' else "ZZZ";
-
-    inta_in <= INTA;
-    intb_in <= INTB;
-    testio_in(5 downto 0) <= TESTIO(5 downto 0);
-    qsfp_led_in <= QSFP_LED;
-    bck_err_in <= BCK_ERR;
-
-    inta_out <= intb_in;
-    intb_out <= inta_in;
-    testio_out(5 downto 3) <= testio_in(2 downto 0);
-    testio_out(2 downto 0) <= testio_in(5 downto 3);
-    qsfp_led_out(11 downto 6) <= qsfp_led_in(5 downto 0);
-    qsfp_led_out(5 downto 0) <= qsfp_led_in(11 downto 6);
-    bck_err_out(2) <= bck_err_in(1);
-    bck_err_out(1) <= bck_err_in(0);
-    bck_err_out(0) <= bck_err_in(2);
-
-    ver_id_pmbusalert <= version & id & pmbus_alert & mb_event;
+  -- bidirectional and misc
+  -- use PPS as output enable
+
+  INTA <= inta_out when PPS = '1' else 'Z';
+  INTB <= intb_out when PPS = '1' else 'Z';
+  TESTIO(5 downto 0) <= testio_out(5 downto 0) when PPS = '1' else "ZZZZZZ";
+  QSFP_LED <= qsfp_led_out when PPS = '1' else "ZZZZZZZZZZZZ";
+  BCK_ERR <= bck_err_out when PPS = '1' else "ZZZ";
+
+  inta_in <= INTA;
+  intb_in <= INTB;
+  testio_in(5 downto 0) <= TESTIO(5 downto 0);
+  qsfp_led_in <= QSFP_LED;
+  bck_err_in <= BCK_ERR;
+
+  inta_out <= intb_in;
+  intb_out <= inta_in;
+  testio_out(5 downto 3) <= testio_in(2 downto 0);
+  testio_out(2 downto 0) <= testio_in(5 downto 3);
+  qsfp_led_out(11 downto 6) <= qsfp_led_in(5 downto 0);
+  qsfp_led_out(5 downto 0) <= qsfp_led_in(11 downto 6);
+  bck_err_out(2) <= bck_err_in(1);
+  bck_err_out(1) <= bck_err_in(0);
+  bck_err_out(0) <= bck_err_in(2);
+
+  ver_id_pmbusalert <= version & id & pmbus_alert & mb_event;
 
 end str;
diff --git a/boards/uniboard2/designs/unb2_singlemac/src/vhdl/unb2_singlemac.vhd b/boards/uniboard2/designs/unb2_singlemac/src/vhdl/unb2_singlemac.vhd
index fb173d75ba..e70e6ebfc5 100644
--- a/boards/uniboard2/designs/unb2_singlemac/src/vhdl/unb2_singlemac.vhd
+++ b/boards/uniboard2/designs/unb2_singlemac/src/vhdl/unb2_singlemac.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb_common_lib;
-use unb_common_lib.unb_common_pkg.all;
-use IEEE.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use unb_common_lib.unb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 
 entity unb2_singlemac is
@@ -48,54 +48,54 @@ entity unb2_singlemac is
     BCK_REF_CLK            : in    std_logic;  -- SerDes reference clock back
 
     -- SO-DIMM DDR4 Memory Bank i2c (common)
---    MB_SCL                 : inout std_logic;
---    MB_SDA                 : inout std_logic;
+    --    MB_SCL                 : inout std_logic;
+    --    MB_SDA                 : inout std_logic;
     -- SO-DIMM DDR4 Memory Bank I
---    MB_I_RZQ       : in   STD_LOGIC;
---    MB_I_REF_CLK   : in    STD_LOGIC; -- External reference clock
---    MB_I_A : out std_logic_vector (13 downto 0);
---    MB_I_ACT_N : out std_logic_vector(0 downto 0);
---    MB_I_BA : out std_logic_vector (1 downto 0);
---    MB_I_BG : out std_logic_vector (1 downto 0);
---    MB_I_CAS_A15 : out std_logic;
---    MB_I_CB : inout std_logic_vector (7 downto 0);
---    MB_I_CK : out std_logic_vector (1 downto 0);
---    MB_I_CK_n : out std_logic_vector (1 downto 0);
---    MB_I_CKE : out std_logic_vector (1 downto 0);
---    MB_I_CS : out std_logic_vector (1 downto 0);
---    MB_I_DM : inout std_logic_vector (8 downto 0);
---    MB_I_DQ : inout std_logic_vector (63 downto 0);
---    MB_I_DQS : inout std_logic_vector (8 downto 0);
---    MB_I_DQS_n : inout std_logic_vector (8 downto 0);
---    MB_I_ODT : out std_logic_vector (1 downto 0);
---    MB_I_PARITY : out std_logic_vector(0 downto 0);
---    MB_I_RAS_A16 : out std_logic;
---    MB_I_WE_A14 : out std_logic;
---    MB_I_RESET_N           : out std_logic_vector(0 downto 0);
---    MB_I_ALERT_N           : in std_logic_vector(0 downto 0);
+    --    MB_I_RZQ       : in   STD_LOGIC;
+    --    MB_I_REF_CLK   : in    STD_LOGIC; -- External reference clock
+    --    MB_I_A : out std_logic_vector (13 downto 0);
+    --    MB_I_ACT_N : out std_logic_vector(0 downto 0);
+    --    MB_I_BA : out std_logic_vector (1 downto 0);
+    --    MB_I_BG : out std_logic_vector (1 downto 0);
+    --    MB_I_CAS_A15 : out std_logic;
+    --    MB_I_CB : inout std_logic_vector (7 downto 0);
+    --    MB_I_CK : out std_logic_vector (1 downto 0);
+    --    MB_I_CK_n : out std_logic_vector (1 downto 0);
+    --    MB_I_CKE : out std_logic_vector (1 downto 0);
+    --    MB_I_CS : out std_logic_vector (1 downto 0);
+    --    MB_I_DM : inout std_logic_vector (8 downto 0);
+    --    MB_I_DQ : inout std_logic_vector (63 downto 0);
+    --    MB_I_DQS : inout std_logic_vector (8 downto 0);
+    --    MB_I_DQS_n : inout std_logic_vector (8 downto 0);
+    --    MB_I_ODT : out std_logic_vector (1 downto 0);
+    --    MB_I_PARITY : out std_logic_vector(0 downto 0);
+    --    MB_I_RAS_A16 : out std_logic;
+    --    MB_I_WE_A14 : out std_logic;
+    --    MB_I_RESET_N           : out std_logic_vector(0 downto 0);
+    --    MB_I_ALERT_N           : in std_logic_vector(0 downto 0);
     -- SO-DIMM DDR4 Memory Bank II
---    MB_II_RZQ    : in   STD_LOGIC;
---    MB_II_REF_CLK : in    STD_LOGIC; -- External reference clock
---    MB_II_A : out std_logic_vector (13 downto 0);
---    MB_II_ACT_N : out std_logic_vector(0 downto 0);
---    MB_II_BA : out std_logic_vector (1 downto 0);
---    MB_II_BG : out std_logic_vector (1 downto 0);
---    MB_II_CAS_A15 : out std_logic;
---    MB_II_CB : inout std_logic_vector (7 downto 0);
---    MB_II_CK : out std_logic_vector (1 downto 0);
---    MB_II_CK_n : out std_logic_vector (1 downto 0);
---    MB_II_CKE : out std_logic_vector (1 downto 0);
---    MB_II_CS : out std_logic_vector (1 downto 0);
---    MB_II_DM : inout std_logic_vector (8 downto 0);
---    MB_II_DQ : inout std_logic_vector (63 downto 0);
---    MB_II_DQS : inout std_logic_vector (8 downto 0);
---    MB_II_DQS_n : inout std_logic_vector (8 downto 0);
---    MB_II_ODT : out std_logic_vector (1 downto 0);
---    MB_II_PARITY : out std_logic_vector(0 downto 0);
---    MB_II_RAS_A16 : out std_logic;
---    MB_II_WE_A14 : out std_logic;
---    MB_II_RESET_N          : out std_logic_vector(0 downto 0);
---    MB_II_ALERT_N           : in std_logic_vector(0 downto 0);
+    --    MB_II_RZQ    : in   STD_LOGIC;
+    --    MB_II_REF_CLK : in    STD_LOGIC; -- External reference clock
+    --    MB_II_A : out std_logic_vector (13 downto 0);
+    --    MB_II_ACT_N : out std_logic_vector(0 downto 0);
+    --    MB_II_BA : out std_logic_vector (1 downto 0);
+    --    MB_II_BG : out std_logic_vector (1 downto 0);
+    --    MB_II_CAS_A15 : out std_logic;
+    --    MB_II_CB : inout std_logic_vector (7 downto 0);
+    --    MB_II_CK : out std_logic_vector (1 downto 0);
+    --    MB_II_CK_n : out std_logic_vector (1 downto 0);
+    --    MB_II_CKE : out std_logic_vector (1 downto 0);
+    --    MB_II_CS : out std_logic_vector (1 downto 0);
+    --    MB_II_DM : inout std_logic_vector (8 downto 0);
+    --    MB_II_DQ : inout std_logic_vector (63 downto 0);
+    --    MB_II_DQS : inout std_logic_vector (8 downto 0);
+    --    MB_II_DQS_n : inout std_logic_vector (8 downto 0);
+    --    MB_II_ODT : out std_logic_vector (1 downto 0);
+    --    MB_II_PARITY : out std_logic_vector(0 downto 0);
+    --    MB_II_RAS_A16 : out std_logic;
+    --    MB_II_WE_A14 : out std_logic;
+    --    MB_II_RESET_N          : out std_logic_vector(0 downto 0);
+    --    MB_II_ALERT_N           : in std_logic_vector(0 downto 0);
 
     -- back transceivers
     BCK_SDA : inout std_logic_vector(2 downto 0);
@@ -114,8 +114,8 @@ entity unb2_singlemac is
     -- I2C Interface to Sensors
     SENS_SC                : inout   std_logic;
     SENS_SD                : inout std_logic;
-     -- Others
---    CFG_DATA               : inout std_logic_vector (3 downto 0);
+    -- Others
+    --    CFG_DATA               : inout std_logic_vector (3 downto 0);
     VERSION                : in    std_logic_vector(1 downto 0);
     ID                     : in    std_logic_vector(7 downto 0);
     TESTIO                 : inout std_logic_vector(5 downto 0);
@@ -129,15 +129,15 @@ architecture str of unb2_singlemac is
 
 
 
-   component system_iopll is
-     port (
-       refclk     : in  std_logic := 'X';  -- clk
-       rst        : in  std_logic := 'X';
-       locked     : out std_logic;
-       outclk_0   : out std_logic;  -- outclk0
-       outclk_1   : out std_logic;  -- outclk1
-       outclk_2   : out std_logic  -- outclk2
-     );
+  component system_iopll is
+    port (
+      refclk     : in  std_logic := 'X';  -- clk
+      rst        : in  std_logic := 'X';
+      locked     : out std_logic;
+      outclk_0   : out std_logic;  -- outclk0
+      outclk_1   : out std_logic;  -- outclk1
+      outclk_2   : out std_logic  -- outclk2
+    );
   end component system_iopll;
 
   component tech_transceiver_arria10_1 is
@@ -203,73 +203,73 @@ architecture str of unb2_singlemac is
     );
   end component ip_arria10_mac_10g;
 
-    -- constants
-    constant cs_sim                : std_logic := '0';
-    constant cs_sync               : std_logic := '1';
-    --CONSTANT c_block_len            : NATURAL := 180; -- = 1440 user bytes. Including packetizing: 1508 bytes.
-    constant c_block_len            : natural := 1118;  -- = 8944 user bytes. Including packetizing: 9012 bytes.
-
-    -- general reset and clock signals
-    signal reset_n                 : std_logic := '0';
-    signal reset_p                 : std_logic := '0';
-    signal pout_wdi                : std_logic := '0';
-    signal sys_clk                 : std_logic := '0';
-    signal sys_locked              : std_logic := '0';
-    signal mm_clk                  : std_logic := '0';
-    signal clk_125                 : std_logic := '0';
-
-
-    -- signals for the transceivers
-    signal tx_serial_data_front    : std_logic_vector(0 downto 0);
-    signal rx_serial_data_front    : std_logic_vector(0 downto 0);
-    signal xgmii_tx                : std_logic_vector(71 downto 0);
-    signal xgmii_rx                : std_logic_vector(71 downto 0);
-    signal clk_156                 : std_logic_vector(0 downto 0);
-    signal clk_312                 : std_logic_vector(0 downto 0);
-
-    -- signals for the MAC
-    signal mac_10g_loopback_sop    : std_logic;
-    signal mac_10g_loopback_eop    : std_logic;
-    signal mac_10g_loopback_valid  : std_logic;
-    signal mac_10g_loopback_ready  : std_logic;
-    signal mac_10g_loopback_data   : std_logic_vector(63 downto 0);
-    signal mac_10g_loopback_empty  : std_logic_vector(2 downto 0);
-    signal mac_10g_loopback_err    : std_logic_vector(5 downto 0);
-
-    signal reg_mac_rd              : std_logic;
-    signal reg_mac_wr              : std_logic;
-    signal reg_mac_waitrequest     : std_logic;
-    signal reg_mac_rddata          : std_logic_vector(31 downto 0);
-    signal reg_mac_wrdata          : std_logic_vector(31 downto 0);
-    signal reg_mac_address         : std_logic_vector(12 downto 0);
-
-
-    -- signals for the bidirectional and misc ios
-    signal inta_in    : std_logic;
-    signal intb_in    : std_logic;
-    signal testio_in  : std_logic_vector(5 downto 0);
-    signal qsfp_led_in  : std_logic_vector(11 downto 0);
-    signal bck_err_in : std_logic_vector(2 downto 0);
-    signal inta_out   : std_logic;
-    signal intb_out   : std_logic;
-    signal testio_out : std_logic_vector(5 downto 0);
-    signal qsfp_led_out  : std_logic_vector(11 downto 0);
-    signal bck_err_out : std_logic_vector(2 downto 0);
-    signal ver_id_pmbusalert     : std_logic_vector(10 downto 0);
-    signal toggle_count     : std_logic_vector(31 downto 0);
-    signal toggle_count1    : std_logic_vector(31 downto 0);
-    signal led_state    : std_logic;
+  -- constants
+  constant cs_sim                : std_logic := '0';
+  constant cs_sync               : std_logic := '1';
+  --CONSTANT c_block_len            : NATURAL := 180; -- = 1440 user bytes. Including packetizing: 1508 bytes.
+  constant c_block_len            : natural := 1118;  -- = 8944 user bytes. Including packetizing: 9012 bytes.
+
+  -- general reset and clock signals
+  signal reset_n                 : std_logic := '0';
+  signal reset_p                 : std_logic := '0';
+  signal pout_wdi                : std_logic := '0';
+  signal sys_clk                 : std_logic := '0';
+  signal sys_locked              : std_logic := '0';
+  signal mm_clk                  : std_logic := '0';
+  signal clk_125                 : std_logic := '0';
+
+
+  -- signals for the transceivers
+  signal tx_serial_data_front    : std_logic_vector(0 downto 0);
+  signal rx_serial_data_front    : std_logic_vector(0 downto 0);
+  signal xgmii_tx                : std_logic_vector(71 downto 0);
+  signal xgmii_rx                : std_logic_vector(71 downto 0);
+  signal clk_156                 : std_logic_vector(0 downto 0);
+  signal clk_312                 : std_logic_vector(0 downto 0);
+
+  -- signals for the MAC
+  signal mac_10g_loopback_sop    : std_logic;
+  signal mac_10g_loopback_eop    : std_logic;
+  signal mac_10g_loopback_valid  : std_logic;
+  signal mac_10g_loopback_ready  : std_logic;
+  signal mac_10g_loopback_data   : std_logic_vector(63 downto 0);
+  signal mac_10g_loopback_empty  : std_logic_vector(2 downto 0);
+  signal mac_10g_loopback_err    : std_logic_vector(5 downto 0);
+
+  signal reg_mac_rd              : std_logic;
+  signal reg_mac_wr              : std_logic;
+  signal reg_mac_waitrequest     : std_logic;
+  signal reg_mac_rddata          : std_logic_vector(31 downto 0);
+  signal reg_mac_wrdata          : std_logic_vector(31 downto 0);
+  signal reg_mac_address         : std_logic_vector(12 downto 0);
+
+
+  -- signals for the bidirectional and misc ios
+  signal inta_in    : std_logic;
+  signal intb_in    : std_logic;
+  signal testio_in  : std_logic_vector(5 downto 0);
+  signal qsfp_led_in  : std_logic_vector(11 downto 0);
+  signal bck_err_in : std_logic_vector(2 downto 0);
+  signal inta_out   : std_logic;
+  signal intb_out   : std_logic;
+  signal testio_out : std_logic_vector(5 downto 0);
+  signal qsfp_led_out  : std_logic_vector(11 downto 0);
+  signal bck_err_out : std_logic_vector(2 downto 0);
+  signal ver_id_pmbusalert     : std_logic_vector(10 downto 0);
+  signal toggle_count     : std_logic_vector(31 downto 0);
+  signal toggle_count1    : std_logic_vector(31 downto 0);
+  signal led_state    : std_logic;
 
 begin
 
-    WDI <= 'Z';
+  WDI <= 'Z';
 
---    -- ****** Front side transceivers and MAC ******
---
-    QSFP_0_TX  <= tx_serial_data_front;
-    rx_serial_data_front <= QSFP_0_RX;
+  --    -- ****** Front side transceivers and MAC ******
+  --
+  QSFP_0_TX  <= tx_serial_data_front;
+  rx_serial_data_front <= QSFP_0_RX;
 
-    u_transceiver: tech_transceiver_arria10_1
+  u_transceiver: tech_transceiver_arria10_1
     generic map (
       g_nof_channels     => 1
     )
@@ -285,144 +285,144 @@ begin
       rx_parallel_data   => xgmii_rx(63 downto 0),
       tx_control         => xgmii_tx(71 downto 64),
       rx_control         => xgmii_rx(71 downto 64)
-   );
+    );
 
   u0 : ip_arria10_mac_10g
-  port map (
-    csr_read                    => reg_mac_rd,
-    csr_write                   => reg_mac_wr,
-    csr_writedata               => reg_mac_wrdata(31 downto 0),
-    csr_readdata                => reg_mac_rddata(31 downto 0),
-    csr_waitrequest             => reg_mac_waitrequest,
-    csr_address                 => reg_mac_address(12 downto 0),
-    tx_312_5_clk                => clk_312(0),
-    tx_156_25_clk               => clk_156(0),
-    rx_312_5_clk                => clk_312(0),
-    rx_156_25_clk               => clk_156(0),
-    csr_clk                     => mm_clk,
-    csr_rst_n                   => reset_n,
-    tx_rst_n                    => reset_n,
-    rx_rst_n                    => reset_n,
-    avalon_st_tx_startofpacket  => mac_10g_loopback_sop,
-    avalon_st_tx_endofpacket    => mac_10g_loopback_eop,
-    avalon_st_tx_valid          => mac_10g_loopback_valid,
-    avalon_st_tx_data           => mac_10g_loopback_data,
-    avalon_st_tx_empty          => mac_10g_loopback_empty,
-    avalon_st_tx_error          => mac_10g_loopback_err(0),
-    avalon_st_tx_ready          => mac_10g_loopback_ready,
-    avalon_st_pause_data        => (others => '0'),
-    xgmii_tx                    => xgmii_tx,
-    avalon_st_txstatus_valid    => open,
-    avalon_st_txstatus_data     => open,
-    avalon_st_txstatus_error    => open,
-    xgmii_rx                    => xgmii_rx,
-    link_fault_status_xgmii_rx_data => open,
-    avalon_st_rx_data           => mac_10g_loopback_data,
-    avalon_st_rx_startofpacket  => mac_10g_loopback_sop,
-    avalon_st_rx_valid          => mac_10g_loopback_valid,
-    avalon_st_rx_empty          => mac_10g_loopback_empty(2 downto 0),
-    avalon_st_rx_error          => mac_10g_loopback_err(5 downto 0),
-    avalon_st_rx_ready          => mac_10g_loopback_ready,
-    avalon_st_rx_endofpacket    => mac_10g_loopback_eop,
-    avalon_st_rxstatus_valid    => open,
-    avalon_st_rxstatus_data     => open,
-    avalon_st_rxstatus_error    => open
-  );
+    port map (
+      csr_read                    => reg_mac_rd,
+      csr_write                   => reg_mac_wr,
+      csr_writedata               => reg_mac_wrdata(31 downto 0),
+      csr_readdata                => reg_mac_rddata(31 downto 0),
+      csr_waitrequest             => reg_mac_waitrequest,
+      csr_address                 => reg_mac_address(12 downto 0),
+      tx_312_5_clk                => clk_312(0),
+      tx_156_25_clk               => clk_156(0),
+      rx_312_5_clk                => clk_312(0),
+      rx_156_25_clk               => clk_156(0),
+      csr_clk                     => mm_clk,
+      csr_rst_n                   => reset_n,
+      tx_rst_n                    => reset_n,
+      rx_rst_n                    => reset_n,
+      avalon_st_tx_startofpacket  => mac_10g_loopback_sop,
+      avalon_st_tx_endofpacket    => mac_10g_loopback_eop,
+      avalon_st_tx_valid          => mac_10g_loopback_valid,
+      avalon_st_tx_data           => mac_10g_loopback_data,
+      avalon_st_tx_empty          => mac_10g_loopback_empty,
+      avalon_st_tx_error          => mac_10g_loopback_err(0),
+      avalon_st_tx_ready          => mac_10g_loopback_ready,
+      avalon_st_pause_data        => (others => '0'),
+      xgmii_tx                    => xgmii_tx,
+      avalon_st_txstatus_valid    => open,
+      avalon_st_txstatus_data     => open,
+      avalon_st_txstatus_error    => open,
+      xgmii_rx                    => xgmii_rx,
+      link_fault_status_xgmii_rx_data => open,
+      avalon_st_rx_data           => mac_10g_loopback_data,
+      avalon_st_rx_startofpacket  => mac_10g_loopback_sop,
+      avalon_st_rx_valid          => mac_10g_loopback_valid,
+      avalon_st_rx_empty          => mac_10g_loopback_empty(2 downto 0),
+      avalon_st_rx_error          => mac_10g_loopback_err(5 downto 0),
+      avalon_st_rx_ready          => mac_10g_loopback_ready,
+      avalon_st_rx_endofpacket    => mac_10g_loopback_eop,
+      avalon_st_rxstatus_valid    => open,
+      avalon_st_rxstatus_data     => open,
+      avalon_st_rxstatus_error    => open
+    );
 
 
-    -- ****** node control for resets and wdi
-
-    u_node_ctrl : entity unb_common_lib.unb_node_ctrl
-      generic map (
-        g_pulse_us => c_unb_tse_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-      )
-      port map (
-        xo_clk      => ETH_clk,
-        xo_rst_n    => reset_n,
-        sys_clk     => sys_clk,
-        sys_locked  => sys_locked,
-        sys_rst     => open,
-        st_clk      => clk,
-        st_rst      => open,
-        wdi_in      => pout_wdi,
-        wdi_out     => WDI,  -- overrule default WDI = 'Z' and let SW toggle WDI via pout_wdi to enable the watchdog
-        pulse_us    => open,
-        pulse_ms    => open,
-        pulse_s     => open  -- could be used to toggle a LED
-      );
-
-    reset_p <= not reset_n;
-
-    u_system_pll : system_iopll
-      port map(
-        refclk   => ETH_CLK,
-        rst      => reset_p,
-        locked   => sys_locked,
-        outclk_0 => mm_clk,  -- 100MHz
-        outclk_1 => sys_clk,  -- 300MHz
-	outclk_2 => clk_125  -- 125MHz for 1ge
-     );
-
-
--- bidirectional and misc
--- use PPS as output enable
-
-    INTA <= inta_out when PPS = '1' else 'Z';
-    INTB <= intb_out when PPS = '1' else 'Z';
-    TESTIO <= testio_out;
-    QSFP_LED <= qsfp_led_out;
-    BCK_ERR <= bck_err_out when PPS = '1' else "ZZZ";
-
-    inta_in <= INTA;
-    intb_in <= INTB;
-    testio_in <= TESTIO;
-    qsfp_led_in <= QSFP_LED;
-    bck_err_in <= BCK_ERR;
-
-    inta_out <= intb_in;
-    intb_out <= inta_in;
-    testio_out(5 downto 3) <= (others => '0');
-    testio_out(0) <= CLK;
-    testio_out(1) <= mm_clk;
---    qsfp_led_out(11 downto 6) <= qsfp_led_in(5 downto 0);
---    qsfp_led_out(5 downto 0) <= qsfp_led_in(11 downto 6);
-    qsfp_led_out(11 downto 6) <= (others => led_state);
-    qsfp_led_out(5 downto 0) <= (others => not led_state);
-    bck_err_out(2) <= bck_err_in(1);
-    bck_err_out(1) <= bck_err_in(0);
-    bck_err_out(0) <= bck_err_in(2);
-
-
-    ver_id_pmbusalert <= version & id & pmbus_alert;
-
-    toggle_led_proc: process(mm_clk, reset_p)
-    begin
-      if reset_p = '1' then
-        toggle_count   <= (others => '0');
-        led_state  <= '0';
-      else
-        if mm_clk'event and mm_clk = '1' then
-          if (toggle_count < 100000000) then
-            toggle_count   <= toggle_count + 1;
-          else
-            toggle_count   <= (others => '0');
-	    led_state      <= not led_state;
-          end if;
-        end if;
-      end if;
-    end process;
+  -- ****** node control for resets and wdi
+
+  u_node_ctrl : entity unb_common_lib.unb_node_ctrl
+    generic map (
+      g_pulse_us => c_unb_tse_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
+    )
+    port map (
+      xo_clk      => ETH_clk,
+      xo_rst_n    => reset_n,
+      sys_clk     => sys_clk,
+      sys_locked  => sys_locked,
+      sys_rst     => open,
+      st_clk      => clk,
+      st_rst      => open,
+      wdi_in      => pout_wdi,
+      wdi_out     => WDI,  -- overrule default WDI = 'Z' and let SW toggle WDI via pout_wdi to enable the watchdog
+      pulse_us    => open,
+      pulse_ms    => open,
+      pulse_s     => open  -- could be used to toggle a LED
+    );
+
+  reset_p <= not reset_n;
+
+  u_system_pll : system_iopll
+    port map(
+      refclk   => ETH_CLK,
+      rst      => reset_p,
+      locked   => sys_locked,
+      outclk_0 => mm_clk,  -- 100MHz
+      outclk_1 => sys_clk,  -- 300MHz
+      outclk_2 => clk_125  -- 125MHz for 1ge
+    );
+
 
-    toggle_led_proc1: process(clk)
-    begin
-      if clk'event and clk = '1' then
-        if (toggle_count1 < 100000000) then
-          toggle_count1   <= toggle_count1 + 1;
+  -- bidirectional and misc
+  -- use PPS as output enable
+
+  INTA <= inta_out when PPS = '1' else 'Z';
+  INTB <= intb_out when PPS = '1' else 'Z';
+  TESTIO <= testio_out;
+  QSFP_LED <= qsfp_led_out;
+  BCK_ERR <= bck_err_out when PPS = '1' else "ZZZ";
+
+  inta_in <= INTA;
+  intb_in <= INTB;
+  testio_in <= TESTIO;
+  qsfp_led_in <= QSFP_LED;
+  bck_err_in <= BCK_ERR;
+
+  inta_out <= intb_in;
+  intb_out <= inta_in;
+  testio_out(5 downto 3) <= (others => '0');
+  testio_out(0) <= CLK;
+  testio_out(1) <= mm_clk;
+  --    qsfp_led_out(11 downto 6) <= qsfp_led_in(5 downto 0);
+  --    qsfp_led_out(5 downto 0) <= qsfp_led_in(11 downto 6);
+  qsfp_led_out(11 downto 6) <= (others => led_state);
+  qsfp_led_out(5 downto 0) <= (others => not led_state);
+  bck_err_out(2) <= bck_err_in(1);
+  bck_err_out(1) <= bck_err_in(0);
+  bck_err_out(0) <= bck_err_in(2);
+
+
+  ver_id_pmbusalert <= version & id & pmbus_alert;
+
+  toggle_led_proc: process(mm_clk, reset_p)
+  begin
+    if reset_p = '1' then
+      toggle_count   <= (others => '0');
+      led_state  <= '0';
+    else
+      if mm_clk'event and mm_clk = '1' then
+        if (toggle_count < 100000000) then
+          toggle_count   <= toggle_count + 1;
         else
-          toggle_count1   <= (others => '0');
-          testio_out(2)   <= not testio_out(2);
-	  pout_wdi        <= not pout_wdi;
+          toggle_count   <= (others => '0');
+          led_state      <= not led_state;
         end if;
       end if;
-    end process;
+    end if;
+  end process;
+
+  toggle_led_proc1: process(clk)
+  begin
+    if clk'event and clk = '1' then
+      if (toggle_count1 < 100000000) then
+        toggle_count1   <= toggle_count1 + 1;
+      else
+        toggle_count1   <= (others => '0');
+        testio_out(2)   <= not testio_out(2);
+        pout_wdi        <= not pout_wdi;
+      end if;
+    end if;
+  end process;
 
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/tb_unb2_test_10GbE.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/tb_unb2_test_10GbE.vhd
index f036f26b7d..f5fe591e7d 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/tb_unb2_test_10GbE.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/tb_unb2_test_10GbE.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2_test_10GbE is
@@ -31,8 +31,8 @@ end tb_unb2_test_10GbE;
 architecture tb of tb_unb2_test_10GbE is
 begin
   u_tb_unb2_test : entity unb2_test_lib.tb_unb2_test
-  generic map (
-    g_design_name => "unb2_test_10GbE"
-  );
+    generic map (
+      g_design_name => "unb2_test_10GbE"
+    );
 end tb;
 
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/unb2_test_10GbE.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/unb2_test_10GbE.vhd
index 0ece6a1e7b..d0bfd88ee1 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/unb2_test_10GbE.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_10GbE/unb2_test_10GbE.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2_test_10GbE is
@@ -67,20 +67,20 @@ entity unb2_test_10GbE is
     BCK_REF_CLK  : in    std_logic;  -- Clock 10GbE back lower 24 lines
 
     -- back transceivers
---    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
---    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
---    BCK_RX       : IN    STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0');
---    BCK_TX       : OUT   STD_LOGIC_VECTOR(4-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0');
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR(4-1 downto 0);
 
     BCK_SDA      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_SCL      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_ERR      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
 
     -- ring transceivers
-   -- RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
-   -- RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
-   -- RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
-   -- RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
     -- pmbus
     PMBUS_SC     : inout std_logic;
     PMBUS_SD     : inout std_logic;
@@ -112,78 +112,78 @@ architecture str of unb2_test_10GbE is
 
 begin
   u_revision : entity unb2_test_lib.unb2_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    SB_CLK       => SB_CLK,
-    BCK_REF_CLK  => BCK_REF_CLK,
-
-    -- back transceivers
-  --  BCK_RX       => BCK_RX,
-  --  BCK_TX       => BCK_TX,
-
-    BCK_SDA      => BCK_SDA,
-    BCK_SCL      => BCK_SCL,
-    BCK_ERR      => BCK_ERR,
-
-    -- ring transceivers
-  --  RING_0_RX    => RING_0_RX,
-  --  RING_0_TX    => RING_0_TX,
-  --  RING_1_RX    => RING_1_RX,
-  --  RING_1_TX    => RING_1_TX,
-    -- pmbus
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    QSFP_2_RX    => QSFP_2_RX,
-    QSFP_2_TX    => QSFP_2_TX,
-    QSFP_3_RX    => QSFP_3_RX,
-    QSFP_3_TX    => QSFP_3_TX,
-    QSFP_4_RX    => QSFP_4_RX,
-    QSFP_4_TX    => QSFP_4_TX,
-    QSFP_5_RX    => QSFP_5_RX,
-    QSFP_5_TX    => QSFP_5_TX,
-
-    QSFP_SDA     => QSFP_SDA,
-    QSFP_SCL     => QSFP_SCL,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      SB_CLK       => SB_CLK,
+      BCK_REF_CLK  => BCK_REF_CLK,
+
+      -- back transceivers
+      --  BCK_RX       => BCK_RX,
+      --  BCK_TX       => BCK_TX,
+
+      BCK_SDA      => BCK_SDA,
+      BCK_SCL      => BCK_SCL,
+      BCK_ERR      => BCK_ERR,
+
+      -- ring transceivers
+      --  RING_0_RX    => RING_0_RX,
+      --  RING_0_TX    => RING_0_TX,
+      --  RING_1_RX    => RING_1_RX,
+      --  RING_1_TX    => RING_1_TX,
+      -- pmbus
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      QSFP_2_RX    => QSFP_2_RX,
+      QSFP_2_TX    => QSFP_2_TX,
+      QSFP_3_RX    => QSFP_3_RX,
+      QSFP_3_TX    => QSFP_3_TX,
+      QSFP_4_RX    => QSFP_4_RX,
+      QSFP_4_TX    => QSFP_4_TX,
+      QSFP_5_RX    => QSFP_5_RX,
+      QSFP_5_TX    => QSFP_5_TX,
+
+      QSFP_SDA     => QSFP_SDA,
+      QSFP_SCL     => QSFP_SCL,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/tb_unb2_test_1GbE.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/tb_unb2_test_1GbE.vhd
index 91c249b522..c768c281d0 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/tb_unb2_test_1GbE.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/tb_unb2_test_1GbE.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2_test_1GbE is
@@ -33,8 +33,8 @@ end tb_unb2_test_1GbE;
 architecture tb of tb_unb2_test_1GbE is
 begin
   u_tb_unb2_test : entity unb2_test_lib.tb_unb2_test
-  generic map (
-    g_design_name => "unb2_test_1GbE"
-  );
+    generic map (
+      g_design_name => "unb2_test_1GbE"
+    );
 end tb;
 
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/unb2_test_1GbE.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/unb2_test_1GbE.vhd
index 8cd5538d0d..a73ba10bb2 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/unb2_test_1GbE.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_1GbE/unb2_test_1GbE.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2_test_1GbE is
@@ -75,43 +75,43 @@ architecture str of unb2_test_1GbE is
 begin
 
   u_revision : entity unb2_test_lib.unb2_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      QSFP_LED     => QSFP_LED
+    );
 
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/tb_unb2_test_all.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/tb_unb2_test_all.vhd
index 681063440d..3849f4fdbe 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/tb_unb2_test_all.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/tb_unb2_test_all.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2_test_all is
@@ -33,9 +33,9 @@ end tb_unb2_test_all;
 architecture tb of tb_unb2_test_all is
 begin
   u_tb_unb2_test : entity unb2_test_lib.tb_unb2_test
-  generic map (
-    g_design_name   => "unb2_test_all",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2_test_all",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/unb2_test_all.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/unb2_test_all.vhd
index 55b937b124..43e594d402 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/unb2_test_all.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_all/unb2_test_all.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2_test_all is
@@ -72,17 +72,17 @@ entity unb2_test_all is
     MB_II_REF_CLK : in   std_logic;  -- Reference clock for MB_II
 
     -- back transceivers
---    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
---    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
     BCK_SDA      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_SCL      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_ERR      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
 
     -- ring transceivers
---    RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
---    RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
---    RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
---    RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
     -- pmbus
     PMBUS_SC     : inout std_logic;
     PMBUS_SD     : inout std_logic;
@@ -123,91 +123,91 @@ architecture str of unb2_test_all is
 
 begin
   u_revision : entity unb2_test_lib.unb2_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    SB_CLK       => SB_CLK,
-    BCK_REF_CLK  => BCK_REF_CLK,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- back transceivers
- --   BCK_RX       => BCK_RX,
- --   BCK_TX       => BCK_TX,
-    BCK_SDA      => BCK_SDA,
-    BCK_SCL      => BCK_SCL,
-    BCK_ERR      => BCK_ERR,
-
-    -- ring transceivers
- --   RING_0_RX    => RING_0_RX,
- --   RING_0_TX    => RING_0_TX,
- --   RING_1_RX    => RING_1_RX,
- --   RING_1_TX    => RING_1_TX,
-    -- pmbus
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    QSFP_2_RX    => QSFP_2_RX,
-    QSFP_2_TX    => QSFP_2_TX,
-    QSFP_3_RX    => QSFP_3_RX,
-    QSFP_3_TX    => QSFP_3_TX,
-    QSFP_4_RX    => QSFP_4_RX,
-    QSFP_4_TX    => QSFP_4_TX,
-    QSFP_5_RX    => QSFP_5_RX,
-    QSFP_5_TX    => QSFP_5_TX,
-
-    QSFP_SDA     => QSFP_SDA,
-    QSFP_SCL     => QSFP_SCL,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      SB_CLK       => SB_CLK,
+      BCK_REF_CLK  => BCK_REF_CLK,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- back transceivers
+      --   BCK_RX       => BCK_RX,
+      --   BCK_TX       => BCK_TX,
+      BCK_SDA      => BCK_SDA,
+      BCK_SCL      => BCK_SCL,
+      BCK_ERR      => BCK_ERR,
+
+      -- ring transceivers
+      --   RING_0_RX    => RING_0_RX,
+      --   RING_0_TX    => RING_0_TX,
+      --   RING_1_RX    => RING_1_RX,
+      --   RING_1_TX    => RING_1_TX,
+      -- pmbus
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      QSFP_2_RX    => QSFP_2_RX,
+      QSFP_2_TX    => QSFP_2_TX,
+      QSFP_3_RX    => QSFP_3_RX,
+      QSFP_3_TX    => QSFP_3_TX,
+      QSFP_4_RX    => QSFP_4_RX,
+      QSFP_4_TX    => QSFP_4_TX,
+      QSFP_5_RX    => QSFP_5_RX,
+      QSFP_5_TX    => QSFP_5_TX,
+
+      QSFP_SDA     => QSFP_SDA,
+      QSFP_SCL     => QSFP_SCL,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/tb_unb2_test_ddr_MB_I.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/tb_unb2_test_ddr_MB_I.vhd
index c9175b51bc..4d6726e45d 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/tb_unb2_test_ddr_MB_I.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/tb_unb2_test_ddr_MB_I.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2_test_ddr_MB_I is
@@ -33,9 +33,9 @@ end tb_unb2_test_ddr_MB_I;
 architecture tb of tb_unb2_test_ddr_MB_I is
 begin
   u_tb_unb2_test : entity unb2_test_lib.tb_unb2_test
-  generic map (
-    g_design_name   => "unb2_test_ddr_MB_I",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2_test_ddr_MB_I",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/unb2_test_ddr_MB_I.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/unb2_test_ddr_MB_I.vhd
index 1fab918053..cd1f5f4556 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/unb2_test_ddr_MB_I.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I/unb2_test_ddr_MB_I.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2_test_ddr_MB_I is
@@ -83,50 +83,50 @@ architecture str of unb2_test_ddr_MB_I is
 
 begin
   u_revision : entity unb2_test_lib.unb2_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/tb_unb2_test_ddr_MB_II.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/tb_unb2_test_ddr_MB_II.vhd
index a9491c8a67..210f1ed1a4 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/tb_unb2_test_ddr_MB_II.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/tb_unb2_test_ddr_MB_II.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2_test_ddr_MB_II is
@@ -33,9 +33,9 @@ end tb_unb2_test_ddr_MB_II;
 architecture tb of tb_unb2_test_ddr_MB_II is
 begin
   u_tb_unb2_test : entity unb2_test_lib.tb_unb2_test
-  generic map (
-    g_design_name   => "unb2_test_ddr_MB_II",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2_test_ddr_MB_II",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/unb2_test_ddr_MB_II.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/unb2_test_ddr_MB_II.vhd
index f0c4966ae1..cc420b149a 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/unb2_test_ddr_MB_II.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_II/unb2_test_ddr_MB_II.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2_test_ddr_MB_II is
@@ -83,50 +83,50 @@ architecture str of unb2_test_ddr_MB_II is
 
 begin
   u_revision : entity unb2_test_lib.unb2_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/tb_unb2_test_ddr_MB_I_II.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/tb_unb2_test_ddr_MB_I_II.vhd
index bc45cff61e..d0b8e572dd 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/tb_unb2_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/tb_unb2_test_ddr_MB_I_II.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2_test_ddr_MB_I_II is
@@ -33,9 +33,9 @@ end tb_unb2_test_ddr_MB_I_II;
 architecture tb of tb_unb2_test_ddr_MB_I_II is
 begin
   u_tb_unb2_test : entity unb2_test_lib.tb_unb2_test
-  generic map (
-    g_design_name   => "unb2_test_ddr_MB_I_II",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2_test_ddr_MB_I_II",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/unb2_test_ddr_MB_I_II.vhd b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/unb2_test_ddr_MB_I_II.vhd
index 7979e41ada..0939148edc 100644
--- a/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/unb2_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard2/designs/unb2_test/revisions/unb2_test_ddr_MB_I_II/unb2_test_ddr_MB_I_II.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2_test_ddr_MB_I_II is
@@ -89,56 +89,56 @@ architecture str of unb2_test_ddr_MB_I_II is
 
 begin
   u_revision : entity unb2_test_lib.unb2_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/src/vhdl/mmm_unb2_test.vhd b/boards/uniboard2/designs/unb2_test/src/vhdl/mmm_unb2_test.vhd
index 2d556761d4..a28120bffe 100644
--- a/boards/uniboard2/designs/unb2_test/src/vhdl/mmm_unb2_test.vhd
+++ b/boards/uniboard2/designs/unb2_test/src/vhdl/mmm_unb2_test.vhd
@@ -20,25 +20,25 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, tech_mac_10g_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use unb2_board_lib.unb2_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use work.qsys_unb2_test_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.unb2_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use unb2_board_lib.unb2_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use work.qsys_unb2_test_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.unb2_test_pkg.all;
 
 
 
@@ -238,16 +238,16 @@ architecture str of mmm_unb2_test is
   constant c_ram_diag_databuffer_ddr_addr_w        : natural := ceil_log2(2                   * pow2(ceil_log2(g_bg_block_size)));
 
   -- dp_offload
---  CONSTANT c_reg_dp_offload_tx_adr_w                     : NATURAL := 1; -- Dev note: add to c_unb2_board_peripherals_mm_reg_default
---  CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w          : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w));
---
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words);
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w));
---
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words);
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w));
+  --  CONSTANT c_reg_dp_offload_tx_adr_w                     : NATURAL := 1; -- Dev note: add to c_unb2_board_peripherals_mm_reg_default
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w          : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w));
+  --
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words);
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w));
+  --
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words);
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w));
 
   -- tr_10GbE
   constant c_reg_tr_10GbE_adr_w                    : natural := func_tech_mac_10g_csr_addr_w(g_technology);
@@ -292,109 +292,109 @@ begin
     eth1g_eth1_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                 port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                 port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                                 port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_sens         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_SENS")
-                                                 port map(mm_rst, mm_clk, reg_fpga_sens_mosi, reg_fpga_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_sens_mosi, reg_fpga_sens_miso );
 
     u_mm_file_reg_ppsh              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                 port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_diag_bg_1GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_1GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
     u_mm_file_ram_diag_bg_1GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_1GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
     u_mm_file_reg_diag_tx_seq_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_1GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
     u_mm_file_ram_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
     u_mm_file_reg_diag_tx_seq_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
 
---    u_mm_file_reg_dp_offload_tx_1GbE  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
---                                                   PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
---
---    u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
---                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
---
---    u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
---                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
+    --    u_mm_file_reg_dp_offload_tx_1GbE  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
+    --                                                   PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
+    --
+    --    u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
+    --                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
+    --
+    --    u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
+    --                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
 
     u_mm_file_reg_bsn_monitor_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
     u_mm_file_reg_bsn_monitor_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
     u_mm_file_ram_diag_data_buffer_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_1GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
     u_mm_file_reg_diag_rx_seq_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
     u_mm_file_ram_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
     u_mm_file_reg_diag_rx_seq_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
 
     u_mm_file_reg_io_ddr_MB_I                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
 
     u_mm_file_reg_io_ddr_MB_II                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth0            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
+      port map(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
     u_mm_file_reg_eth1            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_MMS_REG")
-                                               port map(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso);
+      port map(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso);
 
     u_mm_file_reg_tr_10GbE_qsfp_ring : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
     u_mm_file_reg_tr_10GbE_back0     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
     u_mm_file_reg_tr_10GbE_back1     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK1")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso);
 
     u_mm_file_reg_eth10g_qsfp_ring   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
     u_mm_file_reg_eth10g_back0       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
     u_mm_file_reg_eth10g_back1       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK1")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso);
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -419,10 +419,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_eth0_reg_mosi, i_eth1g_eth0_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
-        else
-          eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
-        end if;
+        eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
+      else
+        eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
+      end if;
     end process;
 
 
@@ -441,397 +441,397 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2_test
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
-
-      avs_eth_1_reset_export                    => eth1g_eth1_mm_rst,
-      avs_eth_1_clk_export                      => OPEN,
-      avs_eth_1_tse_address_export              => eth1g_eth1_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_1_tse_write_export                => eth1g_eth1_tse_mosi.wr,
-      avs_eth_1_tse_read_export                 => eth1g_eth1_tse_mosi.rd,
-      avs_eth_1_tse_writedata_export            => eth1g_eth1_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_readdata_export             => eth1g_eth1_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_waitrequest_export          => eth1g_eth1_tse_miso.waitrequest,
-      avs_eth_1_reg_address_export              => eth1g_eth1_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_1_reg_write_export                => eth1g_eth1_reg_mosi.wr,
-      avs_eth_1_reg_read_export                 => eth1g_eth1_reg_mosi.rd,
-      avs_eth_1_reg_writedata_export            => eth1g_eth1_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_reg_readdata_export             => eth1g_eth1_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_address_export              => eth1g_eth1_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_1_ram_write_export                => eth1g_eth1_ram_mosi.wr,
-      avs_eth_1_ram_read_export                 => eth1g_eth1_ram_mosi.rd,
-      avs_eth_1_ram_writedata_export            => eth1g_eth1_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_readdata_export             => eth1g_eth1_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_irq_export                      => eth1g_eth1_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_sens_reset_export                => OPEN,
-      reg_fpga_sens_clk_export                  => OPEN,
-      reg_fpga_sens_address_export              => reg_fpga_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_sens_write_export                => reg_fpga_sens_mosi.wr,
-      reg_fpga_sens_writedata_export            => reg_fpga_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_sens_read_export                 => reg_fpga_sens_mosi.rd,
-      reg_fpga_sens_readdata_export             => reg_fpga_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
-      reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
-      reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
-      reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
-      reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
-
-      reg_tr_10gbe_back0_reset_export           => OPEN,
-      reg_tr_10gbe_back0_clk_export             => OPEN,
-      reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
-      reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
-      reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
-
-      reg_tr_10gbe_back1_reset_export           => OPEN,
-      reg_tr_10gbe_back1_clk_export             => OPEN,
-      reg_tr_10gbe_back1_address_export         => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back1_write_export           => reg_tr_10GbE_back1_mosi.wr,
-      reg_tr_10gbe_back1_writedata_export       => reg_tr_10GbE_back1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back1_read_export            => reg_tr_10GbE_back1_mosi.rd,
-      reg_tr_10gbe_back1_readdata_export        => reg_tr_10GbE_back1_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back1_waitrequest_export     => reg_tr_10GbE_back1_miso.waitrequest,
-
-      reg_eth10g_qsfp_ring_reset_export         => OPEN,
-      reg_eth10g_qsfp_ring_clk_export           => OPEN,
-      reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
-      reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
-      reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back0_reset_export             => OPEN,
-      reg_eth10g_back0_clk_export               => OPEN,
-      reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
-      reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
-      reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
-      reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back1_reset_export             => OPEN,
-      reg_eth10g_back1_clk_export               => OPEN,
-      reg_eth10g_back1_address_export           => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w - 1 downto 0),
-      reg_eth10g_back1_write_export             => reg_eth10g_back1_mosi.wr,
-      reg_eth10g_back1_writedata_export         => reg_eth10g_back1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back1_read_export              => reg_eth10g_back1_mosi.rd,
-      reg_eth10g_back1_readdata_export          => reg_eth10g_back1_miso.rddata(c_word_w - 1 downto 0),
-
---      -- the_reg_dp_offload_tx_1GbE
---      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
---      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
---      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
---      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
---      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0),
---
---      -- the_reg_dp_offload_tx_1GbE_hdr_dat
---      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
---      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
---      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
---      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
---      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
---
---      -- the_reg_dp_offload_rx_1GbE_hdr_dat
---      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
---      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
---      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
---      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
---      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
-
-
-      reg_bsn_monitor_1gbe_reset_export         => OPEN,
-      reg_bsn_monitor_1gbe_clk_export           => OPEN,
-      reg_bsn_monitor_1gbe_address_export       => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_1gbe_write_export         => reg_bsn_monitor_1GbE_mosi.wr,
-      reg_bsn_monitor_1gbe_writedata_export     => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_1gbe_read_export          => reg_bsn_monitor_1GbE_mosi.rd,
-      reg_bsn_monitor_1gbe_readdata_export      => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_10gbe_reset_export        => OPEN,
-      reg_bsn_monitor_10gbe_clk_export          => OPEN,
-      reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
-      reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
-      reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_1gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_1gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_1gbe_address_export   => reg_diag_data_buf_1gbe_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_1gbe_write_export     => reg_diag_data_buf_1gbe_mosi.wr,
-      reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_1gbe_read_export      => reg_diag_data_buf_1gbe_mosi.rd,
-      reg_diag_data_buffer_1gbe_readdata_export  => reg_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_10gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_10gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
-      reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
-      reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_1gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_1gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_1gbe_address_export   => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_1gbe_write_export     => ram_diag_data_buf_1gbe_mosi.wr,
-      ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_1gbe_read_export      => ram_diag_data_buf_1gbe_mosi.rd,
-      ram_diag_data_buffer_1gbe_readdata_export  => ram_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_10gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_10gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
-      ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
-      ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_1GbE_reset_export               => OPEN,
-      reg_diag_bg_1GbE_clk_export                 => OPEN,
-      reg_diag_bg_1GbE_address_export             => reg_diag_bg_1GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_1GbE_write_export               => reg_diag_bg_1GbE_mosi.wr,
-      reg_diag_bg_1GbE_writedata_export           => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_1GbE_read_export                => reg_diag_bg_1GbE_mosi.rd,
-      reg_diag_bg_1GbE_readdata_export            => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_10GbE_reset_export              => OPEN,
-      reg_diag_bg_10GbE_clk_export                => OPEN,
-      reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
-      reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
-      reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_1GbE_reset_export               => OPEN,
-      ram_diag_bg_1GbE_clk_export                 => OPEN,
-      ram_diag_bg_1GbE_address_export             => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
-      ram_diag_bg_1GbE_write_export               => ram_diag_bg_1GbE_mosi.wr,
-      ram_diag_bg_1GbE_writedata_export           => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_1GbE_read_export                => ram_diag_bg_1GbE_mosi.rd,
-      ram_diag_bg_1GbE_readdata_export            => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_10GbE_reset_export              => OPEN,
-      ram_diag_bg_10GbE_clk_export                => OPEN,
-      ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
-      ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
-      ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
-      ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_I_clk_export                      => OPEN,
-      reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
-      reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_I_reset_export                    => OPEN,
-      reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
-      reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_II_clk_export                     => OPEN,
-      reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
-      reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_II_reset_export                   => OPEN,
-      reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
-      reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-
-   		reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
-   		reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
-   		reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
-   		reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
-   		reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-   		reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
-   		reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
-   		reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
-   		reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
-   		reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
+
+        avs_eth_1_reset_export                    => eth1g_eth1_mm_rst,
+        avs_eth_1_clk_export                      => OPEN,
+        avs_eth_1_tse_address_export              => eth1g_eth1_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_1_tse_write_export                => eth1g_eth1_tse_mosi.wr,
+        avs_eth_1_tse_read_export                 => eth1g_eth1_tse_mosi.rd,
+        avs_eth_1_tse_writedata_export            => eth1g_eth1_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_readdata_export             => eth1g_eth1_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_waitrequest_export          => eth1g_eth1_tse_miso.waitrequest,
+        avs_eth_1_reg_address_export              => eth1g_eth1_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_1_reg_write_export                => eth1g_eth1_reg_mosi.wr,
+        avs_eth_1_reg_read_export                 => eth1g_eth1_reg_mosi.rd,
+        avs_eth_1_reg_writedata_export            => eth1g_eth1_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_reg_readdata_export             => eth1g_eth1_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_address_export              => eth1g_eth1_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_1_ram_write_export                => eth1g_eth1_ram_mosi.wr,
+        avs_eth_1_ram_read_export                 => eth1g_eth1_ram_mosi.rd,
+        avs_eth_1_ram_writedata_export            => eth1g_eth1_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_readdata_export             => eth1g_eth1_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_irq_export                      => eth1g_eth1_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_sens_reset_export                => OPEN,
+        reg_fpga_sens_clk_export                  => OPEN,
+        reg_fpga_sens_address_export              => reg_fpga_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_sens_write_export                => reg_fpga_sens_mosi.wr,
+        reg_fpga_sens_writedata_export            => reg_fpga_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_sens_read_export                 => reg_fpga_sens_mosi.rd,
+        reg_fpga_sens_readdata_export             => reg_fpga_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
+        reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
+        reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
+        reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
+        reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
+
+        reg_tr_10gbe_back0_reset_export           => OPEN,
+        reg_tr_10gbe_back0_clk_export             => OPEN,
+        reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
+        reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
+        reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
+
+        reg_tr_10gbe_back1_reset_export           => OPEN,
+        reg_tr_10gbe_back1_clk_export             => OPEN,
+        reg_tr_10gbe_back1_address_export         => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back1_write_export           => reg_tr_10GbE_back1_mosi.wr,
+        reg_tr_10gbe_back1_writedata_export       => reg_tr_10GbE_back1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back1_read_export            => reg_tr_10GbE_back1_mosi.rd,
+        reg_tr_10gbe_back1_readdata_export        => reg_tr_10GbE_back1_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back1_waitrequest_export     => reg_tr_10GbE_back1_miso.waitrequest,
+
+        reg_eth10g_qsfp_ring_reset_export         => OPEN,
+        reg_eth10g_qsfp_ring_clk_export           => OPEN,
+        reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
+        reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
+        reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back0_reset_export             => OPEN,
+        reg_eth10g_back0_clk_export               => OPEN,
+        reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
+        reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
+        reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
+        reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back1_reset_export             => OPEN,
+        reg_eth10g_back1_clk_export               => OPEN,
+        reg_eth10g_back1_address_export           => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w - 1 downto 0),
+        reg_eth10g_back1_write_export             => reg_eth10g_back1_mosi.wr,
+        reg_eth10g_back1_writedata_export         => reg_eth10g_back1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back1_read_export              => reg_eth10g_back1_mosi.rd,
+        reg_eth10g_back1_readdata_export          => reg_eth10g_back1_miso.rddata(c_word_w - 1 downto 0),
+
+        --      -- the_reg_dp_offload_tx_1GbE
+        --      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
+        --      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
+        --      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
+        --      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
+        --      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0),
+        --
+        --      -- the_reg_dp_offload_tx_1GbE_hdr_dat
+        --      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
+        --
+        --      -- the_reg_dp_offload_rx_1GbE_hdr_dat
+        --      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
+
+
+        reg_bsn_monitor_1gbe_reset_export         => OPEN,
+        reg_bsn_monitor_1gbe_clk_export           => OPEN,
+        reg_bsn_monitor_1gbe_address_export       => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_1gbe_write_export         => reg_bsn_monitor_1GbE_mosi.wr,
+        reg_bsn_monitor_1gbe_writedata_export     => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_1gbe_read_export          => reg_bsn_monitor_1GbE_mosi.rd,
+        reg_bsn_monitor_1gbe_readdata_export      => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_10gbe_reset_export        => OPEN,
+        reg_bsn_monitor_10gbe_clk_export          => OPEN,
+        reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
+        reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
+        reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_1gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_1gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_1gbe_address_export   => reg_diag_data_buf_1gbe_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_1gbe_write_export     => reg_diag_data_buf_1gbe_mosi.wr,
+        reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_1gbe_read_export      => reg_diag_data_buf_1gbe_mosi.rd,
+        reg_diag_data_buffer_1gbe_readdata_export  => reg_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_10gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_10gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
+        reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
+        reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_1gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_1gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_1gbe_address_export   => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_1gbe_write_export     => ram_diag_data_buf_1gbe_mosi.wr,
+        ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_1gbe_read_export      => ram_diag_data_buf_1gbe_mosi.rd,
+        ram_diag_data_buffer_1gbe_readdata_export  => ram_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_10gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_10gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
+        ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
+        ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_1GbE_reset_export               => OPEN,
+        reg_diag_bg_1GbE_clk_export                 => OPEN,
+        reg_diag_bg_1GbE_address_export             => reg_diag_bg_1GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_1GbE_write_export               => reg_diag_bg_1GbE_mosi.wr,
+        reg_diag_bg_1GbE_writedata_export           => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_1GbE_read_export                => reg_diag_bg_1GbE_mosi.rd,
+        reg_diag_bg_1GbE_readdata_export            => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_10GbE_reset_export              => OPEN,
+        reg_diag_bg_10GbE_clk_export                => OPEN,
+        reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
+        reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
+        reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_1GbE_reset_export               => OPEN,
+        ram_diag_bg_1GbE_clk_export                 => OPEN,
+        ram_diag_bg_1GbE_address_export             => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
+        ram_diag_bg_1GbE_write_export               => ram_diag_bg_1GbE_mosi.wr,
+        ram_diag_bg_1GbE_writedata_export           => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_1GbE_read_export                => ram_diag_bg_1GbE_mosi.rd,
+        ram_diag_bg_1GbE_readdata_export            => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_10GbE_reset_export              => OPEN,
+        ram_diag_bg_10GbE_clk_export                => OPEN,
+        ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
+        ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
+        ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
+        ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_I_clk_export                      => OPEN,
+        reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
+        reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_I_reset_export                    => OPEN,
+        reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
+        reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_II_clk_export                     => OPEN,
+        reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
+        reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_II_reset_export                   => OPEN,
+        reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
+        reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/src/vhdl/qsys_unb2_test_pkg.vhd b/boards/uniboard2/designs/unb2_test/src/vhdl/qsys_unb2_test_pkg.vhd
index f9f6483e8e..27c4302907 100644
--- a/boards/uniboard2/designs/unb2_test/src/vhdl/qsys_unb2_test_pkg.vhd
+++ b/boards/uniboard2/designs/unb2_test/src/vhdl/qsys_unb2_test_pkg.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2_test_pkg is
 
@@ -29,355 +29,355 @@ package qsys_unb2_test_pkg is
   -- $HDL_WORK/build/unb2/quartus/unb2_test_ddr/qsys_unb2_test/sim/qsys_unb2_test.vhd
   -----------------------------------------------------------------------------
 
-    component qsys_unb2_test is
-        port (
-            avs_eth_0_clk_export                            : out std_logic;  -- export
-            avs_eth_0_irq_export                            : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export                    : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                       : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                      : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export                    : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                       : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                      : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                          : out std_logic;  -- export
-            avs_eth_0_tse_address_export                    : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                       : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                      : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_1_clk_export                            : out std_logic;  -- export
-            avs_eth_1_irq_export                            : in  std_logic                     := 'X';  -- export
-            avs_eth_1_ram_address_export                    : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_1_ram_read_export                       : out std_logic;  -- export
-            avs_eth_1_ram_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_1_ram_write_export                      : out std_logic;  -- export
-            avs_eth_1_ram_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_1_reg_address_export                    : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_1_reg_read_export                       : out std_logic;  -- export
-            avs_eth_1_reg_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_1_reg_write_export                      : out std_logic;  -- export
-            avs_eth_1_reg_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_1_reset_export                          : out std_logic;  -- export
-            avs_eth_1_tse_address_export                    : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_1_tse_read_export                       : out std_logic;  -- export
-            avs_eth_1_tse_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_1_tse_waitrequest_export                : in  std_logic                     := 'X';  -- export
-            avs_eth_1_tse_write_export                      : out std_logic;  -- export
-            avs_eth_1_tse_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                         : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export                          : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                              : out std_logic;  -- export
-            pio_pps_read_export                             : out std_logic;  -- export
-            pio_pps_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                            : out std_logic;  -- export
-            pio_pps_write_export                            : out std_logic;  -- export
-            pio_pps_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export                  : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                      : out std_logic;  -- export
-            pio_system_info_read_export                     : out std_logic;  -- export
-            pio_system_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                    : out std_logic;  -- export
-            pio_system_info_write_export                    : out std_logic;  -- export
-            pio_system_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export              : out std_logic;  -- export
-            ram_diag_bg_10gbe_address_export                : out std_logic_vector(16 downto 0);  -- export
-            ram_diag_bg_10gbe_clk_export                    : out std_logic;  -- export
-            ram_diag_bg_10gbe_read_export                   : out std_logic;  -- export
-            ram_diag_bg_10gbe_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_10gbe_reset_export                  : out std_logic;  -- export
-            ram_diag_bg_10gbe_write_export                  : out std_logic;  -- export
-            ram_diag_bg_10gbe_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_bg_1gbe_address_export                 : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_bg_1gbe_clk_export                     : out std_logic;  -- export
-            ram_diag_bg_1gbe_read_export                    : out std_logic;  -- export
-            ram_diag_bg_1gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_bg_1gbe_reset_export                   : out std_logic;  -- export
-            ram_diag_bg_1gbe_write_export                   : out std_logic;  -- export
-            ram_diag_bg_1gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_10gbe_address_export       : out std_logic_vector(16 downto 0);  -- export
-            ram_diag_data_buffer_10gbe_clk_export           : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_read_export          : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_10gbe_reset_export         : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_write_export         : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_1gbe_address_export        : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_1gbe_clk_export            : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_read_export           : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_1gbe_reset_export          : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_write_export          : out std_logic;  -- export
-            ram_diag_data_buffer_1gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_i_address_export    : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_i_clk_export        : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_read_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_ddr_mb_i_reset_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_write_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_ii_address_export   : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_ii_clk_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_read_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_ddr_mb_ii_reset_export     : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_write_export     : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_10gbe_address_export            : out std_logic_vector(10 downto 0);  -- export
-            reg_bsn_monitor_10gbe_clk_export                : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_read_export               : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_10gbe_reset_export              : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_write_export              : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_1gbe_address_export             : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_monitor_1gbe_clk_export                 : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_read_export                : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_1gbe_reset_export               : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_write_export               : out std_logic;  -- export
-            reg_bsn_monitor_1gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_10gbe_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_10gbe_clk_export                    : out std_logic;  -- export
-            reg_diag_bg_10gbe_read_export                   : out std_logic;  -- export
-            reg_diag_bg_10gbe_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_10gbe_reset_export                  : out std_logic;  -- export
-            reg_diag_bg_10gbe_write_export                  : out std_logic;  -- export
-            reg_diag_bg_10gbe_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_1gbe_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_1gbe_clk_export                     : out std_logic;  -- export
-            reg_diag_bg_1gbe_read_export                    : out std_logic;  -- export
-            reg_diag_bg_1gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_1gbe_reset_export                   : out std_logic;  -- export
-            reg_diag_bg_1gbe_write_export                   : out std_logic;  -- export
-            reg_diag_bg_1gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_10gbe_address_export       : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_10gbe_clk_export           : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_read_export          : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_10gbe_reset_export         : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_write_export         : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_1gbe_address_export        : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_1gbe_clk_export            : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_read_export           : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_1gbe_reset_export          : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_write_export          : out std_logic;  -- export
-            reg_diag_data_buffer_1gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_i_address_export    : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_i_clk_export        : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_read_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_ddr_mb_i_reset_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_write_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_ii_address_export   : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_ii_clk_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_read_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_ddr_mb_ii_reset_export     : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_write_export     : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_10gbe_address_export            : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_rx_seq_10gbe_clk_export                : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_read_export               : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_10gbe_reset_export              : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_write_export              : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_1gbe_address_export             : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_1gbe_clk_export                 : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_read_export                : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_1gbe_reset_export               : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_write_export               : out std_logic;  -- export
-            reg_diag_rx_seq_1gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_i_address_export         : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_i_clk_export             : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_read_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_ddr_mb_i_reset_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_write_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_ii_address_export        : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_ii_clk_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_read_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_ddr_mb_ii_reset_export          : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_write_export          : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_10gbe_address_export            : out std_logic_vector(3 downto 0);  -- export
-            reg_diag_tx_seq_10gbe_clk_export                : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_read_export               : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_10gbe_reset_export              : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_write_export              : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_1gbe_address_export             : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_1gbe_clk_export                 : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_read_export                : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_1gbe_reset_export               : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_write_export               : out std_logic;  -- export
-            reg_diag_tx_seq_1gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_i_address_export         : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_i_clk_export             : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_read_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_ddr_mb_i_reset_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_write_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_ii_address_export        : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_ii_clk_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_read_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_ddr_mb_ii_reset_export          : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_write_export          : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export                    : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                        : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                       : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                      : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                      : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export                    : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                        : out std_logic;  -- export
-            reg_dpmm_data_read_export                       : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                      : out std_logic;  -- export
-            reg_dpmm_data_write_export                      : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                         : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                             : out std_logic;  -- export
-            reg_epcs_read_export                            : out std_logic;  -- export
-            reg_epcs_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                           : out std_logic;  -- export
-            reg_epcs_write_export                           : out std_logic;  -- export
-            reg_epcs_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_eth10g_back0_address_export                 : out std_logic_vector(5 downto 0);  -- export
-            reg_eth10g_back0_clk_export                     : out std_logic;  -- export
-            reg_eth10g_back0_read_export                    : out std_logic;  -- export
-            reg_eth10g_back0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_eth10g_back0_reset_export                   : out std_logic;  -- export
-            reg_eth10g_back0_write_export                   : out std_logic;  -- export
-            reg_eth10g_back0_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_eth10g_back1_address_export                 : out std_logic_vector(5 downto 0);  -- export
-            reg_eth10g_back1_clk_export                     : out std_logic;  -- export
-            reg_eth10g_back1_read_export                    : out std_logic;  -- export
-            reg_eth10g_back1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_eth10g_back1_reset_export                   : out std_logic;  -- export
-            reg_eth10g_back1_write_export                   : out std_logic;  -- export
-            reg_eth10g_back1_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_eth10g_qsfp_ring_address_export             : out std_logic_vector(6 downto 0);  -- export
-            reg_eth10g_qsfp_ring_clk_export                 : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_read_export                : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_eth10g_qsfp_ring_reset_export               : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_write_export               : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_sens_address_export                    : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_sens_clk_export                        : out std_logic;  -- export
-            reg_fpga_sens_read_export                       : out std_logic;  -- export
-            reg_fpga_sens_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_sens_reset_export                      : out std_logic;  -- export
-            reg_fpga_sens_write_export                      : out std_logic;  -- export
-            reg_fpga_sens_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_mb_i_address_export                  : out std_logic_vector(15 downto 0);  -- export
-            reg_io_ddr_mb_i_clk_export                      : out std_logic;  -- export
-            reg_io_ddr_mb_i_read_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_i_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_io_ddr_mb_i_reset_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_i_write_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_i_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_mb_ii_address_export                 : out std_logic_vector(15 downto 0);  -- export
-            reg_io_ddr_mb_ii_clk_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_ii_read_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_ii_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_io_ddr_mb_ii_reset_export                   : out std_logic;  -- export
-            reg_io_ddr_mb_ii_write_export                   : out std_logic;  -- export
-            reg_io_ddr_mb_ii_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export                    : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                        : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                       : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                      : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                      : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export                    : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                        : out std_logic;  -- export
-            reg_mmdp_data_read_export                       : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                      : out std_logic;  -- export
-            reg_mmdp_data_write_export                      : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                         : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                             : out std_logic;  -- export
-            reg_remu_read_export                            : out std_logic;  -- export
-            reg_remu_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                           : out std_logic;  -- export
-            reg_remu_write_export                           : out std_logic;  -- export
-            reg_remu_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_back0_address_export               : out std_logic_vector(17 downto 0);  -- export
-            reg_tr_10gbe_back0_clk_export                   : out std_logic;  -- export
-            reg_tr_10gbe_back0_read_export                  : out std_logic;  -- export
-            reg_tr_10gbe_back0_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_back0_reset_export                 : out std_logic;  -- export
-            reg_tr_10gbe_back0_waitrequest_export           : in  std_logic                     := 'X';  -- export
-            reg_tr_10gbe_back0_write_export                 : out std_logic;  -- export
-            reg_tr_10gbe_back0_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_back1_address_export               : out std_logic_vector(17 downto 0);  -- export
-            reg_tr_10gbe_back1_clk_export                   : out std_logic;  -- export
-            reg_tr_10gbe_back1_read_export                  : out std_logic;  -- export
-            reg_tr_10gbe_back1_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_back1_reset_export                 : out std_logic;  -- export
-            reg_tr_10gbe_back1_waitrequest_export           : in  std_logic                     := 'X';  -- export
-            reg_tr_10gbe_back1_write_export                 : out std_logic;  -- export
-            reg_tr_10gbe_back1_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_qsfp_ring_address_export           : out std_logic_vector(18 downto 0);  -- export
-            reg_tr_10gbe_qsfp_ring_clk_export               : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_read_export              : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_qsfp_ring_reset_export             : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_waitrequest_export       : in  std_logic                     := 'X';  -- export
-            reg_tr_10gbe_qsfp_ring_write_export             : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export                     : out std_logic_vector(2 downto 0);  -- export
-            reg_unb_sens_clk_export                         : out std_logic;  -- export
-            reg_unb_sens_read_export                        : out std_logic;  -- export
-            reg_unb_sens_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export                       : out std_logic;  -- export
-            reg_unb_sens_write_export                       : out std_logic;  -- export
-            reg_unb_sens_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                          : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                              : out std_logic;  -- export
-            reg_wdi_read_export                             : out std_logic;  -- export
-            reg_wdi_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                            : out std_logic;  -- export
-            reg_wdi_write_export                            : out std_logic;  -- export
-            reg_wdi_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                                   : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export                  : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export                      : out std_logic;  -- export
-            rom_system_info_read_export                     : out std_logic;  -- export
-            rom_system_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                    : out std_logic;  -- export
-            rom_system_info_write_export                    : out std_logic;  -- export
-            rom_system_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_read_export                       : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_write_export                      : out std_logic;  -- export
-            reg_unb_pmbus_address_export                    : out std_logic_vector(2 downto 0);  -- export
-            reg_unb_pmbus_clk_export                        : out std_logic;  -- export
-            reg_unb_pmbus_reset_export                      : out std_logic  -- export
-        );
-    end component qsys_unb2_test;
+  component qsys_unb2_test is
+    port (
+      avs_eth_0_clk_export                            : out std_logic;  -- export
+      avs_eth_0_irq_export                            : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export                    : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                       : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                      : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export                    : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                       : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                      : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                          : out std_logic;  -- export
+      avs_eth_0_tse_address_export                    : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                       : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                      : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_1_clk_export                            : out std_logic;  -- export
+      avs_eth_1_irq_export                            : in  std_logic                     := 'X';  -- export
+      avs_eth_1_ram_address_export                    : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_1_ram_read_export                       : out std_logic;  -- export
+      avs_eth_1_ram_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_1_ram_write_export                      : out std_logic;  -- export
+      avs_eth_1_ram_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_1_reg_address_export                    : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_1_reg_read_export                       : out std_logic;  -- export
+      avs_eth_1_reg_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_1_reg_write_export                      : out std_logic;  -- export
+      avs_eth_1_reg_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_1_reset_export                          : out std_logic;  -- export
+      avs_eth_1_tse_address_export                    : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_1_tse_read_export                       : out std_logic;  -- export
+      avs_eth_1_tse_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_1_tse_waitrequest_export                : in  std_logic                     := 'X';  -- export
+      avs_eth_1_tse_write_export                      : out std_logic;  -- export
+      avs_eth_1_tse_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                         : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export                          : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                              : out std_logic;  -- export
+      pio_pps_read_export                             : out std_logic;  -- export
+      pio_pps_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                            : out std_logic;  -- export
+      pio_pps_write_export                            : out std_logic;  -- export
+      pio_pps_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export                  : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                      : out std_logic;  -- export
+      pio_system_info_read_export                     : out std_logic;  -- export
+      pio_system_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                    : out std_logic;  -- export
+      pio_system_info_write_export                    : out std_logic;  -- export
+      pio_system_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export              : out std_logic;  -- export
+      ram_diag_bg_10gbe_address_export                : out std_logic_vector(16 downto 0);  -- export
+      ram_diag_bg_10gbe_clk_export                    : out std_logic;  -- export
+      ram_diag_bg_10gbe_read_export                   : out std_logic;  -- export
+      ram_diag_bg_10gbe_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_10gbe_reset_export                  : out std_logic;  -- export
+      ram_diag_bg_10gbe_write_export                  : out std_logic;  -- export
+      ram_diag_bg_10gbe_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_bg_1gbe_address_export                 : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_bg_1gbe_clk_export                     : out std_logic;  -- export
+      ram_diag_bg_1gbe_read_export                    : out std_logic;  -- export
+      ram_diag_bg_1gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_bg_1gbe_reset_export                   : out std_logic;  -- export
+      ram_diag_bg_1gbe_write_export                   : out std_logic;  -- export
+      ram_diag_bg_1gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_10gbe_address_export       : out std_logic_vector(16 downto 0);  -- export
+      ram_diag_data_buffer_10gbe_clk_export           : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_read_export          : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_10gbe_reset_export         : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_write_export         : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_1gbe_address_export        : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_1gbe_clk_export            : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_read_export           : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_1gbe_reset_export          : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_write_export          : out std_logic;  -- export
+      ram_diag_data_buffer_1gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_i_address_export    : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_i_clk_export        : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_read_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_ddr_mb_i_reset_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_write_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_ii_address_export   : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_ii_clk_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_read_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_ddr_mb_ii_reset_export     : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_write_export     : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_10gbe_address_export            : out std_logic_vector(10 downto 0);  -- export
+      reg_bsn_monitor_10gbe_clk_export                : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_read_export               : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_10gbe_reset_export              : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_write_export              : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_1gbe_address_export             : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_monitor_1gbe_clk_export                 : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_read_export                : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_1gbe_reset_export               : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_write_export               : out std_logic;  -- export
+      reg_bsn_monitor_1gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_10gbe_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_10gbe_clk_export                    : out std_logic;  -- export
+      reg_diag_bg_10gbe_read_export                   : out std_logic;  -- export
+      reg_diag_bg_10gbe_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_10gbe_reset_export                  : out std_logic;  -- export
+      reg_diag_bg_10gbe_write_export                  : out std_logic;  -- export
+      reg_diag_bg_10gbe_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_1gbe_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_1gbe_clk_export                     : out std_logic;  -- export
+      reg_diag_bg_1gbe_read_export                    : out std_logic;  -- export
+      reg_diag_bg_1gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_1gbe_reset_export                   : out std_logic;  -- export
+      reg_diag_bg_1gbe_write_export                   : out std_logic;  -- export
+      reg_diag_bg_1gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_10gbe_address_export       : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_10gbe_clk_export           : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_read_export          : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_10gbe_reset_export         : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_write_export         : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_1gbe_address_export        : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_1gbe_clk_export            : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_read_export           : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_1gbe_reset_export          : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_write_export          : out std_logic;  -- export
+      reg_diag_data_buffer_1gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_i_address_export    : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_i_clk_export        : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_read_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_ddr_mb_i_reset_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_write_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_ii_address_export   : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_ii_clk_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_read_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_ddr_mb_ii_reset_export     : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_write_export     : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_10gbe_address_export            : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_rx_seq_10gbe_clk_export                : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_read_export               : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_10gbe_reset_export              : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_write_export              : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_1gbe_address_export             : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_1gbe_clk_export                 : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_read_export                : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_1gbe_reset_export               : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_write_export               : out std_logic;  -- export
+      reg_diag_rx_seq_1gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_i_address_export         : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_i_clk_export             : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_read_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_ddr_mb_i_reset_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_write_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_ii_address_export        : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_ii_clk_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_read_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_ddr_mb_ii_reset_export          : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_write_export          : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_10gbe_address_export            : out std_logic_vector(3 downto 0);  -- export
+      reg_diag_tx_seq_10gbe_clk_export                : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_read_export               : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_10gbe_reset_export              : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_write_export              : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_1gbe_address_export             : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_1gbe_clk_export                 : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_read_export                : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_1gbe_reset_export               : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_write_export               : out std_logic;  -- export
+      reg_diag_tx_seq_1gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_i_address_export         : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_i_clk_export             : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_read_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_ddr_mb_i_reset_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_write_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_ii_address_export        : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_ii_clk_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_read_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_ddr_mb_ii_reset_export          : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_write_export          : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export                    : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                        : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                       : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                      : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                      : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export                    : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                        : out std_logic;  -- export
+      reg_dpmm_data_read_export                       : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                      : out std_logic;  -- export
+      reg_dpmm_data_write_export                      : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                         : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                             : out std_logic;  -- export
+      reg_epcs_read_export                            : out std_logic;  -- export
+      reg_epcs_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                           : out std_logic;  -- export
+      reg_epcs_write_export                           : out std_logic;  -- export
+      reg_epcs_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_eth10g_back0_address_export                 : out std_logic_vector(5 downto 0);  -- export
+      reg_eth10g_back0_clk_export                     : out std_logic;  -- export
+      reg_eth10g_back0_read_export                    : out std_logic;  -- export
+      reg_eth10g_back0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_eth10g_back0_reset_export                   : out std_logic;  -- export
+      reg_eth10g_back0_write_export                   : out std_logic;  -- export
+      reg_eth10g_back0_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_eth10g_back1_address_export                 : out std_logic_vector(5 downto 0);  -- export
+      reg_eth10g_back1_clk_export                     : out std_logic;  -- export
+      reg_eth10g_back1_read_export                    : out std_logic;  -- export
+      reg_eth10g_back1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_eth10g_back1_reset_export                   : out std_logic;  -- export
+      reg_eth10g_back1_write_export                   : out std_logic;  -- export
+      reg_eth10g_back1_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_eth10g_qsfp_ring_address_export             : out std_logic_vector(6 downto 0);  -- export
+      reg_eth10g_qsfp_ring_clk_export                 : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_read_export                : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_eth10g_qsfp_ring_reset_export               : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_write_export               : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_sens_address_export                    : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_sens_clk_export                        : out std_logic;  -- export
+      reg_fpga_sens_read_export                       : out std_logic;  -- export
+      reg_fpga_sens_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_sens_reset_export                      : out std_logic;  -- export
+      reg_fpga_sens_write_export                      : out std_logic;  -- export
+      reg_fpga_sens_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_mb_i_address_export                  : out std_logic_vector(15 downto 0);  -- export
+      reg_io_ddr_mb_i_clk_export                      : out std_logic;  -- export
+      reg_io_ddr_mb_i_read_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_i_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_io_ddr_mb_i_reset_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_i_write_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_i_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_mb_ii_address_export                 : out std_logic_vector(15 downto 0);  -- export
+      reg_io_ddr_mb_ii_clk_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_ii_read_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_ii_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_io_ddr_mb_ii_reset_export                   : out std_logic;  -- export
+      reg_io_ddr_mb_ii_write_export                   : out std_logic;  -- export
+      reg_io_ddr_mb_ii_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export                    : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                        : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                       : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                      : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                      : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export                    : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                        : out std_logic;  -- export
+      reg_mmdp_data_read_export                       : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                      : out std_logic;  -- export
+      reg_mmdp_data_write_export                      : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                         : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                             : out std_logic;  -- export
+      reg_remu_read_export                            : out std_logic;  -- export
+      reg_remu_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                           : out std_logic;  -- export
+      reg_remu_write_export                           : out std_logic;  -- export
+      reg_remu_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_back0_address_export               : out std_logic_vector(17 downto 0);  -- export
+      reg_tr_10gbe_back0_clk_export                   : out std_logic;  -- export
+      reg_tr_10gbe_back0_read_export                  : out std_logic;  -- export
+      reg_tr_10gbe_back0_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_back0_reset_export                 : out std_logic;  -- export
+      reg_tr_10gbe_back0_waitrequest_export           : in  std_logic                     := 'X';  -- export
+      reg_tr_10gbe_back0_write_export                 : out std_logic;  -- export
+      reg_tr_10gbe_back0_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_back1_address_export               : out std_logic_vector(17 downto 0);  -- export
+      reg_tr_10gbe_back1_clk_export                   : out std_logic;  -- export
+      reg_tr_10gbe_back1_read_export                  : out std_logic;  -- export
+      reg_tr_10gbe_back1_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_back1_reset_export                 : out std_logic;  -- export
+      reg_tr_10gbe_back1_waitrequest_export           : in  std_logic                     := 'X';  -- export
+      reg_tr_10gbe_back1_write_export                 : out std_logic;  -- export
+      reg_tr_10gbe_back1_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_qsfp_ring_address_export           : out std_logic_vector(18 downto 0);  -- export
+      reg_tr_10gbe_qsfp_ring_clk_export               : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_read_export              : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_qsfp_ring_reset_export             : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_waitrequest_export       : in  std_logic                     := 'X';  -- export
+      reg_tr_10gbe_qsfp_ring_write_export             : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export                     : out std_logic_vector(2 downto 0);  -- export
+      reg_unb_sens_clk_export                         : out std_logic;  -- export
+      reg_unb_sens_read_export                        : out std_logic;  -- export
+      reg_unb_sens_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export                       : out std_logic;  -- export
+      reg_unb_sens_write_export                       : out std_logic;  -- export
+      reg_unb_sens_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                          : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                              : out std_logic;  -- export
+      reg_wdi_read_export                             : out std_logic;  -- export
+      reg_wdi_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                            : out std_logic;  -- export
+      reg_wdi_write_export                            : out std_logic;  -- export
+      reg_wdi_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                                   : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export                  : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export                      : out std_logic;  -- export
+      rom_system_info_read_export                     : out std_logic;  -- export
+      rom_system_info_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                    : out std_logic;  -- export
+      rom_system_info_write_export                    : out std_logic;  -- export
+      rom_system_info_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_read_export                       : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_write_export                      : out std_logic;  -- export
+      reg_unb_pmbus_address_export                    : out std_logic_vector(2 downto 0);  -- export
+      reg_unb_pmbus_clk_export                        : out std_logic;  -- export
+      reg_unb_pmbus_reset_export                      : out std_logic  -- export
+    );
+  end component qsys_unb2_test;
 
 end qsys_unb2_test_pkg;
 
diff --git a/boards/uniboard2/designs/unb2_test/src/vhdl/udp_stream.vhd b/boards/uniboard2/designs/unb2_test/src/vhdl/udp_stream.vhd
index 0f6da4d454..69ff66b3ce 100644
--- a/boards/uniboard2/designs/unb2_test/src/vhdl/udp_stream.vhd
+++ b/boards/uniboard2/designs/unb2_test/src/vhdl/udp_stream.vhd
@@ -21,19 +21,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, unb2_board_lib, dp_lib, eth_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.unb2_test_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.unb2_test_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity udp_stream is
   generic (
@@ -105,14 +105,28 @@ end udp_stream;
 architecture str of udp_stream is
 
   -- Block generator
-  constant c_bg_ctrl                   : t_diag_block_gen := ('0',  -- enable (disabled by default)
-                                                              '0',  -- enable_sync
-                                                              TO_UVEC(     g_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(g_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        g_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   g_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                   : t_diag_block_gen := (
+    '0',  -- enable (disabled by default)
+    '0',  -- enable_sync
+    TO_UVEC(
+                  g_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     g_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                g_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
 
   constant c_nof_crc_words             : natural := 1;
@@ -157,54 +171,54 @@ begin
   -- TX: Block generator and DP fifo
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_technology         => g_technology,
-    g_nof_streams        => g_nof_streams,
-    g_buf_dat_w          => g_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_index_arr     => array_init(0, g_nof_streams),
-    g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
-    g_diag_block_gen_rst => c_bg_ctrl,
-    g_use_tx_seq         => true
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_diag_tx_seq_miso
-  );
-
-  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
-    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
     generic map (
-      g_technology => g_technology,
-      g_data_w    => g_data_w,
-      g_bsn_w     => 47,
-      g_use_bsn   => true,
-      g_use_sync  => true,
-      g_fifo_size => 50
+      g_technology         => g_technology,
+      g_nof_streams        => g_nof_streams,
+      g_buf_dat_w          => g_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_index_arr     => array_init(0, g_nof_streams),
+      g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
+      g_diag_block_gen_rst => c_bg_ctrl,
+      g_use_tx_seq         => true
     )
     port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- ST sink (from BG)
-      snk_out     => block_gen_src_in_arr(i),
-      snk_in      => block_gen_src_out_arr(i),
-      -- ST source (to tx_offload)
-      src_in      => fifo_block_gen_src_in_arr(i),
-      src_out     => fifo_block_gen_src_out_arr(i)
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_diag_tx_seq_miso
     );
+
+  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
+    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
+      generic map (
+        g_technology => g_technology,
+        g_data_w    => g_data_w,
+        g_bsn_w     => 47,
+        g_use_bsn   => true,
+        g_use_sync  => true,
+        g_fifo_size => 50
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- ST sink (from BG)
+        snk_out     => block_gen_src_in_arr(i),
+        snk_in      => block_gen_src_out_arr(i),
+        -- ST source (to tx_offload)
+        src_in      => fifo_block_gen_src_in_arr(i),
+        src_out     => fifo_block_gen_src_out_arr(i)
+      );
   end generate;
 
 
@@ -212,74 +226,74 @@ begin
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_technology                => g_technology,
-    g_nof_streams               => g_nof_streams,
-    g_data_w                    => g_data_w,
-    g_use_complex               => false,
---    g_max_nof_words_per_block   => c_max_nof_words_per_block,
-    g_nof_words_per_block       => g_def_block_size,
---    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
-    g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    -- MM
-    --reg_mosi              => reg_dp_offload_tx_mosi,
-    --reg_miso              => reg_dp_offload_tx_miso,
-    --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    -- from blockgen-fifo
-    snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
-    snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
-
-    -- output to MAC
-    src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
-    src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
-
-    hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_technology                => g_technology,
+      g_nof_streams               => g_nof_streams,
+      g_data_w                    => g_data_w,
+      g_use_complex               => false,
+      --    g_max_nof_words_per_block   => c_max_nof_words_per_block,
+      g_nof_words_per_block       => g_def_block_size,
+      --    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
+      g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      -- MM
+      --reg_mosi              => reg_dp_offload_tx_mosi,
+      --reg_miso              => reg_dp_offload_tx_miso,
+      --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      -- from blockgen-fifo
+      snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
+      snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
+
+      -- output to MAC
+      src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
+      src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
+
+      hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => g_nof_streams,
-    g_data_w              => g_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => g_remove_crc,
-    g_crc_nof_words       => c_nof_crc_words
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+    generic map (
+      g_nof_streams         => g_nof_streams,
+      g_data_w              => g_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => g_remove_crc,
+      g_crc_nof_words       => c_nof_crc_words
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
 
-    -- from MAC
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
 
-    -- to databuffer
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
+      --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
 
-    hdr_fields_out_arr    => hdr_fields_out_arr
-  );
+      -- from MAC
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      -- to databuffer
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
+    );
 
 
   gen_hdr_out_fields : for i in 0 to g_nof_streams - 1 generate
@@ -304,54 +318,54 @@ begin
 
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
-    in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
+      in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   diag_data_buf_snk_out_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => 32,  -- g_data_w, --FIXME
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false,  -- sync by reading last address of data buffer
-    g_use_rx_seq   => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_diag_rx_seq_miso,
-
-    in_sync           => diag_data_buf_snk_in_arr(0).sync,
-    in_sosi_arr       => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => 32,  -- g_data_w, --FIXME
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false,  -- sync by reading last address of data buffer
+      g_use_rx_seq   => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_diag_rx_seq_miso,
+
+      in_sync           => diag_data_buf_snk_in_arr(0).sync,
+      in_sosi_arr       => diag_data_buf_snk_in_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test.vhd b/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test.vhd
index ce367aa640..90eefef7be 100644
--- a/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test.vhd
+++ b/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test.vhd
@@ -21,20 +21,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2_board_lib, unb2_board_10gbe_lib, dp_lib, eth_lib, tr_10GbE_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.unb2_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.unb2_test_pkg.all;
 
 entity unb2_test is
   generic (
@@ -318,10 +318,10 @@ architecture str of unb2_test is
 
   signal i_QSFP_TX                       : t_unb2_board_qsfp_bus_2arr(c_nof_qsfp_bus - 1 downto 0);
   signal i_QSFP_RX                       : t_unb2_board_qsfp_bus_2arr(c_nof_qsfp_bus - 1 downto 0);
- -- SIGNAL i_RING_TX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
- -- SIGNAL i_RING_RX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
- -- SIGNAL i_BCK_TX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
- -- SIGNAL i_BCK_RX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
+  -- SIGNAL i_RING_TX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
+  -- SIGNAL i_RING_RX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
+  -- SIGNAL i_BCK_TX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
+  -- SIGNAL i_BCK_RX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
 
   signal serial_10G_tx_back_arr          : std_logic_vector(c_nof_streams_back0 + c_nof_streams_back1 - 1 downto 0) := (others => '0');
   signal serial_10G_rx_back_arr          : std_logic_vector(c_nof_streams_back0 + c_nof_streams_back1 - 1 downto 0);
@@ -354,13 +354,13 @@ architecture str of unb2_test is
   signal reg_diag_tx_seq_10GbE_mosi      : t_mem_mosi;
   signal reg_diag_tx_seq_10GbE_miso      : t_mem_miso;
 
---  SIGNAL reg_dp_offload_tx_1GbE_mosi         : t_mem_mosi;
---  SIGNAL reg_dp_offload_tx_1GbE_miso         : t_mem_miso;
---  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_mosi : t_mem_mosi;
---  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_miso : t_mem_miso;
---
---  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_mosi : t_mem_mosi;
---  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_miso : t_mem_miso;
+  --  SIGNAL reg_dp_offload_tx_1GbE_mosi         : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_tx_1GbE_miso         : t_mem_miso;
+  --  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_mosi : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_miso : t_mem_miso;
+  --
+  --  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_mosi : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_miso : t_mem_miso;
 
   signal reg_bsn_monitor_1GbE_mosi       : t_mem_mosi;
   signal reg_bsn_monitor_1GbE_miso       : t_mem_miso;
@@ -438,383 +438,383 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2_board_lib.ctrl_unb2_board
-  generic map (
-    g_sim                     => g_sim,
-    g_technology              => g_technology,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => sel_a_b(g_sim,c_unb2_board_mm_clk_freq_25M,c_unb2_board_mm_clk_freq_125M),
-    g_eth_clk_freq            => c_unb2_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2_board_aux,
-    g_udp_offload             => c_use_1GbE,
-    g_udp_offload_nof_streams => c_nof_streams_1GbE,
-    --g_tse_clk_buf             => TRUE,
-    g_dp_clk_use_pll          => true,
-    g_factory_image           => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-
-    ext_clk200               => ext_clk200,
-    ext_rst200               => ext_rst200,
-
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    mb_I_ref_rst             => mb_I_ref_rst,
-    mb_II_ref_rst            => mb_II_ref_rst,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_mm_rst             => eth1g_eth0_mm_rst,
-    eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
-    eth1g_tse_miso           => eth1g_eth0_tse_miso,
-    eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
-    eth1g_reg_miso           => eth1g_eth0_reg_miso,
-    eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
-    eth1g_ram_miso           => eth1g_eth0_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
-    udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
-    udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
-    udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . DDR reference clock domains reset creation
-    MB_I_REF_CLK             => MB_I_REF_CLK,
-    MB_II_REF_CLK            => MB_II_REF_CLK,
-    -- . 1GbE Control Interface
-    ETH_CLK                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_technology              => g_technology,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => sel_a_b(g_sim,c_unb2_board_mm_clk_freq_25M,c_unb2_board_mm_clk_freq_125M),
+      g_eth_clk_freq            => c_unb2_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2_board_aux,
+      g_udp_offload             => c_use_1GbE,
+      g_udp_offload_nof_streams => c_nof_streams_1GbE,
+      --g_tse_clk_buf             => TRUE,
+      g_dp_clk_use_pll          => true,
+      g_factory_image           => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+
+      ext_clk200               => ext_clk200,
+      ext_rst200               => ext_rst200,
+
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      mb_I_ref_rst             => mb_I_ref_rst,
+      mb_II_ref_rst            => mb_II_ref_rst,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_mm_rst             => eth1g_eth0_mm_rst,
+      eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
+      eth1g_tse_miso           => eth1g_eth0_tse_miso,
+      eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
+      eth1g_reg_miso           => eth1g_eth0_reg_miso,
+      eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
+      eth1g_ram_miso           => eth1g_eth0_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
+      udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
+      udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
+      udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . DDR reference clock domains reset creation
+      MB_I_REF_CLK             => MB_I_REF_CLK,
+      MB_II_REF_CLK            => MB_II_REF_CLK,
+      -- . 1GbE Control Interface
+      ETH_CLK                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2_test
-  generic map (
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_technology        => g_technology,
-    g_bg_block_size     => c_bg_block_size,
-    g_hdr_field_arr     => c_hdr_field_arr,
-    g_nof_streams_1GbE  => c_unb2_board_nof_eth,
-    g_nof_streams_qsfp  => c_unb2_board_tr_qsfp.nof_bus * c_unb2_board_tr_qsfp.bus_w,
-    g_nof_streams_ring  => 24,  -- c_unb2_board_tr_ring.nof_bus * c_unb2_board_tr_ring.bus_w,
-    g_nof_streams_back0 => 24,  -- c_unb2_board_tr_back.bus_w,
-    g_nof_streams_back1 => 24  -- c_unb2_board_tr_back.bus_w
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_sens_mosi       => reg_fpga_temp_sens_mosi,
-    reg_fpga_sens_miso       => reg_fpga_temp_sens_miso,  -- FIXME:
-    --reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    --reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    --reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    --reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
-    eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
-    eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
-    eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
-    eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
-    eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
-    eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
-    eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
-
-    -- eth1g ch1
-    eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
-    eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
-    eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
-    eth1g_eth1_reg_mosi      => eth1g_eth1_reg_mosi,
-    eth1g_eth1_reg_miso      => eth1g_eth1_reg_miso,
-    eth1g_eth1_reg_interrupt => eth1g_eth1_reg_interrupt,
-    eth1g_eth1_ram_mosi      => eth1g_eth1_ram_mosi,
-    eth1g_eth1_ram_miso      => eth1g_eth1_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- block gen
-    ram_diag_bg_1GbE_mosi          => ram_diag_bg_1GbE_mosi,
-    ram_diag_bg_1GbE_miso          => ram_diag_bg_1GbE_miso,
-    reg_diag_bg_1GbE_mosi          => reg_diag_bg_1GbE_mosi,
-    reg_diag_bg_1GbE_miso          => reg_diag_bg_1GbE_miso,
-    reg_diag_tx_seq_1GbE_mosi      => reg_diag_tx_seq_1GbE_mosi,
-    reg_diag_tx_seq_1GbE_miso      => reg_diag_tx_seq_1GbE_miso,
-
-    ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
-    ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
-    reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
-    reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
-    reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
-    reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
-
-    -- dp_offload_tx
---    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
---    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
---    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
---    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
---
---    -- dp_offload_rx
---    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
---    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-
-    -- bsn
-    reg_bsn_monitor_1GbE_mosi      => reg_bsn_monitor_1GbE_mosi,
-    reg_bsn_monitor_1GbE_miso      => reg_bsn_monitor_1GbE_miso,
-    reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
-    reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
-
-    -- databuffer
-    ram_diag_data_buf_1GbE_mosi    => ram_diag_data_buf_1GbE_mosi,
-    ram_diag_data_buf_1GbE_miso    => ram_diag_data_buf_1GbE_miso,
-    reg_diag_data_buf_1GbE_mosi    => reg_diag_data_buf_1GbE_mosi,
-    reg_diag_data_buf_1GbE_miso    => reg_diag_data_buf_1GbE_miso,
-    reg_diag_rx_seq_1GbE_mosi      => reg_diag_rx_seq_1GbE_mosi,
-    reg_diag_rx_seq_1GbE_miso      => reg_diag_rx_seq_1GbE_miso,
-
-    ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
-    ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
-    reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
-    reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
-    reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
-    reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
-
-    -- 10GbE
-    reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
-    reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
-
-    reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
-    reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
-
-    reg_tr_10GbE_back1_mosi        => reg_tr_10GbE_back1_mosi,
-    reg_tr_10GbE_back1_miso        => reg_tr_10GbE_back1_miso,
-
-    -- eth10g status
-    reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
-    reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
-
-    reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
-    reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
-
-    reg_eth10g_back1_mosi          => reg_eth10g_back1_mosi,
-    reg_eth10g_back1_miso          => reg_eth10g_back1_miso,
-
-    -- DDR4 : MB I
-    reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
-    reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
-    reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
-    reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
-    reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
-    reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
-    reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
-    reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
-    ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
-    ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
-
-    -- DDR4 : MB II
-    reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
-    reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
-    reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
-    reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
-    reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
-    reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
-    reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
-    reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
-    ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
-    ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso
-  );
-
-
-  gen_udp_stream_1GbE : if c_use_1GbE = true generate
-    u_udp_stream_1GbE : entity work.udp_stream
     generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_1GbE,
-      g_data_w                    => c_data_w_32,
-      g_bg_block_size             => c_def_1GbE_block_size,
-      g_bg_gapsize                => c_bg_gapsize_1GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_1GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
-      g_remove_crc                => true
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_technology        => g_technology,
+      g_bg_block_size     => c_bg_block_size,
+      g_hdr_field_arr     => c_hdr_field_arr,
+      g_nof_streams_1GbE  => c_unb2_board_nof_eth,
+      g_nof_streams_qsfp  => c_unb2_board_tr_qsfp.nof_bus * c_unb2_board_tr_qsfp.bus_w,
+      g_nof_streams_ring  => 24,  -- c_unb2_board_tr_ring.nof_bus * c_unb2_board_tr_ring.bus_w,
+      g_nof_streams_back0 => 24,  -- c_unb2_board_tr_back.bus_w,
+      g_nof_streams_back1 => 24  -- c_unb2_board_tr_back.bus_w
     )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-
-      ID                             => ID,
-
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_sens_mosi       => reg_fpga_temp_sens_mosi,
+      reg_fpga_sens_miso       => reg_fpga_temp_sens_miso,  -- FIXME:
+      --reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      --reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      --reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      --reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
+      eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
+      eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
+      eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
+      eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
+      eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
+      eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
+      eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
+
+      -- eth1g ch1
+      eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
+      eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
+      eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
+      eth1g_eth1_reg_mosi      => eth1g_eth1_reg_mosi,
+      eth1g_eth1_reg_miso      => eth1g_eth1_reg_miso,
+      eth1g_eth1_reg_interrupt => eth1g_eth1_reg_interrupt,
+      eth1g_eth1_ram_mosi      => eth1g_eth1_ram_mosi,
+      eth1g_eth1_ram_miso      => eth1g_eth1_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- block gen
+      ram_diag_bg_1GbE_mosi          => ram_diag_bg_1GbE_mosi,
+      ram_diag_bg_1GbE_miso          => ram_diag_bg_1GbE_miso,
+      reg_diag_bg_1GbE_mosi          => reg_diag_bg_1GbE_mosi,
+      reg_diag_bg_1GbE_miso          => reg_diag_bg_1GbE_miso,
+      reg_diag_tx_seq_1GbE_mosi      => reg_diag_tx_seq_1GbE_mosi,
+      reg_diag_tx_seq_1GbE_miso      => reg_diag_tx_seq_1GbE_miso,
+
+      ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
+      ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
+      reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
+      reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
+      reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
+      reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
 
       -- dp_offload_tx
---      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
---      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
---      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
---      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
-      dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
-
-      -- dp_offload_rx
---      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
---      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+      --    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
+      --    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
+      --    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+      --    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+      --
+      --    -- dp_offload_rx
+      --    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+      --    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
 
       -- bsn
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_1GbE_mosi      => reg_bsn_monitor_1GbE_mosi,
+      reg_bsn_monitor_1GbE_miso      => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
+      reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
 
       -- databuffer
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      ram_diag_data_buf_1GbE_mosi    => ram_diag_data_buf_1GbE_mosi,
+      ram_diag_data_buf_1GbE_miso    => ram_diag_data_buf_1GbE_miso,
+      reg_diag_data_buf_1GbE_mosi    => reg_diag_data_buf_1GbE_mosi,
+      reg_diag_data_buf_1GbE_miso    => reg_diag_data_buf_1GbE_miso,
+      reg_diag_rx_seq_1GbE_mosi      => reg_diag_rx_seq_1GbE_mosi,
+      reg_diag_rx_seq_1GbE_miso      => reg_diag_rx_seq_1GbE_miso,
+
+      ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
+      ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
+      reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
+      reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
+      reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
+      reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
+
+      -- 10GbE
+      reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
+      reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
+
+      reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
+      reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
+
+      reg_tr_10GbE_back1_mosi        => reg_tr_10GbE_back1_mosi,
+      reg_tr_10GbE_back1_miso        => reg_tr_10GbE_back1_miso,
+
+      -- eth10g status
+      reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
+      reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
+
+      reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
+      reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
+
+      reg_eth10g_back1_mosi          => reg_eth10g_back1_mosi,
+      reg_eth10g_back1_miso          => reg_eth10g_back1_miso,
+
+      -- DDR4 : MB I
+      reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
+      reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
+      reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
+      reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
+      reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
+      reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
+      reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
+      reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
+      ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
+      ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
+
+      -- DDR4 : MB II
+      reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
+      reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
+      reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
+      reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
+      reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
+      reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
+      reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
+      reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
+      ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
+      ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso
     );
+
+
+  gen_udp_stream_1GbE : if c_use_1GbE = true generate
+    u_udp_stream_1GbE : entity work.udp_stream
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_1GbE,
+        g_data_w                    => c_data_w_32,
+        g_bg_block_size             => c_def_1GbE_block_size,
+        g_bg_gapsize                => c_bg_gapsize_1GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_1GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
+        g_remove_crc                => true
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+
+        ID                             => ID,
+
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+
+        -- dp_offload_tx
+        --      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
+        --      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
+        --      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+        --      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+        dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
+
+        -- dp_offload_rx
+        --      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+        --      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+
+        -- bsn
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+
+        -- databuffer
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -834,81 +834,81 @@ begin
 
   gen_udp_stream_10GbE : if c_use_10GbE = true generate
     u_udp_stream_10GbE : entity work.udp_stream
-    generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0 + c_nof_streams_back1,
-      g_data_w                    => c_data_w_64,
-      g_bg_block_size             => c_bg_block_size,
-      g_bg_gapsize                => c_bg_gapsize_10GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_10GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
-      g_remove_crc                => false
-    )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-      ID                             => ID,
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
-
-      dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
-
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
-
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
-
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
-    );
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0 + c_nof_streams_back1,
+        g_data_w                    => c_data_w_64,
+        g_bg_block_size             => c_bg_block_size,
+        g_bg_gapsize                => c_bg_gapsize_10GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_10GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
+        g_remove_crc                => false
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+        ID                             => ID,
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
+
+        dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
+
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
+
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
+
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
+      );
 
 
     u_tr_10GbE_qsfp_and_ring: entity unb2_board_10gbe_lib.unb2_board_10gbe  -- QSFP and Ring lines
-    generic map (
-      g_sim           => g_sim,
-      g_sim_level     => 1,
-      g_technology    => g_technology,
-      g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
-      g_tx_fifo_fill  => c_def_10GbE_block_size,
-      g_tx_fifo_size  => c_def_10GbE_block_size * 2
-    )
-    port map (
-      tr_ref_clk          => SA_CLK,
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
-      reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
-      reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
-      reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-
-      serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
-      serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_sim_level     => 1,
+        g_technology    => g_technology,
+        g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
+        g_tx_fifo_fill  => c_def_10GbE_block_size,
+        g_tx_fifo_size  => c_def_10GbE_block_size * 2
+      )
+      port map (
+        tr_ref_clk          => SA_CLK,
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
+        reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
+        reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
+        reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+
+        serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
+        serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
+      );
 
     gen_qsfp_wires: for i in 0 to c_nof_streams_qsfp - 1 generate
-        serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
+      serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
       i_serial_10G_rx_qsfp_ring_arr(i) <=   serial_10G_rx_qsfp_arr(i);
     end generate;
 
@@ -930,165 +930,165 @@ begin
 
 
     u_front_io : entity unb2_board_lib.unb2_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_nof_qsfp_bus
-    )
-    port map (
-      serial_tx_arr => serial_10G_tx_qsfp_arr,
-      serial_rx_arr => serial_10G_rx_qsfp_arr,
-
-      green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
-
-      QSFP_RX    => i_QSFP_RX,
-      QSFP_TX    => i_QSFP_TX,
-
-      --QSFP_SDA   => QSFP_SDA,
-      --QSFP_SCL   => QSFP_SCL,
-      --QSFP_RST   => QSFP_RST,
-
-      QSFP_LED   => QSFP_LED
-    );
-
---    gen_ring_wires: FOR i IN 0 TO c_nof_streams_ring-1 GENERATE
---        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i+c_nof_streams_qsfp);
---      i_serial_10G_rx_qsfp_ring_arr(i+c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
---    END GENERATE;
---
---    i_RING_RX(0) <= RING_0_RX;
---    i_RING_RX(1) <= RING_1_RX;
---    RING_0_TX <= i_RING_TX(0);
---    RING_1_TX <= i_RING_TX(1);
---
---    u_ring_io : ENTITY unb2_board_lib.unb2_board_ring_io
---    GENERIC MAP (
---      g_nof_ring_bus => 2--c_nof_ring_bus
---    )
---    PORT MAP (
---      serial_tx_arr => serial_10G_tx_ring_arr,
---      serial_rx_arr => serial_10G_rx_ring_arr,
---      RING_RX => i_RING_RX,
---      RING_TX => i_RING_TX
---    );
-
-
---    u_tr_10GbE_back: ENTITY unb2_board_10gbe_lib.unb2_board_10gbe -- BACK lines
---    GENERIC MAP (
---      g_sim           => g_sim,
---      g_sim_level     => 1,
---      g_technology    => g_technology,
---      g_nof_macs      => c_nof_streams_back0,
---      g_tx_fifo_fill  => c_def_10GbE_block_size,
---      g_tx_fifo_size  => c_def_10GbE_block_size*2
---    )
---    PORT MAP (
---      tr_ref_clk          => SB_CLK,
---      mm_rst              => mm_rst,
---      mm_clk              => mm_clk,
---      reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
---      reg_mac_miso        => reg_tr_10GbE_back0_miso,
---      reg_eth10g_mosi     => reg_eth10g_back0_mosi,
---      reg_eth10g_miso     => reg_eth10g_back0_miso,
---      dp_rst              => dp_rst,
---      dp_clk              => dp_clk,
---
---      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-----      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0-1 DOWNTO 0),
---
---      serial_tx_arr       => i_serial_10G_tx_back0_arr,
---      serial_rx_arr       => i_serial_10G_rx_back0_arr
---    );
---
---    gen_back0_wires: FOR i IN 0 TO c_nof_streams_back0-1 GENERATE
---        serial_10G_tx_back_arr(i)  <= i_serial_10G_tx_back0_arr(i);
---      i_serial_10G_rx_back0_arr(i) <=   serial_10G_rx_back_arr(i);
---    END GENERATE;
---    --gen_back1_wires: FOR i IN 0 TO c_nof_streams_back1-1 GENERATE
---    --    serial_10G_tx_back_arr(i+c_nof_streams_back0) <= i_serial_10G_tx_back1_arr(i);
---    --  i_serial_10G_rx_back1_arr(i) <= serial_10G_rx_back_arr(i+c_nof_streams_back0);
---    --END GENERATE;
---
---    u_back_io : ENTITY unb2_board_lib.unb2_board_back_io
---    GENERIC MAP (
---      g_nof_back_bus => c_nof_back_bus
---    )
---    PORT MAP (
---      serial_tx_arr => serial_10G_tx_back_arr,
---      serial_rx_arr => serial_10G_rx_back_arr,
---
---      -- Serial I/O
---      -- back transceivers
---      BCK_RX(0)  => BCK_RX(c_nof_streams_back0-1 downto 0),
---      BCK_TX(0)  => BCK_TX(c_nof_streams_back0-1 downto 0),
---      --BCK_RX(1)  => BCK_RX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
---      --BCK_TX(1)  => BCK_TX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
---
---      BCK_SDA => BCK_SDA,
---      BCK_SCL => BCK_SCL,
---      BCK_ERR => BCK_ERR
---    );
+      generic map (
+        g_nof_qsfp_bus => c_nof_qsfp_bus
+      )
+      port map (
+        serial_tx_arr => serial_10G_tx_qsfp_arr,
+        serial_rx_arr => serial_10G_rx_qsfp_arr,
+
+        green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
+
+        QSFP_RX    => i_QSFP_RX,
+        QSFP_TX    => i_QSFP_TX,
+
+        --QSFP_SDA   => QSFP_SDA,
+        --QSFP_SCL   => QSFP_SCL,
+        --QSFP_RST   => QSFP_RST,
+
+        QSFP_LED   => QSFP_LED
+      );
+
+    --    gen_ring_wires: FOR i IN 0 TO c_nof_streams_ring-1 GENERATE
+    --        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i+c_nof_streams_qsfp);
+    --      i_serial_10G_rx_qsfp_ring_arr(i+c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
+    --    END GENERATE;
+    --
+    --    i_RING_RX(0) <= RING_0_RX;
+    --    i_RING_RX(1) <= RING_1_RX;
+    --    RING_0_TX <= i_RING_TX(0);
+    --    RING_1_TX <= i_RING_TX(1);
+    --
+    --    u_ring_io : ENTITY unb2_board_lib.unb2_board_ring_io
+    --    GENERIC MAP (
+    --      g_nof_ring_bus => 2--c_nof_ring_bus
+    --    )
+    --    PORT MAP (
+    --      serial_tx_arr => serial_10G_tx_ring_arr,
+    --      serial_rx_arr => serial_10G_rx_ring_arr,
+    --      RING_RX => i_RING_RX,
+    --      RING_TX => i_RING_TX
+    --    );
+
+
+    --    u_tr_10GbE_back: ENTITY unb2_board_10gbe_lib.unb2_board_10gbe -- BACK lines
+    --    GENERIC MAP (
+    --      g_sim           => g_sim,
+    --      g_sim_level     => 1,
+    --      g_technology    => g_technology,
+    --      g_nof_macs      => c_nof_streams_back0,
+    --      g_tx_fifo_fill  => c_def_10GbE_block_size,
+    --      g_tx_fifo_size  => c_def_10GbE_block_size*2
+    --    )
+    --    PORT MAP (
+    --      tr_ref_clk          => SB_CLK,
+    --      mm_rst              => mm_rst,
+    --      mm_clk              => mm_clk,
+    --      reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
+    --      reg_mac_miso        => reg_tr_10GbE_back0_miso,
+    --      reg_eth10g_mosi     => reg_eth10g_back0_mosi,
+    --      reg_eth10g_miso     => reg_eth10g_back0_miso,
+    --      dp_rst              => dp_rst,
+    --      dp_clk              => dp_clk,
+    --
+    --      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    ----      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0-1 DOWNTO 0),
+    --
+    --      serial_tx_arr       => i_serial_10G_tx_back0_arr,
+    --      serial_rx_arr       => i_serial_10G_rx_back0_arr
+    --    );
+    --
+    --    gen_back0_wires: FOR i IN 0 TO c_nof_streams_back0-1 GENERATE
+    --        serial_10G_tx_back_arr(i)  <= i_serial_10G_tx_back0_arr(i);
+    --      i_serial_10G_rx_back0_arr(i) <=   serial_10G_rx_back_arr(i);
+    --    END GENERATE;
+    --    --gen_back1_wires: FOR i IN 0 TO c_nof_streams_back1-1 GENERATE
+    --    --    serial_10G_tx_back_arr(i+c_nof_streams_back0) <= i_serial_10G_tx_back1_arr(i);
+    --    --  i_serial_10G_rx_back1_arr(i) <= serial_10G_rx_back_arr(i+c_nof_streams_back0);
+    --    --END GENERATE;
+    --
+    --    u_back_io : ENTITY unb2_board_lib.unb2_board_back_io
+    --    GENERIC MAP (
+    --      g_nof_back_bus => c_nof_back_bus
+    --    )
+    --    PORT MAP (
+    --      serial_tx_arr => serial_10G_tx_back_arr,
+    --      serial_rx_arr => serial_10G_rx_back_arr,
+    --
+    --      -- Serial I/O
+    --      -- back transceivers
+    --      BCK_RX(0)  => BCK_RX(c_nof_streams_back0-1 downto 0),
+    --      BCK_TX(0)  => BCK_TX(c_nof_streams_back0-1 downto 0),
+    --      --BCK_RX(1)  => BCK_RX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
+    --      --BCK_TX(1)  => BCK_TX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
+    --
+    --      BCK_SDA => BCK_SDA,
+    --      BCK_SCL => BCK_SCL,
+    --      BCK_ERR => BCK_ERR
+    --    );
 
 
     u_front_led : entity unb2_board_lib.unb2_board_qsfp_leds
-    generic map (
-      g_sim             => g_sim,
-      g_factory_image   => g_factory_image,
-      g_nof_qsfp        => c_nof_qsfp_bus,
-      g_pulse_us        => 1000 / (10**9 / c_unb2_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst               => dp_rst,
-      clk               => dp_clk,
-
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-
-      tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
-      tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
-      rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
-
-      green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
+      generic map (
+        g_sim             => g_sim,
+        g_factory_image   => g_factory_image,
+        g_nof_qsfp        => c_nof_qsfp_bus,
+        g_pulse_us        => 1000 / (10**9 / c_unb2_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst               => dp_rst,
+        clk               => dp_clk,
+
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+
+        tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
+        tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
+        rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
+
+        green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
       --green_led_arr     => qsfp_green_led_arr(2-1 DOWNTO 0),
       --red_led_arr       => qsfp_red_led_arr(2-1 DOWNTO 0)
-    );
+      );
   end generate;
 
   gen_no_udp_stream_10GbE : if c_use_10GbE = false generate
     u_front_io : entity unb2_board_lib.unb2_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
-    )
-    port map (
-      green_led_arr => qsfp_green_led_arr,
-      red_led_arr   => qsfp_red_led_arr,
-      QSFP_LED      => QSFP_LED
-    );
+      generic map (
+        g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
+      )
+      port map (
+        green_led_arr => qsfp_green_led_arr,
+        red_led_arr   => qsfp_red_led_arr,
+        QSFP_LED      => QSFP_LED
+      );
 
     u_front_led : entity unb2_board_lib.unb2_board_qsfp_leds
-    generic map (
-      g_sim           => g_sim,
-      g_factory_image => g_factory_image,
-      g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
-      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst             => mm_rst,
-      clk             => mm_clk,
-      green_led_arr   => qsfp_green_led_arr,
-      red_led_arr     => qsfp_red_led_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_factory_image => g_factory_image,
+        g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
+        g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst             => mm_rst,
+        clk             => mm_clk,
+        green_led_arr   => qsfp_green_led_arr,
+        red_led_arr     => qsfp_red_led_arr
+      );
   end generate;
 
 
@@ -1101,156 +1101,156 @@ begin
   gen_stream_MB_I : if c_use_MB_I = true generate
 
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr    => g_sim_model_ddr,
-      g_technology       => g_technology,
-
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
-
-      -- IO_DDR
-      g_io_tech_ddr      => g_ddr_MB_I,
-
-      -- DIAG data buffer
-      g_db_use_db        => false,
-      g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_I_REF_CLK,
-      ctlr_ref_rst        => mb_I_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_I_clk200,
-      ctlr_rst_out        => ddr_I_rst200,
-
-      ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_I_IN,
-      phy4_io             => MB_I_IO,
-      phy4_ou             => MB_I_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
-    );
+      generic map (
+        -- System
+        g_sim_model_ddr    => g_sim_model_ddr,
+        g_technology       => g_technology,
+
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+
+        -- IO_DDR
+        g_io_tech_ddr      => g_ddr_MB_I,
+
+        -- DIAG data buffer
+        g_db_use_db        => false,
+        g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_I_REF_CLK,
+        ctlr_ref_rst        => mb_I_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_I_clk200,
+        ctlr_rst_out        => ddr_I_rst200,
+
+        ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_I_IN,
+        phy4_io             => MB_I_IO,
+        phy4_ou             => MB_I_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
+      );
   end generate;
 
   gen_stream_MB_II : if c_use_MB_II = true generate
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr   => g_sim_model_ddr,
-      g_technology      => g_technology,
-
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
-
-      -- IO_DDR
-      g_io_tech_ddr     => g_ddr_MB_II,
-
-      -- DIAG data buffer
-      g_db_use_db       => false,
-      g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_II_REF_CLK,
-      ctlr_ref_rst        => mb_II_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_II_clk200,
-      ctlr_rst_out        => ddr_II_rst200,
-
-      ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_II_IN,
-      phy4_io             => MB_II_IO,
-      phy4_ou             => MB_II_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
-    );
+      generic map (
+        -- System
+        g_sim_model_ddr   => g_sim_model_ddr,
+        g_technology      => g_technology,
+
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+
+        -- IO_DDR
+        g_io_tech_ddr     => g_ddr_MB_II,
+
+        -- DIAG data buffer
+        g_db_use_db       => false,
+        g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_II_REF_CLK,
+        ctlr_ref_rst        => mb_II_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_II_clk200,
+        ctlr_rst_out        => ddr_II_rst200,
+
+        ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_II_IN,
+        phy4_io             => MB_II_IO,
+        phy4_ou             => MB_II_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test_pkg.vhd b/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test_pkg.vhd
index 19dc929de3..cce87fb1fb 100644
--- a/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test_pkg.vhd
+++ b/boards/uniboard2/designs/unb2_test/src/vhdl/unb2_test_pkg.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 package unb2_test_pkg is
 
@@ -31,27 +31,27 @@ package unb2_test_pkg is
   --CONSTANT c_nof_hdr_fields : NATURAL := 1+3+12+4+2; -- Total header bits = 384 = 6 64b words
   constant c_nof_hdr_fields : natural := 3 + 12 + 4 + 2;  -- Total header bits = 384 = 6 64b words
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := (  -- ( field_name_pad("align"              ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
+    ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
 
   --CONSTANT c_hdr_field_ovr_init         : STD_LOGIC_VECTOR(c_nof_hdr_fields-1 DOWNTO 0) := "1001"&"111111111100"&"0011"&"00";
   constant c_hdr_field_ovr_init         : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := "001" & "111111111100" & "0011" & "00";
diff --git a/boards/uniboard2/designs/unb2_test/tb/vhdl/tb_unb2_test.vhd b/boards/uniboard2/designs/unb2_test/tb/vhdl/tb_unb2_test.vhd
index 2893054f64..7113ba43f4 100644
--- a/boards/uniboard2/designs/unb2_test/tb/vhdl/tb_unb2_test.vhd
+++ b/boards/uniboard2/designs/unb2_test/tb/vhdl/tb_unb2_test.vhd
@@ -43,14 +43,14 @@
 --
 
 library IEEE, common_lib, unb2_board_lib, i2c_lib, technology_lib, tech_pll_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_unb2_test is
   generic (
@@ -187,143 +187,143 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_unb2_test : entity work.unb2_test
-  generic map (
-    g_design_name   => g_design_name,
-    g_sim           => c_sim,
-    g_sim_unb_nr    => c_unb_nr,
-    g_sim_node_nr   => c_node_nr,
-    g_sim_model_ddr => g_sim_model_ddr,
-    g_ddr_MB_I      => c_ddr_MB_I,
-    g_ddr_MB_II     => c_ddr_MB_II
-  )
-  port map (
-    -- GENERAL
-    CLK         => clk,
-    PPS         => pps,
-    WDI         => WDI,
-    INTA        => INTA,
-    INTB        => INTB,
-
-    SENS_SC     => sens_scl,
-    SENS_SD     => sens_sda,
-
-    -- Others
-    VERSION     => VERSION,
-    ID          => ID,
-    TESTIO      => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_CLK     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK      => sa_clk,
-    SB_CLK      => sb_clk,
-    BCK_REF_CLK => bck_ref_clk,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => mb_I_ref_clk,
-    MB_II_REF_CLK => mb_II_ref_clk,
-
-    PMBUS_ALERT => '0',
-
-    -- Serial I/O
-    QSFP_0_TX  => si_lpbk_0,
-    QSFP_0_RX  => si_lpbk_0,
-    QSFP_1_TX  => si_lpbk_1,
-    QSFP_1_RX  => si_lpbk_1,
-    QSFP_2_TX  => si_lpbk_2,
-    QSFP_2_RX  => si_lpbk_2,
-    QSFP_3_TX  => si_lpbk_3,
-    QSFP_3_RX  => si_lpbk_3,
-    QSFP_4_TX  => si_lpbk_4,
-    QSFP_4_RX  => si_lpbk_4,
-    QSFP_5_TX  => si_lpbk_5,
-    QSFP_5_RX  => si_lpbk_5,
---
---    RING_0_TX  => si_lpbk_6,
---    RING_0_RX  => si_lpbk_6,
---    RING_1_TX  => si_lpbk_7,
---    RING_1_RX  => si_lpbk_7,
---
---    BCK_TX     => si_lpbk_8,
---    BCK_RX     => si_lpbk_8,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN    => MB_I_IN,
-    MB_I_IO    => MB_I_IO,
-    MB_I_OU    => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN   => MB_II_IN,
-    MB_II_IO   => MB_II_IO,
-    MB_II_OU   => MB_II_OU,
-
-    -- Leds
-    QSFP_LED   => qsfp_led
-  );
+    generic map (
+      g_design_name   => g_design_name,
+      g_sim           => c_sim,
+      g_sim_unb_nr    => c_unb_nr,
+      g_sim_node_nr   => c_node_nr,
+      g_sim_model_ddr => g_sim_model_ddr,
+      g_ddr_MB_I      => c_ddr_MB_I,
+      g_ddr_MB_II     => c_ddr_MB_II
+    )
+    port map (
+      -- GENERAL
+      CLK         => clk,
+      PPS         => pps,
+      WDI         => WDI,
+      INTA        => INTA,
+      INTB        => INTB,
+
+      SENS_SC     => sens_scl,
+      SENS_SD     => sens_sda,
+
+      -- Others
+      VERSION     => VERSION,
+      ID          => ID,
+      TESTIO      => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_CLK     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK      => sa_clk,
+      SB_CLK      => sb_clk,
+      BCK_REF_CLK => bck_ref_clk,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => mb_I_ref_clk,
+      MB_II_REF_CLK => mb_II_ref_clk,
+
+      PMBUS_ALERT => '0',
+
+      -- Serial I/O
+      QSFP_0_TX  => si_lpbk_0,
+      QSFP_0_RX  => si_lpbk_0,
+      QSFP_1_TX  => si_lpbk_1,
+      QSFP_1_RX  => si_lpbk_1,
+      QSFP_2_TX  => si_lpbk_2,
+      QSFP_2_RX  => si_lpbk_2,
+      QSFP_3_TX  => si_lpbk_3,
+      QSFP_3_RX  => si_lpbk_3,
+      QSFP_4_TX  => si_lpbk_4,
+      QSFP_4_RX  => si_lpbk_4,
+      QSFP_5_TX  => si_lpbk_5,
+      QSFP_5_RX  => si_lpbk_5,
+      --
+      --    RING_0_TX  => si_lpbk_6,
+      --    RING_0_RX  => si_lpbk_6,
+      --    RING_1_TX  => si_lpbk_7,
+      --    RING_1_RX  => si_lpbk_7,
+      --
+      --    BCK_TX     => si_lpbk_8,
+      --    BCK_RX     => si_lpbk_8,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN    => MB_I_IN,
+      MB_I_IO    => MB_I_IO,
+      MB_I_OU    => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN   => MB_II_IN,
+      MB_II_IO   => MB_II_IO,
+      MB_II_OU   => MB_II_OU,
+
+      -- Leds
+      QSFP_LED   => qsfp_led
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard sensors
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard DDR4
   ------------------------------------------------------------------------------
 
   u_tech_ddr_memory_model_MB_I : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_I
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_I_OU,
-    mem4_io => MB_I_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_I
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_I_OU,
+      mem4_io => MB_I_IO
+    );
 
   u_tech_ddr_memory_model_MB_II : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_II
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_II_OU,
-    mem4_io => MB_II_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_II
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_II_OU,
+      mem4_io => MB_II_IO
+    );
 
 end tb;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/ctrl_unb2_board.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/ctrl_unb2_board.vhd
index b90b45a0f5..7dab9af04a 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/ctrl_unb2_board.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/ctrl_unb2_board.vhd
@@ -25,16 +25,16 @@
 --   . ctrl_unb2_board.vhd with e.g. 1GbE, PPS, I2C, Remu, EPCS
 
 library IEEE, common_lib, dp_lib, ppsh_lib, i2c_lib, technology_lib, tech_tse_lib, eth_lib, remu_lib, epcs_lib, tech_pll_lib, tech_clkbuf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb2_board_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb2_board_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity ctrl_unb2_board is
   generic (
@@ -319,15 +319,15 @@ begin
   i_ext_clk200 <= CLK;  -- use more special name for CLK pin signal to ease searching for it in editor, the external 200 MHz CLK as ext_clk200
 
   u_common_areset_ext : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_ext_clk200,
-    out_rst   => ext_rst200
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_ext_clk200,
+      out_rst   => ext_rst200
+    );
 
   -----------------------------------------------------------------------------
   -- xo_ethclk = ETH_CLK
@@ -336,15 +336,15 @@ begin
   i_xo_ethclk <= ETH_CLK;  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_xo_ethclk,
-    out_rst   => i_xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_xo_ethclk,
+      out_rst   => i_xo_rst
+    );
 
 
   -----------------------------------------------------------------------------
@@ -353,26 +353,26 @@ begin
   -----------------------------------------------------------------------------
 
   u_common_areset_mb_I : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_I_REF_CLK,
-    out_rst   => mb_I_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_I_REF_CLK,
+      out_rst   => mb_I_ref_rst
+    );
 
   u_common_areset_mb_II : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_II_REF_CLK,
-    out_rst   => mb_II_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_II_REF_CLK,
+      out_rst   => mb_II_ref_rst
+    );
 
   -----------------------------------------------------------------------------
   -- dp_clk
@@ -383,46 +383,46 @@ begin
     dp_clk <= i_ext_clk200;
 
     u_common_areset_st : entity common_lib.common_areset
-    generic map (
-      g_rst_level => '1',
-      g_delay_len => c_reset_len
-    )
-    port map (
-      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-      clk       => dp_clk_in,
-      out_rst   => dp_rst
-    );
+      generic map (
+        g_rst_level => '1',
+        g_delay_len => c_reset_len
+      )
+      port map (
+        in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+        clk       => dp_clk_in,
+        out_rst   => dp_rst
+      );
   end generate;
 
   gen_dp_clk_hardware: if g_sim = false generate
     gen_pll: if g_dp_clk_use_pll = true generate
       u_unb2_board_clk200_pll : entity work.unb2_board_clk200_pll
-      generic map (
-        g_technology          => g_technology,
-        g_use_fpll            => true,
-        g_clk200_phase_shift  => g_dp_clk_phase
-      )
-      port map (
-        arst       => i_mm_rst,
-        clk200     => i_ext_clk200,
-        st_clk200  => dp_clk,  -- = c0
-        st_rst200  => dp_rst
-      );
+        generic map (
+          g_technology          => g_technology,
+          g_use_fpll            => true,
+          g_clk200_phase_shift  => g_dp_clk_phase
+        )
+        port map (
+          arst       => i_mm_rst,
+          clk200     => i_ext_clk200,
+          st_clk200  => dp_clk,  -- = c0
+          st_rst200  => dp_rst
+        );
     end generate;
 
     no_pll: if g_dp_clk_use_pll = false generate
       dp_clk <= i_ext_clk200;
 
       u_common_areset_st : entity common_lib.common_areset
-      generic map (
-        g_rst_level => '1',
-        g_delay_len => c_reset_len
-      )
-      port map (
-        in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-        clk       => dp_clk_in,
-        out_rst   => dp_rst
-      );
+        generic map (
+          g_rst_level => '1',
+          g_delay_len => c_reset_len
+        )
+        port map (
+          in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+          clk       => dp_clk_in,
+          out_rst   => dp_rst
+        );
     end generate;
   end generate;
 
@@ -440,48 +440,48 @@ begin
               clk50;  -- default
 
   gen_mm_clk_sim: if g_sim = true generate
-      epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
-      clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
-      clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
-      clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
-      mm_sim_clk  <= not mm_sim_clk after 50 ns;  -- 10 MHz, 100ns/2  --> FIXME: this mm_sim_clk should come from the MMM so that its speed can be adapted
-      mm_locked   <= '0', '1' after 70 ns;
+    epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
+    clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
+    clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
+    clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
+    mm_sim_clk  <= not mm_sim_clk after 50 ns;  -- 10 MHz, 100ns/2  --> FIXME: this mm_sim_clk should come from the MMM so that its speed can be adapted
+    mm_locked   <= '0', '1' after 70 ns;
   end generate;
 
   gen_mm_clk_hardware: if g_sim = false generate
     u_unb2_board_clk125_pll : entity work.unb2_board_clk125_pll
+      generic map (
+        g_use_fpll   => true,
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk125     => i_xo_ethclk,
+        c0_clk20   => epcs_clk,
+        c1_clk50   => clk50,
+        c2_clk100  => clk100,
+        c3_clk125  => clk125,
+        pll_locked => mm_locked
+      );
+  end generate;
+
+  u_unb2_board_node_ctrl : entity work.unb2_board_node_ctrl
     generic map (
-      g_use_fpll   => true,
-      g_technology => g_technology
+      g_pulse_us => g_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
     )
     port map (
-      arst       => i_xo_rst,
-      clk125     => i_xo_ethclk,
-      c0_clk20   => epcs_clk,
-      c1_clk50   => clk50,
-      c2_clk100  => clk100,
-      c3_clk125  => clk125,
-      pll_locked => mm_locked
+      -- MM clock domain reset
+      mm_clk      => i_mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => i_mm_rst,
+      -- WDI extend
+      mm_wdi_in   => pout_wdi,
+      mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
     );
-  end generate;
-
-  u_unb2_board_node_ctrl : entity work.unb2_board_node_ctrl
-  generic map (
-    g_pulse_us => g_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => i_mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => i_mm_rst,
-    -- WDI extend
-    mm_wdi_in   => pout_wdi,
-    mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
 
 
   -----------------------------------------------------------------------------
@@ -490,33 +490,33 @@ begin
   cs_sim <= is_true(g_sim);
 
   u_mms_unb2_board_system_info : entity work.mms_unb2_board_system_info
-  generic map (
-    g_sim         => g_sim,
-    g_technology  => g_technology,
-    g_design_name => g_design_name,
-    g_fw_version  => g_fw_version,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_design_note => g_design_note,
-    g_rom_version => c_rom_version
-  )
-  port map (
-    mm_clk      => i_mm_clk,
-    mm_rst      => i_mm_rst,
-
-    hw_version  => VERSION,
-    id          => ID,
-
-    reg_mosi    => reg_unb_system_info_mosi,
-    reg_miso    => reg_unb_system_info_miso,
-
-    rom_mosi    => rom_unb_system_info_mosi,
-    rom_miso    => rom_unb_system_info_miso,
-
-    chip_id     => this_chip_id,
-    bck_id      => this_bck_id
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_technology  => g_technology,
+      g_design_name => g_design_name,
+      g_fw_version  => g_fw_version,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_design_note => g_design_note,
+      g_rom_version => c_rom_version
+    )
+    port map (
+      mm_clk      => i_mm_clk,
+      mm_rst      => i_mm_rst,
+
+      hw_version  => VERSION,
+      id          => ID,
+
+      reg_mosi    => reg_unb_system_info_mosi,
+      reg_miso    => reg_unb_system_info_miso,
+
+      rom_mosi    => rom_unb_system_info_mosi,
+      rom_miso    => rom_unb_system_info_miso,
+
+      chip_id     => this_chip_id,
+      bck_id      => this_bck_id
+    );
 
 
   -----------------------------------------------------------------------------
@@ -554,12 +554,12 @@ begin
   led_toggle_green <= sel_a_b(g_factory_image = false, led_toggle, '0');
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => i_mm_rst,
-    clk         => i_mm_clk,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => i_mm_rst,
+      clk         => i_mm_clk,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
 
   ------------------------------------------------------------------------------
@@ -571,15 +571,15 @@ begin
   WDI <= mm_wdi or temp_alarm or wdi_override;
 
   u_unb2_board_wdi_reg : entity work.unb2_board_wdi_reg
-  port map (
-    mm_rst              => i_mm_rst,
-    mm_clk              => i_mm_clk,
+    port map (
+      mm_rst              => i_mm_rst,
+      mm_clk              => i_mm_clk,
 
-    sla_in              => reg_wdi_mosi,
-    sla_out             => reg_wdi_miso,
+      sla_in              => reg_wdi_mosi,
+      sla_out             => reg_wdi_miso,
 
-    wdi_override        => wdi_override
-  );
+      wdi_override        => wdi_override
+    );
 
 
   ------------------------------------------------------------------------------
@@ -589,73 +589,73 @@ begin
   -- So there is full control over the memory mapped registers to set start address of the flash
   -- and reconfigure from that address.
   u_mms_remu: entity remu_lib.mms_remu
-  generic map (
-    g_technology       => g_technology
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology       => g_technology
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    remu_mosi          => reg_remu_mosi,
-    remu_miso          => reg_remu_miso
-  );
+      remu_mosi          => reg_remu_mosi,
+      remu_miso          => reg_remu_miso
+    );
 
   -------------------------------------------------------------------------------
   ---- EPCS
   -------------------------------------------------------------------------------
   u_mms_epcs: entity epcs_lib.mms_epcs
-  generic map (
-    g_technology         => g_technology,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology         => g_technology,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    epcs_mosi          => reg_epcs_mosi,
-    epcs_miso          => reg_epcs_miso,
+      epcs_mosi          => reg_epcs_mosi,
+      epcs_miso          => reg_epcs_miso,
 
-    dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
-    dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
+      dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
+      dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
 
-    dpmm_data_mosi     => reg_dpmm_data_mosi,
-    dpmm_data_miso     => reg_dpmm_data_miso,
+      dpmm_data_mosi     => reg_dpmm_data_mosi,
+      dpmm_data_miso     => reg_dpmm_data_miso,
 
-    mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
-    mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
+      mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
+      mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
 
-    mmdp_data_mosi     => reg_mmdp_data_mosi,
-    mmdp_data_miso     => reg_mmdp_data_miso
-  );
+      mmdp_data_mosi     => reg_mmdp_data_mosi,
+      mmdp_data_miso     => reg_mmdp_data_miso
+    );
 
   ------------------------------------------------------------------------------
   -- PPS input
   ------------------------------------------------------------------------------
 
   u_mms_ppsh : entity ppsh_lib.mms_ppsh
-  generic map (
-    g_technology      => g_technology,
-    g_st_clk_freq     => g_dp_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst           => i_mm_rst,
-    mm_clk           => i_mm_clk,
-    st_rst           => dp_rst_in,
-    st_clk           => dp_clk_in,
-    pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
-
-    -- Memory-mapped clock domain
-    reg_mosi         => reg_ppsh_mosi,
-    reg_miso         => reg_ppsh_miso,
-
-    -- Streaming clock domain
-    pps_sys          => dp_pps
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_st_clk_freq     => g_dp_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst           => i_mm_rst,
+      mm_clk           => i_mm_clk,
+      st_rst           => dp_rst_in,
+      st_clk           => dp_clk_in,
+      pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
+
+      -- Memory-mapped clock domain
+      reg_mosi         => reg_ppsh_mosi,
+      reg_miso         => reg_ppsh_miso,
+
+      -- Streaming clock domain
+      pps_sys          => dp_pps
+    );
 
 
   ------------------------------------------------------------------------------
@@ -665,69 +665,69 @@ begin
   mm_board_sens_start <= mm_pulse_s when g_sim = false else mm_pulse_ms;  -- speed up in simulation
 
   u_mms_unb2_board_sens : entity work.mms_unb2_board_sens
-  generic map (
-    g_sim       => g_sim,
-    g_clk_freq  => g_mm_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_sens_mosi,
-    reg_miso  => reg_unb_sens_miso,
-
-    -- i2c bus
-    scl       => SENS_SC,
-    sda       => SENS_SD
-  );
+    generic map (
+      g_sim       => g_sim,
+      g_clk_freq  => g_mm_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_sens_mosi,
+      reg_miso  => reg_unb_sens_miso,
+
+      -- i2c bus
+      scl       => SENS_SC,
+      sda       => SENS_SD
+    );
 
   u_mms_unb2_board_pmbus : entity work.mms_unb2_board_sens
-  generic map (
-    g_sim       => g_sim,
-    g_pmbus     => true,
-    g_clk_freq  => 8 * 10**6  -- I2C bus run at ~300kHz @ mm_clk=50MHz
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_pmbus_mosi,
-    reg_miso  => reg_unb_pmbus_miso,
-
-    -- i2c bus
-    scl       => PMBUS_SC,
-    sda       => PMBUS_SD
-  );
+    generic map (
+      g_sim       => g_sim,
+      g_pmbus     => true,
+      g_clk_freq  => 8 * 10**6  -- I2C bus run at ~300kHz @ mm_clk=50MHz
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_pmbus_mosi,
+      reg_miso  => reg_unb_pmbus_miso,
+
+      -- i2c bus
+      scl       => PMBUS_SC,
+      sda       => PMBUS_SD
+    );
 
   u_mms_unb2_fpga_sens : entity work.mms_unb2_fpga_sens
-  generic map (
-    g_sim        => g_sim,
-    g_technology => g_technology,
-    g_temp_high  => g_fpga_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-
-    --mm_start  => mm_board_sens_start, -- this does not work, perhaps pulsewidth is too small
-    mm_start  => '1',  -- this works
-
-    -- Memory-mapped clock domain
-    reg_temp_mosi  => reg_fpga_temp_sens_mosi,
-    reg_temp_miso  => reg_fpga_temp_sens_miso,
-    reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_voltage_miso  => reg_fpga_voltage_sens_miso,
-
-    -- Temperature alarm
-    temp_alarm => temp_alarm
-  );
+    generic map (
+      g_sim        => g_sim,
+      g_technology => g_technology,
+      g_temp_high  => g_fpga_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+
+      --mm_start  => mm_board_sens_start, -- this does not work, perhaps pulsewidth is too small
+      mm_start  => '1',  -- this works
+
+      -- Memory-mapped clock domain
+      reg_temp_mosi  => reg_fpga_temp_sens_mosi,
+      reg_temp_miso  => reg_fpga_temp_sens_miso,
+      reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_voltage_miso  => reg_fpga_voltage_sens_miso,
+
+      -- Temperature alarm
+      temp_alarm => temp_alarm
+    );
 
 
   ------------------------------------------------------------------------------
@@ -737,18 +737,18 @@ begin
   gen_tse_clk_buf: if g_tse_clk_buf = true generate
     -- Separate clkbuf for the 1GbE tse_clk:
     u_tse_clk_buf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => i_xo_ethclk,
-      outclk => i_tse_clk
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => i_xo_ethclk,
+        outclk => i_tse_clk
+      );
   end generate;
 
   gen_tse_no_clk_buf: if g_tse_clk_buf = false generate
-      i_tse_clk <= i_xo_ethclk;
+    i_tse_clk <= i_xo_ethclk;
   end generate;
 
 
@@ -775,43 +775,43 @@ begin
     eth1g_st_rst <= dp_rst_in when g_udp_offload = true else eth1g_mm_rst;
 
     u_eth : entity eth_lib.eth
-    generic map (
-      g_technology         => g_technology,
-      g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
-      g_cross_clock_domain => g_udp_offload,
-      g_frm_discard_en     => true
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
-      mm_clk            => i_mm_clk,  -- use mm_clk direct
-      eth_clk           => i_tse_clk,  -- 125 MHz clock
-      st_rst            => eth1g_st_rst,
-      st_clk            => eth1g_st_clk,
-
-      -- UDP transmit interface
-      udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
-      udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
-      -- UDP receive interface
-      udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
-      udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves
-      tse_sla_in        => eth1g_tse_mosi,
-      tse_sla_out       => eth1g_tse_miso,
-      reg_sla_in        => eth1g_reg_mosi,
-      reg_sla_out       => eth1g_reg_miso,
-      reg_sla_interrupt => eth1g_reg_interrupt,
-      ram_sla_in        => eth1g_ram_mosi,
-      ram_sla_out       => eth1g_ram_miso,
-
-      -- PHY interface
-      eth_txp           => ETH_SGOUT(0),
-      eth_rxp           => ETH_SGIN(0),
-
-      -- LED interface
-      tse_led           => eth1g_led
-    );
+      generic map (
+        g_technology         => g_technology,
+        g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
+        g_cross_clock_domain => g_udp_offload,
+        g_frm_discard_en     => true
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
+        mm_clk            => i_mm_clk,  -- use mm_clk direct
+        eth_clk           => i_tse_clk,  -- 125 MHz clock
+        st_rst            => eth1g_st_rst,
+        st_clk            => eth1g_st_clk,
+
+        -- UDP transmit interface
+        udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
+        udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
+        -- UDP receive interface
+        udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
+        udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves
+        tse_sla_in        => eth1g_tse_mosi,
+        tse_sla_out       => eth1g_tse_miso,
+        reg_sla_in        => eth1g_reg_mosi,
+        reg_sla_out       => eth1g_reg_miso,
+        reg_sla_interrupt => eth1g_reg_interrupt,
+        ram_sla_in        => eth1g_ram_mosi,
+        ram_sla_out       => eth1g_ram_miso,
+
+        -- PHY interface
+        eth_txp           => ETH_SGOUT(0),
+        eth_rxp           => ETH_SGIN(0),
+
+        -- LED interface
+        tse_led           => eth1g_led
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_sens.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_sens.vhd
index 088f538a7a..49102655d0 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_sens.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_sens.vhd
@@ -23,10 +23,10 @@
 -- Description: See unb2_board_sens.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity mms_unb2_board_sens is
@@ -69,47 +69,47 @@ architecture str of mms_unb2_board_sens is
 begin
 
   u_unb2_board_sens_reg : entity work.unb2_board_sens_reg
-  generic map (
-    g_sens_nof_result => c_sens_nof_result,
-    g_temp_high       => g_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in       => reg_mosi,
-    sla_out      => reg_miso,
-
-    -- MM registers
-    sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
-    sens_data    => sens_data,
-
-    -- Max temp threshold
-    temp_high    => temp_high
-  );
+    generic map (
+      g_sens_nof_result => c_sens_nof_result,
+      g_temp_high       => g_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in       => reg_mosi,
+      sla_out      => reg_miso,
+
+      -- MM registers
+      sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
+      sens_data    => sens_data,
+
+      -- Max temp threshold
+      temp_high    => temp_high
+    );
 
   u_unb2_board_sens : entity work.unb2_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_pmbus           => g_pmbus,
-    g_clk_freq        => g_clk_freq,
-    g_temp_high       => g_temp_high,
-    g_sens_nof_result => c_sens_nof_result
-  )
-  port map (
-    clk          => mm_clk,
-    rst          => mm_rst,
-    start        => mm_start,
-    -- i2c bus
-    scl          => scl,
-    sda          => sda,
-    -- read results
-    sens_evt     => OPEN,
-    sens_err     => sens_err,
-    sens_data    => sens_data
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_pmbus           => g_pmbus,
+      g_clk_freq        => g_clk_freq,
+      g_temp_high       => g_temp_high,
+      g_sens_nof_result => c_sens_nof_result
+    )
+    port map (
+      clk          => mm_clk,
+      rst          => mm_rst,
+      start        => mm_start,
+      -- i2c bus
+      scl          => scl,
+      sda          => sda,
+      -- read results
+      sens_evt     => OPEN,
+      sens_err     => sens_err,
+      sens_data    => sens_data
+    );
 
   -- Temperature: 7 bits (1 bit per degree) plus sign. A faulty readout (never pulled down = all ones)
   -- would produce -1 degrees so does not trigger a temperature alarm.
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_system_info.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_system_info.vhd
index 75ff5e6a7c..d4f6ede587 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_system_info.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_board_system_info.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity mms_unb2_board_system_info is
   generic (
@@ -58,7 +58,7 @@ entity mms_unb2_board_system_info is
 
     -- Info output still supported for older designs
     info            : out std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end mms_unb2_board_system_info;
 
 
@@ -70,72 +70,74 @@ architecture str of mms_unb2_board_system_info is
   constant c_modelsim_path_prefix : string := "$UNB/Firmware/designs/" & g_design_name & "/build/synth/quartus/";
   constant c_path_prefix          : string := sel_a_b(g_sim, c_modelsim_path_prefix, c_quartus_path_prefix);
 
--- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
---  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
+  -- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
+  --  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
   constant c_mif_name    : string :=  sel_a_b(g_sim, "UNUSED", sel_a_b((g_design_name = "UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif"));
 
   constant c_rom_addr_w  : natural := 10;  -- 2^10 = 1024 addresses * 32 bits = 4 kiB
 
-  constant c_mm_rom      : t_c_mem := (latency  => 1,
-                                      adr_w    => c_rom_addr_w,
-                                      dat_w    => c_word_w,
-                                      nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
-                                      init_sl  => '0');
+  constant c_mm_rom      : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_rom_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
 
   signal i_info          : std_logic_vector(c_word_w - 1 downto 0);
 
 begin
 
- info <= i_info;
+  info <= i_info;
 
   u_unb2_board_system_info: entity work.unb2_board_system_info
-  generic map (
-    g_sim        => g_sim,
-    g_fw_version => g_fw_version,
-    g_rom_version => g_rom_version,
-    g_technology  => g_technology
-  )
-  port map (
-    clk        => mm_clk,
-    hw_version => hw_version,
-    id         => id,
-    info       => i_info,
-    chip_id    => chip_id,
-    bck_id     => bck_id
-   );
+    generic map (
+      g_sim        => g_sim,
+      g_fw_version => g_fw_version,
+      g_rom_version => g_rom_version,
+      g_technology  => g_technology
+    )
+    port map (
+      clk        => mm_clk,
+      hw_version => hw_version,
+      id         => id,
+      info       => i_info,
+      chip_id    => chip_id,
+      bck_id     => bck_id
+    );
 
   u_unb2_board_system_info_reg: entity work.unb2_board_system_info_reg
-  generic map (
-    g_design_name => g_design_name,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_design_note => g_design_note
-  )
-  port map (
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
-
-    sla_in    => reg_mosi,
-    sla_out   => reg_miso,
-
-    info      => i_info
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_design_note => g_design_note
+    )
+    port map (
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+
+      sla_in    => reg_mosi,
+      sla_out   => reg_miso,
+
+      info      => i_info
+    );
 
   u_common_rom : entity common_lib.common_rom
-  generic map (
-    g_technology => g_technology,
-    g_ram       => c_mm_rom,
-    g_init_file => c_mif_name
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => mm_clk,
-    rd_en   => rom_mosi.rd,
-    rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
-    rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
-    rd_val  => rom_miso.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram       => c_mm_rom,
+      g_init_file => c_mif_name
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => mm_clk,
+      rd_en   => rom_mosi.rd,
+      rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
+      rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
+      rd_val  => rom_miso.rdval
+    );
 
 end str;
 
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_fpga_sens.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_fpga_sens.vhd
index e619ec2ef2..4b3d863e8c 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_fpga_sens.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/mms_unb2_fpga_sens.vhd
@@ -23,11 +23,11 @@
 -- Description: See unb2_fpga_sens.vhd
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity mms_unb2_fpga_sens is
@@ -67,51 +67,51 @@ architecture str of mms_unb2_fpga_sens is
 begin
 
   u_unb2_fpga_sens_reg : entity work.unb2_fpga_sens_reg
-  generic map (
-    g_sim             => g_sim,
-    g_technology      => g_technology,
-    g_sens_nof_result => c_sens_nof_result,
-    g_temp_high       => g_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-    start        => mm_start,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_temp_in       => reg_temp_mosi,
-    sla_temp_out      => reg_temp_miso,
-    sla_voltage_in    => reg_voltage_mosi,
-    sla_voltage_out   => reg_voltage_miso,
-
-    -- MM registers
-    --sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
-    --sens_data    => sens_data,
-
-    -- Max temp threshold
-    temp_high    => temp_high
-  );
-
---  u_unb2_board_sens : ENTITY work.unb2_board_sens
---  GENERIC MAP (
---    g_sim             => g_sim,
---    g_clk_freq        => g_clk_freq,
---    g_temp_high       => g_temp_high,
---    g_sens_nof_result => c_sens_nof_result
---  )
---  PORT MAP (
---    clk          => mm_clk,
---    rst          => mm_rst,
---    start        => mm_start,
---    -- i2c bus
---    scl          => scl,
---    sda          => sda,
---    -- read results
---    sens_evt     => OPEN,
---    sens_err     => sens_err,
---    sens_data    => sens_data
---  );
+    generic map (
+      g_sim             => g_sim,
+      g_technology      => g_technology,
+      g_sens_nof_result => c_sens_nof_result,
+      g_temp_high       => g_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+      start        => mm_start,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_temp_in       => reg_temp_mosi,
+      sla_temp_out      => reg_temp_miso,
+      sla_voltage_in    => reg_voltage_mosi,
+      sla_voltage_out   => reg_voltage_miso,
+
+      -- MM registers
+      --sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
+      --sens_data    => sens_data,
+
+      -- Max temp threshold
+      temp_high    => temp_high
+    );
+
+  --  u_unb2_board_sens : ENTITY work.unb2_board_sens
+  --  GENERIC MAP (
+  --    g_sim             => g_sim,
+  --    g_clk_freq        => g_clk_freq,
+  --    g_temp_high       => g_temp_high,
+  --    g_sens_nof_result => c_sens_nof_result
+  --  )
+  --  PORT MAP (
+  --    clk          => mm_clk,
+  --    rst          => mm_rst,
+  --    start        => mm_start,
+  --    -- i2c bus
+  --    scl          => scl,
+  --    sda          => sda,
+  --    -- read results
+  --    sens_evt     => OPEN,
+  --    sens_err     => sens_err,
+  --    sens_data    => sens_data
+  --  );
 
   -- Temperature: 7 bits (1 bit per degree) plus sign. A faulty readout (never pulled down = all ones)
   -- would produce -1 degrees so does not trigger a temperature alarm.
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_back_io.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_back_io.vhd
index a0060fe1cb..7bd6fed35f 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_back_io.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_back_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_back_io is
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk125_pll.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk125_pll.vhd
index bf66c9ae6a..9c7c2ec1c4 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk125_pll.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk125_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 125 MHz
 -- Description:
@@ -64,47 +64,47 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk125,
-      outclk => clk125buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk125,
+        outclk => clk125buf
+      );
   end generate;
 
 
   gen_pll : if g_use_fpll = false generate
     u_pll : entity tech_pll_lib.tech_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
   gen_fractional_pll : if g_use_fpll = true generate
     u_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
 end arria10;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk200_pll.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk200_pll.vhd
index 6bedd749d7..ac18a564ff 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk200_pll.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk200_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 200 MHz
 -- Description:
@@ -140,83 +140,83 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk200,
-      outclk => clk200buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk200,
+        outclk => clk200buf
+      );
   end generate;
 
   gen_st_pll : if g_use_fpll = false generate
     u_st_pll : entity tech_pll_lib.tech_pll_clk200
-    generic map (
-      g_technology       => g_technology,
-      g_operation_mode   => g_operation_mode,
-      g_clk0_phase_shift => g_clk200_phase_shift,
-      g_clk1_phase_shift => g_clk200p_phase_shift
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,
-      c0      => i_st_clk200,
-      c1      => i_st_clk200p,
-      c2      => i_st_clk400,
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_operation_mode   => g_operation_mode,
+        g_clk0_phase_shift => g_clk200_phase_shift,
+        g_clk1_phase_shift => g_clk200p_phase_shift
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,
+        c0      => i_st_clk200,
+        c1      => i_st_clk200p,
+        c2      => i_st_clk400,
+        locked  => st_locked
+      );
   end generate;
 
   gen_st_fractional_pll : if g_use_fpll = true generate
     u_st_fractional_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk200
-    generic map (
-      g_technology       => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,  -- 200 MHz
-      c0      => i_st_clk200,  -- 200 MHz
-      c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
-      c2      => i_st_clk400,  -- 400 MHz
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,  -- 200 MHz
+        c0      => i_st_clk200,  -- 200 MHz
+        c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
+        c2      => i_st_clk400,  -- 400 MHz
+        locked  => st_locked
+      );
   end generate;
 
   -- Release clock domain resets after some clock cycles when the PLL has locked
   st_locked_n <= not st_locked;
 
   u_rst200 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200,
-    out_rst   => i_st_rst200
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200,
+      out_rst   => i_st_rst200
+    );
 
   u_rst200p : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200p,
-    out_rst   => st_rst200p
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200p,
+      out_rst   => st_rst200p
+    );
 
   u_rst400 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk400,
-    out_rst   => st_rst400
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk400,
+      out_rst   => st_rst400
+    );
 
 end arria10;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk25_pll.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk25_pll.vhd
index eef6905655..6b8f6093af 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk25_pll.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk25_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 25 MHz
 -- Description:
@@ -54,16 +54,16 @@ architecture arria10 of unb2_board_clk25_pll is
 begin
 
   u_pll : entity tech_pll_lib.tech_pll_clk25
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    areset  => arst,
-    inclk0  => clk25,
-    c0      => c0_clk20,
-    c1      => c1_clk50,
-    c2      => c2_clk100,
-    c3      => c3_clk125,
-    locked  => pll_locked
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      areset  => arst,
+      inclk0  => clk25,
+      c0      => c0_clk20,
+      c1      => c1_clk50,
+      c2      => c2_clk100,
+      c3      => c3_clk125,
+      locked  => pll_locked
+    );
 end arria10;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk_rst.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk_rst.vhd
index 9d4d3c1bd3..769f2630a6 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk_rst.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_clk_rst.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   1) initial power up xo_rst_n that can be used to reset a SOPC system (via
@@ -59,28 +59,28 @@ begin
   xo_rst_n <= not xo_rst;
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => xo_clk,
-    out_rst   => xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => xo_clk,
+      out_rst   => xo_rst
+    );
 
   -- System clock from SOPC system PLL and system reset
   sys_locked_n <= not sys_locked;
 
   u_common_areset_sys : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => sys_clk,
-    out_rst   => sys_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => sys_clk,
+      out_rst   => sys_rst
+    );
 
 end str;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_front_io.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_front_io.vhd
index 2bbb9e4613..fdf61eff24 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_front_io.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_front_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_front_io is
@@ -69,8 +69,8 @@ begin
   gen_wire_bus : for i in 0 to g_nof_qsfp_bus - 1 generate
     gen_wire_signals : for j in 0 to c_unb2_board_tr_qsfp.bus_w - 1 generate
 
-        si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2_board_tr_qsfp.bus_w + j);
-        serial_rx_arr(i * c_unb2_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
+      si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2_board_tr_qsfp.bus_w + j);
+      serial_rx_arr(i * c_unb2_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
 
     end generate;
   end generate;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_node_ctrl.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_node_ctrl.vhd
index 32c9cd53ff..fd68d6ee22 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_node_ctrl.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Provide the basic node clock control (resets, pulses, WDI)
 -- Description:
@@ -71,44 +71,44 @@ begin
   mm_locked_n <= not mm_locked;
 
   u_common_areset_mm : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => mm_clk,
-    out_rst   => i_mm_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => mm_clk,
+      out_rst   => i_mm_rst
+    );
 
   -- Create 1 pulse per us, per ms and per s
   mm_pulse_ms <= i_mm_pulse_ms;
 
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,
-    g_pulse_ms  => g_pulse_ms,
-    g_pulse_s   => g_pulse_s
-  )
-  port map (
-    rst         => i_mm_rst,
-    clk         => mm_clk,
-    pulse_us    => mm_pulse_us,
-    pulse_ms    => i_mm_pulse_ms,
-    pulse_s     => mm_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,
+      g_pulse_ms  => g_pulse_ms,
+      g_pulse_s   => g_pulse_s
+    )
+    port map (
+      rst         => i_mm_rst,
+      clk         => mm_clk,
+      pulse_us    => mm_pulse_us,
+      pulse_ms    => i_mm_pulse_ms,
+      pulse_s     => mm_pulse_s
+    );
 
   -- Toggle the WDI every 1 ms
   u_unb2_board_wdi_extend : entity work.unb2_board_wdi_extend
-  generic map (
-    g_extend_w => g_wdi_extend_w
-  )
-  port map (
-    rst        => i_mm_rst,
-    clk        => mm_clk,
-    pulse_ms   => i_mm_pulse_ms,
-    wdi_in     => mm_wdi_in,
-    wdi_out    => mm_wdi_out
-  );
+    generic map (
+      g_extend_w => g_wdi_extend_w
+    )
+    port map (
+      rst        => i_mm_rst,
+      clk        => mm_clk,
+      pulse_ms   => i_mm_pulse_ms,
+      wdi_in     => mm_wdi_in,
+      wdi_out    => mm_wdi_out
+    );
 
 end str;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_peripherals_pkg.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_peripherals_pkg.vhd
index 24ed076f79..c8028d0ebc 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_peripherals_pkg.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_peripherals_pkg.vhd
@@ -39,7 +39,7 @@
 --   these widths need to be defined locally in that design.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package unb2_board_peripherals_pkg is
 
@@ -76,10 +76,10 @@ package unb2_board_peripherals_pkg is
 
     -- pi_dp_ram_from_mm
     reg_dp_ram_from_mm_adr_w   : natural;  -- = 1   -- fixed, see dp_ram_from_mm.vhd
- -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
+    -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
 
     -- pi_dp_ram_to_mm
---  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
+    --  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
 
     -- pi_epcs (uses DP-MM read and write FIFOs for data access)
     reg_epcs_adr_w             : natural;  -- = 3   -- fixed, from c_mm_reg in epcs_reg
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pkg.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pkg.vhd
index 00bdd220d7..fd36a9f6fc 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pkg.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pkg.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package unb2_board_pkg is
 
@@ -139,23 +139,25 @@ package unb2_board_pkg is
   type t_c_unb2_board_system_info is record
     version  : natural;  -- UniBoard board HW version (2 bit value)
     id       : natural;  -- UniBoard FPGA node id (8 bit value)
-                         -- Derived ID info:
+    -- Derived ID info:
     bck_id   : natural;  -- = id[7:2], ID part from back plane
     chip_id  : natural;  -- = id[1:0], ID part from UniBoard
     node_id  : natural;  -- = id[1:0], node ID: 0, 1, 2 or 3
     is_node2 : natural;  -- 1 for Node 2, else 0.
   end record;
 
-  function func_unb2_board_system_info(VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info;
+  function func_unb2_board_system_info (
+    VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info;
 
 end unb2_board_pkg;
 
 
 package body unb2_board_pkg is
 
-  function func_unb2_board_system_info(VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info is
+  function func_unb2_board_system_info (
+    VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info is
     variable v_system_info : t_c_unb2_board_system_info;
   begin
     v_system_info.version := to_integer(unsigned(VERSION));
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pmbus_ctrl.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pmbus_ctrl.vhd
index 4baacf00df..ae7f3f6813 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pmbus_ctrl.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_pmbus_ctrl.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_max1617_pkg.all;
-use i2c_lib.i2c_dev_ltc4260_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_max1617_pkg.all;
+  use i2c_lib.i2c_dev_ltc4260_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2_board_pmbus_ctrl is
@@ -75,40 +75,52 @@ architecture rtl of unb2_board_pmbus_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
---    SMBUS_READ_BYTE , LOC_POWER_CORE, LP_VOUT_MODE,
---    SMBUS_READ_WORD , LOC_POWER_CORE, LP_VOUT,
---    SMBUS_READ_WORD , LOC_POWER_CORE, LP_IOUT,
---    SMBUS_READ_WORD , LOC_POWER_CORE, LP_TEMP,
+    --    SMBUS_READ_BYTE , LOC_POWER_CORE, LP_VOUT_MODE,
+    --    SMBUS_READ_WORD , LOC_POWER_CORE, LP_VOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_CORE, LP_IOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_CORE, LP_TEMP,
 
     --SMBUS_READ_BYTE , LOC_POWER_ERAM, LP_VOUT_MODE,
---    SMBUS_READ_WORD , LOC_POWER_ERAM, LP_VOUT,
---    SMBUS_READ_WORD , LOC_POWER_ERAM, LP_IOUT,
---    SMBUS_READ_WORD , LOC_POWER_ERAM, LP_TEMP,
---
-    SMBUS_READ_BYTE , LOC_POWER_TR_R, LP_VOUT_MODE,
-    SMBUS_READ_BYTE , LOC_POWER_TR_R, LP_VOUT_MODE,
-    SMBUS_READ_BYTE , LOC_POWER_TR_R, LP_VOUT_MODE,
-    SMBUS_READ_BYTE , LOC_POWER_TR_R, LP_VOUT_MODE,
+    --    SMBUS_READ_WORD , LOC_POWER_ERAM, LP_VOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_ERAM, LP_IOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_ERAM, LP_TEMP,
+    --
+    SMBUS_READ_BYTE ,
+    LOC_POWER_TR_R,
+    LP_VOUT_MODE,
+    SMBUS_READ_BYTE ,
+    LOC_POWER_TR_R,
+    LP_VOUT_MODE,
+    SMBUS_READ_BYTE ,
+    LOC_POWER_TR_R,
+    LP_VOUT_MODE,
+    SMBUS_READ_BYTE ,
+    LOC_POWER_TR_R,
+    LP_VOUT_MODE,
     --SMBUS_READ_WORD , LOC_POWER_TR_R, LP_VOUT,
     --SMBUS_READ_WORD , LOC_POWER_TR_R, LP_IOUT,
     --SMBUS_READ_WORD , LOC_POWER_TR_R, LP_TEMP,
---
---    --SMBUS_READ_BYTE , LOC_POWER_TR_T, LP_VOUT_MODE,
---    SMBUS_READ_WORD , LOC_POWER_TR_T, LP_VOUT,
---    SMBUS_READ_WORD , LOC_POWER_TR_T, LP_IOUT,
---    SMBUS_READ_WORD , LOC_POWER_TR_T, LP_TEMP,
---
---    --SMBUS_READ_BYTE , LOC_POWER_BAT,  LP_VOUT_MODE,
---    SMBUS_READ_WORD , LOC_POWER_BAT,  LP_VOUT,
---    SMBUS_READ_WORD , LOC_POWER_BAT,  LP_IOUT,
---    SMBUS_READ_WORD , LOC_POWER_BAT,  LP_TEMP,
---
---    --SMBUS_READ_BYTE , LOC_POWER_IO,   LP_VOUT_MODE,
---    SMBUS_READ_WORD , LOC_POWER_IO,   LP_VOUT,
---    SMBUS_READ_WORD , LOC_POWER_IO,   LP_IOUT,
---    SMBUS_READ_WORD , LOC_POWER_IO,   LP_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    --
+    --    --SMBUS_READ_BYTE , LOC_POWER_TR_T, LP_VOUT_MODE,
+    --    SMBUS_READ_WORD , LOC_POWER_TR_T, LP_VOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_TR_T, LP_IOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_TR_T, LP_TEMP,
+    --
+    --    --SMBUS_READ_BYTE , LOC_POWER_BAT,  LP_VOUT_MODE,
+    --    SMBUS_READ_WORD , LOC_POWER_BAT,  LP_VOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_BAT,  LP_IOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_BAT,  LP_TEMP,
+    --
+    --    --SMBUS_READ_BYTE , LOC_POWER_IO,   LP_VOUT_MODE,
+    --    SMBUS_READ_WORD , LOC_POWER_IO,   LP_VOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_IO,   LP_IOUT,
+    --    SMBUS_READ_WORD , LOC_POWER_IO,   LP_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );  -- = (7 24 1) (7 77 1) (7 68 4) (7 68 5) (20, timeout[0:3]) (19)
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_qsfp_leds.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_qsfp_leds.vhd
index 80e8ae543f..e654c43ac5 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_qsfp_leds.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_qsfp_leds.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Provide visual activity information via the UniBoard2 front panel QSFP LEDs.
 -- Description:
@@ -111,43 +111,43 @@ begin
 
   -- Also output the pulses, because they could be useful for other purposes in the clk clock domain as well
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
-    g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
-    g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    pulse_us    => pulse_us,
-    pulse_ms    => i_pulse_ms,
-    pulse_s     => i_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
+      g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
+      g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      pulse_us    => pulse_us,
+      pulse_ms    => i_pulse_ms,
+      pulse_s     => i_pulse_s
+    );
 
   u_common_toggle_s : entity common_lib.common_toggle
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_dat      => i_pulse_s,
-    out_dat     => toggle_s
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_dat      => i_pulse_s,
+      out_dat     => toggle_s
+    );
 
   gen_factory_image : if g_factory_image = true generate
     green_led_arr <= (others => '0');
 
     gen_red_led_arr : for I in g_nof_qsfp - 1 downto 0 generate
       u_red_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        -- led control
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => red_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          -- led control
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => red_led_arr(I)
+        );
     end generate;
   end generate;
 
@@ -166,20 +166,20 @@ begin
       qsfp_evt_arr(I) <= orv(green_evt_arr((I + 1) * c_quad - 1 downto + I * c_quad));
 
       u_green_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        pulse_ms      => i_pulse_ms,
-        -- led control
-        ctrl_on       => qsfp_on_arr(I),
-        ctrl_evt      => qsfp_evt_arr(I),
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => green_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          pulse_ms      => i_pulse_ms,
+          -- led control
+          ctrl_on       => qsfp_on_arr(I),
+          ctrl_evt      => qsfp_evt_arr(I),
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => green_led_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_ring_io.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_ring_io.vhd
index fba74d7787..9f5f981f00 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_ring_io.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_ring_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_ring_io is
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens.vhd
index 22bb96c97f..e0c2696ce9 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use i2c_lib.i2c_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use i2c_lib.i2c_pkg.all;
 
 
 entity unb2_board_sens is
@@ -53,7 +53,7 @@ architecture str of unb2_board_sens is
   -- I2C clock rate settings
   constant c_sens_clk_cnt      : natural := sel_a_b(g_sim, 1, func_i2c_calculate_clk_cnt(g_clk_freq / 10**6));  -- define I2C clock rate
   constant c_sens_comma_w      : natural := 0;  -- 2**c_i2c_comma_w * system clock period comma time after I2C start and after each octet
-                                                -- 0 = no comma time
+  -- 0 = no comma time
 
   constant c_sens_phy          : t_c_i2c_phy := (c_sens_clk_cnt, c_sens_comma_w);
 
@@ -69,70 +69,70 @@ begin
 
   gen_unb2_board_sens_ctrl : if g_pmbus = false generate
     u_unb2_board_sens_ctrl : entity work.unb2_board_sens_ctrl
-    generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
-    );
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
   end generate;
 
   gen_unb2_board_pmbus_ctrl : if g_pmbus = true generate
     u_unb2_board_pmbus_ctrl : entity work.unb2_board_pmbus_ctrl
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
+  end generate;
+
+
+  u_i2c_smbus : entity i2c_lib.i2c_smbus
     generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
+      g_i2c_phy   => c_sens_phy
     )
     port map (
+      gs_sim      => g_sim,
       clk         => clk,
       rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
+      in_dat      => smbus_in_dat,
+      in_req      => smbus_in_val,
+      out_dat     => smbus_out_dat,
+      out_val     => smbus_out_val,
+      out_err     => smbus_out_err,
+      out_ack     => smbus_out_ack,
+      st_end      => smbus_out_end,
+      scl         => scl,
+      sda         => sda
     );
-  end generate;
-
-
-  u_i2c_smbus : entity i2c_lib.i2c_smbus
-  generic map (
-    g_i2c_phy   => c_sens_phy
-  )
-  port map (
-    gs_sim      => g_sim,
-    clk         => clk,
-    rst         => rst,
-    in_dat      => smbus_in_dat,
-    in_req      => smbus_in_val,
-    out_dat     => smbus_out_dat,
-    out_val     => smbus_out_val,
-    out_err     => smbus_out_err,
-    out_ack     => smbus_out_ack,
-    st_end      => smbus_out_end,
-    scl         => scl,
-    sda         => sda
-  );
 
 end architecture;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_ctrl.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_ctrl.vhd
index 409b1bde30..542ec5ea7e 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_ctrl.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_ctrl.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_max1617_pkg.all;
-use i2c_lib.i2c_dev_ltc4260_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_max1617_pkg.all;
+  use i2c_lib.i2c_dev_ltc4260_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2_board_sens_ctrl is
@@ -64,12 +64,24 @@ architecture rtl of unb2_board_sens_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , ETH_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ETH_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ETH_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ETH_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    ETH_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ETH_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ETH_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ETH_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );  -- = (7 24 1) (7 77 1) (7 68 4) (7 68 5) (20, timeout[0:3]) (19)
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_reg.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_reg.vhd
index 1ff3381d0d..0b886f7070 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_reg.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_sens_reg.vhd
@@ -60,10 +60,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2_board_sens_reg is
   generic (
@@ -94,13 +94,15 @@ architecture rtl of unb2_board_sens_reg is
 
   -- Define the actual size of the MM slave register
   constant c_mm_nof_dat : natural := g_sens_nof_result + 1 + 1;  -- +1 to fit user set temp_high one additional address
-                                                             -- +1 to fit sens_err in the last address
-
-  constant c_mm_reg     : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(c_mm_nof_dat),
-                                      dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                      nof_dat  => c_mm_nof_dat,
-                                      init_sl  => '0');
+  -- +1 to fit sens_err in the last address
+
+  constant c_mm_reg     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_mm_nof_dat),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_mm_nof_dat,
+    init_sl  => '0'
+  );
 
   signal i_temp_high    : std_logic_vector(6 downto 0);
 
@@ -134,11 +136,11 @@ begin
       -- Write access: set register value
       if sla_in.wr = '1' then
         if vA = g_sens_nof_result + 1 then
-            -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
-            -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
-            if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
-              i_temp_high <= sla_in.wrdata(6 downto 0);
-            end if;
+          -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
+          -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
+          if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
+            i_temp_high <= sla_in.wrdata(6 downto 0);
+          end if;
         end if;
 
       -- Read access: get register value
@@ -154,7 +156,7 @@ begin
         else
           sla_out.rddata(6 downto 0) <= i_temp_high;
         end if;
-        -- else unused addresses read zero
+      -- else unused addresses read zero
       end if;
     end if;
   end process;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info.vhd
index 3ef429a1f9..d7ad980739 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Keep the UniBoard system info knowledge in this HDL entity and in the
 -- corresponding software functions in unb_common.c,h. This avoids having to
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info_reg.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info_reg.vhd
index 138a1de924..47d5de686b 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info_reg.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_system_info_reg.vhd
@@ -44,11 +44,11 @@
 --  =============================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2_board_pkg.all;
 
 entity unb2_board_system_info_reg is
   generic (
@@ -68,7 +68,7 @@ entity unb2_board_system_info_reg is
     sla_out     : out t_mem_miso;
 
     info        : in  std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end unb2_board_system_info_reg;
 
 
@@ -81,11 +81,13 @@ architecture rtl of unb2_board_system_info_reg is
 
   constant c_nof_regs             : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_design_note_regs;
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_regs),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => c_nof_regs,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   constant c_use_phy_w     : natural := 8;
   constant c_use_phy       : std_logic_vector(c_use_phy_w - 1 downto 0) := (others => '0');  -- Unused but keep for compatibillity
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_extend.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_extend.vhd
index d474968663..dac90aed3e 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_extend.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_extend.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Extend the input WDI that is controlled in SW (as it should be) to avoid
@@ -72,27 +72,27 @@ begin
   nxt_wdi_out <= not i_wdi_out when wdi_cnt_en = '1' else i_wdi_out;
 
   u_common_evt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "BOTH",
-    g_out_reg  => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => wdi_in,
-    out_evt  => wdi_evt
-  );
+    generic map (
+      g_evt_type => "BOTH",
+      g_out_reg  => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => wdi_in,
+      out_evt  => wdi_evt
+    );
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width   => g_extend_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => wdi_evt,
-    cnt_en  => wdi_cnt_en,
-    count   => wdi_cnt
-  );
+    generic map (
+      g_width   => g_extend_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => wdi_evt,
+      cnt_en  => wdi_cnt_en,
+      count   => wdi_cnt
+    );
 
 end str;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_reg.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_reg.vhd
index 365e280976..67005e4aa5 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_reg.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_board_wdi_reg.vhd
@@ -24,9 +24,9 @@
 --   Write 0xB007FAC7 to address 0x0.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2_board_wdi_reg is
   port (
@@ -40,18 +40,20 @@ entity unb2_board_wdi_reg is
 
     -- MM registers in st_clk domain
     wdi_override      : out std_logic
- );
+  );
 end unb2_board_wdi_reg;
 
 
 architecture rtl of unb2_board_wdi_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(1),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- For safety, WDI override requires the following word to be written:
   constant c_cmd_reconfigure : std_logic_vector(c_word_w - 1 downto 0 ) := x"B007FAC7";  -- "Boot factory"
@@ -64,7 +66,7 @@ begin
       -- Read access
       sla_out   <= c_mem_miso_rst;
       -- Write access, register values
-        wdi_override <= '0';
+      wdi_override <= '0';
     elsif rising_edge(mm_clk) then
       -- Read access defaults: unused
       sla_out   <= c_mem_miso_rst;
diff --git a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_fpga_sens_reg.vhd b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_fpga_sens_reg.vhd
index c8474454b2..86c6e335a0 100644
--- a/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_fpga_sens_reg.vhd
+++ b/boards/uniboard2/libraries/unb2_board/src/vhdl/unb2_fpga_sens_reg.vhd
@@ -23,11 +23,11 @@
 --
 
 library IEEE, common_lib, technology_lib, fpga_sense_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2_fpga_sens_reg is
@@ -62,28 +62,28 @@ end unb2_fpga_sens_reg;
 
 architecture str of unb2_fpga_sens_reg is
 
-  --SIGNAL i_temp_high    : STD_LOGIC_VECTOR(6 DOWNTO 0);
+--SIGNAL i_temp_high    : STD_LOGIC_VECTOR(6 DOWNTO 0);
 
 begin
 
   temp_high <= (others => '0');  -- i_temp_high;
 
   u_fpga_sense: entity fpga_sense_lib.fpga_sense
-  generic map (
-    g_technology => g_technology,
-    g_sim        => g_sim
-  )
-  port map (
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
-
-    start_sense => start,
-
-    reg_temp_mosi    => sla_temp_in,
-    reg_temp_miso    => sla_temp_out,
-
-    reg_voltage_store_mosi    => sla_voltage_in,
-    reg_voltage_store_miso    => sla_voltage_out
-  );
+    generic map (
+      g_technology => g_technology,
+      g_sim        => g_sim
+    )
+    port map (
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
+
+      start_sense => start,
+
+      reg_temp_mosi    => sla_temp_in,
+      reg_temp_miso    => sla_temp_out,
+
+      reg_voltage_store_mosi    => sla_voltage_in,
+      reg_voltage_store_miso    => sla_voltage_out
+    );
 
 end str;
diff --git a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_mms_unb2_board_sens.vhd b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_mms_unb2_board_sens.vhd
index a8f6688098..3160e7b98d 100644
--- a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_mms_unb2_board_sens.vhd
+++ b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_mms_unb2_board_sens.vhd
@@ -32,11 +32,11 @@ entity tb_mms_unb2_board_sens is
 end tb_mms_unb2_board_sens;
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 
 architecture tb of tb_mms_unb2_board_sens is
@@ -153,60 +153,60 @@ begin
 
   -- I2C sensors master
   u_mms_unb2_board_sens : entity work.mms_unb2_board_sens
-  generic map (
-    g_sim       => c_sim,
-    g_clk_freq  => c_clk_freq,
-    g_temp_high => c_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => rst,
-    mm_clk    => clk,
-    mm_start  => start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_mosi,
-    reg_miso  => reg_miso,
-
-    -- i2c bus
-    scl       => scl,
-    sda       => sda
-  );
+    generic map (
+      g_sim       => c_sim,
+      g_clk_freq  => c_clk_freq,
+      g_temp_high => c_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => rst,
+      mm_clk    => clk,
+      mm_start  => start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_mosi,
+      reg_miso  => reg_miso,
+
+      -- i2c bus
+      scl       => scl,
+      sda       => sda
+    );
 
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => scl,
-    sda               => sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => scl,
+      sda               => sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
 
diff --git a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd
index 3e7d5fa1b9..504a012a6d 100644
--- a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd
+++ b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_clk125_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2_board_clk125_pll
-  port map (
-    arst      => ext_rst,
-    clk125    => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk125    => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd
index 01c62f46e1..727a80bf1d 100644
--- a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd
+++ b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_clk200_pll is
@@ -72,45 +72,45 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_0,
-    st_rst200  => st_rst200_0,
-    st_clk200p => st_clk200p0,
-    st_rst200p => st_rst200p0,
-    st_clk400  => st_clk400,
-    st_rst400  => st_rst400
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_0,
+      st_rst200  => st_rst200_0,
+      st_clk200p => st_clk200p0,
+      st_rst200p => st_rst200p0,
+      st_clk400  => st_clk400,
+      st_rst400  => st_rst400
+    );
 
   dut_45 : entity work.unb2_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "625",
-    g_clk200p_phase_shift => "625"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_45,
-    st_rst200  => st_rst200_45,
-    st_clk200p => st_clk200p45,
-    st_rst200p => st_rst200p45,
-    st_clk400  => OPEN,
-    st_rst400  => open
-  );
+    generic map (
+      g_clk200_phase_shift  => "625",
+      g_clk200p_phase_shift => "625"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_45,
+      st_rst200  => st_rst200_45,
+      st_clk200p => st_clk200p45,
+      st_rst200p => st_rst200p45,
+      st_clk400  => OPEN,
+      st_rst400  => open
+    );
 
   dut_p6 : entity work.unb2_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => dp_clk200,
-    st_rst200  => dp_rst200
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => dp_clk200,
+      st_rst200  => dp_rst200
+    );
 
 end tb;
diff --git a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd
index b460c275ce..927b5c353b 100644
--- a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd
+++ b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_clk25_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2_board_clk25_pll
-  port map (
-    arst      => ext_rst,
-    clk25     => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk25     => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd
index 35e015a38b..d601882160 100644
--- a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd
+++ b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_node_ctrl is
@@ -76,24 +76,24 @@ begin
   wdi_in <= wdi and sw;  -- sw wdi only when sw is active, during sw inactive the wdi_out will be extended
 
   dut : entity work.unb2_board_node_ctrl
-  generic map (
-    g_pulse_us     => c_pulse_us,
-    g_pulse_ms     => c_pulse_ms,
-    g_pulse_s      => c_pulse_s,
-    g_wdi_extend_w => c_wdi_extend_w
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => mm_rst,
-    -- WDI extend
-    mm_wdi_in   => wdi_in,
-    mm_wdi_out  => wdi_out,
-    -- Pulses
-    mm_pulse_us => pulse_us,
-    mm_pulse_ms => pulse_ms,
-    mm_pulse_s  => pulse_s
-  );
+    generic map (
+      g_pulse_us     => c_pulse_us,
+      g_pulse_ms     => c_pulse_ms,
+      g_pulse_s      => c_pulse_s,
+      g_wdi_extend_w => c_wdi_extend_w
+    )
+    port map (
+      -- MM clock domain reset
+      mm_clk      => mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => mm_rst,
+      -- WDI extend
+      mm_wdi_in   => wdi_in,
+      mm_wdi_out  => wdi_out,
+      -- Pulses
+      mm_pulse_us => pulse_us,
+      mm_pulse_ms => pulse_ms,
+      mm_pulse_s  => pulse_s
+    );
 
 end tb;
diff --git a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd
index 86668b2331..0b8a946bc8 100644
--- a/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd
+++ b/boards/uniboard2/libraries/unb2_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd
@@ -37,10 +37,10 @@
 --   > run -a
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_unb2_board_qsfp_leds is
 end tb_unb2_board_qsfp_leds;
@@ -142,49 +142,49 @@ begin
   end process;
 
   u_unb2_factory_qsfp_leds : entity work.unb2_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => true,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => factory_green_led_arr,
-    red_led_arr       => factory_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => true,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => factory_green_led_arr,
+      red_led_arr       => factory_red_led_arr
+    );
 
   u_unb2_user_qsfp_leds : entity work.unb2_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => false,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => user_green_led_arr,
-    red_led_arr       => user_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => false,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => user_green_led_arr,
+      red_led_arr       => user_red_led_arr
+    );
 
 end tb;
diff --git a/boards/uniboard2/libraries/unb2_board_10gbe/src/vhdl/unb2_board_10gbe.vhd b/boards/uniboard2/libraries/unb2_board_10gbe/src/vhdl/unb2_board_10gbe.vhd
index 0fe7b9e242..f9395bd347 100644
--- a/boards/uniboard2/libraries/unb2_board_10gbe/src/vhdl/unb2_board_10gbe.vhd
+++ b/boards/uniboard2/libraries/unb2_board_10gbe/src/vhdl/unb2_board_10gbe.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_10gbe is
@@ -78,17 +78,17 @@ architecture str of unb2_board_10gbe is
 
 begin
   u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    refclk_644 => tr_ref_clk,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      refclk_644 => tr_ref_clk,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
diff --git a/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew_inst.vhd b/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew_inst.vhd
index ff6593f3fe..d152b04031 100644
--- a/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew_inst.vhd
+++ b/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew/ddr4_micron46_mbIIskew_inst.vhd
@@ -1,4 +1,4 @@
-	component ddr4_micron46_mbIIskew is
+  component ddr4_micron46_mbIIskew is
 		port (
 			amm_ready_0                    : out   std_logic;  -- waitrequest_n
 			amm_read_0                     : in    std_logic                      := 'X';  -- read
diff --git a/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew_inst.vhd b/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew_inst.vhd
index 6f46a0519f..40067ba354 100644
--- a/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew_inst.vhd
+++ b/boards/uniboard2a/designs/altera_ref_designs/ddr4/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew/ddr4_micron46_mbIskew_inst.vhd
@@ -1,4 +1,4 @@
-	component ddr4_micron46_mbIskew is
+  component ddr4_micron46_mbIskew is
 		port (
 			amm_ready_0                    : out   std_logic;  -- waitrequest_n
 			amm_read_0                     : in    std_logic                      := 'X';  -- read
diff --git a/boards/uniboard2a/designs/unb2a_heater/src/vhdl/mmm_unb2a_heater.vhd b/boards/uniboard2a/designs/unb2a_heater/src/vhdl/mmm_unb2a_heater.vhd
index 0d95ca2ee8..66620d36d2 100644
--- a/boards/uniboard2a/designs/unb2a_heater/src/vhdl/mmm_unb2a_heater.vhd
+++ b/boards/uniboard2a/designs/unb2a_heater/src/vhdl/mmm_unb2a_heater.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use unb2a_board_lib.unb2_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2a_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use unb2a_board_lib.unb2_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2a_heater_pkg.all;
 
 
 entity mmm_unb2a_heater is
@@ -120,35 +120,35 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_heater          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HEATER")
-                                               port map(mm_rst, mm_clk, reg_heater_mosi, reg_heater_miso );
+      port map(mm_rst, mm_clk, reg_heater_mosi, reg_heater_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -165,153 +165,153 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2a_heater
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_heater_reset_export                   => OPEN,
-      reg_heater_clk_export                     => OPEN,
-      reg_heater_address_export                 => reg_heater_mosi.address(4 downto 0),
-      reg_heater_read_export                    => reg_heater_mosi.rd,
-      reg_heater_readdata_export                => reg_heater_miso.rddata(c_word_w - 1 downto 0),
-      reg_heater_write_export                   => reg_heater_mosi.wr,
-      reg_heater_writedata_export               => reg_heater_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_heater_reset_export                   => OPEN,
+        reg_heater_clk_export                     => OPEN,
+        reg_heater_address_export                 => reg_heater_mosi.address(4 downto 0),
+        reg_heater_read_export                    => reg_heater_mosi.rd,
+        reg_heater_readdata_export                => reg_heater_miso.rddata(c_word_w - 1 downto 0),
+        reg_heater_write_export                   => reg_heater_mosi.wr,
+        reg_heater_writedata_export               => reg_heater_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard2a/designs/unb2a_heater/src/vhdl/qsys_unb2a_heater_pkg.vhd b/boards/uniboard2a/designs/unb2a_heater/src/vhdl/qsys_unb2a_heater_pkg.vhd
index 7229f0b80c..0ebecfcc5a 100644
--- a/boards/uniboard2a/designs/unb2a_heater/src/vhdl/qsys_unb2a_heater_pkg.vhd
+++ b/boards/uniboard2a/designs/unb2a_heater/src/vhdl/qsys_unb2a_heater_pkg.vhd
@@ -20,144 +20,144 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2a_heater_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v15.1 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v15.1 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_unb2a_heater is
-        port (
-            avs_eth_0_clk_export                   : out std_logic;  -- export
-            avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export              : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export             : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export              : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export             : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                 : out std_logic;  -- export
-            avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export              : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export             : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                     : out std_logic;  -- export
-            pio_pps_read_export                    : out std_logic;  -- export
-            pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                   : out std_logic;  -- export
-            pio_pps_write_export                   : out std_logic;  -- export
-            pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export             : out std_logic;  -- export
-            pio_system_info_read_export            : out std_logic;  -- export
-            pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export           : out std_logic;  -- export
-            pio_system_info_write_export           : out std_logic;  -- export
-            pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export     : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export               : out std_logic;  -- export
-            reg_dpmm_data_read_export              : out std_logic;  -- export
-            reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export             : out std_logic;  -- export
-            reg_dpmm_data_write_export             : out std_logic;  -- export
-            reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                    : out std_logic;  -- export
-            reg_epcs_read_export                   : out std_logic;  -- export
-            reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                  : out std_logic;  -- export
-            reg_epcs_write_export                  : out std_logic;  -- export
-            reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export               : out std_logic;  -- export
-            reg_mmdp_data_read_export              : out std_logic;  -- export
-            reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export             : out std_logic;  -- export
-            reg_mmdp_data_write_export             : out std_logic;  -- export
-            reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                    : out std_logic;  -- export
-            reg_remu_read_export                   : out std_logic;  -- export
-            reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                  : out std_logic;  -- export
-            reg_remu_write_export                  : out std_logic;  -- export
-            reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export           : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export               : out std_logic;  -- export
-            reg_unb_pmbus_read_export              : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export             : out std_logic;  -- export
-            reg_unb_pmbus_write_export             : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export            : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                : out std_logic;  -- export
-            reg_unb_sens_read_export               : out std_logic;  -- export
-            reg_unb_sens_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export              : out std_logic;  -- export
-            reg_unb_sens_write_export              : out std_logic;  -- export
-            reg_unb_sens_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                     : out std_logic;  -- export
-            reg_wdi_read_export                    : out std_logic;  -- export
-            reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                   : out std_logic;  -- export
-            reg_wdi_write_export                   : out std_logic;  -- export
-            reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export         : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export             : out std_logic;  -- export
-            rom_system_info_read_export            : out std_logic;  -- export
-            rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export           : out std_logic;  -- export
-            rom_system_info_write_export           : out std_logic;  -- export
-            rom_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_heater_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_heater_read_export                 : out std_logic;  -- export
-            reg_heater_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_heater_write_export                : out std_logic;  -- export
-            reg_heater_address_export              : out std_logic_vector(4 downto 0);  -- export
-            reg_heater_clk_export                  : out std_logic;  -- export
-            reg_heater_reset_export                : out std_logic  -- export
-        );
-    end component qsys_unb2a_heater;
+  component qsys_unb2a_heater is
+    port (
+      avs_eth_0_clk_export                   : out std_logic;  -- export
+      avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export              : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export             : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export              : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export             : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                 : out std_logic;  -- export
+      avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export              : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export             : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                     : out std_logic;  -- export
+      pio_pps_read_export                    : out std_logic;  -- export
+      pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                   : out std_logic;  -- export
+      pio_pps_write_export                   : out std_logic;  -- export
+      pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export             : out std_logic;  -- export
+      pio_system_info_read_export            : out std_logic;  -- export
+      pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export           : out std_logic;  -- export
+      pio_system_info_write_export           : out std_logic;  -- export
+      pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export     : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export               : out std_logic;  -- export
+      reg_dpmm_data_read_export              : out std_logic;  -- export
+      reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export             : out std_logic;  -- export
+      reg_dpmm_data_write_export             : out std_logic;  -- export
+      reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                    : out std_logic;  -- export
+      reg_epcs_read_export                   : out std_logic;  -- export
+      reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                  : out std_logic;  -- export
+      reg_epcs_write_export                  : out std_logic;  -- export
+      reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export               : out std_logic;  -- export
+      reg_mmdp_data_read_export              : out std_logic;  -- export
+      reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export             : out std_logic;  -- export
+      reg_mmdp_data_write_export             : out std_logic;  -- export
+      reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                    : out std_logic;  -- export
+      reg_remu_read_export                   : out std_logic;  -- export
+      reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                  : out std_logic;  -- export
+      reg_remu_write_export                  : out std_logic;  -- export
+      reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export           : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export               : out std_logic;  -- export
+      reg_unb_pmbus_read_export              : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export             : out std_logic;  -- export
+      reg_unb_pmbus_write_export             : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export            : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                : out std_logic;  -- export
+      reg_unb_sens_read_export               : out std_logic;  -- export
+      reg_unb_sens_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export              : out std_logic;  -- export
+      reg_unb_sens_write_export              : out std_logic;  -- export
+      reg_unb_sens_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                     : out std_logic;  -- export
+      reg_wdi_read_export                    : out std_logic;  -- export
+      reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                   : out std_logic;  -- export
+      reg_wdi_write_export                   : out std_logic;  -- export
+      reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export         : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export             : out std_logic;  -- export
+      rom_system_info_read_export            : out std_logic;  -- export
+      rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export           : out std_logic;  -- export
+      rom_system_info_write_export           : out std_logic;  -- export
+      rom_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_heater_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_heater_read_export                 : out std_logic;  -- export
+      reg_heater_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_heater_write_export                : out std_logic;  -- export
+      reg_heater_address_export              : out std_logic_vector(4 downto 0);  -- export
+      reg_heater_clk_export                  : out std_logic;  -- export
+      reg_heater_reset_export                : out std_logic  -- export
+    );
+  end component qsys_unb2a_heater;
 
 end qsys_unb2a_heater_pkg;
diff --git a/boards/uniboard2a/designs/unb2a_heater/src/vhdl/unb2a_heater.vhd b/boards/uniboard2a/designs/unb2a_heater/src/vhdl/unb2a_heater.vhd
index 1d6e8e0086..747e5d6c1e 100644
--- a/boards/uniboard2a/designs/unb2a_heater/src/vhdl/unb2a_heater.vhd
+++ b/boards/uniboard2a/designs/unb2a_heater/src/vhdl/unb2a_heater.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, technology_lib, util_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use util_lib.util_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use util_lib.util_heater_pkg.all;
 
 entity unb2a_heater is
   generic (
@@ -165,242 +165,242 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2a_board_lib.ctrl_unb2_board
-  generic map (
-    g_sim           => g_sim,
-    g_technology    => g_technology,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_stamp_svn     => g_stamp_svn,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_mm_clk_freq,
-    g_eth_clk_freq  => c_unb2_board_eth_clk_freq_125M,
-    g_aux           => c_unb2_board_aux,
-    g_tse_clk_buf   => false,  -- TRUE,
-    g_factory_image => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_technology    => g_technology,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_stamp_svn     => g_stamp_svn,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_mm_clk_freq,
+      g_eth_clk_freq  => c_unb2_board_eth_clk_freq_125M,
+      g_aux           => c_unb2_board_aux,
+      g_tse_clk_buf   => false,  -- TRUE,
+      g_factory_image => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2a_heater
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- heater:
-    reg_heater_mosi          => reg_heater_mosi,
-    reg_heater_miso          => reg_heater_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- heater:
+      reg_heater_mosi          => reg_heater_mosi,
+      reg_heater_miso          => reg_heater_miso
+    );
 
   u_front_led : entity unb2a_board_lib.unb2_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2a_board_lib.unb2_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 
   u_heater : entity util_lib.util_heater
-  generic map (
-    g_technology  => g_technology,
-    --g_nof_mac4   => 315 -- on Arria10 using  630 of 1518 DSP blocks
-    --g_nof_mac4   => 630 --
-    g_nof_mac4   => 736  -- 23 registers * 32 *2 = 1472 of 1518 DSP blocks (97%)
-  )
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-
-    st_rst  => st_rst,
-    st_clk  => st_clk,
-
-    sla_in  => reg_heater_mosi,
-    sla_out => reg_heater_miso
-  );
+    generic map (
+      g_technology  => g_technology,
+      --g_nof_mac4   => 315 -- on Arria10 using  630 of 1518 DSP blocks
+      --g_nof_mac4   => 630 --
+      g_nof_mac4   => 736  -- 23 registers * 32 *2 = 1472 of 1518 DSP blocks (97%)
+    )
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+
+      st_rst  => st_rst,
+      st_clk  => st_clk,
+
+      sla_in  => reg_heater_mosi,
+      sla_out => reg_heater_miso
+    );
 end str;
 
diff --git a/boards/uniboard2a/designs/unb2a_heater/tb/vhdl/tb_unb2a_heater.vhd b/boards/uniboard2a/designs/unb2a_heater/tb/vhdl/tb_unb2a_heater.vhd
index 5618e365de..5dc5d0b127 100644
--- a/boards/uniboard2a/designs/unb2a_heater/tb/vhdl/tb_unb2a_heater.vhd
+++ b/boards/uniboard2a/designs/unb2a_heater/tb/vhdl/tb_unb2a_heater.vhd
@@ -43,18 +43,18 @@
 --
 
 library IEEE, common_lib, unb2a_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb2a_heater is
-    generic (
-      g_design_name : string  := "unb2a_heater";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2a_heater";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2a_heater;
 
 architecture tb of tb_unb2a_heater is
@@ -184,37 +184,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard2a/designs/unb2a_led/src/vhdl/unb2a_led.vhd b/boards/uniboard2a/designs/unb2a_led/src/vhdl/unb2a_led.vhd
index a175b4e2e2..86dffd84c6 100644
--- a/boards/uniboard2a/designs/unb2a_led/src/vhdl/unb2a_led.vhd
+++ b/boards/uniboard2a/designs/unb2a_led/src/vhdl/unb2a_led.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
 
 entity unb2a_led is
   generic (
@@ -102,15 +102,15 @@ begin
   i_xo_ethclk <= ETH_CLK;  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_xo_ethclk,
-    out_rst   => i_xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_xo_ethclk,
+      out_rst   => i_xo_rst
+    );
 
   -----------------------------------------------------------------------------
   -- mm_clk
@@ -121,40 +121,40 @@ begin
   i_mm_clk <= clk50;
 
   gen_mm_clk_sim: if g_sim = true generate
-      clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
-      mm_locked   <= '0', '1' after 70 ns;
+    clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
+    mm_locked   <= '0', '1' after 70 ns;
   end generate;
 
   gen_mm_clk_hardware: if g_sim = false generate
     u_unb2a_board_clk125_pll : entity unb2a_board_lib.unb2_board_clk125_pll
+      generic map (
+        g_use_fpll   => true,
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk125     => i_xo_ethclk,
+        c1_clk50   => clk50,
+        pll_locked => mm_locked
+      );
+  end generate;
+
+  u_unb2a_board_node_ctrl : entity unb2a_board_lib.unb2_board_node_ctrl
     generic map (
-      g_use_fpll   => true,
-      g_technology => g_technology
+      g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
     )
     port map (
-      arst       => i_xo_rst,
-      clk125     => i_xo_ethclk,
-      c1_clk50   => clk50,
-      pll_locked => mm_locked
+      -- MM clock domain reset
+      mm_clk      => i_mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => i_mm_rst,
+      -- WDI extend
+      mm_wdi_in   => mm_pulse_s,
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
     );
-  end generate;
-
-  u_unb2a_board_node_ctrl : entity unb2a_board_lib.unb2_board_node_ctrl
-  generic map (
-    g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => i_mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => i_mm_rst,
-    -- WDI extend
-    mm_wdi_in   => mm_pulse_s,
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
 
   ------------------------------------------------------------------------------
   -- Toggle red LED when unb2a_minimal is running, green LED for other designs.
@@ -164,15 +164,15 @@ begin
 
 
   u_extend : entity common_lib.common_pulse_extend
-  generic map (
-    g_extend_w => 22  -- (2^22) / 50e6 = 0.083886 th of 1 sec
-  )
-  port map (
-    rst     => i_mm_rst,
-    clk     => i_mm_clk,
-    p_in    => mm_pulse_s,
-    ep_out  => led_flash
-  );
+    generic map (
+      g_extend_w => 22  -- (2^22) / 50e6 = 0.083886 th of 1 sec
+    )
+    port map (
+      rst     => i_mm_rst,
+      clk     => i_mm_clk,
+      p_in    => mm_pulse_s,
+      ep_out  => led_flash
+    );
 
 
 
@@ -186,36 +186,36 @@ begin
 
 
   u_common_pulser_10Hz : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => 100,
-    g_pulse_phase  => 100 - 1
-  )
-  port map (
-    rst            => i_mm_rst,
-    clk            => i_mm_clk,
-    clken          => '1',
-    pulse_en       => mm_pulse_ms,
-    pulse_out      => pulse_10Hz
-  );
+    generic map (
+      g_pulse_period => 100,
+      g_pulse_phase  => 100 - 1
+    )
+    port map (
+      rst            => i_mm_rst,
+      clk            => i_mm_clk,
+      clken          => '1',
+      pulse_en       => mm_pulse_ms,
+      pulse_out      => pulse_10Hz
+    );
 
   u_extend_10Hz : entity common_lib.common_pulse_extend
-  generic map (
-    g_extend_w => 21  -- (2^21) / 50e6 = 0.041943 th of 1 sec
-  )
-  port map (
-    rst     => i_mm_rst,
-    clk     => i_mm_clk,
-    p_in    => pulse_10Hz,
-    ep_out  => pulse_10Hz_extended
-  );
+    generic map (
+      g_extend_w => 21  -- (2^21) / 50e6 = 0.041943 th of 1 sec
+    )
+    port map (
+      rst     => i_mm_rst,
+      clk     => i_mm_clk,
+      p_in    => pulse_10Hz,
+      ep_out  => pulse_10Hz_extended
+    );
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => i_mm_rst,
-    clk         => i_mm_clk,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => i_mm_rst,
+      clk         => i_mm_clk,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
   QSFP_LED(2)  <= pulse_10Hz_extended;
   QSFP_LED(6)  <= led_toggle;
diff --git a/boards/uniboard2a/designs/unb2a_led/tb/vhdl/tb_unb2a_led.vhd b/boards/uniboard2a/designs/unb2a_led/tb/vhdl/tb_unb2a_led.vhd
index ae2986db69..22e5697031 100644
--- a/boards/uniboard2a/designs/unb2a_led/tb/vhdl/tb_unb2a_led.vhd
+++ b/boards/uniboard2a/designs/unb2a_led/tb/vhdl/tb_unb2a_led.vhd
@@ -39,18 +39,18 @@
 --
 
 library IEEE, common_lib, unb2a_board_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb2a_led is
-    generic (
-      g_design_name : string  := "unb2a_led";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2a_led";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2a_led;
 
 architecture tb of tb_unb2a_led is
diff --git a/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/mmm_unb2a_minimal.vhd b/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/mmm_unb2a_minimal.vhd
index 9508f28879..d0228ca492 100644
--- a/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/mmm_unb2a_minimal.vhd
+++ b/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/mmm_unb2a_minimal.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use unb2a_board_lib.unb2_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2a_minimal_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use unb2a_board_lib.unb2_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2a_minimal_pkg.all;
 
 
 entity mmm_unb2a_minimal is
@@ -116,32 +116,32 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -158,145 +158,145 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2a_minimal
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/qsys_unb2a_minimal_pkg.vhd b/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/qsys_unb2a_minimal_pkg.vhd
index 5d8ec0f7e9..567a232ec0 100644
--- a/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/qsys_unb2a_minimal_pkg.vhd
+++ b/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/qsys_unb2a_minimal_pkg.vhd
@@ -20,137 +20,137 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2a_minimal_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v14 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v14 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_unb2a_minimal is
-        port (
-            avs_eth_0_clk_export               : out std_logic;  -- export
-            avs_eth_0_irq_export               : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export          : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export         : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export       : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export          : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export         : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export             : out std_logic;  -- export
-            avs_eth_0_tse_address_export       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export          : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export         : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                            : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export             : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                 : out std_logic;  -- export
-            pio_pps_read_export                : out std_logic;  -- export
-            pio_pps_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export               : out std_logic;  -- export
-            pio_pps_write_export               : out std_logic;  -- export
-            pio_pps_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export     : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export         : out std_logic;  -- export
-            pio_system_info_read_export        : out std_logic;  -- export
-            pio_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export       : out std_logic;  -- export
-            pio_system_info_write_export       : out std_logic;  -- export
-            pio_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export           : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export          : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export         : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export         : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export           : out std_logic;  -- export
-            reg_dpmm_data_read_export          : out std_logic;  -- export
-            reg_dpmm_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export         : out std_logic;  -- export
-            reg_dpmm_data_write_export         : out std_logic;  -- export
-            reg_dpmm_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                : out std_logic;  -- export
-            reg_epcs_read_export               : out std_logic;  -- export
-            reg_epcs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export              : out std_logic;  -- export
-            reg_epcs_write_export              : out std_logic;  -- export
-            reg_epcs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export           : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export          : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export         : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export         : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export           : out std_logic;  -- export
-            reg_mmdp_data_read_export          : out std_logic;  -- export
-            reg_mmdp_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export         : out std_logic;  -- export
-            reg_mmdp_data_write_export         : out std_logic;  -- export
-            reg_mmdp_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                : out std_logic;  -- export
-            reg_remu_read_export               : out std_logic;  -- export
-            reg_remu_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export              : out std_logic;  -- export
-            reg_remu_write_export              : out std_logic;  -- export
-            reg_remu_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export        : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export            : out std_logic;  -- export
-            reg_unb_sens_read_export           : out std_logic;  -- export
-            reg_unb_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export          : out std_logic;  -- export
-            reg_unb_sens_write_export          : out std_logic;  -- export
-            reg_unb_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                 : out std_logic;  -- export
-            reg_wdi_read_export                : out std_logic;  -- export
-            reg_wdi_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export               : out std_logic;  -- export
-            reg_wdi_write_export               : out std_logic;  -- export
-            reg_wdi_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                      : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export     : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export         : out std_logic;  -- export
-            rom_system_info_read_export        : out std_logic;  -- export
-            rom_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export       : out std_logic;  -- export
-            rom_system_info_write_export       : out std_logic;  -- export
-            rom_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_read_export     : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export: out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_write_export    : out std_logic;  -- export
-            reg_fpga_temp_sens_address_export  : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export      : out std_logic;  -- export
-            reg_fpga_temp_sens_reset_export    : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_read_export          : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_write_export         : out std_logic;  -- export
-            reg_unb_pmbus_address_export       : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export           : out std_logic;  -- export
-            reg_unb_pmbus_reset_export         : out std_logic  -- export
-        );
-    end component qsys_unb2a_minimal;
+  component qsys_unb2a_minimal is
+    port (
+      avs_eth_0_clk_export               : out std_logic;  -- export
+      avs_eth_0_irq_export               : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export          : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export         : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export       : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export          : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export         : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export             : out std_logic;  -- export
+      avs_eth_0_tse_address_export       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export          : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export         : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                            : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export             : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                 : out std_logic;  -- export
+      pio_pps_read_export                : out std_logic;  -- export
+      pio_pps_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export               : out std_logic;  -- export
+      pio_pps_write_export               : out std_logic;  -- export
+      pio_pps_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export     : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export         : out std_logic;  -- export
+      pio_system_info_read_export        : out std_logic;  -- export
+      pio_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export       : out std_logic;  -- export
+      pio_system_info_write_export       : out std_logic;  -- export
+      pio_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export           : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export          : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export         : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export         : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export           : out std_logic;  -- export
+      reg_dpmm_data_read_export          : out std_logic;  -- export
+      reg_dpmm_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export         : out std_logic;  -- export
+      reg_dpmm_data_write_export         : out std_logic;  -- export
+      reg_dpmm_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                : out std_logic;  -- export
+      reg_epcs_read_export               : out std_logic;  -- export
+      reg_epcs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export              : out std_logic;  -- export
+      reg_epcs_write_export              : out std_logic;  -- export
+      reg_epcs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export           : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export          : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export         : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export         : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export           : out std_logic;  -- export
+      reg_mmdp_data_read_export          : out std_logic;  -- export
+      reg_mmdp_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export         : out std_logic;  -- export
+      reg_mmdp_data_write_export         : out std_logic;  -- export
+      reg_mmdp_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                : out std_logic;  -- export
+      reg_remu_read_export               : out std_logic;  -- export
+      reg_remu_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export              : out std_logic;  -- export
+      reg_remu_write_export              : out std_logic;  -- export
+      reg_remu_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export        : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export            : out std_logic;  -- export
+      reg_unb_sens_read_export           : out std_logic;  -- export
+      reg_unb_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export          : out std_logic;  -- export
+      reg_unb_sens_write_export          : out std_logic;  -- export
+      reg_unb_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                 : out std_logic;  -- export
+      reg_wdi_read_export                : out std_logic;  -- export
+      reg_wdi_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export               : out std_logic;  -- export
+      reg_wdi_write_export               : out std_logic;  -- export
+      reg_wdi_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                      : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export     : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export         : out std_logic;  -- export
+      rom_system_info_read_export        : out std_logic;  -- export
+      rom_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export       : out std_logic;  -- export
+      rom_system_info_write_export       : out std_logic;  -- export
+      rom_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_read_export     : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export: out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_write_export    : out std_logic;  -- export
+      reg_fpga_temp_sens_address_export  : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export      : out std_logic;  -- export
+      reg_fpga_temp_sens_reset_export    : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_read_export          : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_write_export         : out std_logic;  -- export
+      reg_unb_pmbus_address_export       : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export           : out std_logic;  -- export
+      reg_unb_pmbus_reset_export         : out std_logic  -- export
+    );
+  end component qsys_unb2a_minimal;
 
 end qsys_unb2a_minimal_pkg;
diff --git a/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/unb2a_minimal.vhd b/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/unb2a_minimal.vhd
index f9fd13d39a..f966a04c90 100644
--- a/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/unb2a_minimal.vhd
+++ b/boards/uniboard2a/designs/unb2a_minimal/src/vhdl/unb2a_minimal.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
 
 entity unb2a_minimal is
   generic (
@@ -160,219 +160,219 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2a_board_lib.ctrl_unb2_board
-  generic map (
-    g_sim           => g_sim,
-    g_technology    => g_technology,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_stamp_svn     => g_stamp_svn,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_mm_clk_freq,
-    g_eth_clk_freq  => c_unb2_board_eth_clk_freq_125M,
-    g_aux           => c_unb2_board_aux,
-    g_factory_image => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_technology    => g_technology,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_stamp_svn     => g_stamp_svn,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_mm_clk_freq,
+      g_eth_clk_freq  => c_unb2_board_eth_clk_freq_125M,
+      g_aux           => c_unb2_board_aux,
+      g_factory_image => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2a_minimal
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso
+    );
 
   u_front_led : entity unb2a_board_lib.unb2_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2a_board_lib.unb2_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 end str;
 
diff --git a/boards/uniboard2a/designs/unb2a_minimal/tb/vhdl/tb_unb2a_minimal.vhd b/boards/uniboard2a/designs/unb2a_minimal/tb/vhdl/tb_unb2a_minimal.vhd
index 229e507f6a..d018d459c3 100644
--- a/boards/uniboard2a/designs/unb2a_minimal/tb/vhdl/tb_unb2a_minimal.vhd
+++ b/boards/uniboard2a/designs/unb2a_minimal/tb/vhdl/tb_unb2a_minimal.vhd
@@ -43,20 +43,20 @@
 --
 
 library IEEE, common_lib, unb2a_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
 
 entity tb_unb2a_minimal is
-    generic (
-      g_design_name : string  := "unb2a_minimal";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2a_minimal";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2a_minimal;
 
 architecture tb of tb_unb2a_minimal is
@@ -188,51 +188,51 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   u_pmbus_tcvr0 : entity i2c_lib.dev_pmbus
-  generic map (
-    g_address => c_pmbus_tcvr0_address
-  )
-  port map (
-    scl       => PMBUS_SC,
-    sda       => PMBUS_SD,
-    vout_mode => 13,
-    vin       => 92,
-    vout      => 18,
-    iout      => 12,
-    vcap      => 0,
-    temp      => 36
-  );
+    generic map (
+      g_address => c_pmbus_tcvr0_address
+    )
+    port map (
+      scl       => PMBUS_SC,
+      sda       => PMBUS_SD,
+      vout_mode => 13,
+      vin       => 92,
+      vout      => 18,
+      iout      => 12,
+      vcap      => 0,
+      temp      => 36
+    );
 end tb;
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/tb_unb2a_test_10GbE.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/tb_unb2a_test_10GbE.vhd
index d810f5c1e5..03a96ab7f6 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/tb_unb2a_test_10GbE.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/tb_unb2a_test_10GbE.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2a_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2a_test_10GbE is
@@ -31,8 +31,8 @@ end tb_unb2a_test_10GbE;
 architecture tb of tb_unb2a_test_10GbE is
 begin
   u_tb_unb2a_test : entity unb2a_test_lib.tb_unb2a_test
-  generic map (
-    g_design_name => "unb2a_test_10GbE"
-  );
+    generic map (
+      g_design_name => "unb2a_test_10GbE"
+    );
 end tb;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/unb2a_test_10GbE.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/unb2a_test_10GbE.vhd
index e287fb3c66..1963b65480 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/unb2a_test_10GbE.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_10GbE/unb2a_test_10GbE.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2a_test_10GbE is
@@ -67,20 +67,20 @@ entity unb2a_test_10GbE is
     BCK_REF_CLK  : in    std_logic;  -- Clock 10GbE back lower 24 lines
 
     -- back transceivers
---    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
---    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
---    BCK_RX       : IN    STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0');
---    BCK_TX       : OUT   STD_LOGIC_VECTOR(4-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0');
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR(4-1 downto 0);
 
     BCK_SDA      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_SCL      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_ERR      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
 
     -- ring transceivers
-   -- RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
-   -- RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
-   -- RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
-   -- RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    -- RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
     -- pmbus
     PMBUS_SC     : inout std_logic;
     PMBUS_SD     : inout std_logic;
@@ -112,78 +112,78 @@ architecture str of unb2a_test_10GbE is
 
 begin
   u_revision : entity unb2a_test_lib.unb2a_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    SB_CLK       => SB_CLK,
-    BCK_REF_CLK  => BCK_REF_CLK,
-
-    -- back transceivers
-  --  BCK_RX       => BCK_RX,
-  --  BCK_TX       => BCK_TX,
-
-    BCK_SDA      => BCK_SDA,
-    BCK_SCL      => BCK_SCL,
-    BCK_ERR      => BCK_ERR,
-
-    -- ring transceivers
-  --  RING_0_RX    => RING_0_RX,
-  --  RING_0_TX    => RING_0_TX,
-  --  RING_1_RX    => RING_1_RX,
-  --  RING_1_TX    => RING_1_TX,
-    -- pmbus
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    QSFP_2_RX    => QSFP_2_RX,
-    QSFP_2_TX    => QSFP_2_TX,
-    QSFP_3_RX    => QSFP_3_RX,
-    QSFP_3_TX    => QSFP_3_TX,
-    QSFP_4_RX    => QSFP_4_RX,
-    QSFP_4_TX    => QSFP_4_TX,
-    QSFP_5_RX    => QSFP_5_RX,
-    QSFP_5_TX    => QSFP_5_TX,
-
-    QSFP_SDA     => QSFP_SDA,
-    QSFP_SCL     => QSFP_SCL,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      SB_CLK       => SB_CLK,
+      BCK_REF_CLK  => BCK_REF_CLK,
+
+      -- back transceivers
+      --  BCK_RX       => BCK_RX,
+      --  BCK_TX       => BCK_TX,
+
+      BCK_SDA      => BCK_SDA,
+      BCK_SCL      => BCK_SCL,
+      BCK_ERR      => BCK_ERR,
+
+      -- ring transceivers
+      --  RING_0_RX    => RING_0_RX,
+      --  RING_0_TX    => RING_0_TX,
+      --  RING_1_RX    => RING_1_RX,
+      --  RING_1_TX    => RING_1_TX,
+      -- pmbus
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      QSFP_2_RX    => QSFP_2_RX,
+      QSFP_2_TX    => QSFP_2_TX,
+      QSFP_3_RX    => QSFP_3_RX,
+      QSFP_3_TX    => QSFP_3_TX,
+      QSFP_4_RX    => QSFP_4_RX,
+      QSFP_4_TX    => QSFP_4_TX,
+      QSFP_5_RX    => QSFP_5_RX,
+      QSFP_5_TX    => QSFP_5_TX,
+
+      QSFP_SDA     => QSFP_SDA,
+      QSFP_SCL     => QSFP_SCL,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/tb_unb2a_test_1GbE.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/tb_unb2a_test_1GbE.vhd
index 4235e78702..058dcc891a 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/tb_unb2a_test_1GbE.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/tb_unb2a_test_1GbE.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2a_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2a_test_1GbE is
@@ -33,8 +33,8 @@ end tb_unb2a_test_1GbE;
 architecture tb of tb_unb2a_test_1GbE is
 begin
   u_tb_unb2a_test : entity unb2a_test_lib.tb_unb2a_test
-  generic map (
-    g_design_name => "unb2a_test_1GbE"
-  );
+    generic map (
+      g_design_name => "unb2a_test_1GbE"
+    );
 end tb;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/unb2a_test_1GbE.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/unb2a_test_1GbE.vhd
index d397d48345..69ac9a37c2 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/unb2a_test_1GbE.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_1GbE/unb2a_test_1GbE.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2a_test_1GbE is
@@ -75,43 +75,43 @@ architecture str of unb2a_test_1GbE is
 begin
 
   u_revision : entity unb2a_test_lib.unb2a_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      QSFP_LED     => QSFP_LED
+    );
 
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/tb_unb2a_test_all.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/tb_unb2a_test_all.vhd
index fe6292e768..43677dd462 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/tb_unb2a_test_all.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/tb_unb2a_test_all.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2a_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2a_test_all is
@@ -33,9 +33,9 @@ end tb_unb2a_test_all;
 architecture tb of tb_unb2a_test_all is
 begin
   u_tb_unb2a_test : entity unb2a_test_lib.tb_unb2a_test
-  generic map (
-    g_design_name   => "unb2a_test_all",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2a_test_all",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/unb2a_test_all.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/unb2a_test_all.vhd
index 2243b164a7..8a14e60565 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/unb2a_test_all.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_all/unb2a_test_all.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2a_test_all is
@@ -72,17 +72,17 @@ entity unb2a_test_all is
     MB_II_REF_CLK : in   std_logic;  -- Reference clock for MB_II
 
     -- back transceivers
---    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
---    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2_board_tr_back.bus_w * c_unb2_board_tr_back.nof_bus)-1 downto 0);
     BCK_SDA      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_SCL      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
     BCK_ERR      : inout std_logic_vector(c_unb2_board_tr_back.i2c_w - 1 downto 0);
 
     -- ring transceivers
---    RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
---    RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
---    RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
---    RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
+    --    RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2_board_tr_ring.bus_w-1 downto 0);
     -- pmbus
     PMBUS_SC     : inout std_logic;
     PMBUS_SD     : inout std_logic;
@@ -123,91 +123,91 @@ architecture str of unb2a_test_all is
 
 begin
   u_revision : entity unb2a_test_lib.unb2a_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    SB_CLK       => SB_CLK,
-    BCK_REF_CLK  => BCK_REF_CLK,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- back transceivers
- --   BCK_RX       => BCK_RX,
- --   BCK_TX       => BCK_TX,
-    BCK_SDA      => BCK_SDA,
-    BCK_SCL      => BCK_SCL,
-    BCK_ERR      => BCK_ERR,
-
-    -- ring transceivers
- --   RING_0_RX    => RING_0_RX,
- --   RING_0_TX    => RING_0_TX,
- --   RING_1_RX    => RING_1_RX,
- --   RING_1_TX    => RING_1_TX,
-    -- pmbus
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    QSFP_2_RX    => QSFP_2_RX,
-    QSFP_2_TX    => QSFP_2_TX,
-    QSFP_3_RX    => QSFP_3_RX,
-    QSFP_3_TX    => QSFP_3_TX,
-    QSFP_4_RX    => QSFP_4_RX,
-    QSFP_4_TX    => QSFP_4_TX,
-    QSFP_5_RX    => QSFP_5_RX,
-    QSFP_5_TX    => QSFP_5_TX,
-
-    QSFP_SDA     => QSFP_SDA,
-    QSFP_SCL     => QSFP_SCL,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      SB_CLK       => SB_CLK,
+      BCK_REF_CLK  => BCK_REF_CLK,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- back transceivers
+      --   BCK_RX       => BCK_RX,
+      --   BCK_TX       => BCK_TX,
+      BCK_SDA      => BCK_SDA,
+      BCK_SCL      => BCK_SCL,
+      BCK_ERR      => BCK_ERR,
+
+      -- ring transceivers
+      --   RING_0_RX    => RING_0_RX,
+      --   RING_0_TX    => RING_0_TX,
+      --   RING_1_RX    => RING_1_RX,
+      --   RING_1_TX    => RING_1_TX,
+      -- pmbus
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      QSFP_2_RX    => QSFP_2_RX,
+      QSFP_2_TX    => QSFP_2_TX,
+      QSFP_3_RX    => QSFP_3_RX,
+      QSFP_3_TX    => QSFP_3_TX,
+      QSFP_4_RX    => QSFP_4_RX,
+      QSFP_4_TX    => QSFP_4_TX,
+      QSFP_5_RX    => QSFP_5_RX,
+      QSFP_5_TX    => QSFP_5_TX,
+
+      QSFP_SDA     => QSFP_SDA,
+      QSFP_SCL     => QSFP_SCL,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/tb_unb2a_test_ddr_MB_I.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/tb_unb2a_test_ddr_MB_I.vhd
index c4f4c2fc74..9882804d0d 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/tb_unb2a_test_ddr_MB_I.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/tb_unb2a_test_ddr_MB_I.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2a_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2a_test_ddr_MB_I is
@@ -33,9 +33,9 @@ end tb_unb2a_test_ddr_MB_I;
 architecture tb of tb_unb2a_test_ddr_MB_I is
 begin
   u_tb_unb2a_test : entity unb2a_test_lib.tb_unb2a_test
-  generic map (
-    g_design_name   => "unb2a_test_ddr_MB_I",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2a_test_ddr_MB_I",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/unb2a_test_ddr_MB_I.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/unb2a_test_ddr_MB_I.vhd
index a510857612..8b0de74f90 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/unb2a_test_ddr_MB_I.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I/unb2a_test_ddr_MB_I.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2a_test_ddr_MB_I is
@@ -83,50 +83,50 @@ architecture str of unb2a_test_ddr_MB_I is
 
 begin
   u_revision : entity unb2a_test_lib.unb2a_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/tb_unb2a_test_ddr_MB_II.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/tb_unb2a_test_ddr_MB_II.vhd
index 94c31dfa59..cf7cb947ff 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/tb_unb2a_test_ddr_MB_II.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/tb_unb2a_test_ddr_MB_II.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2a_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2a_test_ddr_MB_II is
@@ -33,9 +33,9 @@ end tb_unb2a_test_ddr_MB_II;
 architecture tb of tb_unb2a_test_ddr_MB_II is
 begin
   u_tb_unb2a_test : entity unb2a_test_lib.tb_unb2a_test
-  generic map (
-    g_design_name   => "unb2a_test_ddr_MB_II",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2a_test_ddr_MB_II",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/unb2a_test_ddr_MB_II.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/unb2a_test_ddr_MB_II.vhd
index 96168f2b76..7cd258eab1 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/unb2a_test_ddr_MB_II.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_II/unb2a_test_ddr_MB_II.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2a_test_ddr_MB_II is
@@ -83,50 +83,50 @@ architecture str of unb2a_test_ddr_MB_II is
 
 begin
   u_revision : entity unb2a_test_lib.unb2a_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/tb_unb2a_test_ddr_MB_I_II.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/tb_unb2a_test_ddr_MB_I_II.vhd
index 3502dfe0dd..413ee22088 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/tb_unb2a_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/tb_unb2a_test_ddr_MB_I_II.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2a_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2a_test_ddr_MB_I_II is
@@ -33,9 +33,9 @@ end tb_unb2a_test_ddr_MB_I_II;
 architecture tb of tb_unb2a_test_ddr_MB_I_II is
 begin
   u_tb_unb2a_test : entity unb2a_test_lib.tb_unb2a_test
-  generic map (
-    g_design_name   => "unb2a_test_ddr_MB_I_II",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2a_test_ddr_MB_I_II",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/unb2a_test_ddr_MB_I_II.vhd b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/unb2a_test_ddr_MB_I_II.vhd
index 4f5fd33af0..f22fb8191f 100644
--- a/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/unb2a_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/revisions/unb2a_test_ddr_MB_I_II/unb2a_test_ddr_MB_I_II.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2a_test_ddr_MB_I_II is
@@ -89,56 +89,56 @@ architecture str of unb2a_test_ddr_MB_I_II is
 
 begin
   u_revision : entity unb2a_test_lib.unb2a_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/src/vhdl/mmm_unb2a_test.vhd b/boards/uniboard2a/designs/unb2a_test/src/vhdl/mmm_unb2a_test.vhd
index 2008c4a831..1330d6e751 100644
--- a/boards/uniboard2a/designs/unb2a_test/src/vhdl/mmm_unb2a_test.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/src/vhdl/mmm_unb2a_test.vhd
@@ -20,25 +20,25 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, tech_mac_10g_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use unb2a_board_lib.unb2_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use work.qsys_unb2a_test_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.unb2a_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use unb2a_board_lib.unb2_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use work.qsys_unb2a_test_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.unb2a_test_pkg.all;
 
 
 
@@ -241,16 +241,16 @@ architecture str of mmm_unb2a_test is
   constant c_ram_diag_databuffer_ddr_addr_w        : natural := ceil_log2(2                   * pow2(ceil_log2(g_bg_block_size)));
 
   -- dp_offload
---  CONSTANT c_reg_dp_offload_tx_adr_w                     : NATURAL := 1; -- Dev note: add to c_unb2_board_peripherals_mm_reg_default
---  CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w          : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w));
---
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words);
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w));
---
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words);
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w));
+  --  CONSTANT c_reg_dp_offload_tx_adr_w                     : NATURAL := 1; -- Dev note: add to c_unb2_board_peripherals_mm_reg_default
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w          : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w));
+  --
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words);
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w));
+  --
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words);
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w));
 
   -- tr_10GbE
   constant c_reg_tr_10GbE_adr_w                    : natural := func_tech_mac_10g_csr_addr_w(g_technology);
@@ -295,115 +295,115 @@ begin
     eth1g_eth1_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                 port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                 port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                                 port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                 port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_diag_bg_1GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_1GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
     u_mm_file_ram_diag_bg_1GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_1GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
     u_mm_file_reg_diag_tx_seq_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_1GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
     u_mm_file_ram_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
     u_mm_file_reg_diag_tx_seq_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
 
---    u_mm_file_reg_dp_offload_tx_1GbE  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
---                                                   PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
---
---    u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
---                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
---
---    u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
---                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
+    --    u_mm_file_reg_dp_offload_tx_1GbE  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
+    --                                                   PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
+    --
+    --    u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
+    --                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
+    --
+    --    u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
+    --                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
 
     u_mm_file_reg_bsn_monitor_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
     u_mm_file_reg_bsn_monitor_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
     u_mm_file_ram_diag_data_buffer_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_1GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
     u_mm_file_reg_diag_rx_seq_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
     u_mm_file_ram_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
     u_mm_file_reg_diag_rx_seq_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
 
     u_mm_file_reg_io_ddr_MB_I                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
 
     u_mm_file_reg_io_ddr_MB_II                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth0            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
+      port map(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
     u_mm_file_reg_eth1            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_MMS_REG")
-                                               port map(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso);
+      port map(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso);
 
     u_mm_file_reg_10gbase_r_24 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_10GBASE_R_24")
-                                                                  port map(mm_rst, mm_clk, reg_10gbase_r_24_mosi, reg_10gbase_r_24_miso);
+      port map(mm_rst, mm_clk, reg_10gbase_r_24_mosi, reg_10gbase_r_24_miso);
 
     u_mm_file_reg_tr_10GbE_qsfp_ring : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
     u_mm_file_reg_tr_10GbE_back0     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
     u_mm_file_reg_tr_10GbE_back1     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK1")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso);
 
     u_mm_file_reg_eth10g_qsfp_ring   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
     u_mm_file_reg_eth10g_back0       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
     u_mm_file_reg_eth10g_back1       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK1")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso);
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -428,10 +428,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_eth0_reg_mosi, i_eth1g_eth0_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
-        else
-          eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
-        end if;
+        eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
+      else
+        eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
+      end if;
     end process;
 
 
@@ -450,415 +450,415 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2a_test
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
-
-      avs_eth_1_reset_export                    => eth1g_eth1_mm_rst,
-      avs_eth_1_clk_export                      => OPEN,
-      avs_eth_1_tse_address_export              => eth1g_eth1_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_1_tse_write_export                => eth1g_eth1_tse_mosi.wr,
-      avs_eth_1_tse_read_export                 => eth1g_eth1_tse_mosi.rd,
-      avs_eth_1_tse_writedata_export            => eth1g_eth1_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_readdata_export             => eth1g_eth1_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_waitrequest_export          => eth1g_eth1_tse_miso.waitrequest,
-      avs_eth_1_reg_address_export              => eth1g_eth1_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_1_reg_write_export                => eth1g_eth1_reg_mosi.wr,
-      avs_eth_1_reg_read_export                 => eth1g_eth1_reg_mosi.rd,
-      avs_eth_1_reg_writedata_export            => eth1g_eth1_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_reg_readdata_export             => eth1g_eth1_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_address_export              => eth1g_eth1_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_1_ram_write_export                => eth1g_eth1_ram_mosi.wr,
-      avs_eth_1_ram_read_export                 => eth1g_eth1_ram_mosi.rd,
-      avs_eth_1_ram_writedata_export            => eth1g_eth1_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_readdata_export             => eth1g_eth1_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_irq_export                      => eth1g_eth1_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_10gbase_r_24_reset_export       => OPEN,
-      reg_10gbase_r_24_clk_export         => OPEN,
-      reg_10gbase_r_24_address_export     => reg_10gbase_r_24_mosi.address(14 downto 0),
-      reg_10gbase_r_24_write_export       => reg_10gbase_r_24_mosi.wr,
-      reg_10gbase_r_24_writedata_export   => reg_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_10gbase_r_24_read_export        => reg_10gbase_r_24_mosi.rd,
-      reg_10gbase_r_24_readdata_export    => reg_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),
-      reg_10gbase_r_24_waitrequest_export => reg_10gbase_r_24_miso.waitrequest,
-
-
-      reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
-      reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
-      reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
-      reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
-      reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
-
-      reg_tr_10gbe_back0_reset_export           => OPEN,
-      reg_tr_10gbe_back0_clk_export             => OPEN,
-      reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
-      reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
-      reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
-
-      reg_tr_10gbe_back1_reset_export           => OPEN,
-      reg_tr_10gbe_back1_clk_export             => OPEN,
-      reg_tr_10gbe_back1_address_export         => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back1_write_export           => reg_tr_10GbE_back1_mosi.wr,
-      reg_tr_10gbe_back1_writedata_export       => reg_tr_10GbE_back1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back1_read_export            => reg_tr_10GbE_back1_mosi.rd,
-      reg_tr_10gbe_back1_readdata_export        => reg_tr_10GbE_back1_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back1_waitrequest_export     => reg_tr_10GbE_back1_miso.waitrequest,
-
-      reg_eth10g_qsfp_ring_reset_export         => OPEN,
-      reg_eth10g_qsfp_ring_clk_export           => OPEN,
-      reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
-      reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
-      reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back0_reset_export             => OPEN,
-      reg_eth10g_back0_clk_export               => OPEN,
-      reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
-      reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
-      reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
-      reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back1_reset_export             => OPEN,
-      reg_eth10g_back1_clk_export               => OPEN,
-      reg_eth10g_back1_address_export           => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w - 1 downto 0),
-      reg_eth10g_back1_write_export             => reg_eth10g_back1_mosi.wr,
-      reg_eth10g_back1_writedata_export         => reg_eth10g_back1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back1_read_export              => reg_eth10g_back1_mosi.rd,
-      reg_eth10g_back1_readdata_export          => reg_eth10g_back1_miso.rddata(c_word_w - 1 downto 0),
-
---      -- the_reg_dp_offload_tx_1GbE
---      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
---      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
---      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
---      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
---      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0),
---
---      -- the_reg_dp_offload_tx_1GbE_hdr_dat
---      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
---      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
---      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
---      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
---      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
---
---      -- the_reg_dp_offload_rx_1GbE_hdr_dat
---      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
---      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
---      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
---      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
---      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
-
-
-      reg_bsn_monitor_1gbe_reset_export         => OPEN,
-      reg_bsn_monitor_1gbe_clk_export           => OPEN,
-      reg_bsn_monitor_1gbe_address_export       => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_1gbe_write_export         => reg_bsn_monitor_1GbE_mosi.wr,
-      reg_bsn_monitor_1gbe_writedata_export     => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_1gbe_read_export          => reg_bsn_monitor_1GbE_mosi.rd,
-      reg_bsn_monitor_1gbe_readdata_export      => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_10gbe_reset_export        => OPEN,
-      reg_bsn_monitor_10gbe_clk_export          => OPEN,
-      reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
-      reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
-      reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_1gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_1gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_1gbe_address_export   => reg_diag_data_buf_1gbe_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_1gbe_write_export     => reg_diag_data_buf_1gbe_mosi.wr,
-      reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_1gbe_read_export      => reg_diag_data_buf_1gbe_mosi.rd,
-      reg_diag_data_buffer_1gbe_readdata_export  => reg_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_10gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_10gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(5 downto 0),
-      reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
-      reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
-      reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_1gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_1gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_1gbe_address_export   => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_1gbe_write_export     => ram_diag_data_buf_1gbe_mosi.wr,
-      ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_1gbe_read_export      => ram_diag_data_buf_1gbe_mosi.rd,
-      ram_diag_data_buffer_1gbe_readdata_export  => ram_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_10gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_10gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
-      ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
-      ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_1GbE_reset_export               => OPEN,
-      reg_diag_bg_1GbE_clk_export                 => OPEN,
-      reg_diag_bg_1GbE_address_export             => reg_diag_bg_1GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_1GbE_write_export               => reg_diag_bg_1GbE_mosi.wr,
-      reg_diag_bg_1GbE_writedata_export           => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_1GbE_read_export                => reg_diag_bg_1GbE_mosi.rd,
-      reg_diag_bg_1GbE_readdata_export            => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_10GbE_reset_export              => OPEN,
-      reg_diag_bg_10GbE_clk_export                => OPEN,
-      reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
-      reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
-      reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_1GbE_reset_export               => OPEN,
-      ram_diag_bg_1GbE_clk_export                 => OPEN,
-      ram_diag_bg_1GbE_address_export             => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
-      ram_diag_bg_1GbE_write_export               => ram_diag_bg_1GbE_mosi.wr,
-      ram_diag_bg_1GbE_writedata_export           => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_1GbE_read_export                => ram_diag_bg_1GbE_mosi.rd,
-      ram_diag_bg_1GbE_readdata_export            => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_10GbE_reset_export              => OPEN,
-      ram_diag_bg_10GbE_clk_export                => OPEN,
-      ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
-      ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
-      ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
-      ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_I_clk_export                      => OPEN,
-      reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
-      reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_I_reset_export                    => OPEN,
-      reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
-      reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_II_clk_export                     => OPEN,
-      reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
-      reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_II_reset_export                   => OPEN,
-      reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
-      reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-
-   		reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
-   		reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
-   		reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
-   		reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
-   		reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-   		reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
-   		reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
-   		reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
-   		reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
-   		reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
+
+        avs_eth_1_reset_export                    => eth1g_eth1_mm_rst,
+        avs_eth_1_clk_export                      => OPEN,
+        avs_eth_1_tse_address_export              => eth1g_eth1_tse_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_1_tse_write_export                => eth1g_eth1_tse_mosi.wr,
+        avs_eth_1_tse_read_export                 => eth1g_eth1_tse_mosi.rd,
+        avs_eth_1_tse_writedata_export            => eth1g_eth1_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_readdata_export             => eth1g_eth1_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_waitrequest_export          => eth1g_eth1_tse_miso.waitrequest,
+        avs_eth_1_reg_address_export              => eth1g_eth1_reg_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_1_reg_write_export                => eth1g_eth1_reg_mosi.wr,
+        avs_eth_1_reg_read_export                 => eth1g_eth1_reg_mosi.rd,
+        avs_eth_1_reg_writedata_export            => eth1g_eth1_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_reg_readdata_export             => eth1g_eth1_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_address_export              => eth1g_eth1_ram_mosi.address(c_unb2_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_1_ram_write_export                => eth1g_eth1_ram_mosi.wr,
+        avs_eth_1_ram_read_export                 => eth1g_eth1_ram_mosi.rd,
+        avs_eth_1_ram_writedata_export            => eth1g_eth1_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_readdata_export             => eth1g_eth1_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_irq_export                      => eth1g_eth1_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_10gbase_r_24_reset_export       => OPEN,
+        reg_10gbase_r_24_clk_export         => OPEN,
+        reg_10gbase_r_24_address_export     => reg_10gbase_r_24_mosi.address(14 downto 0),
+        reg_10gbase_r_24_write_export       => reg_10gbase_r_24_mosi.wr,
+        reg_10gbase_r_24_writedata_export   => reg_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_10gbase_r_24_read_export        => reg_10gbase_r_24_mosi.rd,
+        reg_10gbase_r_24_readdata_export    => reg_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),
+        reg_10gbase_r_24_waitrequest_export => reg_10gbase_r_24_miso.waitrequest,
+
+
+        reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
+        reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
+        reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
+        reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
+        reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
+
+        reg_tr_10gbe_back0_reset_export           => OPEN,
+        reg_tr_10gbe_back0_clk_export             => OPEN,
+        reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
+        reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
+        reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
+
+        reg_tr_10gbe_back1_reset_export           => OPEN,
+        reg_tr_10gbe_back1_clk_export             => OPEN,
+        reg_tr_10gbe_back1_address_export         => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back1_write_export           => reg_tr_10GbE_back1_mosi.wr,
+        reg_tr_10gbe_back1_writedata_export       => reg_tr_10GbE_back1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back1_read_export            => reg_tr_10GbE_back1_mosi.rd,
+        reg_tr_10gbe_back1_readdata_export        => reg_tr_10GbE_back1_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back1_waitrequest_export     => reg_tr_10GbE_back1_miso.waitrequest,
+
+        reg_eth10g_qsfp_ring_reset_export         => OPEN,
+        reg_eth10g_qsfp_ring_clk_export           => OPEN,
+        reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
+        reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
+        reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back0_reset_export             => OPEN,
+        reg_eth10g_back0_clk_export               => OPEN,
+        reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
+        reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
+        reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
+        reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back1_reset_export             => OPEN,
+        reg_eth10g_back1_clk_export               => OPEN,
+        reg_eth10g_back1_address_export           => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w - 1 downto 0),
+        reg_eth10g_back1_write_export             => reg_eth10g_back1_mosi.wr,
+        reg_eth10g_back1_writedata_export         => reg_eth10g_back1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back1_read_export              => reg_eth10g_back1_mosi.rd,
+        reg_eth10g_back1_readdata_export          => reg_eth10g_back1_miso.rddata(c_word_w - 1 downto 0),
+
+        --      -- the_reg_dp_offload_tx_1GbE
+        --      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
+        --      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
+        --      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
+        --      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
+        --      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0),
+        --
+        --      -- the_reg_dp_offload_tx_1GbE_hdr_dat
+        --      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
+        --
+        --      -- the_reg_dp_offload_rx_1GbE_hdr_dat
+        --      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
+
+
+        reg_bsn_monitor_1gbe_reset_export         => OPEN,
+        reg_bsn_monitor_1gbe_clk_export           => OPEN,
+        reg_bsn_monitor_1gbe_address_export       => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_1gbe_write_export         => reg_bsn_monitor_1GbE_mosi.wr,
+        reg_bsn_monitor_1gbe_writedata_export     => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_1gbe_read_export          => reg_bsn_monitor_1GbE_mosi.rd,
+        reg_bsn_monitor_1gbe_readdata_export      => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_10gbe_reset_export        => OPEN,
+        reg_bsn_monitor_10gbe_clk_export          => OPEN,
+        reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
+        reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
+        reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_1gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_1gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_1gbe_address_export   => reg_diag_data_buf_1gbe_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_1gbe_write_export     => reg_diag_data_buf_1gbe_mosi.wr,
+        reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_1gbe_read_export      => reg_diag_data_buf_1gbe_mosi.rd,
+        reg_diag_data_buffer_1gbe_readdata_export  => reg_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_10gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_10gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(5 downto 0),
+        reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
+        reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
+        reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_1gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_1gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_1gbe_address_export   => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_1gbe_write_export     => ram_diag_data_buf_1gbe_mosi.wr,
+        ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_1gbe_read_export      => ram_diag_data_buf_1gbe_mosi.rd,
+        ram_diag_data_buffer_1gbe_readdata_export  => ram_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_10gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_10gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
+        ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
+        ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_1GbE_reset_export               => OPEN,
+        reg_diag_bg_1GbE_clk_export                 => OPEN,
+        reg_diag_bg_1GbE_address_export             => reg_diag_bg_1GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_1GbE_write_export               => reg_diag_bg_1GbE_mosi.wr,
+        reg_diag_bg_1GbE_writedata_export           => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_1GbE_read_export                => reg_diag_bg_1GbE_mosi.rd,
+        reg_diag_bg_1GbE_readdata_export            => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_10GbE_reset_export              => OPEN,
+        reg_diag_bg_10GbE_clk_export                => OPEN,
+        reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
+        reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
+        reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_1GbE_reset_export               => OPEN,
+        ram_diag_bg_1GbE_clk_export                 => OPEN,
+        ram_diag_bg_1GbE_address_export             => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
+        ram_diag_bg_1GbE_write_export               => ram_diag_bg_1GbE_mosi.wr,
+        ram_diag_bg_1GbE_writedata_export           => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_1GbE_read_export                => ram_diag_bg_1GbE_mosi.rd,
+        ram_diag_bg_1GbE_readdata_export            => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_10GbE_reset_export              => OPEN,
+        ram_diag_bg_10GbE_clk_export                => OPEN,
+        ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
+        ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
+        ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
+        ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_I_clk_export                      => OPEN,
+        reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
+        reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_I_reset_export                    => OPEN,
+        reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
+        reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_II_clk_export                     => OPEN,
+        reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
+        reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_II_reset_export                   => OPEN,
+        reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
+        reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/src/vhdl/qsys_unb2a_test_pkg.vhd b/boards/uniboard2a/designs/unb2a_test/src/vhdl/qsys_unb2a_test_pkg.vhd
index 3722b4a589..1b6a111e56 100644
--- a/boards/uniboard2a/designs/unb2a_test/src/vhdl/qsys_unb2a_test_pkg.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/src/vhdl/qsys_unb2a_test_pkg.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2a_test_pkg is
 
@@ -29,370 +29,370 @@ package qsys_unb2a_test_pkg is
   -- $HDL_WORK/build/unb2a/quartus/unb2a_test_ddr/qsys_unb2a_test/sim/qsys_unb2a_test.vhd
   -----------------------------------------------------------------------------
 
-    component qsys_unb2a_test is
-       	port (
-            avs_eth_0_clk_export                                      : out std_logic;  -- avs_eth_0_clk.export
-            avs_eth_0_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_0_irq.export
-            avs_eth_0_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_ram_address.export
-            avs_eth_0_ram_read_export                                 : out std_logic;  -- avs_eth_0_ram_read.export
-            avs_eth_0_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_ram_readdata.export
-            avs_eth_0_ram_write_export                                : out std_logic;  -- avs_eth_0_ram_write.export
-            avs_eth_0_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_ram_writedata.export
-            avs_eth_0_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_0_reg_address.export
-            avs_eth_0_reg_read_export                                 : out std_logic;  -- avs_eth_0_reg_read.export
-            avs_eth_0_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_reg_readdata.export
-            avs_eth_0_reg_write_export                                : out std_logic;  -- avs_eth_0_reg_write.export
-            avs_eth_0_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_reg_writedata.export
-            avs_eth_0_reset_export                                    : out std_logic;  -- avs_eth_0_reset.export
-            avs_eth_0_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_tse_address.export
-            avs_eth_0_tse_read_export                                 : out std_logic;  -- avs_eth_0_tse_read.export
-            avs_eth_0_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_tse_readdata.export
-            avs_eth_0_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_0_tse_waitrequest.export
-            avs_eth_0_tse_write_export                                : out std_logic;  -- avs_eth_0_tse_write.export
-            avs_eth_0_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_tse_writedata.export
-            avs_eth_1_clk_export                                      : out std_logic;  -- avs_eth_1_clk.export
-            avs_eth_1_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_1_irq.export
-            avs_eth_1_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_ram_address.export
-            avs_eth_1_ram_read_export                                 : out std_logic;  -- avs_eth_1_ram_read.export
-            avs_eth_1_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_ram_readdata.export
-            avs_eth_1_ram_write_export                                : out std_logic;  -- avs_eth_1_ram_write.export
-            avs_eth_1_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_ram_writedata.export
-            avs_eth_1_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_1_reg_address.export
-            avs_eth_1_reg_read_export                                 : out std_logic;  -- avs_eth_1_reg_read.export
-            avs_eth_1_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_reg_readdata.export
-            avs_eth_1_reg_write_export                                : out std_logic;  -- avs_eth_1_reg_write.export
-            avs_eth_1_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_reg_writedata.export
-            avs_eth_1_reset_export                                    : out std_logic;  -- avs_eth_1_reset.export
-            avs_eth_1_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_tse_address.export
-            avs_eth_1_tse_read_export                                 : out std_logic;  -- avs_eth_1_tse_read.export
-            avs_eth_1_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_tse_readdata.export
-            avs_eth_1_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_1_tse_waitrequest.export
-            avs_eth_1_tse_write_export                                : out std_logic;  -- avs_eth_1_tse_write.export
-            avs_eth_1_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_tse_writedata.export
-            clk_clk                                                   : in  std_logic                     := '0';  -- clk.clk
-            pio_pps_address_export                                    : out std_logic_vector(0 downto 0);  -- pio_pps_address.export
-            pio_pps_clk_export                                        : out std_logic;  -- pio_pps_clk.export
-            pio_pps_read_export                                       : out std_logic;  -- pio_pps_read.export
-            pio_pps_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_pps_readdata.export
-            pio_pps_reset_export                                      : out std_logic;  -- pio_pps_reset.export
-            pio_pps_write_export                                      : out std_logic;  -- pio_pps_write.export
-            pio_pps_writedata_export                                  : out std_logic_vector(31 downto 0);  -- pio_pps_writedata.export
-            pio_system_info_address_export                            : out std_logic_vector(4 downto 0);  -- pio_system_info_address.export
-            pio_system_info_clk_export                                : out std_logic;  -- pio_system_info_clk.export
-            pio_system_info_read_export                               : out std_logic;  -- pio_system_info_read.export
-            pio_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_system_info_readdata.export
-            pio_system_info_reset_export                              : out std_logic;  -- pio_system_info_reset.export
-            pio_system_info_write_export                              : out std_logic;  -- pio_system_info_write.export
-            pio_system_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- pio_system_info_writedata.export
-            pio_wdi_external_connection_export                        : out std_logic;  -- pio_wdi_external_connection.export
-            ram_diag_bg_10gbe_address_export                          : out std_logic_vector(16 downto 0);  -- ram_diag_bg_10gbe_address.export
-            ram_diag_bg_10gbe_clk_export                              : out std_logic;  -- ram_diag_bg_10gbe_clk.export
-            ram_diag_bg_10gbe_read_export                             : out std_logic;  -- ram_diag_bg_10gbe_read.export
-            ram_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_10gbe_readdata.export
-            ram_diag_bg_10gbe_reset_export                            : out std_logic;  -- ram_diag_bg_10gbe_reset.export
-            ram_diag_bg_10gbe_write_export                            : out std_logic;  -- ram_diag_bg_10gbe_write.export
-            ram_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- ram_diag_bg_10gbe_writedata.export
-            ram_diag_bg_1gbe_address_export                           : out std_logic_vector(10 downto 0);  -- ram_diag_bg_1gbe_address.export
-            ram_diag_bg_1gbe_clk_export                               : out std_logic;  -- ram_diag_bg_1gbe_clk.export
-            ram_diag_bg_1gbe_read_export                              : out std_logic;  -- ram_diag_bg_1gbe_read.export
-            ram_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_1gbe_readdata.export
-            ram_diag_bg_1gbe_reset_export                             : out std_logic;  -- ram_diag_bg_1gbe_reset.export
-            ram_diag_bg_1gbe_write_export                             : out std_logic;  -- ram_diag_bg_1gbe_write.export
-            ram_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- ram_diag_bg_1gbe_writedata.export
-            ram_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(16 downto 0);  -- ram_diag_data_buffer_10gbe_address.export
-            ram_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- ram_diag_data_buffer_10gbe_clk.export
-            ram_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- ram_diag_data_buffer_10gbe_read.export
-            ram_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_10gbe_readdata.export
-            ram_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_reset.export
-            ram_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_write.export
-            ram_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_10gbe_writedata.export
-            ram_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_1gbe_address.export
-            ram_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- ram_diag_data_buffer_1gbe_clk.export
-            ram_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- ram_diag_data_buffer_1gbe_read.export
-            ram_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_1gbe_readdata.export
-            ram_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_reset.export
-            ram_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_write.export
-            ram_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_1gbe_writedata.export
-            ram_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_address.export
-            ram_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_clk.export
-            ram_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_read.export
-            ram_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_i_readdata.export
-            ram_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_reset.export
-            ram_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_write.export
-            ram_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_writedata.export
-            ram_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_address.export
-            ram_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_clk.export
-            ram_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_read.export
-            ram_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_ii_readdata.export
-            ram_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_reset.export
-            ram_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_write.export
-            ram_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_writedata.export
-            reg_bsn_monitor_10gbe_address_export                      : out std_logic_vector(10 downto 0);  -- reg_bsn_monitor_10gbe_address.export
-            reg_bsn_monitor_10gbe_clk_export                          : out std_logic;  -- reg_bsn_monitor_10gbe_clk.export
-            reg_bsn_monitor_10gbe_read_export                         : out std_logic;  -- reg_bsn_monitor_10gbe_read.export
-            reg_bsn_monitor_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_10gbe_readdata.export
-            reg_bsn_monitor_10gbe_reset_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_reset.export
-            reg_bsn_monitor_10gbe_write_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_write.export
-            reg_bsn_monitor_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_10gbe_writedata.export
-            reg_bsn_monitor_1gbe_address_export                       : out std_logic_vector(4 downto 0);  -- reg_bsn_monitor_1gbe_address.export
-            reg_bsn_monitor_1gbe_clk_export                           : out std_logic;  -- reg_bsn_monitor_1gbe_clk.export
-            reg_bsn_monitor_1gbe_read_export                          : out std_logic;  -- reg_bsn_monitor_1gbe_read.export
-            reg_bsn_monitor_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_1gbe_readdata.export
-            reg_bsn_monitor_1gbe_reset_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_reset.export
-            reg_bsn_monitor_1gbe_write_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_write.export
-            reg_bsn_monitor_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_1gbe_writedata.export
-            reg_diag_bg_10gbe_address_export                          : out std_logic_vector(2 downto 0);  -- reg_diag_bg_10gbe_address.export
-            reg_diag_bg_10gbe_clk_export                              : out std_logic;  -- reg_diag_bg_10gbe_clk.export
-            reg_diag_bg_10gbe_read_export                             : out std_logic;  -- reg_diag_bg_10gbe_read.export
-            reg_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_10gbe_readdata.export
-            reg_diag_bg_10gbe_reset_export                            : out std_logic;  -- reg_diag_bg_10gbe_reset.export
-            reg_diag_bg_10gbe_write_export                            : out std_logic;  -- reg_diag_bg_10gbe_write.export
-            reg_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- reg_diag_bg_10gbe_writedata.export
-            reg_diag_bg_1gbe_address_export                           : out std_logic_vector(2 downto 0);  -- reg_diag_bg_1gbe_address.export
-            reg_diag_bg_1gbe_clk_export                               : out std_logic;  -- reg_diag_bg_1gbe_clk.export
-            reg_diag_bg_1gbe_read_export                              : out std_logic;  -- reg_diag_bg_1gbe_read.export
-            reg_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_1gbe_readdata.export
-            reg_diag_bg_1gbe_reset_export                             : out std_logic;  -- reg_diag_bg_1gbe_reset.export
-            reg_diag_bg_1gbe_write_export                             : out std_logic;  -- reg_diag_bg_1gbe_write.export
-            reg_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_diag_bg_1gbe_writedata.export
-            reg_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(5 downto 0);  -- reg_diag_data_buffer_10gbe_address.export
-            reg_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- reg_diag_data_buffer_10gbe_clk.export
-            reg_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- reg_diag_data_buffer_10gbe_read.export
-            reg_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_10gbe_readdata.export
-            reg_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_reset.export
-            reg_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_write.export
-            reg_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_10gbe_writedata.export
-            reg_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_1gbe_address.export
-            reg_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- reg_diag_data_buffer_1gbe_clk.export
-            reg_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- reg_diag_data_buffer_1gbe_read.export
-            reg_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_1gbe_readdata.export
-            reg_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_reset.export
-            reg_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_write.export
-            reg_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_1gbe_writedata.export
-            reg_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_address.export
-            reg_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_clk.export
-            reg_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_read.export
-            reg_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_i_readdata.export
-            reg_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_reset.export
-            reg_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_write.export
-            reg_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_writedata.export
-            reg_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_address.export
-            reg_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_clk.export
-            reg_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_read.export
-            reg_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_ii_readdata.export
-            reg_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_reset.export
-            reg_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_write.export
-            reg_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_writedata.export
-            reg_diag_rx_seq_10gbe_address_export                      : out std_logic_vector(4 downto 0);  -- reg_diag_rx_seq_10gbe_address.export
-            reg_diag_rx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_rx_seq_10gbe_clk.export
-            reg_diag_rx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_rx_seq_10gbe_read.export
-            reg_diag_rx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_10gbe_readdata.export
-            reg_diag_rx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_reset.export
-            reg_diag_rx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_write.export
-            reg_diag_rx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_10gbe_writedata.export
-            reg_diag_rx_seq_1gbe_address_export                       : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_1gbe_address.export
-            reg_diag_rx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_rx_seq_1gbe_clk.export
-            reg_diag_rx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_rx_seq_1gbe_read.export
-            reg_diag_rx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_1gbe_readdata.export
-            reg_diag_rx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_reset.export
-            reg_diag_rx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_write.export
-            reg_diag_rx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_1gbe_writedata.export
-            reg_diag_rx_seq_ddr_mb_i_address_export                   : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_address.export
-            reg_diag_rx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_clk.export
-            reg_diag_rx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_read.export
-            reg_diag_rx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_i_readdata.export
-            reg_diag_rx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_reset.export
-            reg_diag_rx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_write.export
-            reg_diag_rx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_writedata.export
-            reg_diag_rx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_address.export
-            reg_diag_rx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_clk.export
-            reg_diag_rx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_read.export
-            reg_diag_rx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_ii_readdata.export
-            reg_diag_rx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_reset.export
-            reg_diag_rx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_write.export
-            reg_diag_rx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_writedata.export
-            reg_diag_tx_seq_10gbe_address_export                      : out std_logic_vector(3 downto 0);  -- reg_diag_tx_seq_10gbe_address.export
-            reg_diag_tx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_tx_seq_10gbe_clk.export
-            reg_diag_tx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_tx_seq_10gbe_read.export
-            reg_diag_tx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_10gbe_readdata.export
-            reg_diag_tx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_reset.export
-            reg_diag_tx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_write.export
-            reg_diag_tx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_10gbe_writedata.export
-            reg_diag_tx_seq_1gbe_address_export                       : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_1gbe_address.export
-            reg_diag_tx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_tx_seq_1gbe_clk.export
-            reg_diag_tx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_tx_seq_1gbe_read.export
-            reg_diag_tx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_1gbe_readdata.export
-            reg_diag_tx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_reset.export
-            reg_diag_tx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_write.export
-            reg_diag_tx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_1gbe_writedata.export
-            reg_diag_tx_seq_ddr_mb_i_address_export                   : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_address.export
-            reg_diag_tx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_clk.export
-            reg_diag_tx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_read.export
-            reg_diag_tx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_i_readdata.export
-            reg_diag_tx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_reset.export
-            reg_diag_tx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_write.export
-            reg_diag_tx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_writedata.export
-            reg_diag_tx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_address.export
-            reg_diag_tx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_clk.export
-            reg_diag_tx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_read.export
-            reg_diag_tx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_ii_readdata.export
-            reg_diag_tx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_reset.export
-            reg_diag_tx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_write.export
-            reg_diag_tx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_writedata.export
-            reg_dpmm_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_ctrl_address.export
-            reg_dpmm_ctrl_clk_export                                  : out std_logic;  -- reg_dpmm_ctrl_clk.export
-            reg_dpmm_ctrl_read_export                                 : out std_logic;  -- reg_dpmm_ctrl_read.export
-            reg_dpmm_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_ctrl_readdata.export
-            reg_dpmm_ctrl_reset_export                                : out std_logic;  -- reg_dpmm_ctrl_reset.export
-            reg_dpmm_ctrl_write_export                                : out std_logic;  -- reg_dpmm_ctrl_write.export
-            reg_dpmm_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_ctrl_writedata.export
-            reg_dpmm_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_data_address.export
-            reg_dpmm_data_clk_export                                  : out std_logic;  -- reg_dpmm_data_clk.export
-            reg_dpmm_data_read_export                                 : out std_logic;  -- reg_dpmm_data_read.export
-            reg_dpmm_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_data_readdata.export
-            reg_dpmm_data_reset_export                                : out std_logic;  -- reg_dpmm_data_reset.export
-            reg_dpmm_data_write_export                                : out std_logic;  -- reg_dpmm_data_write.export
-            reg_dpmm_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_data_writedata.export
-            reg_epcs_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_epcs_address.export
-            reg_epcs_clk_export                                       : out std_logic;  -- reg_epcs_clk.export
-            reg_epcs_read_export                                      : out std_logic;  -- reg_epcs_read.export
-            reg_epcs_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_epcs_readdata.export
-            reg_epcs_reset_export                                     : out std_logic;  -- reg_epcs_reset.export
-            reg_epcs_write_export                                     : out std_logic;  -- reg_epcs_write.export
-            reg_epcs_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_epcs_writedata.export
-            reg_eth10g_back0_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back0_address.export
-            reg_eth10g_back0_clk_export                               : out std_logic;  -- reg_eth10g_back0_clk.export
-            reg_eth10g_back0_read_export                              : out std_logic;  -- reg_eth10g_back0_read.export
-            reg_eth10g_back0_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back0_readdata.export
-            reg_eth10g_back0_reset_export                             : out std_logic;  -- reg_eth10g_back0_reset.export
-            reg_eth10g_back0_write_export                             : out std_logic;  -- reg_eth10g_back0_write.export
-            reg_eth10g_back0_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back0_writedata.export
-            reg_eth10g_back1_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back1_address.export
-            reg_eth10g_back1_clk_export                               : out std_logic;  -- reg_eth10g_back1_clk.export
-            reg_eth10g_back1_read_export                              : out std_logic;  -- reg_eth10g_back1_read.export
-            reg_eth10g_back1_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back1_readdata.export
-            reg_eth10g_back1_reset_export                             : out std_logic;  -- reg_eth10g_back1_reset.export
-            reg_eth10g_back1_write_export                             : out std_logic;  -- reg_eth10g_back1_write.export
-            reg_eth10g_back1_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back1_writedata.export
-            reg_eth10g_qsfp_ring_address_export                       : out std_logic_vector(6 downto 0);  -- reg_eth10g_qsfp_ring_address.export
-            reg_eth10g_qsfp_ring_clk_export                           : out std_logic;  -- reg_eth10g_qsfp_ring_clk.export
-            reg_eth10g_qsfp_ring_read_export                          : out std_logic;  -- reg_eth10g_qsfp_ring_read.export
-            reg_eth10g_qsfp_ring_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_qsfp_ring_readdata.export
-            reg_eth10g_qsfp_ring_reset_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_reset.export
-            reg_eth10g_qsfp_ring_write_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_write.export
-            reg_eth10g_qsfp_ring_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_eth10g_qsfp_ring_writedata.export
-            reg_fpga_temp_sens_address_export                         : out std_logic_vector(2 downto 0);  -- reg_fpga_temp_sens_address.export
-            reg_fpga_temp_sens_clk_export                             : out std_logic;  -- reg_fpga_temp_sens_clk.export
-            reg_fpga_temp_sens_read_export                            : out std_logic;  -- reg_fpga_temp_sens_read.export
-            reg_fpga_temp_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_temp_sens_readdata.export
-            reg_fpga_temp_sens_reset_export                           : out std_logic;  -- reg_fpga_temp_sens_reset.export
-            reg_fpga_temp_sens_write_export                           : out std_logic;  -- reg_fpga_temp_sens_write.export
-            reg_fpga_temp_sens_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_fpga_temp_sens_writedata.export
-            reg_fpga_voltage_sens_address_export                      : out std_logic_vector(3 downto 0);  -- reg_fpga_voltage_sens_address.export
-            reg_fpga_voltage_sens_clk_export                          : out std_logic;  -- reg_fpga_voltage_sens_clk.export
-            reg_fpga_voltage_sens_read_export                         : out std_logic;  -- reg_fpga_voltage_sens_read.export
-            reg_fpga_voltage_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_voltage_sens_readdata.export
-            reg_fpga_voltage_sens_reset_export                        : out std_logic;  -- reg_fpga_voltage_sens_reset.export
-            reg_fpga_voltage_sens_write_export                        : out std_logic;  -- reg_fpga_voltage_sens_write.export
-            reg_fpga_voltage_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_fpga_voltage_sens_writedata.export
-            reg_io_ddr_mb_i_address_export                            : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_i_address.export
-            reg_io_ddr_mb_i_clk_export                                : out std_logic;  -- reg_io_ddr_mb_i_clk.export
-            reg_io_ddr_mb_i_read_export                               : out std_logic;  -- reg_io_ddr_mb_i_read.export
-            reg_io_ddr_mb_i_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_i_readdata.export
-            reg_io_ddr_mb_i_reset_export                              : out std_logic;  -- reg_io_ddr_mb_i_reset.export
-            reg_io_ddr_mb_i_write_export                              : out std_logic;  -- reg_io_ddr_mb_i_write.export
-            reg_io_ddr_mb_i_writedata_export                          : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_i_writedata.export
-            reg_io_ddr_mb_ii_address_export                           : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_ii_address.export
-            reg_io_ddr_mb_ii_clk_export                               : out std_logic;  -- reg_io_ddr_mb_ii_clk.export
-            reg_io_ddr_mb_ii_read_export                              : out std_logic;  -- reg_io_ddr_mb_ii_read.export
-            reg_io_ddr_mb_ii_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_ii_readdata.export
-            reg_io_ddr_mb_ii_reset_export                             : out std_logic;  -- reg_io_ddr_mb_ii_reset.export
-            reg_io_ddr_mb_ii_write_export                             : out std_logic;  -- reg_io_ddr_mb_ii_write.export
-            reg_io_ddr_mb_ii_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_ii_writedata.export
-            reg_10gbase_r_24_address_export                           : out std_logic_vector(14 downto 0);  -- reg_10gbase_r_24_address.export
-            reg_10gbase_r_24_clk_export                               : out std_logic;  -- reg_10gbase_r_24_clk.export
-            reg_10gbase_r_24_read_export                              : out std_logic;  -- reg_10gbase_r_24_read.export
-            reg_10gbase_r_24_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_10gbase_r_24_readdata.export
-            reg_10gbase_r_24_reset_export                             : out std_logic;  -- reg_10gbase_r_24_reset.export
-            reg_10gbase_r_24_waitrequest_export                       : in  std_logic                     := '0';  -- reg_10gbase_r_24_waitrequest.export
-            reg_10gbase_r_24_write_export                             : out std_logic;  -- reg_10gbase_r_24_write.export
-            reg_10gbase_r_24_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_10gbase_r_24_writedata.export
-            reg_mmdp_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_ctrl_address.export
-            reg_mmdp_ctrl_clk_export                                  : out std_logic;  -- reg_mmdp_ctrl_clk.export
-            reg_mmdp_ctrl_read_export                                 : out std_logic;  -- reg_mmdp_ctrl_read.export
-            reg_mmdp_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_ctrl_readdata.export
-            reg_mmdp_ctrl_reset_export                                : out std_logic;  -- reg_mmdp_ctrl_reset.export
-            reg_mmdp_ctrl_write_export                                : out std_logic;  -- reg_mmdp_ctrl_write.export
-            reg_mmdp_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_ctrl_writedata.export
-            reg_mmdp_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_data_address.export
-            reg_mmdp_data_clk_export                                  : out std_logic;  -- reg_mmdp_data_clk.export
-            reg_mmdp_data_read_export                                 : out std_logic;  -- reg_mmdp_data_read.export
-            reg_mmdp_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_data_readdata.export
-            reg_mmdp_data_reset_export                                : out std_logic;  -- reg_mmdp_data_reset.export
-            reg_mmdp_data_write_export                                : out std_logic;  -- reg_mmdp_data_write.export
-            reg_mmdp_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_data_writedata.export
-            reg_remu_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_remu_address.export
-            reg_remu_clk_export                                       : out std_logic;  -- reg_remu_clk.export
-            reg_remu_read_export                                      : out std_logic;  -- reg_remu_read.export
-            reg_remu_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_remu_readdata.export
-            reg_remu_reset_export                                     : out std_logic;  -- reg_remu_reset.export
-            reg_remu_write_export                                     : out std_logic;  -- reg_remu_write.export
-            reg_remu_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_remu_writedata.export
-            reg_tr_10gbe_back0_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back0_address.export
-            reg_tr_10gbe_back0_clk_export                             : out std_logic;  -- reg_tr_10gbe_back0_clk.export
-            reg_tr_10gbe_back0_read_export                            : out std_logic;  -- reg_tr_10gbe_back0_read.export
-            reg_tr_10gbe_back0_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back0_readdata.export
-            reg_tr_10gbe_back0_reset_export                           : out std_logic;  -- reg_tr_10gbe_back0_reset.export
-            reg_tr_10gbe_back0_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back0_waitrequest.export
-            reg_tr_10gbe_back0_write_export                           : out std_logic;  -- reg_tr_10gbe_back0_write.export
-            reg_tr_10gbe_back0_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back0_writedata.export
-            reg_tr_10gbe_back1_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back1_address.export
-            reg_tr_10gbe_back1_clk_export                             : out std_logic;  -- reg_tr_10gbe_back1_clk.export
-            reg_tr_10gbe_back1_read_export                            : out std_logic;  -- reg_tr_10gbe_back1_read.export
-            reg_tr_10gbe_back1_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back1_readdata.export
-            reg_tr_10gbe_back1_reset_export                           : out std_logic;  -- reg_tr_10gbe_back1_reset.export
-            reg_tr_10gbe_back1_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back1_waitrequest.export
-            reg_tr_10gbe_back1_write_export                           : out std_logic;  -- reg_tr_10gbe_back1_write.export
-            reg_tr_10gbe_back1_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back1_writedata.export
-            reg_tr_10gbe_qsfp_ring_address_export                     : out std_logic_vector(18 downto 0);  -- reg_tr_10gbe_qsfp_ring_address.export
-            reg_tr_10gbe_qsfp_ring_clk_export                         : out std_logic;  -- reg_tr_10gbe_qsfp_ring_clk.export
-            reg_tr_10gbe_qsfp_ring_read_export                        : out std_logic;  -- reg_tr_10gbe_qsfp_ring_read.export
-            reg_tr_10gbe_qsfp_ring_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_qsfp_ring_readdata.export
-            reg_tr_10gbe_qsfp_ring_reset_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_reset.export
-            reg_tr_10gbe_qsfp_ring_waitrequest_export                 : in  std_logic                     := '0';  -- reg_tr_10gbe_qsfp_ring_waitrequest.export
-            reg_tr_10gbe_qsfp_ring_write_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_write.export
-            reg_tr_10gbe_qsfp_ring_writedata_export                   : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_qsfp_ring_writedata.export
-            reg_unb_pmbus_address_export                              : out std_logic_vector(5 downto 0);  -- reg_unb_pmbus_address.export
-            reg_unb_pmbus_clk_export                                  : out std_logic;  -- reg_unb_pmbus_clk.export
-            reg_unb_pmbus_read_export                                 : out std_logic;  -- reg_unb_pmbus_read.export
-            reg_unb_pmbus_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_pmbus_readdata.export
-            reg_unb_pmbus_reset_export                                : out std_logic;  -- reg_unb_pmbus_reset.export
-            reg_unb_pmbus_write_export                                : out std_logic;  -- reg_unb_pmbus_write.export
-            reg_unb_pmbus_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_unb_pmbus_writedata.export
-            reg_unb_sens_address_export                               : out std_logic_vector(5 downto 0);  -- reg_unb_sens_address.export
-            reg_unb_sens_clk_export                                   : out std_logic;  -- reg_unb_sens_clk.export
-            reg_unb_sens_read_export                                  : out std_logic;  -- reg_unb_sens_read.export
-            reg_unb_sens_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_sens_readdata.export
-            reg_unb_sens_reset_export                                 : out std_logic;  -- reg_unb_sens_reset.export
-            reg_unb_sens_write_export                                 : out std_logic;  -- reg_unb_sens_write.export
-            reg_unb_sens_writedata_export                             : out std_logic_vector(31 downto 0);  -- reg_unb_sens_writedata.export
-            reg_wdi_address_export                                    : out std_logic_vector(0 downto 0);  -- reg_wdi_address.export
-            reg_wdi_clk_export                                        : out std_logic;  -- reg_wdi_clk.export
-            reg_wdi_read_export                                       : out std_logic;  -- reg_wdi_read.export
-            reg_wdi_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_wdi_readdata.export
-            reg_wdi_reset_export                                      : out std_logic;  -- reg_wdi_reset.export
-            reg_wdi_write_export                                      : out std_logic;  -- reg_wdi_write.export
-            reg_wdi_writedata_export                                  : out std_logic_vector(31 downto 0);  -- reg_wdi_writedata.export
-            reset_reset_n                                             : in  std_logic                     := '0';  -- reset.reset_n
-            rom_system_info_address_export                            : out std_logic_vector(9 downto 0);  -- rom_system_info_address.export
-            rom_system_info_clk_export                                : out std_logic;  -- rom_system_info_clk.export
-            rom_system_info_read_export                               : out std_logic;  -- rom_system_info_read.export
-            rom_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- rom_system_info_readdata.export
-            rom_system_info_reset_export                              : out std_logic;  -- rom_system_info_reset.export
-            rom_system_info_write_export                              : out std_logic;  -- rom_system_info_write.export
-            rom_system_info_writedata_export                          : out std_logic_vector(31 downto 0)  -- rom_system_info_writedata.export
-        );
-    end component qsys_unb2a_test;
+  component qsys_unb2a_test is
+    port (
+      avs_eth_0_clk_export                                      : out std_logic;  -- avs_eth_0_clk.export
+      avs_eth_0_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_0_irq.export
+      avs_eth_0_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_ram_address.export
+      avs_eth_0_ram_read_export                                 : out std_logic;  -- avs_eth_0_ram_read.export
+      avs_eth_0_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_ram_readdata.export
+      avs_eth_0_ram_write_export                                : out std_logic;  -- avs_eth_0_ram_write.export
+      avs_eth_0_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_ram_writedata.export
+      avs_eth_0_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_0_reg_address.export
+      avs_eth_0_reg_read_export                                 : out std_logic;  -- avs_eth_0_reg_read.export
+      avs_eth_0_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_reg_readdata.export
+      avs_eth_0_reg_write_export                                : out std_logic;  -- avs_eth_0_reg_write.export
+      avs_eth_0_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_reg_writedata.export
+      avs_eth_0_reset_export                                    : out std_logic;  -- avs_eth_0_reset.export
+      avs_eth_0_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_tse_address.export
+      avs_eth_0_tse_read_export                                 : out std_logic;  -- avs_eth_0_tse_read.export
+      avs_eth_0_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_tse_readdata.export
+      avs_eth_0_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_0_tse_waitrequest.export
+      avs_eth_0_tse_write_export                                : out std_logic;  -- avs_eth_0_tse_write.export
+      avs_eth_0_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_tse_writedata.export
+      avs_eth_1_clk_export                                      : out std_logic;  -- avs_eth_1_clk.export
+      avs_eth_1_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_1_irq.export
+      avs_eth_1_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_ram_address.export
+      avs_eth_1_ram_read_export                                 : out std_logic;  -- avs_eth_1_ram_read.export
+      avs_eth_1_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_ram_readdata.export
+      avs_eth_1_ram_write_export                                : out std_logic;  -- avs_eth_1_ram_write.export
+      avs_eth_1_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_ram_writedata.export
+      avs_eth_1_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_1_reg_address.export
+      avs_eth_1_reg_read_export                                 : out std_logic;  -- avs_eth_1_reg_read.export
+      avs_eth_1_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_reg_readdata.export
+      avs_eth_1_reg_write_export                                : out std_logic;  -- avs_eth_1_reg_write.export
+      avs_eth_1_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_reg_writedata.export
+      avs_eth_1_reset_export                                    : out std_logic;  -- avs_eth_1_reset.export
+      avs_eth_1_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_tse_address.export
+      avs_eth_1_tse_read_export                                 : out std_logic;  -- avs_eth_1_tse_read.export
+      avs_eth_1_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_tse_readdata.export
+      avs_eth_1_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_1_tse_waitrequest.export
+      avs_eth_1_tse_write_export                                : out std_logic;  -- avs_eth_1_tse_write.export
+      avs_eth_1_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_tse_writedata.export
+      clk_clk                                                   : in  std_logic                     := '0';  -- clk.clk
+      pio_pps_address_export                                    : out std_logic_vector(0 downto 0);  -- pio_pps_address.export
+      pio_pps_clk_export                                        : out std_logic;  -- pio_pps_clk.export
+      pio_pps_read_export                                       : out std_logic;  -- pio_pps_read.export
+      pio_pps_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_pps_readdata.export
+      pio_pps_reset_export                                      : out std_logic;  -- pio_pps_reset.export
+      pio_pps_write_export                                      : out std_logic;  -- pio_pps_write.export
+      pio_pps_writedata_export                                  : out std_logic_vector(31 downto 0);  -- pio_pps_writedata.export
+      pio_system_info_address_export                            : out std_logic_vector(4 downto 0);  -- pio_system_info_address.export
+      pio_system_info_clk_export                                : out std_logic;  -- pio_system_info_clk.export
+      pio_system_info_read_export                               : out std_logic;  -- pio_system_info_read.export
+      pio_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_system_info_readdata.export
+      pio_system_info_reset_export                              : out std_logic;  -- pio_system_info_reset.export
+      pio_system_info_write_export                              : out std_logic;  -- pio_system_info_write.export
+      pio_system_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- pio_system_info_writedata.export
+      pio_wdi_external_connection_export                        : out std_logic;  -- pio_wdi_external_connection.export
+      ram_diag_bg_10gbe_address_export                          : out std_logic_vector(16 downto 0);  -- ram_diag_bg_10gbe_address.export
+      ram_diag_bg_10gbe_clk_export                              : out std_logic;  -- ram_diag_bg_10gbe_clk.export
+      ram_diag_bg_10gbe_read_export                             : out std_logic;  -- ram_diag_bg_10gbe_read.export
+      ram_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_10gbe_readdata.export
+      ram_diag_bg_10gbe_reset_export                            : out std_logic;  -- ram_diag_bg_10gbe_reset.export
+      ram_diag_bg_10gbe_write_export                            : out std_logic;  -- ram_diag_bg_10gbe_write.export
+      ram_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- ram_diag_bg_10gbe_writedata.export
+      ram_diag_bg_1gbe_address_export                           : out std_logic_vector(10 downto 0);  -- ram_diag_bg_1gbe_address.export
+      ram_diag_bg_1gbe_clk_export                               : out std_logic;  -- ram_diag_bg_1gbe_clk.export
+      ram_diag_bg_1gbe_read_export                              : out std_logic;  -- ram_diag_bg_1gbe_read.export
+      ram_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_1gbe_readdata.export
+      ram_diag_bg_1gbe_reset_export                             : out std_logic;  -- ram_diag_bg_1gbe_reset.export
+      ram_diag_bg_1gbe_write_export                             : out std_logic;  -- ram_diag_bg_1gbe_write.export
+      ram_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- ram_diag_bg_1gbe_writedata.export
+      ram_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(16 downto 0);  -- ram_diag_data_buffer_10gbe_address.export
+      ram_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- ram_diag_data_buffer_10gbe_clk.export
+      ram_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- ram_diag_data_buffer_10gbe_read.export
+      ram_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_10gbe_readdata.export
+      ram_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_reset.export
+      ram_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_write.export
+      ram_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_10gbe_writedata.export
+      ram_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_1gbe_address.export
+      ram_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- ram_diag_data_buffer_1gbe_clk.export
+      ram_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- ram_diag_data_buffer_1gbe_read.export
+      ram_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_1gbe_readdata.export
+      ram_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_reset.export
+      ram_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_write.export
+      ram_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_1gbe_writedata.export
+      ram_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_address.export
+      ram_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_clk.export
+      ram_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_read.export
+      ram_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_i_readdata.export
+      ram_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_reset.export
+      ram_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_write.export
+      ram_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_writedata.export
+      ram_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_address.export
+      ram_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_clk.export
+      ram_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_read.export
+      ram_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_ii_readdata.export
+      ram_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_reset.export
+      ram_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_write.export
+      ram_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_writedata.export
+      reg_bsn_monitor_10gbe_address_export                      : out std_logic_vector(10 downto 0);  -- reg_bsn_monitor_10gbe_address.export
+      reg_bsn_monitor_10gbe_clk_export                          : out std_logic;  -- reg_bsn_monitor_10gbe_clk.export
+      reg_bsn_monitor_10gbe_read_export                         : out std_logic;  -- reg_bsn_monitor_10gbe_read.export
+      reg_bsn_monitor_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_10gbe_readdata.export
+      reg_bsn_monitor_10gbe_reset_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_reset.export
+      reg_bsn_monitor_10gbe_write_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_write.export
+      reg_bsn_monitor_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_10gbe_writedata.export
+      reg_bsn_monitor_1gbe_address_export                       : out std_logic_vector(4 downto 0);  -- reg_bsn_monitor_1gbe_address.export
+      reg_bsn_monitor_1gbe_clk_export                           : out std_logic;  -- reg_bsn_monitor_1gbe_clk.export
+      reg_bsn_monitor_1gbe_read_export                          : out std_logic;  -- reg_bsn_monitor_1gbe_read.export
+      reg_bsn_monitor_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_1gbe_readdata.export
+      reg_bsn_monitor_1gbe_reset_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_reset.export
+      reg_bsn_monitor_1gbe_write_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_write.export
+      reg_bsn_monitor_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_1gbe_writedata.export
+      reg_diag_bg_10gbe_address_export                          : out std_logic_vector(2 downto 0);  -- reg_diag_bg_10gbe_address.export
+      reg_diag_bg_10gbe_clk_export                              : out std_logic;  -- reg_diag_bg_10gbe_clk.export
+      reg_diag_bg_10gbe_read_export                             : out std_logic;  -- reg_diag_bg_10gbe_read.export
+      reg_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_10gbe_readdata.export
+      reg_diag_bg_10gbe_reset_export                            : out std_logic;  -- reg_diag_bg_10gbe_reset.export
+      reg_diag_bg_10gbe_write_export                            : out std_logic;  -- reg_diag_bg_10gbe_write.export
+      reg_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- reg_diag_bg_10gbe_writedata.export
+      reg_diag_bg_1gbe_address_export                           : out std_logic_vector(2 downto 0);  -- reg_diag_bg_1gbe_address.export
+      reg_diag_bg_1gbe_clk_export                               : out std_logic;  -- reg_diag_bg_1gbe_clk.export
+      reg_diag_bg_1gbe_read_export                              : out std_logic;  -- reg_diag_bg_1gbe_read.export
+      reg_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_1gbe_readdata.export
+      reg_diag_bg_1gbe_reset_export                             : out std_logic;  -- reg_diag_bg_1gbe_reset.export
+      reg_diag_bg_1gbe_write_export                             : out std_logic;  -- reg_diag_bg_1gbe_write.export
+      reg_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_diag_bg_1gbe_writedata.export
+      reg_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(5 downto 0);  -- reg_diag_data_buffer_10gbe_address.export
+      reg_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- reg_diag_data_buffer_10gbe_clk.export
+      reg_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- reg_diag_data_buffer_10gbe_read.export
+      reg_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_10gbe_readdata.export
+      reg_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_reset.export
+      reg_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_write.export
+      reg_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_10gbe_writedata.export
+      reg_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_1gbe_address.export
+      reg_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- reg_diag_data_buffer_1gbe_clk.export
+      reg_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- reg_diag_data_buffer_1gbe_read.export
+      reg_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_1gbe_readdata.export
+      reg_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_reset.export
+      reg_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_write.export
+      reg_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_1gbe_writedata.export
+      reg_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_address.export
+      reg_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_clk.export
+      reg_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_read.export
+      reg_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_i_readdata.export
+      reg_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_reset.export
+      reg_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_write.export
+      reg_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_writedata.export
+      reg_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_address.export
+      reg_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_clk.export
+      reg_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_read.export
+      reg_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_ii_readdata.export
+      reg_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_reset.export
+      reg_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_write.export
+      reg_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_writedata.export
+      reg_diag_rx_seq_10gbe_address_export                      : out std_logic_vector(4 downto 0);  -- reg_diag_rx_seq_10gbe_address.export
+      reg_diag_rx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_rx_seq_10gbe_clk.export
+      reg_diag_rx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_rx_seq_10gbe_read.export
+      reg_diag_rx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_10gbe_readdata.export
+      reg_diag_rx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_reset.export
+      reg_diag_rx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_write.export
+      reg_diag_rx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_10gbe_writedata.export
+      reg_diag_rx_seq_1gbe_address_export                       : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_1gbe_address.export
+      reg_diag_rx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_rx_seq_1gbe_clk.export
+      reg_diag_rx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_rx_seq_1gbe_read.export
+      reg_diag_rx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_1gbe_readdata.export
+      reg_diag_rx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_reset.export
+      reg_diag_rx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_write.export
+      reg_diag_rx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_1gbe_writedata.export
+      reg_diag_rx_seq_ddr_mb_i_address_export                   : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_address.export
+      reg_diag_rx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_clk.export
+      reg_diag_rx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_read.export
+      reg_diag_rx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_i_readdata.export
+      reg_diag_rx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_reset.export
+      reg_diag_rx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_write.export
+      reg_diag_rx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_writedata.export
+      reg_diag_rx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_address.export
+      reg_diag_rx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_clk.export
+      reg_diag_rx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_read.export
+      reg_diag_rx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_ii_readdata.export
+      reg_diag_rx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_reset.export
+      reg_diag_rx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_write.export
+      reg_diag_rx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_writedata.export
+      reg_diag_tx_seq_10gbe_address_export                      : out std_logic_vector(3 downto 0);  -- reg_diag_tx_seq_10gbe_address.export
+      reg_diag_tx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_tx_seq_10gbe_clk.export
+      reg_diag_tx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_tx_seq_10gbe_read.export
+      reg_diag_tx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_10gbe_readdata.export
+      reg_diag_tx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_reset.export
+      reg_diag_tx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_write.export
+      reg_diag_tx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_10gbe_writedata.export
+      reg_diag_tx_seq_1gbe_address_export                       : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_1gbe_address.export
+      reg_diag_tx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_tx_seq_1gbe_clk.export
+      reg_diag_tx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_tx_seq_1gbe_read.export
+      reg_diag_tx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_1gbe_readdata.export
+      reg_diag_tx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_reset.export
+      reg_diag_tx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_write.export
+      reg_diag_tx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_1gbe_writedata.export
+      reg_diag_tx_seq_ddr_mb_i_address_export                   : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_address.export
+      reg_diag_tx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_clk.export
+      reg_diag_tx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_read.export
+      reg_diag_tx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_i_readdata.export
+      reg_diag_tx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_reset.export
+      reg_diag_tx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_write.export
+      reg_diag_tx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_writedata.export
+      reg_diag_tx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_address.export
+      reg_diag_tx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_clk.export
+      reg_diag_tx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_read.export
+      reg_diag_tx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_ii_readdata.export
+      reg_diag_tx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_reset.export
+      reg_diag_tx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_write.export
+      reg_diag_tx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_writedata.export
+      reg_dpmm_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_ctrl_address.export
+      reg_dpmm_ctrl_clk_export                                  : out std_logic;  -- reg_dpmm_ctrl_clk.export
+      reg_dpmm_ctrl_read_export                                 : out std_logic;  -- reg_dpmm_ctrl_read.export
+      reg_dpmm_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_ctrl_readdata.export
+      reg_dpmm_ctrl_reset_export                                : out std_logic;  -- reg_dpmm_ctrl_reset.export
+      reg_dpmm_ctrl_write_export                                : out std_logic;  -- reg_dpmm_ctrl_write.export
+      reg_dpmm_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_ctrl_writedata.export
+      reg_dpmm_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_data_address.export
+      reg_dpmm_data_clk_export                                  : out std_logic;  -- reg_dpmm_data_clk.export
+      reg_dpmm_data_read_export                                 : out std_logic;  -- reg_dpmm_data_read.export
+      reg_dpmm_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_data_readdata.export
+      reg_dpmm_data_reset_export                                : out std_logic;  -- reg_dpmm_data_reset.export
+      reg_dpmm_data_write_export                                : out std_logic;  -- reg_dpmm_data_write.export
+      reg_dpmm_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_data_writedata.export
+      reg_epcs_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_epcs_address.export
+      reg_epcs_clk_export                                       : out std_logic;  -- reg_epcs_clk.export
+      reg_epcs_read_export                                      : out std_logic;  -- reg_epcs_read.export
+      reg_epcs_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_epcs_readdata.export
+      reg_epcs_reset_export                                     : out std_logic;  -- reg_epcs_reset.export
+      reg_epcs_write_export                                     : out std_logic;  -- reg_epcs_write.export
+      reg_epcs_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_epcs_writedata.export
+      reg_eth10g_back0_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back0_address.export
+      reg_eth10g_back0_clk_export                               : out std_logic;  -- reg_eth10g_back0_clk.export
+      reg_eth10g_back0_read_export                              : out std_logic;  -- reg_eth10g_back0_read.export
+      reg_eth10g_back0_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back0_readdata.export
+      reg_eth10g_back0_reset_export                             : out std_logic;  -- reg_eth10g_back0_reset.export
+      reg_eth10g_back0_write_export                             : out std_logic;  -- reg_eth10g_back0_write.export
+      reg_eth10g_back0_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back0_writedata.export
+      reg_eth10g_back1_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back1_address.export
+      reg_eth10g_back1_clk_export                               : out std_logic;  -- reg_eth10g_back1_clk.export
+      reg_eth10g_back1_read_export                              : out std_logic;  -- reg_eth10g_back1_read.export
+      reg_eth10g_back1_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back1_readdata.export
+      reg_eth10g_back1_reset_export                             : out std_logic;  -- reg_eth10g_back1_reset.export
+      reg_eth10g_back1_write_export                             : out std_logic;  -- reg_eth10g_back1_write.export
+      reg_eth10g_back1_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back1_writedata.export
+      reg_eth10g_qsfp_ring_address_export                       : out std_logic_vector(6 downto 0);  -- reg_eth10g_qsfp_ring_address.export
+      reg_eth10g_qsfp_ring_clk_export                           : out std_logic;  -- reg_eth10g_qsfp_ring_clk.export
+      reg_eth10g_qsfp_ring_read_export                          : out std_logic;  -- reg_eth10g_qsfp_ring_read.export
+      reg_eth10g_qsfp_ring_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_qsfp_ring_readdata.export
+      reg_eth10g_qsfp_ring_reset_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_reset.export
+      reg_eth10g_qsfp_ring_write_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_write.export
+      reg_eth10g_qsfp_ring_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_eth10g_qsfp_ring_writedata.export
+      reg_fpga_temp_sens_address_export                         : out std_logic_vector(2 downto 0);  -- reg_fpga_temp_sens_address.export
+      reg_fpga_temp_sens_clk_export                             : out std_logic;  -- reg_fpga_temp_sens_clk.export
+      reg_fpga_temp_sens_read_export                            : out std_logic;  -- reg_fpga_temp_sens_read.export
+      reg_fpga_temp_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_temp_sens_readdata.export
+      reg_fpga_temp_sens_reset_export                           : out std_logic;  -- reg_fpga_temp_sens_reset.export
+      reg_fpga_temp_sens_write_export                           : out std_logic;  -- reg_fpga_temp_sens_write.export
+      reg_fpga_temp_sens_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_fpga_temp_sens_writedata.export
+      reg_fpga_voltage_sens_address_export                      : out std_logic_vector(3 downto 0);  -- reg_fpga_voltage_sens_address.export
+      reg_fpga_voltage_sens_clk_export                          : out std_logic;  -- reg_fpga_voltage_sens_clk.export
+      reg_fpga_voltage_sens_read_export                         : out std_logic;  -- reg_fpga_voltage_sens_read.export
+      reg_fpga_voltage_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_voltage_sens_readdata.export
+      reg_fpga_voltage_sens_reset_export                        : out std_logic;  -- reg_fpga_voltage_sens_reset.export
+      reg_fpga_voltage_sens_write_export                        : out std_logic;  -- reg_fpga_voltage_sens_write.export
+      reg_fpga_voltage_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_fpga_voltage_sens_writedata.export
+      reg_io_ddr_mb_i_address_export                            : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_i_address.export
+      reg_io_ddr_mb_i_clk_export                                : out std_logic;  -- reg_io_ddr_mb_i_clk.export
+      reg_io_ddr_mb_i_read_export                               : out std_logic;  -- reg_io_ddr_mb_i_read.export
+      reg_io_ddr_mb_i_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_i_readdata.export
+      reg_io_ddr_mb_i_reset_export                              : out std_logic;  -- reg_io_ddr_mb_i_reset.export
+      reg_io_ddr_mb_i_write_export                              : out std_logic;  -- reg_io_ddr_mb_i_write.export
+      reg_io_ddr_mb_i_writedata_export                          : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_i_writedata.export
+      reg_io_ddr_mb_ii_address_export                           : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_ii_address.export
+      reg_io_ddr_mb_ii_clk_export                               : out std_logic;  -- reg_io_ddr_mb_ii_clk.export
+      reg_io_ddr_mb_ii_read_export                              : out std_logic;  -- reg_io_ddr_mb_ii_read.export
+      reg_io_ddr_mb_ii_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_ii_readdata.export
+      reg_io_ddr_mb_ii_reset_export                             : out std_logic;  -- reg_io_ddr_mb_ii_reset.export
+      reg_io_ddr_mb_ii_write_export                             : out std_logic;  -- reg_io_ddr_mb_ii_write.export
+      reg_io_ddr_mb_ii_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_ii_writedata.export
+      reg_10gbase_r_24_address_export                           : out std_logic_vector(14 downto 0);  -- reg_10gbase_r_24_address.export
+      reg_10gbase_r_24_clk_export                               : out std_logic;  -- reg_10gbase_r_24_clk.export
+      reg_10gbase_r_24_read_export                              : out std_logic;  -- reg_10gbase_r_24_read.export
+      reg_10gbase_r_24_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_10gbase_r_24_readdata.export
+      reg_10gbase_r_24_reset_export                             : out std_logic;  -- reg_10gbase_r_24_reset.export
+      reg_10gbase_r_24_waitrequest_export                       : in  std_logic                     := '0';  -- reg_10gbase_r_24_waitrequest.export
+      reg_10gbase_r_24_write_export                             : out std_logic;  -- reg_10gbase_r_24_write.export
+      reg_10gbase_r_24_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_10gbase_r_24_writedata.export
+      reg_mmdp_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_ctrl_address.export
+      reg_mmdp_ctrl_clk_export                                  : out std_logic;  -- reg_mmdp_ctrl_clk.export
+      reg_mmdp_ctrl_read_export                                 : out std_logic;  -- reg_mmdp_ctrl_read.export
+      reg_mmdp_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_ctrl_readdata.export
+      reg_mmdp_ctrl_reset_export                                : out std_logic;  -- reg_mmdp_ctrl_reset.export
+      reg_mmdp_ctrl_write_export                                : out std_logic;  -- reg_mmdp_ctrl_write.export
+      reg_mmdp_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_ctrl_writedata.export
+      reg_mmdp_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_data_address.export
+      reg_mmdp_data_clk_export                                  : out std_logic;  -- reg_mmdp_data_clk.export
+      reg_mmdp_data_read_export                                 : out std_logic;  -- reg_mmdp_data_read.export
+      reg_mmdp_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_data_readdata.export
+      reg_mmdp_data_reset_export                                : out std_logic;  -- reg_mmdp_data_reset.export
+      reg_mmdp_data_write_export                                : out std_logic;  -- reg_mmdp_data_write.export
+      reg_mmdp_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_data_writedata.export
+      reg_remu_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_remu_address.export
+      reg_remu_clk_export                                       : out std_logic;  -- reg_remu_clk.export
+      reg_remu_read_export                                      : out std_logic;  -- reg_remu_read.export
+      reg_remu_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_remu_readdata.export
+      reg_remu_reset_export                                     : out std_logic;  -- reg_remu_reset.export
+      reg_remu_write_export                                     : out std_logic;  -- reg_remu_write.export
+      reg_remu_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_remu_writedata.export
+      reg_tr_10gbe_back0_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back0_address.export
+      reg_tr_10gbe_back0_clk_export                             : out std_logic;  -- reg_tr_10gbe_back0_clk.export
+      reg_tr_10gbe_back0_read_export                            : out std_logic;  -- reg_tr_10gbe_back0_read.export
+      reg_tr_10gbe_back0_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back0_readdata.export
+      reg_tr_10gbe_back0_reset_export                           : out std_logic;  -- reg_tr_10gbe_back0_reset.export
+      reg_tr_10gbe_back0_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back0_waitrequest.export
+      reg_tr_10gbe_back0_write_export                           : out std_logic;  -- reg_tr_10gbe_back0_write.export
+      reg_tr_10gbe_back0_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back0_writedata.export
+      reg_tr_10gbe_back1_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back1_address.export
+      reg_tr_10gbe_back1_clk_export                             : out std_logic;  -- reg_tr_10gbe_back1_clk.export
+      reg_tr_10gbe_back1_read_export                            : out std_logic;  -- reg_tr_10gbe_back1_read.export
+      reg_tr_10gbe_back1_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back1_readdata.export
+      reg_tr_10gbe_back1_reset_export                           : out std_logic;  -- reg_tr_10gbe_back1_reset.export
+      reg_tr_10gbe_back1_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back1_waitrequest.export
+      reg_tr_10gbe_back1_write_export                           : out std_logic;  -- reg_tr_10gbe_back1_write.export
+      reg_tr_10gbe_back1_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back1_writedata.export
+      reg_tr_10gbe_qsfp_ring_address_export                     : out std_logic_vector(18 downto 0);  -- reg_tr_10gbe_qsfp_ring_address.export
+      reg_tr_10gbe_qsfp_ring_clk_export                         : out std_logic;  -- reg_tr_10gbe_qsfp_ring_clk.export
+      reg_tr_10gbe_qsfp_ring_read_export                        : out std_logic;  -- reg_tr_10gbe_qsfp_ring_read.export
+      reg_tr_10gbe_qsfp_ring_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_qsfp_ring_readdata.export
+      reg_tr_10gbe_qsfp_ring_reset_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_reset.export
+      reg_tr_10gbe_qsfp_ring_waitrequest_export                 : in  std_logic                     := '0';  -- reg_tr_10gbe_qsfp_ring_waitrequest.export
+      reg_tr_10gbe_qsfp_ring_write_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_write.export
+      reg_tr_10gbe_qsfp_ring_writedata_export                   : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_qsfp_ring_writedata.export
+      reg_unb_pmbus_address_export                              : out std_logic_vector(5 downto 0);  -- reg_unb_pmbus_address.export
+      reg_unb_pmbus_clk_export                                  : out std_logic;  -- reg_unb_pmbus_clk.export
+      reg_unb_pmbus_read_export                                 : out std_logic;  -- reg_unb_pmbus_read.export
+      reg_unb_pmbus_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_pmbus_readdata.export
+      reg_unb_pmbus_reset_export                                : out std_logic;  -- reg_unb_pmbus_reset.export
+      reg_unb_pmbus_write_export                                : out std_logic;  -- reg_unb_pmbus_write.export
+      reg_unb_pmbus_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_unb_pmbus_writedata.export
+      reg_unb_sens_address_export                               : out std_logic_vector(5 downto 0);  -- reg_unb_sens_address.export
+      reg_unb_sens_clk_export                                   : out std_logic;  -- reg_unb_sens_clk.export
+      reg_unb_sens_read_export                                  : out std_logic;  -- reg_unb_sens_read.export
+      reg_unb_sens_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_sens_readdata.export
+      reg_unb_sens_reset_export                                 : out std_logic;  -- reg_unb_sens_reset.export
+      reg_unb_sens_write_export                                 : out std_logic;  -- reg_unb_sens_write.export
+      reg_unb_sens_writedata_export                             : out std_logic_vector(31 downto 0);  -- reg_unb_sens_writedata.export
+      reg_wdi_address_export                                    : out std_logic_vector(0 downto 0);  -- reg_wdi_address.export
+      reg_wdi_clk_export                                        : out std_logic;  -- reg_wdi_clk.export
+      reg_wdi_read_export                                       : out std_logic;  -- reg_wdi_read.export
+      reg_wdi_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_wdi_readdata.export
+      reg_wdi_reset_export                                      : out std_logic;  -- reg_wdi_reset.export
+      reg_wdi_write_export                                      : out std_logic;  -- reg_wdi_write.export
+      reg_wdi_writedata_export                                  : out std_logic_vector(31 downto 0);  -- reg_wdi_writedata.export
+      reset_reset_n                                             : in  std_logic                     := '0';  -- reset.reset_n
+      rom_system_info_address_export                            : out std_logic_vector(9 downto 0);  -- rom_system_info_address.export
+      rom_system_info_clk_export                                : out std_logic;  -- rom_system_info_clk.export
+      rom_system_info_read_export                               : out std_logic;  -- rom_system_info_read.export
+      rom_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- rom_system_info_readdata.export
+      rom_system_info_reset_export                              : out std_logic;  -- rom_system_info_reset.export
+      rom_system_info_write_export                              : out std_logic;  -- rom_system_info_write.export
+      rom_system_info_writedata_export                          : out std_logic_vector(31 downto 0)  -- rom_system_info_writedata.export
+    );
+  end component qsys_unb2a_test;
 
 
 
diff --git a/boards/uniboard2a/designs/unb2a_test/src/vhdl/udp_stream.vhd b/boards/uniboard2a/designs/unb2a_test/src/vhdl/udp_stream.vhd
index 6c98ef16b9..5579e4e815 100644
--- a/boards/uniboard2a/designs/unb2a_test/src/vhdl/udp_stream.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/src/vhdl/udp_stream.vhd
@@ -21,19 +21,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, unb2a_board_lib, dp_lib, eth_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.unb2a_test_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.unb2a_test_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity udp_stream is
   generic (
@@ -105,14 +105,28 @@ end udp_stream;
 architecture str of udp_stream is
 
   -- Block generator
-  constant c_bg_ctrl                   : t_diag_block_gen := ('0',  -- enable (disabled by default)
-                                                              '0',  -- enable_sync
-                                                              TO_UVEC(     g_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(g_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        g_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   g_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                   : t_diag_block_gen := (
+    '0',  -- enable (disabled by default)
+    '0',  -- enable_sync
+    TO_UVEC(
+                  g_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     g_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                g_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
 
   constant c_nof_crc_words             : natural := 1;
@@ -157,54 +171,54 @@ begin
   -- TX: Block generator and DP fifo
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_technology         => g_technology,
-    g_nof_streams        => g_nof_streams,
-    g_buf_dat_w          => g_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_index_arr     => array_init(0, g_nof_streams),
-    g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
-    g_diag_block_gen_rst => c_bg_ctrl
---    g_use_tx_seq         => TRUE
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_diag_tx_seq_miso
-  );
-
-  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
-    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
     generic map (
-      g_technology => g_technology,
-      g_data_w    => g_data_w,
-      g_bsn_w     => 47,
-      g_use_bsn   => true,
-      g_use_sync  => true,
-      g_fifo_size => 50
+      g_technology         => g_technology,
+      g_nof_streams        => g_nof_streams,
+      g_buf_dat_w          => g_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_index_arr     => array_init(0, g_nof_streams),
+      g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
+      g_diag_block_gen_rst => c_bg_ctrl
+    --    g_use_tx_seq         => TRUE
     )
     port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- ST sink (from BG)
-      snk_out     => block_gen_src_in_arr(i),
-      snk_in      => block_gen_src_out_arr(i),
-      -- ST source (to tx_offload)
-      src_in      => fifo_block_gen_src_in_arr(i),
-      src_out     => fifo_block_gen_src_out_arr(i)
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_diag_tx_seq_miso
     );
+
+  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
+    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
+      generic map (
+        g_technology => g_technology,
+        g_data_w    => g_data_w,
+        g_bsn_w     => 47,
+        g_use_bsn   => true,
+        g_use_sync  => true,
+        g_fifo_size => 50
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- ST sink (from BG)
+        snk_out     => block_gen_src_in_arr(i),
+        snk_in      => block_gen_src_out_arr(i),
+        -- ST source (to tx_offload)
+        src_in      => fifo_block_gen_src_in_arr(i),
+        src_out     => fifo_block_gen_src_out_arr(i)
+      );
   end generate;
 
 
@@ -212,74 +226,74 @@ begin
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_technology                => g_technology,
-    g_nof_streams               => g_nof_streams,
-    g_data_w                    => g_data_w,
-    g_use_complex               => false,
---    g_max_nof_words_per_block   => c_max_nof_words_per_block,
-    g_nof_words_per_block       => g_def_block_size,
---    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
-    g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    -- MM
-    --reg_mosi              => reg_dp_offload_tx_mosi,
-    --reg_miso              => reg_dp_offload_tx_miso,
-    --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    -- from blockgen-fifo
-    snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
-    snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
-
-    -- output to MAC
-    src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
-    src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
-
-    hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_technology                => g_technology,
+      g_nof_streams               => g_nof_streams,
+      g_data_w                    => g_data_w,
+      g_use_complex               => false,
+      --    g_max_nof_words_per_block   => c_max_nof_words_per_block,
+      g_nof_words_per_block       => g_def_block_size,
+      --    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
+      g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      -- MM
+      --reg_mosi              => reg_dp_offload_tx_mosi,
+      --reg_miso              => reg_dp_offload_tx_miso,
+      --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      -- from blockgen-fifo
+      snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
+      snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
+
+      -- output to MAC
+      src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
+      src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
+
+      hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => g_nof_streams,
-    g_data_w              => g_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => g_remove_crc,
-    g_crc_nof_words       => c_nof_crc_words
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+    generic map (
+      g_nof_streams         => g_nof_streams,
+      g_data_w              => g_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => g_remove_crc,
+      g_crc_nof_words       => c_nof_crc_words
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
 
-    -- from MAC
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
 
-    -- to databuffer
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
+      --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
 
-    hdr_fields_out_arr    => hdr_fields_out_arr
-  );
+      -- from MAC
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      -- to databuffer
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
+    );
 
 
   gen_hdr_out_fields : for i in 0 to g_nof_streams - 1 generate
@@ -304,55 +318,55 @@ begin
 
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
-    in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
+      in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   diag_data_buf_snk_out_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => 32,  -- g_data_w, --FIXME
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false,  -- sync by reading last address of data buffer
-    g_use_rx_seq   => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_diag_rx_seq_miso,
-
-    in_sync           => diag_data_buf_snk_in_arr(0).sync,
-    in_sosi_arr       => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => 32,  -- g_data_w, --FIXME
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false,  -- sync by reading last address of data buffer
+      g_use_rx_seq   => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_diag_rx_seq_miso,
+
+      in_sync           => diag_data_buf_snk_in_arr(0).sync,
+      in_sosi_arr       => diag_data_buf_snk_in_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test.vhd b/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test.vhd
index 956661fc96..fc51f1a668 100644
--- a/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test.vhd
@@ -21,20 +21,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2a_board_lib, unb2a_board_10gbe_lib, dp_lib, eth_lib, tr_10GbE_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.unb2a_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.unb2a_test_pkg.all;
 
 entity unb2a_test is
   generic (
@@ -320,10 +320,10 @@ architecture str of unb2a_test is
 
   signal i_QSFP_TX                       : t_unb2_board_qsfp_bus_2arr(c_nof_qsfp_bus - 1 downto 0);
   signal i_QSFP_RX                       : t_unb2_board_qsfp_bus_2arr(c_nof_qsfp_bus - 1 downto 0);
- -- SIGNAL i_RING_TX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
- -- SIGNAL i_RING_RX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
- -- SIGNAL i_BCK_TX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
- -- SIGNAL i_BCK_RX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
+  -- SIGNAL i_RING_TX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
+  -- SIGNAL i_RING_RX                       : t_unb2_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
+  -- SIGNAL i_BCK_TX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
+  -- SIGNAL i_BCK_RX                        : t_unb2_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
 
   signal serial_10G_tx_back_arr          : std_logic_vector(c_nof_streams_back0 + c_nof_streams_back1 - 1 downto 0) := (others => '0');
   signal serial_10G_rx_back_arr          : std_logic_vector(c_nof_streams_back0 + c_nof_streams_back1 - 1 downto 0);
@@ -359,13 +359,13 @@ architecture str of unb2a_test is
   signal reg_diag_tx_seq_10GbE_mosi      : t_mem_mosi;
   signal reg_diag_tx_seq_10GbE_miso      : t_mem_miso;
 
---  SIGNAL reg_dp_offload_tx_1GbE_mosi         : t_mem_mosi;
---  SIGNAL reg_dp_offload_tx_1GbE_miso         : t_mem_miso;
---  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_mosi : t_mem_mosi;
---  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_miso : t_mem_miso;
---
---  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_mosi : t_mem_mosi;
---  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_miso : t_mem_miso;
+  --  SIGNAL reg_dp_offload_tx_1GbE_mosi         : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_tx_1GbE_miso         : t_mem_miso;
+  --  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_mosi : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_miso : t_mem_miso;
+  --
+  --  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_mosi : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_miso : t_mem_miso;
 
   signal reg_bsn_monitor_1GbE_mosi       : t_mem_mosi;
   signal reg_bsn_monitor_1GbE_miso       : t_mem_miso;
@@ -444,384 +444,384 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2a_board_lib.ctrl_unb2_board
-  generic map (
-    g_sim                     => g_sim,
-    g_technology              => g_technology,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => sel_a_b(g_sim,c_unb2_board_mm_clk_freq_25M,c_unb2_board_mm_clk_freq_125M),
-    g_eth_clk_freq            => c_unb2_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2_board_aux,
-    g_udp_offload             => c_use_1GbE,
-    g_udp_offload_nof_streams => c_nof_streams_1GbE,
-    g_dp_clk_use_pll          => true,
-    g_factory_image           => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-
-    ext_clk200               => ext_clk200,
-    ext_rst200               => ext_rst200,
-
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    mb_I_ref_rst             => mb_I_ref_rst,
-    mb_II_ref_rst            => mb_II_ref_rst,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_mm_rst             => eth1g_eth0_mm_rst,
-    eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
-    eth1g_tse_miso           => eth1g_eth0_tse_miso,
-    eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
-    eth1g_reg_miso           => eth1g_eth0_reg_miso,
-    eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
-    eth1g_ram_miso           => eth1g_eth0_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
-    udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
-    udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
-    udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . DDR reference clock domains reset creation
-    MB_I_REF_CLK             => MB_I_REF_CLK,
-    MB_II_REF_CLK            => MB_II_REF_CLK,
-    -- . 1GbE Control Interface
-    ETH_CLK                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_technology              => g_technology,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => sel_a_b(g_sim,c_unb2_board_mm_clk_freq_25M,c_unb2_board_mm_clk_freq_125M),
+      g_eth_clk_freq            => c_unb2_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2_board_aux,
+      g_udp_offload             => c_use_1GbE,
+      g_udp_offload_nof_streams => c_nof_streams_1GbE,
+      g_dp_clk_use_pll          => true,
+      g_factory_image           => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+
+      ext_clk200               => ext_clk200,
+      ext_rst200               => ext_rst200,
+
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      mb_I_ref_rst             => mb_I_ref_rst,
+      mb_II_ref_rst            => mb_II_ref_rst,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_mm_rst             => eth1g_eth0_mm_rst,
+      eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
+      eth1g_tse_miso           => eth1g_eth0_tse_miso,
+      eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
+      eth1g_reg_miso           => eth1g_eth0_reg_miso,
+      eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
+      eth1g_ram_miso           => eth1g_eth0_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
+      udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
+      udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
+      udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . DDR reference clock domains reset creation
+      MB_I_REF_CLK             => MB_I_REF_CLK,
+      MB_II_REF_CLK            => MB_II_REF_CLK,
+      -- . 1GbE Control Interface
+      ETH_CLK                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2a_test
-  generic map (
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_technology        => g_technology,
-    g_bg_block_size     => c_bg_block_size,
-    g_hdr_field_arr     => c_hdr_field_arr,
-    g_nof_streams_1GbE  => c_unb2_board_nof_eth,
-    g_nof_streams_qsfp  => c_unb2_board_tr_qsfp.nof_bus * c_unb2_board_tr_qsfp.bus_w,
-    g_nof_streams_ring  => 24,  -- c_unb2_board_tr_ring.nof_bus * c_unb2_board_tr_ring.bus_w,
-    g_nof_streams_back0 => 24,  -- c_unb2_board_tr_back.bus_w,
-    g_nof_streams_back1 => 24  -- c_unb2_board_tr_back.bus_w
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
-    eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
-    eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
-    eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
-    eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
-    eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
-    eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
-    eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
-
-    -- eth1g ch1
-    eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
-    eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
-    eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
-    eth1g_eth1_reg_mosi      => eth1g_eth1_reg_mosi,
-    eth1g_eth1_reg_miso      => eth1g_eth1_reg_miso,
-    eth1g_eth1_reg_interrupt => eth1g_eth1_reg_interrupt,
-    eth1g_eth1_ram_mosi      => eth1g_eth1_ram_mosi,
-    eth1g_eth1_ram_miso      => eth1g_eth1_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- block gen
-    ram_diag_bg_1GbE_mosi          => ram_diag_bg_1GbE_mosi,
-    ram_diag_bg_1GbE_miso          => ram_diag_bg_1GbE_miso,
-    reg_diag_bg_1GbE_mosi          => reg_diag_bg_1GbE_mosi,
-    reg_diag_bg_1GbE_miso          => reg_diag_bg_1GbE_miso,
-    reg_diag_tx_seq_1GbE_mosi      => reg_diag_tx_seq_1GbE_mosi,
-    reg_diag_tx_seq_1GbE_miso      => reg_diag_tx_seq_1GbE_miso,
-
-    ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
-    ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
-    reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
-    reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
-    reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
-    reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
-
-    -- dp_offload_tx
---    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
---    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
---    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
---    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
---
---    -- dp_offload_rx
---    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
---    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-
-    -- bsn
-    reg_bsn_monitor_1GbE_mosi      => reg_bsn_monitor_1GbE_mosi,
-    reg_bsn_monitor_1GbE_miso      => reg_bsn_monitor_1GbE_miso,
-    reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
-    reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
-
-    -- databuffer
-    ram_diag_data_buf_1GbE_mosi    => ram_diag_data_buf_1GbE_mosi,
-    ram_diag_data_buf_1GbE_miso    => ram_diag_data_buf_1GbE_miso,
-    reg_diag_data_buf_1GbE_mosi    => reg_diag_data_buf_1GbE_mosi,
-    reg_diag_data_buf_1GbE_miso    => reg_diag_data_buf_1GbE_miso,
-    reg_diag_rx_seq_1GbE_mosi      => reg_diag_rx_seq_1GbE_mosi,
-    reg_diag_rx_seq_1GbE_miso      => reg_diag_rx_seq_1GbE_miso,
-
-    ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
-    ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
-    reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
-    reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
-    reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
-    reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
-
-    -- 10GbE
-
-    reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-    reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
-
-    reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
-    reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
-
-    reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
-    reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
-
-    reg_tr_10GbE_back1_mosi        => reg_tr_10GbE_back1_mosi,
-    reg_tr_10GbE_back1_miso        => reg_tr_10GbE_back1_miso,
-
-    -- eth10g status
-    reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
-    reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
-
-    reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
-    reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
-
-    reg_eth10g_back1_mosi          => reg_eth10g_back1_mosi,
-    reg_eth10g_back1_miso          => reg_eth10g_back1_miso,
-
-    -- DDR4 : MB I
-    reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
-    reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
-    reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
-    reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
-    reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
-    reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
-    reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
-    reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
-    ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
-    ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
-
-    -- DDR4 : MB II
-    reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
-    reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
-    reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
-    reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
-    reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
-    reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
-    reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
-    reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
-    ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
-    ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso
-  );
-
-
-  gen_udp_stream_1GbE : if c_use_1GbE = true generate
-    u_udp_stream_1GbE : entity work.udp_stream
     generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_1GbE,
-      g_data_w                    => c_data_w_32,
-      g_bg_block_size             => c_def_1GbE_block_size,
-      g_bg_gapsize                => c_bg_gapsize_1GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_1GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
-      g_remove_crc                => true
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_technology        => g_technology,
+      g_bg_block_size     => c_bg_block_size,
+      g_hdr_field_arr     => c_hdr_field_arr,
+      g_nof_streams_1GbE  => c_unb2_board_nof_eth,
+      g_nof_streams_qsfp  => c_unb2_board_tr_qsfp.nof_bus * c_unb2_board_tr_qsfp.bus_w,
+      g_nof_streams_ring  => 24,  -- c_unb2_board_tr_ring.nof_bus * c_unb2_board_tr_ring.bus_w,
+      g_nof_streams_back0 => 24,  -- c_unb2_board_tr_back.bus_w,
+      g_nof_streams_back1 => 24  -- c_unb2_board_tr_back.bus_w
     )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-
-      ID                             => ID,
-
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
+      eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
+      eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
+      eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
+      eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
+      eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
+      eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
+      eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
+
+      -- eth1g ch1
+      eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
+      eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
+      eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
+      eth1g_eth1_reg_mosi      => eth1g_eth1_reg_mosi,
+      eth1g_eth1_reg_miso      => eth1g_eth1_reg_miso,
+      eth1g_eth1_reg_interrupt => eth1g_eth1_reg_interrupt,
+      eth1g_eth1_ram_mosi      => eth1g_eth1_ram_mosi,
+      eth1g_eth1_ram_miso      => eth1g_eth1_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- block gen
+      ram_diag_bg_1GbE_mosi          => ram_diag_bg_1GbE_mosi,
+      ram_diag_bg_1GbE_miso          => ram_diag_bg_1GbE_miso,
+      reg_diag_bg_1GbE_mosi          => reg_diag_bg_1GbE_mosi,
+      reg_diag_bg_1GbE_miso          => reg_diag_bg_1GbE_miso,
+      reg_diag_tx_seq_1GbE_mosi      => reg_diag_tx_seq_1GbE_mosi,
+      reg_diag_tx_seq_1GbE_miso      => reg_diag_tx_seq_1GbE_miso,
+
+      ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
+      ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
+      reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
+      reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
+      reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
+      reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
 
       -- dp_offload_tx
---      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
---      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
---      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
---      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
-      dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
-
-      -- dp_offload_rx
---      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
---      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+      --    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
+      --    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
+      --    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+      --    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+      --
+      --    -- dp_offload_rx
+      --    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+      --    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
 
       -- bsn
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_1GbE_mosi      => reg_bsn_monitor_1GbE_mosi,
+      reg_bsn_monitor_1GbE_miso      => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
+      reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
 
       -- databuffer
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      ram_diag_data_buf_1GbE_mosi    => ram_diag_data_buf_1GbE_mosi,
+      ram_diag_data_buf_1GbE_miso    => ram_diag_data_buf_1GbE_miso,
+      reg_diag_data_buf_1GbE_mosi    => reg_diag_data_buf_1GbE_mosi,
+      reg_diag_data_buf_1GbE_miso    => reg_diag_data_buf_1GbE_miso,
+      reg_diag_rx_seq_1GbE_mosi      => reg_diag_rx_seq_1GbE_mosi,
+      reg_diag_rx_seq_1GbE_miso      => reg_diag_rx_seq_1GbE_miso,
+
+      ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
+      ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
+      reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
+      reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
+      reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
+      reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
+
+      -- 10GbE
+
+      reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+      reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+
+      reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
+      reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
+
+      reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
+      reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
+
+      reg_tr_10GbE_back1_mosi        => reg_tr_10GbE_back1_mosi,
+      reg_tr_10GbE_back1_miso        => reg_tr_10GbE_back1_miso,
+
+      -- eth10g status
+      reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
+      reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
+
+      reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
+      reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
+
+      reg_eth10g_back1_mosi          => reg_eth10g_back1_mosi,
+      reg_eth10g_back1_miso          => reg_eth10g_back1_miso,
+
+      -- DDR4 : MB I
+      reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
+      reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
+      reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
+      reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
+      reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
+      reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
+      reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
+      reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
+      ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
+      ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
+
+      -- DDR4 : MB II
+      reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
+      reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
+      reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
+      reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
+      reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
+      reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
+      reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
+      reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
+      ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
+      ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso
     );
+
+
+  gen_udp_stream_1GbE : if c_use_1GbE = true generate
+    u_udp_stream_1GbE : entity work.udp_stream
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_1GbE,
+        g_data_w                    => c_data_w_32,
+        g_bg_block_size             => c_def_1GbE_block_size,
+        g_bg_gapsize                => c_bg_gapsize_1GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_1GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
+        g_remove_crc                => true
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+
+        ID                             => ID,
+
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+
+        -- dp_offload_tx
+        --      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
+        --      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
+        --      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+        --      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+        dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
+
+        -- dp_offload_rx
+        --      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+        --      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+
+        -- bsn
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+
+        -- databuffer
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -841,92 +841,92 @@ begin
 
   gen_udp_stream_10GbE : if c_use_10GbE = true generate
     u_udp_stream_10GbE : entity work.udp_stream
-    generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0 + c_nof_streams_back1,
-      g_data_w                    => c_data_w_64,
-      g_bg_block_size             => c_bg_block_size,
-      g_bg_gapsize                => c_bg_gapsize_10GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_10GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
-      g_remove_crc                => false
-    )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-      ID                             => ID,
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
-
-
-      -- loopback:
-      --dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      --dp_offload_tx_src_in_arr       => (OTHERS=>c_dp_siso_rdy),
-      --dp_offload_rx_snk_in_arr       => dp_offload_tx_10GbE_src_out_arr,
-      --dp_offload_rx_snk_out_arr      => dp_offload_tx_10GbE_src_in_arr,
-
-      -- connect to dp_offload:
-      dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
-
-
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
-
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
-    );
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0 + c_nof_streams_back1,
+        g_data_w                    => c_data_w_64,
+        g_bg_block_size             => c_bg_block_size,
+        g_bg_gapsize                => c_bg_gapsize_10GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_10GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
+        g_remove_crc                => false
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+        ID                             => ID,
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
+
+
+        -- loopback:
+        --dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        --dp_offload_tx_src_in_arr       => (OTHERS=>c_dp_siso_rdy),
+        --dp_offload_rx_snk_in_arr       => dp_offload_tx_10GbE_src_out_arr,
+        --dp_offload_rx_snk_out_arr      => dp_offload_tx_10GbE_src_in_arr,
+
+        -- connect to dp_offload:
+        dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
+
+
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
+
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
+      );
 
 
     u_tr_10GbE_qsfp_and_ring: entity unb2a_board_10gbe_lib.unb2_board_10gbe  -- QSFP and Ring lines
-    generic map (
-      g_sim           => g_sim,
-      g_sim_level     => 1,
-      g_technology    => g_technology,
-      g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
-      g_tx_fifo_fill  => c_def_10GbE_block_size,
-      g_tx_fifo_size  => c_def_10GbE_block_size * 2
-    )
-    port map (
-      tr_ref_clk          => SA_CLK,
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
-      reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
-      reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
-      reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
-      reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-      reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
-
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-
-      serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
-      serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_sim_level     => 1,
+        g_technology    => g_technology,
+        g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
+        g_tx_fifo_fill  => c_def_10GbE_block_size,
+        g_tx_fifo_size  => c_def_10GbE_block_size * 2
+      )
+      port map (
+        tr_ref_clk          => SA_CLK,
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
+        reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
+        reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
+        reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
+        reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+        reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+
+        serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
+        serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
+      );
 
     gen_qsfp_wires: for i in 0 to c_nof_streams_qsfp - 1 generate
-        serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
+      serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
       i_serial_10G_rx_qsfp_ring_arr(i) <=   serial_10G_rx_qsfp_arr(i);
     end generate;
 
@@ -948,163 +948,163 @@ begin
 
 
     u_front_io : entity unb2a_board_lib.unb2_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_nof_qsfp_bus
-    )
-    port map (
-      serial_tx_arr => serial_10G_tx_qsfp_arr,
-      serial_rx_arr => serial_10G_rx_qsfp_arr,
-
-      green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
-
-      QSFP_RX    => i_QSFP_RX,
-      QSFP_TX    => i_QSFP_TX,
-
-      --QSFP_SDA   => QSFP_SDA,
-      --QSFP_SCL   => QSFP_SCL,
-      --QSFP_RST   => QSFP_RST,
-
-      QSFP_LED   => QSFP_LED
-    );
-
---    gen_ring_wires: FOR i IN 0 TO c_nof_streams_ring-1 GENERATE
---        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i+c_nof_streams_qsfp);
---      i_serial_10G_rx_qsfp_ring_arr(i+c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
---    END GENERATE;
---
---    i_RING_RX(0) <= RING_0_RX;
---    i_RING_RX(1) <= RING_1_RX;
---    RING_0_TX <= i_RING_TX(0);
---    RING_1_TX <= i_RING_TX(1);
---
---    u_ring_io : ENTITY unb2a_board_lib.unb2_board_ring_io
---    GENERIC MAP (
---      g_nof_ring_bus => 2--c_nof_ring_bus
---    )
---    PORT MAP (
---      serial_tx_arr => serial_10G_tx_ring_arr,
---      serial_rx_arr => serial_10G_rx_ring_arr,
---      RING_RX => i_RING_RX,
---      RING_TX => i_RING_TX
---    );
-
-
---    u_tr_10GbE_back: ENTITY unb2a_board_10gbe_lib.unb2_board_10gbe -- BACK lines
---    GENERIC MAP (
---      g_sim           => g_sim,
---      g_sim_level     => 1,
---      g_technology    => g_technology,
---      g_nof_macs      => c_nof_streams_back0,
---      g_tx_fifo_fill  => c_def_10GbE_block_size,
---      g_tx_fifo_size  => c_def_10GbE_block_size*2
---    )
---    PORT MAP (
---      tr_ref_clk          => SB_CLK,
---      mm_rst              => mm_rst,
---      mm_clk              => mm_clk,
---      reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
---      reg_mac_miso        => reg_tr_10GbE_back0_miso,
---      reg_eth10g_mosi     => reg_eth10g_back0_mosi,
---      reg_eth10g_miso     => reg_eth10g_back0_miso,
---      dp_rst              => dp_rst,
---      dp_clk              => dp_clk,
---
---      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-----      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0-1 DOWNTO 0),
---
---      serial_tx_arr       => i_serial_10G_tx_back0_arr,
---      serial_rx_arr       => i_serial_10G_rx_back0_arr
---    );
---
---    gen_back0_wires: FOR i IN 0 TO c_nof_streams_back0-1 GENERATE
---        serial_10G_tx_back_arr(i)  <= i_serial_10G_tx_back0_arr(i);
---      i_serial_10G_rx_back0_arr(i) <=   serial_10G_rx_back_arr(i);
---    END GENERATE;
---    --gen_back1_wires: FOR i IN 0 TO c_nof_streams_back1-1 GENERATE
---    --    serial_10G_tx_back_arr(i+c_nof_streams_back0) <= i_serial_10G_tx_back1_arr(i);
---    --  i_serial_10G_rx_back1_arr(i) <= serial_10G_rx_back_arr(i+c_nof_streams_back0);
---    --END GENERATE;
---
---    u_back_io : ENTITY unb2a_board_lib.unb2_board_back_io
---    GENERIC MAP (
---      g_nof_back_bus => c_nof_back_bus
---    )
---    PORT MAP (
---      serial_tx_arr => serial_10G_tx_back_arr,
---      serial_rx_arr => serial_10G_rx_back_arr,
---
---      -- Serial I/O
---      -- back transceivers
---      BCK_RX(0)  => BCK_RX(c_nof_streams_back0-1 downto 0),
---      BCK_TX(0)  => BCK_TX(c_nof_streams_back0-1 downto 0),
---      --BCK_RX(1)  => BCK_RX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
---      --BCK_TX(1)  => BCK_TX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
---
---      BCK_SDA => BCK_SDA,
---      BCK_SCL => BCK_SCL,
---      BCK_ERR => BCK_ERR
---    );
+      generic map (
+        g_nof_qsfp_bus => c_nof_qsfp_bus
+      )
+      port map (
+        serial_tx_arr => serial_10G_tx_qsfp_arr,
+        serial_rx_arr => serial_10G_rx_qsfp_arr,
+
+        green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
+
+        QSFP_RX    => i_QSFP_RX,
+        QSFP_TX    => i_QSFP_TX,
+
+        --QSFP_SDA   => QSFP_SDA,
+        --QSFP_SCL   => QSFP_SCL,
+        --QSFP_RST   => QSFP_RST,
+
+        QSFP_LED   => QSFP_LED
+      );
+
+    --    gen_ring_wires: FOR i IN 0 TO c_nof_streams_ring-1 GENERATE
+    --        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i+c_nof_streams_qsfp);
+    --      i_serial_10G_rx_qsfp_ring_arr(i+c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
+    --    END GENERATE;
+    --
+    --    i_RING_RX(0) <= RING_0_RX;
+    --    i_RING_RX(1) <= RING_1_RX;
+    --    RING_0_TX <= i_RING_TX(0);
+    --    RING_1_TX <= i_RING_TX(1);
+    --
+    --    u_ring_io : ENTITY unb2a_board_lib.unb2_board_ring_io
+    --    GENERIC MAP (
+    --      g_nof_ring_bus => 2--c_nof_ring_bus
+    --    )
+    --    PORT MAP (
+    --      serial_tx_arr => serial_10G_tx_ring_arr,
+    --      serial_rx_arr => serial_10G_rx_ring_arr,
+    --      RING_RX => i_RING_RX,
+    --      RING_TX => i_RING_TX
+    --    );
+
+
+    --    u_tr_10GbE_back: ENTITY unb2a_board_10gbe_lib.unb2_board_10gbe -- BACK lines
+    --    GENERIC MAP (
+    --      g_sim           => g_sim,
+    --      g_sim_level     => 1,
+    --      g_technology    => g_technology,
+    --      g_nof_macs      => c_nof_streams_back0,
+    --      g_tx_fifo_fill  => c_def_10GbE_block_size,
+    --      g_tx_fifo_size  => c_def_10GbE_block_size*2
+    --    )
+    --    PORT MAP (
+    --      tr_ref_clk          => SB_CLK,
+    --      mm_rst              => mm_rst,
+    --      mm_clk              => mm_clk,
+    --      reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
+    --      reg_mac_miso        => reg_tr_10GbE_back0_miso,
+    --      reg_eth10g_mosi     => reg_eth10g_back0_mosi,
+    --      reg_eth10g_miso     => reg_eth10g_back0_miso,
+    --      dp_rst              => dp_rst,
+    --      dp_clk              => dp_clk,
+    --
+    --      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    ----      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0-1 DOWNTO 0),
+    --
+    --      serial_tx_arr       => i_serial_10G_tx_back0_arr,
+    --      serial_rx_arr       => i_serial_10G_rx_back0_arr
+    --    );
+    --
+    --    gen_back0_wires: FOR i IN 0 TO c_nof_streams_back0-1 GENERATE
+    --        serial_10G_tx_back_arr(i)  <= i_serial_10G_tx_back0_arr(i);
+    --      i_serial_10G_rx_back0_arr(i) <=   serial_10G_rx_back_arr(i);
+    --    END GENERATE;
+    --    --gen_back1_wires: FOR i IN 0 TO c_nof_streams_back1-1 GENERATE
+    --    --    serial_10G_tx_back_arr(i+c_nof_streams_back0) <= i_serial_10G_tx_back1_arr(i);
+    --    --  i_serial_10G_rx_back1_arr(i) <= serial_10G_rx_back_arr(i+c_nof_streams_back0);
+    --    --END GENERATE;
+    --
+    --    u_back_io : ENTITY unb2a_board_lib.unb2_board_back_io
+    --    GENERIC MAP (
+    --      g_nof_back_bus => c_nof_back_bus
+    --    )
+    --    PORT MAP (
+    --      serial_tx_arr => serial_10G_tx_back_arr,
+    --      serial_rx_arr => serial_10G_rx_back_arr,
+    --
+    --      -- Serial I/O
+    --      -- back transceivers
+    --      BCK_RX(0)  => BCK_RX(c_nof_streams_back0-1 downto 0),
+    --      BCK_TX(0)  => BCK_TX(c_nof_streams_back0-1 downto 0),
+    --      --BCK_RX(1)  => BCK_RX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
+    --      --BCK_TX(1)  => BCK_TX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
+    --
+    --      BCK_SDA => BCK_SDA,
+    --      BCK_SCL => BCK_SCL,
+    --      BCK_ERR => BCK_ERR
+    --    );
 
 
     u_front_led : entity unb2a_board_lib.unb2_board_qsfp_leds
-    generic map (
-      g_sim             => g_sim,
-      g_factory_image   => g_factory_image,
-      g_nof_qsfp        => c_nof_qsfp_bus,
-      g_pulse_us        => 1000 / (10**9 / c_unb2_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst               => dp_rst,
-      clk               => dp_clk,
-
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-
-      tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
-      tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
-      rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
-
-      green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
-    );
+      generic map (
+        g_sim             => g_sim,
+        g_factory_image   => g_factory_image,
+        g_nof_qsfp        => c_nof_qsfp_bus,
+        g_pulse_us        => 1000 / (10**9 / c_unb2_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst               => dp_rst,
+        clk               => dp_clk,
+
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+
+        tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
+        tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
+        rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
+
+        green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
+      );
   end generate;
 
   gen_no_udp_stream_10GbE : if c_use_10GbE = false generate
     u_front_io : entity unb2a_board_lib.unb2_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
-    )
-    port map (
-      green_led_arr => qsfp_green_led_arr,
-      red_led_arr   => qsfp_red_led_arr,
-      QSFP_LED      => QSFP_LED
-    );
+      generic map (
+        g_nof_qsfp_bus => c_unb2_board_tr_qsfp.nof_bus
+      )
+      port map (
+        green_led_arr => qsfp_green_led_arr,
+        red_led_arr   => qsfp_red_led_arr,
+        QSFP_LED      => QSFP_LED
+      );
 
     u_front_led : entity unb2a_board_lib.unb2_board_qsfp_leds
-    generic map (
-      g_sim           => g_sim,
-      g_factory_image => g_factory_image,
-      g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
-      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst             => mm_rst,
-      clk             => mm_clk,
-      green_led_arr   => qsfp_green_led_arr,
-      red_led_arr     => qsfp_red_led_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_factory_image => g_factory_image,
+        g_nof_qsfp      => c_unb2_board_tr_qsfp.nof_bus,
+        g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst             => mm_rst,
+        clk             => mm_clk,
+        green_led_arr   => qsfp_green_led_arr,
+        red_led_arr     => qsfp_red_led_arr
+      );
   end generate;
 
 
@@ -1117,156 +1117,156 @@ begin
   gen_stream_MB_I : if c_use_MB_I = true generate
 
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr    => g_sim_model_ddr,
-      g_technology       => g_technology,
-
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
-
-      -- IO_DDR
-      g_io_tech_ddr      => g_ddr_MB_I,
-
-      -- DIAG data buffer
-      g_db_use_db        => false,
-      g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_I_REF_CLK,
-      ctlr_ref_rst        => mb_I_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_I_clk200,
-      ctlr_rst_out        => ddr_I_rst200,
-
-      ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_I_IN,
-      phy4_io             => MB_I_IO,
-      phy4_ou             => MB_I_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
-    );
+      generic map (
+        -- System
+        g_sim_model_ddr    => g_sim_model_ddr,
+        g_technology       => g_technology,
+
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+
+        -- IO_DDR
+        g_io_tech_ddr      => g_ddr_MB_I,
+
+        -- DIAG data buffer
+        g_db_use_db        => false,
+        g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_I_REF_CLK,
+        ctlr_ref_rst        => mb_I_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_I_clk200,
+        ctlr_rst_out        => ddr_I_rst200,
+
+        ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_I_IN,
+        phy4_io             => MB_I_IO,
+        phy4_ou             => MB_I_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
+      );
   end generate;
 
   gen_stream_MB_II : if c_use_MB_II = true generate
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr   => g_sim_model_ddr,
-      g_technology      => g_technology,
-
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
-
-      -- IO_DDR
-      g_io_tech_ddr     => g_ddr_MB_II,
-
-      -- DIAG data buffer
-      g_db_use_db       => false,
-      g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_II_REF_CLK,
-      ctlr_ref_rst        => mb_II_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_II_clk200,
-      ctlr_rst_out        => ddr_II_rst200,
-
-      ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_II_IN,
-      phy4_io             => MB_II_IO,
-      phy4_ou             => MB_II_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
-    );
+      generic map (
+        -- System
+        g_sim_model_ddr   => g_sim_model_ddr,
+        g_technology      => g_technology,
+
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+
+        -- IO_DDR
+        g_io_tech_ddr     => g_ddr_MB_II,
+
+        -- DIAG data buffer
+        g_db_use_db       => false,
+        g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_II_REF_CLK,
+        ctlr_ref_rst        => mb_II_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_II_clk200,
+        ctlr_rst_out        => ddr_II_rst200,
+
+        ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_II_IN,
+        phy4_io             => MB_II_IO,
+        phy4_ou             => MB_II_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test_pkg.vhd b/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test_pkg.vhd
index 86fbfc91d6..de1b1c2fc6 100644
--- a/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test_pkg.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/src/vhdl/unb2a_test_pkg.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 package unb2a_test_pkg is
 
@@ -31,27 +31,27 @@ package unb2a_test_pkg is
   --CONSTANT c_nof_hdr_fields : NATURAL := 1+3+12+4+2; -- Total header bits = 384 = 6 64b words
   constant c_nof_hdr_fields : natural := 3 + 12 + 4 + 2;  -- Total header bits = 384 = 6 64b words
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := (  -- ( field_name_pad("align"              ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
+    ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
 
   --CONSTANT c_hdr_field_ovr_init         : STD_LOGIC_VECTOR(c_nof_hdr_fields-1 DOWNTO 0) := "1001"&"111111111100"&"0011"&"00";
   constant c_hdr_field_ovr_init         : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := "001" & "111111111100" & "0011" & "00";
diff --git a/boards/uniboard2a/designs/unb2a_test/tb/vhdl/tb_unb2a_test.vhd b/boards/uniboard2a/designs/unb2a_test/tb/vhdl/tb_unb2a_test.vhd
index 27189108a8..7f24df1a84 100644
--- a/boards/uniboard2a/designs/unb2a_test/tb/vhdl/tb_unb2a_test.vhd
+++ b/boards/uniboard2a/designs/unb2a_test/tb/vhdl/tb_unb2a_test.vhd
@@ -43,14 +43,14 @@
 --
 
 library IEEE, common_lib, unb2a_board_lib, i2c_lib, technology_lib, tech_pll_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2a_board_lib.unb2_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2a_board_lib.unb2_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_unb2a_test is
   generic (
@@ -187,143 +187,143 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_unb2a_test : entity work.unb2a_test
-  generic map (
-    g_design_name   => g_design_name,
-    g_sim           => c_sim,
-    g_sim_unb_nr    => c_unb_nr,
-    g_sim_node_nr   => c_node_nr,
-    g_sim_model_ddr => g_sim_model_ddr,
-    g_ddr_MB_I      => c_ddr_MB_I,
-    g_ddr_MB_II     => c_ddr_MB_II
-  )
-  port map (
-    -- GENERAL
-    CLK         => clk,
-    PPS         => pps,
-    WDI         => WDI,
-    INTA        => INTA,
-    INTB        => INTB,
-
-    SENS_SC     => sens_scl,
-    SENS_SD     => sens_sda,
-
-    -- Others
-    VERSION     => VERSION,
-    ID          => ID,
-    TESTIO      => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_CLK     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK      => sa_clk,
-    SB_CLK      => sb_clk,
-    BCK_REF_CLK => bck_ref_clk,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => mb_I_ref_clk,
-    MB_II_REF_CLK => mb_II_ref_clk,
-
-    PMBUS_ALERT => '0',
-
-    -- Serial I/O
- --   QSFP_0_TX  => si_lpbk_0,
- --   QSFP_0_RX  => si_lpbk_0,
---    QSFP_1_TX  => si_lpbk_1,
---    QSFP_1_RX  => si_lpbk_1,
---    QSFP_2_TX  => si_lpbk_2,
---    QSFP_2_RX  => si_lpbk_2,
---    QSFP_3_TX  => si_lpbk_3,
---    QSFP_3_RX  => si_lpbk_3,
---    QSFP_4_TX  => si_lpbk_4,
---    QSFP_4_RX  => si_lpbk_4,
---    QSFP_5_TX  => si_lpbk_5,
---    QSFP_5_RX  => si_lpbk_5,
---
---    RING_0_TX  => si_lpbk_6,
---    RING_0_RX  => si_lpbk_6,
---    RING_1_TX  => si_lpbk_7,
---    RING_1_RX  => si_lpbk_7,
---
---    BCK_TX     => si_lpbk_8,
---    BCK_RX     => si_lpbk_8,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN    => MB_I_IN,
-    MB_I_IO    => MB_I_IO,
-    MB_I_OU    => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN   => MB_II_IN,
-    MB_II_IO   => MB_II_IO,
-    MB_II_OU   => MB_II_OU,
-
-    -- Leds
-    QSFP_LED   => qsfp_led
-  );
+    generic map (
+      g_design_name   => g_design_name,
+      g_sim           => c_sim,
+      g_sim_unb_nr    => c_unb_nr,
+      g_sim_node_nr   => c_node_nr,
+      g_sim_model_ddr => g_sim_model_ddr,
+      g_ddr_MB_I      => c_ddr_MB_I,
+      g_ddr_MB_II     => c_ddr_MB_II
+    )
+    port map (
+      -- GENERAL
+      CLK         => clk,
+      PPS         => pps,
+      WDI         => WDI,
+      INTA        => INTA,
+      INTB        => INTB,
+
+      SENS_SC     => sens_scl,
+      SENS_SD     => sens_sda,
+
+      -- Others
+      VERSION     => VERSION,
+      ID          => ID,
+      TESTIO      => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_CLK     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK      => sa_clk,
+      SB_CLK      => sb_clk,
+      BCK_REF_CLK => bck_ref_clk,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => mb_I_ref_clk,
+      MB_II_REF_CLK => mb_II_ref_clk,
+
+      PMBUS_ALERT => '0',
+
+      -- Serial I/O
+      --   QSFP_0_TX  => si_lpbk_0,
+      --   QSFP_0_RX  => si_lpbk_0,
+      --    QSFP_1_TX  => si_lpbk_1,
+      --    QSFP_1_RX  => si_lpbk_1,
+      --    QSFP_2_TX  => si_lpbk_2,
+      --    QSFP_2_RX  => si_lpbk_2,
+      --    QSFP_3_TX  => si_lpbk_3,
+      --    QSFP_3_RX  => si_lpbk_3,
+      --    QSFP_4_TX  => si_lpbk_4,
+      --    QSFP_4_RX  => si_lpbk_4,
+      --    QSFP_5_TX  => si_lpbk_5,
+      --    QSFP_5_RX  => si_lpbk_5,
+      --
+      --    RING_0_TX  => si_lpbk_6,
+      --    RING_0_RX  => si_lpbk_6,
+      --    RING_1_TX  => si_lpbk_7,
+      --    RING_1_RX  => si_lpbk_7,
+      --
+      --    BCK_TX     => si_lpbk_8,
+      --    BCK_RX     => si_lpbk_8,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN    => MB_I_IN,
+      MB_I_IO    => MB_I_IO,
+      MB_I_OU    => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN   => MB_II_IN,
+      MB_II_IO   => MB_II_IO,
+      MB_II_OU   => MB_II_OU,
+
+      -- Leds
+      QSFP_LED   => qsfp_led
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard sensors
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard DDR4
   ------------------------------------------------------------------------------
 
   u_tech_ddr_memory_model_MB_I : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_I
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_I_OU,
-    mem4_io => MB_I_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_I
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_I_OU,
+      mem4_io => MB_I_IO
+    );
 
   u_tech_ddr_memory_model_MB_II : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_II
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_II_OU,
-    mem4_io => MB_II_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_II
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_II_OU,
+      mem4_io => MB_II_IO
+    );
 
 end tb;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/ctrl_unb2_board.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/ctrl_unb2_board.vhd
index 685a1ec112..f31d81c635 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/ctrl_unb2_board.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/ctrl_unb2_board.vhd
@@ -25,16 +25,16 @@
 --   . ctrl_unb2_board.vhd with e.g. 1GbE, PPS, I2C, Remu, EPCS
 
 library IEEE, common_lib, dp_lib, ppsh_lib, i2c_lib, technology_lib, tech_tse_lib, eth_lib, remu_lib, epcs_lib, tech_pll_lib, tech_clkbuf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb2_board_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb2_board_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity ctrl_unb2_board is
   generic (
@@ -332,15 +332,15 @@ begin
   i_ext_clk200 <= CLK;  -- use more special name for CLK pin signal to ease searching for it in editor, the external 200 MHz CLK as ext_clk200
 
   u_common_areset_ext : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_ext_clk200,
-    out_rst   => ext_rst200
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_ext_clk200,
+      out_rst   => ext_rst200
+    );
 
   -----------------------------------------------------------------------------
   -- xo_ethclk = ETH_CLK
@@ -349,15 +349,15 @@ begin
   i_xo_ethclk <= ETH_CLK;  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_xo_ethclk,
-    out_rst   => i_xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_xo_ethclk,
+      out_rst   => i_xo_rst
+    );
 
 
   -----------------------------------------------------------------------------
@@ -366,26 +366,26 @@ begin
   -----------------------------------------------------------------------------
 
   u_common_areset_mb_I : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_I_REF_CLK,
-    out_rst   => mb_I_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_I_REF_CLK,
+      out_rst   => mb_I_ref_rst
+    );
 
   u_common_areset_mb_II : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_II_REF_CLK,
-    out_rst   => mb_II_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_II_REF_CLK,
+      out_rst   => mb_II_ref_rst
+    );
 
   -----------------------------------------------------------------------------
   -- dp_clk + dp_rst generation
@@ -399,29 +399,29 @@ begin
 
   gen_pll: if g_sim = false and g_dp_clk_use_pll = true generate
     u_unb2_board_clk200_pll : entity work.unb2_board_clk200_pll
+      generic map (
+        g_technology          => g_technology,
+        g_use_fpll            => true,
+        g_clk200_phase_shift  => g_dp_clk_phase
+      )
+      port map (
+        arst       => i_mm_rst,
+        clk200     => i_ext_clk200,
+        st_clk200  => dp_clk,  -- = c0
+        st_rst200  => common_areset_in_rst
+      );
+  end generate;
+
+  u_common_areset_dp_rst : entity common_lib.common_areset
     generic map (
-      g_technology          => g_technology,
-      g_use_fpll            => true,
-      g_clk200_phase_shift  => g_dp_clk_phase
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
     )
     port map (
-      arst       => i_mm_rst,
-      clk200     => i_ext_clk200,
-      st_clk200  => dp_clk,  -- = c0
-      st_rst200  => common_areset_in_rst
+      in_rst    => common_areset_in_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => dp_clk_in,
+      out_rst   => dp_rst
     );
-  end generate;
-
-  u_common_areset_dp_rst : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => common_areset_in_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => dp_clk_in,
-    out_rst   => dp_rst
-  );
 
   -----------------------------------------------------------------------------
   -- mm_clk
@@ -436,48 +436,48 @@ begin
               clk50;  -- default
 
   gen_mm_clk_sim: if g_sim = true generate
-      epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
-      clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
-      clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
-      clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
-      mm_sim_clk  <= not mm_sim_clk after 50 ns;  -- 10 MHz, 100ns/2  --> FIXME: this mm_sim_clk should come from the MMM so that its speed can be adapted
-      mm_locked   <= '0', '1' after 70 ns;
+    epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
+    clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
+    clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
+    clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
+    mm_sim_clk  <= not mm_sim_clk after 50 ns;  -- 10 MHz, 100ns/2  --> FIXME: this mm_sim_clk should come from the MMM so that its speed can be adapted
+    mm_locked   <= '0', '1' after 70 ns;
   end generate;
 
   gen_mm_clk_hardware: if g_sim = false generate
     u_unb2_board_clk125_pll : entity work.unb2_board_clk125_pll
+      generic map (
+        g_use_fpll   => true,
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk125     => i_xo_ethclk,
+        c0_clk20   => epcs_clk,
+        c1_clk50   => clk50,
+        c2_clk100  => clk100,
+        c3_clk125  => clk125,
+        pll_locked => mm_locked
+      );
+  end generate;
+
+  u_unb2_board_node_ctrl : entity work.unb2_board_node_ctrl
     generic map (
-      g_use_fpll   => true,
-      g_technology => g_technology
+      g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
     )
     port map (
-      arst       => i_xo_rst,
-      clk125     => i_xo_ethclk,
-      c0_clk20   => epcs_clk,
-      c1_clk50   => clk50,
-      c2_clk100  => clk100,
-      c3_clk125  => clk125,
-      pll_locked => mm_locked
+      -- MM clock domain reset
+      mm_clk      => i_mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => i_mm_rst,
+      -- WDI extend
+      mm_wdi_in   => pout_wdi,
+      mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
     );
-  end generate;
-
-  u_unb2_board_node_ctrl : entity work.unb2_board_node_ctrl
-  generic map (
-    g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => i_mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => i_mm_rst,
-    -- WDI extend
-    mm_wdi_in   => pout_wdi,
-    mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
 
   -----------------------------------------------------------------------------
   -- System info
@@ -485,33 +485,33 @@ begin
   cs_sim <= is_true(g_sim);
 
   u_mms_unb2_board_system_info : entity work.mms_unb2_board_system_info
-  generic map (
-    g_sim         => g_sim,
-    g_technology  => g_technology,
-    g_design_name => g_design_name,
-    g_fw_version  => g_fw_version,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_design_note => g_design_note,
-    g_rom_version => c_rom_version
-  )
-  port map (
-    mm_clk      => i_mm_clk,
-    mm_rst      => i_mm_rst,
-
-    hw_version  => VERSION,
-    id          => ID,
-
-    reg_mosi    => reg_unb_system_info_mosi,
-    reg_miso    => reg_unb_system_info_miso,
-
-    rom_mosi    => rom_unb_system_info_mosi,
-    rom_miso    => rom_unb_system_info_miso,
-
-    chip_id     => this_chip_id,
-    bck_id      => this_bck_id
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_technology  => g_technology,
+      g_design_name => g_design_name,
+      g_fw_version  => g_fw_version,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_design_note => g_design_note,
+      g_rom_version => c_rom_version
+    )
+    port map (
+      mm_clk      => i_mm_clk,
+      mm_rst      => i_mm_rst,
+
+      hw_version  => VERSION,
+      id          => ID,
+
+      reg_mosi    => reg_unb_system_info_mosi,
+      reg_miso    => reg_unb_system_info_miso,
+
+      rom_mosi    => rom_unb_system_info_mosi,
+      rom_miso    => rom_unb_system_info_miso,
+
+      chip_id     => this_chip_id,
+      bck_id      => this_bck_id
+    );
 
 
   -----------------------------------------------------------------------------
@@ -549,12 +549,12 @@ begin
   led_toggle_green <= sel_a_b(g_factory_image = false, led_toggle, '0');
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => i_mm_rst,
-    clk         => i_mm_clk,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => i_mm_rst,
+      clk         => i_mm_clk,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
 
   ------------------------------------------------------------------------------
@@ -566,15 +566,15 @@ begin
   WDI <= mm_wdi or temp_alarm or wdi_override;
 
   u_unb2_board_wdi_reg : entity work.unb2_board_wdi_reg
-  port map (
-    mm_rst              => i_mm_rst,
-    mm_clk              => i_mm_clk,
+    port map (
+      mm_rst              => i_mm_rst,
+      mm_clk              => i_mm_clk,
 
-    sla_in              => reg_wdi_mosi,
-    sla_out             => reg_wdi_miso,
+      sla_in              => reg_wdi_mosi,
+      sla_out             => reg_wdi_miso,
 
-    wdi_override        => wdi_override
-  );
+      wdi_override        => wdi_override
+    );
 
 
   ------------------------------------------------------------------------------
@@ -584,75 +584,75 @@ begin
   -- So there is full control over the memory mapped registers to set start address of the flash
   -- and reconfigure from that address.
   u_mms_remu: entity remu_lib.mms_remu
-  generic map (
-    g_technology       => g_technology
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology       => g_technology
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    remu_mosi          => reg_remu_mosi,
-    remu_miso          => reg_remu_miso
-  );
+      remu_mosi          => reg_remu_mosi,
+      remu_miso          => reg_remu_miso
+    );
 
   -------------------------------------------------------------------------------
   ---- EPCS
   -------------------------------------------------------------------------------
   u_mms_epcs: entity epcs_lib.mms_epcs
-  generic map (
-    g_technology         => g_technology,
-    g_protect_addr_range => g_protect_addr_range,
-    g_protected_addr_lo  => g_protected_addr_lo,
-    g_protected_addr_hi  => g_protected_addr_hi
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology         => g_technology,
+      g_protect_addr_range => g_protect_addr_range,
+      g_protected_addr_lo  => g_protected_addr_lo,
+      g_protected_addr_hi  => g_protected_addr_hi
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    epcs_mosi          => reg_epcs_mosi,
-    epcs_miso          => reg_epcs_miso,
+      epcs_mosi          => reg_epcs_mosi,
+      epcs_miso          => reg_epcs_miso,
 
-    dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
-    dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
+      dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
+      dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
 
-    dpmm_data_mosi     => reg_dpmm_data_mosi,
-    dpmm_data_miso     => reg_dpmm_data_miso,
+      dpmm_data_mosi     => reg_dpmm_data_mosi,
+      dpmm_data_miso     => reg_dpmm_data_miso,
 
-    mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
-    mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
+      mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
+      mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
 
-    mmdp_data_mosi     => reg_mmdp_data_mosi,
-    mmdp_data_miso     => reg_mmdp_data_miso
-  );
+      mmdp_data_mosi     => reg_mmdp_data_mosi,
+      mmdp_data_miso     => reg_mmdp_data_miso
+    );
 
   ------------------------------------------------------------------------------
   -- PPS input
   ------------------------------------------------------------------------------
 
   u_mms_ppsh : entity ppsh_lib.mms_ppsh
-  generic map (
-    g_technology      => g_technology,
-    g_st_clk_freq     => g_dp_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst           => i_mm_rst,
-    mm_clk           => i_mm_clk,
-    st_rst           => dp_rst_in,
-    st_clk           => dp_clk_in,
-    pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
-
-    -- Memory-mapped clock domain
-    reg_mosi         => reg_ppsh_mosi,
-    reg_miso         => reg_ppsh_miso,
-
-    -- Streaming clock domain
-    pps_sys          => dp_pps
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_st_clk_freq     => g_dp_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst           => i_mm_rst,
+      mm_clk           => i_mm_clk,
+      st_rst           => dp_rst_in,
+      st_clk           => dp_clk_in,
+      pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
+
+      -- Memory-mapped clock domain
+      reg_mosi         => reg_ppsh_mosi,
+      reg_miso         => reg_ppsh_miso,
+
+      -- Streaming clock domain
+      pps_sys          => dp_pps
+    );
 
 
   ------------------------------------------------------------------------------
@@ -662,74 +662,74 @@ begin
   mm_board_sens_start <= mm_pulse_s when g_sim = false else mm_pulse_s;  -- mm_pulse_ms; ms pulse comes before the end of the I2C frame, this results in an overflow in simulation  -- speed up in simulation
 
   u_mms_unb2_board_sens : entity work.mms_unb2_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_sens,
-    g_sens_nof_result => 40,
-    g_clk_freq        => g_mm_clk_freq,
-    g_comma_w         => 13
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_sens_mosi,
-    reg_miso  => reg_unb_sens_miso,
-
-    -- i2c bus
-    scl       => SENS_SC,
-    sda       => SENS_SD
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_i2c_peripheral  => c_i2c_peripheral_sens,
+      g_sens_nof_result => 40,
+      g_clk_freq        => g_mm_clk_freq,
+      g_comma_w         => 13
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_sens_mosi,
+      reg_miso  => reg_unb_sens_miso,
+
+      -- i2c bus
+      scl       => SENS_SC,
+      sda       => SENS_SD
+    );
 
   u_mms_unb2_board_pmbus : entity work.mms_unb2_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_pmbus,
-    g_sens_nof_result => 42,
-    g_clk_freq        => g_mm_clk_freq,
-    g_comma_w         => 13
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_pmbus_mosi,
-    reg_miso  => reg_unb_pmbus_miso,
-
-    -- i2c bus
-    scl       => PMBUS_SC,
-    sda       => PMBUS_SD
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_i2c_peripheral  => c_i2c_peripheral_pmbus,
+      g_sens_nof_result => 42,
+      g_clk_freq        => g_mm_clk_freq,
+      g_comma_w         => 13
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_pmbus_mosi,
+      reg_miso  => reg_unb_pmbus_miso,
+
+      -- i2c bus
+      scl       => PMBUS_SC,
+      sda       => PMBUS_SD
+    );
 
   u_mms_unb2_fpga_sens : entity work.mms_unb2_fpga_sens
-  generic map (
-    g_sim        => g_sim,
-    g_technology => g_technology,
-    g_temp_high  => g_fpga_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-
-    --mm_start  => mm_board_sens_start, -- this does not work, perhaps pulsewidth is too small
-    mm_start  => '1',  -- this works
-
-    -- Memory-mapped clock domain
-    reg_temp_mosi  => reg_fpga_temp_sens_mosi,
-    reg_temp_miso  => reg_fpga_temp_sens_miso,
-    reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_voltage_miso  => reg_fpga_voltage_sens_miso,
-
-    -- Temperature alarm
-    temp_alarm => temp_alarm
-  );
+    generic map (
+      g_sim        => g_sim,
+      g_technology => g_technology,
+      g_temp_high  => g_fpga_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+
+      --mm_start  => mm_board_sens_start, -- this does not work, perhaps pulsewidth is too small
+      mm_start  => '1',  -- this works
+
+      -- Memory-mapped clock domain
+      reg_temp_mosi  => reg_fpga_temp_sens_mosi,
+      reg_temp_miso  => reg_fpga_temp_sens_miso,
+      reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_voltage_miso  => reg_fpga_voltage_sens_miso,
+
+      -- Temperature alarm
+      temp_alarm => temp_alarm
+    );
 
 
   ------------------------------------------------------------------------------
@@ -739,18 +739,18 @@ begin
   gen_tse_clk_buf: if g_tse_clk_buf = true generate
     -- Separate clkbuf for the 1GbE tse_clk:
     u_tse_clk_buf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => i_xo_ethclk,
-      outclk => i_tse_clk
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => i_xo_ethclk,
+        outclk => i_tse_clk
+      );
   end generate;
 
   gen_tse_no_clk_buf: if g_tse_clk_buf = false generate
-      i_tse_clk <= i_xo_ethclk;
+    i_tse_clk <= i_xo_ethclk;
   end generate;
 
 
@@ -777,43 +777,43 @@ begin
     eth1g_st_rst <= dp_rst_in when g_udp_offload = true else eth1g_mm_rst;
 
     u_eth : entity eth_lib.eth
-    generic map (
-      g_technology         => g_technology,
-      g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
-      g_cross_clock_domain => g_udp_offload,
-      g_frm_discard_en     => true
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
-      mm_clk            => i_mm_clk,  -- use mm_clk direct
-      eth_clk           => i_tse_clk,  -- 125 MHz clock
-      st_rst            => eth1g_st_rst,
-      st_clk            => eth1g_st_clk,
-
-      -- UDP transmit interface
-      udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
-      udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
-      -- UDP receive interface
-      udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
-      udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves
-      tse_sla_in        => eth1g_tse_mosi,
-      tse_sla_out       => eth1g_tse_miso,
-      reg_sla_in        => eth1g_reg_mosi,
-      reg_sla_out       => eth1g_reg_miso,
-      reg_sla_interrupt => eth1g_reg_interrupt,
-      ram_sla_in        => eth1g_ram_mosi,
-      ram_sla_out       => eth1g_ram_miso,
-
-      -- PHY interface
-      eth_txp           => ETH_SGOUT(0),
-      eth_rxp           => ETH_SGIN(0),
-
-      -- LED interface
-      tse_led           => eth1g_led
-    );
+      generic map (
+        g_technology         => g_technology,
+        g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
+        g_cross_clock_domain => g_udp_offload,
+        g_frm_discard_en     => true
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
+        mm_clk            => i_mm_clk,  -- use mm_clk direct
+        eth_clk           => i_tse_clk,  -- 125 MHz clock
+        st_rst            => eth1g_st_rst,
+        st_clk            => eth1g_st_clk,
+
+        -- UDP transmit interface
+        udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
+        udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
+        -- UDP receive interface
+        udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
+        udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves
+        tse_sla_in        => eth1g_tse_mosi,
+        tse_sla_out       => eth1g_tse_miso,
+        reg_sla_in        => eth1g_reg_mosi,
+        reg_sla_out       => eth1g_reg_miso,
+        reg_sla_interrupt => eth1g_reg_interrupt,
+        ram_sla_in        => eth1g_ram_mosi,
+        ram_sla_out       => eth1g_ram_miso,
+
+        -- PHY interface
+        eth_txp           => ETH_SGOUT(0),
+        eth_rxp           => ETH_SGIN(0),
+
+        -- LED interface
+        tse_led           => eth1g_led
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_sens.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_sens.vhd
index 1f6e0b05ad..c8949d07d5 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_sens.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_sens.vhd
@@ -23,10 +23,10 @@
 -- Description: See unb2_board_sens.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity mms_unb2_board_sens is
@@ -70,48 +70,48 @@ architecture str of mms_unb2_board_sens is
 begin
 
   u_unb2_board_sens_reg : entity work.unb2_board_sens_reg
-  generic map (
-    g_sens_nof_result => g_sens_nof_result,
-    g_temp_high       => g_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in       => reg_mosi,
-    sla_out      => reg_miso,
-
-    -- MM registers
-    sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
-    sens_data    => sens_data,
-
-    -- Max temp threshold
-    temp_high    => temp_high
-  );
+    generic map (
+      g_sens_nof_result => g_sens_nof_result,
+      g_temp_high       => g_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in       => reg_mosi,
+      sla_out      => reg_miso,
+
+      -- MM registers
+      sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
+      sens_data    => sens_data,
+
+      -- Max temp threshold
+      temp_high    => temp_high
+    );
 
   u_unb2_board_sens : entity work.unb2_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => g_i2c_peripheral,
-    g_clk_freq        => g_clk_freq,
-    g_temp_high       => g_temp_high,
-    g_sens_nof_result => g_sens_nof_result,
-    g_comma_w         => g_comma_w
-  )
-  port map (
-    clk          => mm_clk,
-    rst          => mm_rst,
-    start        => mm_start,
-    -- i2c bus
-    scl          => scl,
-    sda          => sda,
-    -- read results
-    sens_evt     => OPEN,
-    sens_err     => sens_err,
-    sens_data    => sens_data
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_i2c_peripheral  => g_i2c_peripheral,
+      g_clk_freq        => g_clk_freq,
+      g_temp_high       => g_temp_high,
+      g_sens_nof_result => g_sens_nof_result,
+      g_comma_w         => g_comma_w
+    )
+    port map (
+      clk          => mm_clk,
+      rst          => mm_rst,
+      start        => mm_start,
+      -- i2c bus
+      scl          => scl,
+      sda          => sda,
+      -- read results
+      sens_evt     => OPEN,
+      sens_err     => sens_err,
+      sens_data    => sens_data
+    );
 
   -- Temperature: 7 bits (1 bit per degree) plus sign. A faulty readout (never pulled down = all ones)
   -- would produce -1 degrees so does not trigger a temperature alarm.
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_system_info.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_system_info.vhd
index 75ff5e6a7c..d4f6ede587 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_system_info.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_board_system_info.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity mms_unb2_board_system_info is
   generic (
@@ -58,7 +58,7 @@ entity mms_unb2_board_system_info is
 
     -- Info output still supported for older designs
     info            : out std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end mms_unb2_board_system_info;
 
 
@@ -70,72 +70,74 @@ architecture str of mms_unb2_board_system_info is
   constant c_modelsim_path_prefix : string := "$UNB/Firmware/designs/" & g_design_name & "/build/synth/quartus/";
   constant c_path_prefix          : string := sel_a_b(g_sim, c_modelsim_path_prefix, c_quartus_path_prefix);
 
--- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
---  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
+  -- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
+  --  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
   constant c_mif_name    : string :=  sel_a_b(g_sim, "UNUSED", sel_a_b((g_design_name = "UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif"));
 
   constant c_rom_addr_w  : natural := 10;  -- 2^10 = 1024 addresses * 32 bits = 4 kiB
 
-  constant c_mm_rom      : t_c_mem := (latency  => 1,
-                                      adr_w    => c_rom_addr_w,
-                                      dat_w    => c_word_w,
-                                      nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
-                                      init_sl  => '0');
+  constant c_mm_rom      : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_rom_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
 
   signal i_info          : std_logic_vector(c_word_w - 1 downto 0);
 
 begin
 
- info <= i_info;
+  info <= i_info;
 
   u_unb2_board_system_info: entity work.unb2_board_system_info
-  generic map (
-    g_sim        => g_sim,
-    g_fw_version => g_fw_version,
-    g_rom_version => g_rom_version,
-    g_technology  => g_technology
-  )
-  port map (
-    clk        => mm_clk,
-    hw_version => hw_version,
-    id         => id,
-    info       => i_info,
-    chip_id    => chip_id,
-    bck_id     => bck_id
-   );
+    generic map (
+      g_sim        => g_sim,
+      g_fw_version => g_fw_version,
+      g_rom_version => g_rom_version,
+      g_technology  => g_technology
+    )
+    port map (
+      clk        => mm_clk,
+      hw_version => hw_version,
+      id         => id,
+      info       => i_info,
+      chip_id    => chip_id,
+      bck_id     => bck_id
+    );
 
   u_unb2_board_system_info_reg: entity work.unb2_board_system_info_reg
-  generic map (
-    g_design_name => g_design_name,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_design_note => g_design_note
-  )
-  port map (
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
-
-    sla_in    => reg_mosi,
-    sla_out   => reg_miso,
-
-    info      => i_info
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_design_note => g_design_note
+    )
+    port map (
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+
+      sla_in    => reg_mosi,
+      sla_out   => reg_miso,
+
+      info      => i_info
+    );
 
   u_common_rom : entity common_lib.common_rom
-  generic map (
-    g_technology => g_technology,
-    g_ram       => c_mm_rom,
-    g_init_file => c_mif_name
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => mm_clk,
-    rd_en   => rom_mosi.rd,
-    rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
-    rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
-    rd_val  => rom_miso.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram       => c_mm_rom,
+      g_init_file => c_mif_name
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => mm_clk,
+      rd_en   => rom_mosi.rd,
+      rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
+      rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
+      rd_val  => rom_miso.rdval
+    );
 
 end str;
 
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_fpga_sens.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_fpga_sens.vhd
index e619ec2ef2..4b3d863e8c 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_fpga_sens.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/mms_unb2_fpga_sens.vhd
@@ -23,11 +23,11 @@
 -- Description: See unb2_fpga_sens.vhd
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity mms_unb2_fpga_sens is
@@ -67,51 +67,51 @@ architecture str of mms_unb2_fpga_sens is
 begin
 
   u_unb2_fpga_sens_reg : entity work.unb2_fpga_sens_reg
-  generic map (
-    g_sim             => g_sim,
-    g_technology      => g_technology,
-    g_sens_nof_result => c_sens_nof_result,
-    g_temp_high       => g_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-    start        => mm_start,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_temp_in       => reg_temp_mosi,
-    sla_temp_out      => reg_temp_miso,
-    sla_voltage_in    => reg_voltage_mosi,
-    sla_voltage_out   => reg_voltage_miso,
-
-    -- MM registers
-    --sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
-    --sens_data    => sens_data,
-
-    -- Max temp threshold
-    temp_high    => temp_high
-  );
-
---  u_unb2_board_sens : ENTITY work.unb2_board_sens
---  GENERIC MAP (
---    g_sim             => g_sim,
---    g_clk_freq        => g_clk_freq,
---    g_temp_high       => g_temp_high,
---    g_sens_nof_result => c_sens_nof_result
---  )
---  PORT MAP (
---    clk          => mm_clk,
---    rst          => mm_rst,
---    start        => mm_start,
---    -- i2c bus
---    scl          => scl,
---    sda          => sda,
---    -- read results
---    sens_evt     => OPEN,
---    sens_err     => sens_err,
---    sens_data    => sens_data
---  );
+    generic map (
+      g_sim             => g_sim,
+      g_technology      => g_technology,
+      g_sens_nof_result => c_sens_nof_result,
+      g_temp_high       => g_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+      start        => mm_start,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_temp_in       => reg_temp_mosi,
+      sla_temp_out      => reg_temp_miso,
+      sla_voltage_in    => reg_voltage_mosi,
+      sla_voltage_out   => reg_voltage_miso,
+
+      -- MM registers
+      --sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
+      --sens_data    => sens_data,
+
+      -- Max temp threshold
+      temp_high    => temp_high
+    );
+
+  --  u_unb2_board_sens : ENTITY work.unb2_board_sens
+  --  GENERIC MAP (
+  --    g_sim             => g_sim,
+  --    g_clk_freq        => g_clk_freq,
+  --    g_temp_high       => g_temp_high,
+  --    g_sens_nof_result => c_sens_nof_result
+  --  )
+  --  PORT MAP (
+  --    clk          => mm_clk,
+  --    rst          => mm_rst,
+  --    start        => mm_start,
+  --    -- i2c bus
+  --    scl          => scl,
+  --    sda          => sda,
+  --    -- read results
+  --    sens_evt     => OPEN,
+  --    sens_err     => sens_err,
+  --    sens_data    => sens_data
+  --  );
 
   -- Temperature: 7 bits (1 bit per degree) plus sign. A faulty readout (never pulled down = all ones)
   -- would produce -1 degrees so does not trigger a temperature alarm.
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_back_io.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_back_io.vhd
index a0060fe1cb..7bd6fed35f 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_back_io.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_back_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_back_io is
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk125_pll.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk125_pll.vhd
index bf66c9ae6a..9c7c2ec1c4 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk125_pll.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk125_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 125 MHz
 -- Description:
@@ -64,47 +64,47 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk125,
-      outclk => clk125buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk125,
+        outclk => clk125buf
+      );
   end generate;
 
 
   gen_pll : if g_use_fpll = false generate
     u_pll : entity tech_pll_lib.tech_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
   gen_fractional_pll : if g_use_fpll = true generate
     u_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
 end arria10;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk200_pll.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk200_pll.vhd
index 6bedd749d7..ac18a564ff 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk200_pll.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk200_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 200 MHz
 -- Description:
@@ -140,83 +140,83 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk200,
-      outclk => clk200buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk200,
+        outclk => clk200buf
+      );
   end generate;
 
   gen_st_pll : if g_use_fpll = false generate
     u_st_pll : entity tech_pll_lib.tech_pll_clk200
-    generic map (
-      g_technology       => g_technology,
-      g_operation_mode   => g_operation_mode,
-      g_clk0_phase_shift => g_clk200_phase_shift,
-      g_clk1_phase_shift => g_clk200p_phase_shift
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,
-      c0      => i_st_clk200,
-      c1      => i_st_clk200p,
-      c2      => i_st_clk400,
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_operation_mode   => g_operation_mode,
+        g_clk0_phase_shift => g_clk200_phase_shift,
+        g_clk1_phase_shift => g_clk200p_phase_shift
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,
+        c0      => i_st_clk200,
+        c1      => i_st_clk200p,
+        c2      => i_st_clk400,
+        locked  => st_locked
+      );
   end generate;
 
   gen_st_fractional_pll : if g_use_fpll = true generate
     u_st_fractional_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk200
-    generic map (
-      g_technology       => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,  -- 200 MHz
-      c0      => i_st_clk200,  -- 200 MHz
-      c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
-      c2      => i_st_clk400,  -- 400 MHz
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,  -- 200 MHz
+        c0      => i_st_clk200,  -- 200 MHz
+        c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
+        c2      => i_st_clk400,  -- 400 MHz
+        locked  => st_locked
+      );
   end generate;
 
   -- Release clock domain resets after some clock cycles when the PLL has locked
   st_locked_n <= not st_locked;
 
   u_rst200 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200,
-    out_rst   => i_st_rst200
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200,
+      out_rst   => i_st_rst200
+    );
 
   u_rst200p : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200p,
-    out_rst   => st_rst200p
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200p,
+      out_rst   => st_rst200p
+    );
 
   u_rst400 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk400,
-    out_rst   => st_rst400
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk400,
+      out_rst   => st_rst400
+    );
 
 end arria10;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk25_pll.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk25_pll.vhd
index eef6905655..6b8f6093af 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk25_pll.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk25_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 25 MHz
 -- Description:
@@ -54,16 +54,16 @@ architecture arria10 of unb2_board_clk25_pll is
 begin
 
   u_pll : entity tech_pll_lib.tech_pll_clk25
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    areset  => arst,
-    inclk0  => clk25,
-    c0      => c0_clk20,
-    c1      => c1_clk50,
-    c2      => c2_clk100,
-    c3      => c3_clk125,
-    locked  => pll_locked
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      areset  => arst,
+      inclk0  => clk25,
+      c0      => c0_clk20,
+      c1      => c1_clk50,
+      c2      => c2_clk100,
+      c3      => c3_clk125,
+      locked  => pll_locked
+    );
 end arria10;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk_rst.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk_rst.vhd
index 9d4d3c1bd3..769f2630a6 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk_rst.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_clk_rst.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   1) initial power up xo_rst_n that can be used to reset a SOPC system (via
@@ -59,28 +59,28 @@ begin
   xo_rst_n <= not xo_rst;
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => xo_clk,
-    out_rst   => xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => xo_clk,
+      out_rst   => xo_rst
+    );
 
   -- System clock from SOPC system PLL and system reset
   sys_locked_n <= not sys_locked;
 
   u_common_areset_sys : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => sys_clk,
-    out_rst   => sys_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => sys_clk,
+      out_rst   => sys_rst
+    );
 
 end str;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_front_io.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_front_io.vhd
index 2bbb9e4613..fdf61eff24 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_front_io.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_front_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_front_io is
@@ -69,8 +69,8 @@ begin
   gen_wire_bus : for i in 0 to g_nof_qsfp_bus - 1 generate
     gen_wire_signals : for j in 0 to c_unb2_board_tr_qsfp.bus_w - 1 generate
 
-        si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2_board_tr_qsfp.bus_w + j);
-        serial_rx_arr(i * c_unb2_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
+      si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2_board_tr_qsfp.bus_w + j);
+      serial_rx_arr(i * c_unb2_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
 
     end generate;
   end generate;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_hmc_ctrl.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_hmc_ctrl.vhd
index 284c10f68e..c1d4b0fcfd 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_hmc_ctrl.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_hmc_ctrl.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2_board_hmc_ctrl is
@@ -59,37 +59,89 @@ architecture rtl of unb2_board_hmc_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- RX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- TX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- RX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- TX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_node_ctrl.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_node_ctrl.vhd
index 32c9cd53ff..fd68d6ee22 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_node_ctrl.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Provide the basic node clock control (resets, pulses, WDI)
 -- Description:
@@ -71,44 +71,44 @@ begin
   mm_locked_n <= not mm_locked;
 
   u_common_areset_mm : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => mm_clk,
-    out_rst   => i_mm_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => mm_clk,
+      out_rst   => i_mm_rst
+    );
 
   -- Create 1 pulse per us, per ms and per s
   mm_pulse_ms <= i_mm_pulse_ms;
 
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,
-    g_pulse_ms  => g_pulse_ms,
-    g_pulse_s   => g_pulse_s
-  )
-  port map (
-    rst         => i_mm_rst,
-    clk         => mm_clk,
-    pulse_us    => mm_pulse_us,
-    pulse_ms    => i_mm_pulse_ms,
-    pulse_s     => mm_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,
+      g_pulse_ms  => g_pulse_ms,
+      g_pulse_s   => g_pulse_s
+    )
+    port map (
+      rst         => i_mm_rst,
+      clk         => mm_clk,
+      pulse_us    => mm_pulse_us,
+      pulse_ms    => i_mm_pulse_ms,
+      pulse_s     => mm_pulse_s
+    );
 
   -- Toggle the WDI every 1 ms
   u_unb2_board_wdi_extend : entity work.unb2_board_wdi_extend
-  generic map (
-    g_extend_w => g_wdi_extend_w
-  )
-  port map (
-    rst        => i_mm_rst,
-    clk        => mm_clk,
-    pulse_ms   => i_mm_pulse_ms,
-    wdi_in     => mm_wdi_in,
-    wdi_out    => mm_wdi_out
-  );
+    generic map (
+      g_extend_w => g_wdi_extend_w
+    )
+    port map (
+      rst        => i_mm_rst,
+      clk        => mm_clk,
+      pulse_ms   => i_mm_pulse_ms,
+      wdi_in     => mm_wdi_in,
+      wdi_out    => mm_wdi_out
+    );
 
 end str;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_peripherals_pkg.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_peripherals_pkg.vhd
index 9417764144..33d6eed969 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_peripherals_pkg.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_peripherals_pkg.vhd
@@ -39,7 +39,7 @@
 --   these widths need to be defined locally in that design.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package unb2_board_peripherals_pkg is
 
@@ -76,10 +76,10 @@ package unb2_board_peripherals_pkg is
 
     -- pi_dp_ram_from_mm
     reg_dp_ram_from_mm_adr_w   : natural;  -- = 1   -- fixed, see dp_ram_from_mm.vhd
- -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
+    -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
 
     -- pi_dp_ram_to_mm
---  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
+    --  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
 
     -- pi_epcs (uses DP-MM read and write FIFOs for data access)
     reg_epcs_adr_w             : natural;  -- = 3   -- fixed, from c_mm_reg in epcs_reg
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pkg.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pkg.vhd
index 5a80929f83..710038fdd9 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pkg.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pkg.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package unb2_board_pkg is
 
@@ -144,23 +144,25 @@ package unb2_board_pkg is
   type t_c_unb2_board_system_info is record
     version  : natural;  -- UniBoard board HW version (2 bit value)
     id       : natural;  -- UniBoard FPGA node id (8 bit value)
-                         -- Derived ID info:
+    -- Derived ID info:
     bck_id   : natural;  -- = id[7:2], ID part from back plane
     chip_id  : natural;  -- = id[1:0], ID part from UniBoard
     node_id  : natural;  -- = id[1:0], node ID: 0, 1, 2 or 3
     is_node2 : natural;  -- 1 for Node 2, else 0.
   end record;
 
-  function func_unb2_board_system_info(VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info;
+  function func_unb2_board_system_info (
+    VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info;
 
 end unb2_board_pkg;
 
 
 package body unb2_board_pkg is
 
-  function func_unb2_board_system_info(VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info is
+  function func_unb2_board_system_info (
+    VERSION : in std_logic_vector(c_unb2_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2_board_aux.id_w - 1 downto 0)) return t_c_unb2_board_system_info is
     variable v_system_info : t_c_unb2_board_system_info;
   begin
     v_system_info.version := to_integer(unsigned(VERSION));
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pmbus_ctrl.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pmbus_ctrl.vhd
index 14226a8a74..4453463c71 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pmbus_ctrl.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_pmbus_ctrl.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2_board_pmbus_ctrl is
@@ -59,37 +59,89 @@ architecture rtl of unb2_board_pmbus_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- RX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- TX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- RX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- TX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_qsfp_leds.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_qsfp_leds.vhd
index 80e8ae543f..e654c43ac5 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_qsfp_leds.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_qsfp_leds.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Provide visual activity information via the UniBoard2 front panel QSFP LEDs.
 -- Description:
@@ -111,43 +111,43 @@ begin
 
   -- Also output the pulses, because they could be useful for other purposes in the clk clock domain as well
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
-    g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
-    g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    pulse_us    => pulse_us,
-    pulse_ms    => i_pulse_ms,
-    pulse_s     => i_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
+      g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
+      g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      pulse_us    => pulse_us,
+      pulse_ms    => i_pulse_ms,
+      pulse_s     => i_pulse_s
+    );
 
   u_common_toggle_s : entity common_lib.common_toggle
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_dat      => i_pulse_s,
-    out_dat     => toggle_s
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_dat      => i_pulse_s,
+      out_dat     => toggle_s
+    );
 
   gen_factory_image : if g_factory_image = true generate
     green_led_arr <= (others => '0');
 
     gen_red_led_arr : for I in g_nof_qsfp - 1 downto 0 generate
       u_red_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        -- led control
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => red_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          -- led control
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => red_led_arr(I)
+        );
     end generate;
   end generate;
 
@@ -166,20 +166,20 @@ begin
       qsfp_evt_arr(I) <= orv(green_evt_arr((I + 1) * c_quad - 1 downto + I * c_quad));
 
       u_green_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        pulse_ms      => i_pulse_ms,
-        -- led control
-        ctrl_on       => qsfp_on_arr(I),
-        ctrl_evt      => qsfp_evt_arr(I),
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => green_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          pulse_ms      => i_pulse_ms,
+          -- led control
+          ctrl_on       => qsfp_on_arr(I),
+          ctrl_evt      => qsfp_evt_arr(I),
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => green_led_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_ring_io.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_ring_io.vhd
index fba74d7787..9f5f981f00 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_ring_io.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_ring_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2_board_pkg.all;
 
 
 entity unb2_board_ring_io is
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens.vhd
index 0975230402..d81adac051 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use work.unb2_board_pkg.all;
 
 entity unb2_board_sens is
   generic (
@@ -54,18 +54,18 @@ architecture str of unb2_board_sens is
   -- I2C clock rate settings
   constant c_sens_clk_cnt      : natural := sel_a_b(g_sim, 1, func_i2c_calculate_clk_cnt(g_clk_freq / 10**6));  -- define I2C clock rate
   --CONSTANT c_sens_comma_w      : NATURAL := 13;  -- 2**c_i2c_comma_w * system clock period comma time after I2C start and after each octet
-                                                -- 0 = no comma time
+  -- 0 = no comma time
 
--- octave:4> t=1/50e6
--- t =  2.0000e-08
--- octave:5> delay=2^13 * t
--- delay =  1.6384e-04
--- octave:6> delay/t
--- ans =  8192
--- octave:7> log2(ans)
--- ans =  13
--- octave:8> log2(delay/t)
--- ans =  13
+  -- octave:4> t=1/50e6
+  -- t =  2.0000e-08
+  -- octave:5> delay=2^13 * t
+  -- delay =  1.6384e-04
+  -- octave:6> delay/t
+  -- ans =  8192
+  -- octave:7> log2(ans)
+  -- ans =  13
+  -- octave:8> log2(delay/t)
+  -- ans =  13
 
 
   --CONSTANT c_sens_phy          : t_c_i2c_phy := (c_sens_clk_cnt, c_sens_comma_w);
@@ -83,94 +83,94 @@ begin
 
   gen_unb2_board_sens_ctrl : if g_i2c_peripheral = c_i2c_peripheral_sens generate
     u_unb2_board_sens_ctrl : entity work.unb2_board_sens_ctrl
-    generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
-    );
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
   end generate;
 
   gen_unb2_board_pmbus_ctrl : if g_i2c_peripheral = c_i2c_peripheral_pmbus generate
     u_unb2_board_pmbus_ctrl : entity work.unb2_board_pmbus_ctrl
-    generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
-    );
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
   end generate;
 
   gen_unb2_board_hmc_ctrl : if g_i2c_peripheral = c_i2c_peripheral_hmc generate
     u_unb2_board_hmc_ctrl : entity work.unb2_board_hmc_ctrl
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
+  end generate;
+
+  u_i2c_smbus : entity i2c_lib.i2c_smbus
     generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
+      g_i2c_phy                 => c_sens_phy,
+      g_clock_stretch_sense_scl => true
     )
     port map (
+      gs_sim      => g_sim,
       clk         => clk,
       rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
+      in_dat      => smbus_in_dat,
+      in_req      => smbus_in_val,
+      out_dat     => smbus_out_dat,
+      out_val     => smbus_out_val,
+      out_err     => smbus_out_err,
+      out_ack     => smbus_out_ack,
+      st_end      => smbus_out_end,
+      scl         => scl,
+      sda         => sda
     );
-  end generate;
-
-  u_i2c_smbus : entity i2c_lib.i2c_smbus
-  generic map (
-    g_i2c_phy                 => c_sens_phy,
-    g_clock_stretch_sense_scl => true
-  )
-  port map (
-    gs_sim      => g_sim,
-    clk         => clk,
-    rst         => rst,
-    in_dat      => smbus_in_dat,
-    in_req      => smbus_in_val,
-    out_dat     => smbus_out_dat,
-    out_val     => smbus_out_val,
-    out_err     => smbus_out_err,
-    out_ack     => smbus_out_ack,
-    st_end      => smbus_out_end,
-    scl         => scl,
-    sda         => sda
-  );
 
 end architecture;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_ctrl.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_ctrl.vhd
index 32d5602655..1e1eee824c 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_ctrl.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_ctrl.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_max1617_pkg.all;
-use i2c_lib.i2c_dev_ltc4260_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_max1617_pkg.all;
+  use i2c_lib.i2c_dev_ltc4260_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2_board_sens_ctrl is
@@ -73,39 +73,93 @@ architecture rtl of unb2_board_sens_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_EEPROM_CAT24C02_ADR, CAT24C02_ADR_00,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_LOC_HI,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_LOC_LO,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_REM_HI,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_REM_LO,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_EEPROM_CAT24C02_ADR,
+    CAT24C02_ADR_00,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_LOC_HI,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_LOC_LO,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_REM_HI,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_REM_LO,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_reg.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_reg.vhd
index 1ff3381d0d..0b886f7070 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_reg.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_sens_reg.vhd
@@ -60,10 +60,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2_board_sens_reg is
   generic (
@@ -94,13 +94,15 @@ architecture rtl of unb2_board_sens_reg is
 
   -- Define the actual size of the MM slave register
   constant c_mm_nof_dat : natural := g_sens_nof_result + 1 + 1;  -- +1 to fit user set temp_high one additional address
-                                                             -- +1 to fit sens_err in the last address
-
-  constant c_mm_reg     : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(c_mm_nof_dat),
-                                      dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                      nof_dat  => c_mm_nof_dat,
-                                      init_sl  => '0');
+  -- +1 to fit sens_err in the last address
+
+  constant c_mm_reg     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_mm_nof_dat),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_mm_nof_dat,
+    init_sl  => '0'
+  );
 
   signal i_temp_high    : std_logic_vector(6 downto 0);
 
@@ -134,11 +136,11 @@ begin
       -- Write access: set register value
       if sla_in.wr = '1' then
         if vA = g_sens_nof_result + 1 then
-            -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
-            -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
-            if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
-              i_temp_high <= sla_in.wrdata(6 downto 0);
-            end if;
+          -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
+          -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
+          if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
+            i_temp_high <= sla_in.wrdata(6 downto 0);
+          end if;
         end if;
 
       -- Read access: get register value
@@ -154,7 +156,7 @@ begin
         else
           sla_out.rddata(6 downto 0) <= i_temp_high;
         end if;
-        -- else unused addresses read zero
+      -- else unused addresses read zero
       end if;
     end if;
   end process;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info.vhd
index 3ef429a1f9..d7ad980739 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.unb2_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.unb2_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Keep the UniBoard system info knowledge in this HDL entity and in the
 -- corresponding software functions in unb_common.c,h. This avoids having to
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info_reg.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info_reg.vhd
index 138a1de924..47d5de686b 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info_reg.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_system_info_reg.vhd
@@ -44,11 +44,11 @@
 --  =============================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2_board_pkg.all;
 
 entity unb2_board_system_info_reg is
   generic (
@@ -68,7 +68,7 @@ entity unb2_board_system_info_reg is
     sla_out     : out t_mem_miso;
 
     info        : in  std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end unb2_board_system_info_reg;
 
 
@@ -81,11 +81,13 @@ architecture rtl of unb2_board_system_info_reg is
 
   constant c_nof_regs             : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_design_note_regs;
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_regs),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => c_nof_regs,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   constant c_use_phy_w     : natural := 8;
   constant c_use_phy       : std_logic_vector(c_use_phy_w - 1 downto 0) := (others => '0');  -- Unused but keep for compatibillity
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_extend.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_extend.vhd
index d474968663..dac90aed3e 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_extend.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_extend.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Extend the input WDI that is controlled in SW (as it should be) to avoid
@@ -72,27 +72,27 @@ begin
   nxt_wdi_out <= not i_wdi_out when wdi_cnt_en = '1' else i_wdi_out;
 
   u_common_evt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "BOTH",
-    g_out_reg  => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => wdi_in,
-    out_evt  => wdi_evt
-  );
+    generic map (
+      g_evt_type => "BOTH",
+      g_out_reg  => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => wdi_in,
+      out_evt  => wdi_evt
+    );
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width   => g_extend_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => wdi_evt,
-    cnt_en  => wdi_cnt_en,
-    count   => wdi_cnt
-  );
+    generic map (
+      g_width   => g_extend_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => wdi_evt,
+      cnt_en  => wdi_cnt_en,
+      count   => wdi_cnt
+    );
 
 end str;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_reg.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_reg.vhd
index 365e280976..67005e4aa5 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_reg.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_board_wdi_reg.vhd
@@ -24,9 +24,9 @@
 --   Write 0xB007FAC7 to address 0x0.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2_board_wdi_reg is
   port (
@@ -40,18 +40,20 @@ entity unb2_board_wdi_reg is
 
     -- MM registers in st_clk domain
     wdi_override      : out std_logic
- );
+  );
 end unb2_board_wdi_reg;
 
 
 architecture rtl of unb2_board_wdi_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(1),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- For safety, WDI override requires the following word to be written:
   constant c_cmd_reconfigure : std_logic_vector(c_word_w - 1 downto 0 ) := x"B007FAC7";  -- "Boot factory"
@@ -64,7 +66,7 @@ begin
       -- Read access
       sla_out   <= c_mem_miso_rst;
       -- Write access, register values
-        wdi_override <= '0';
+      wdi_override <= '0';
     elsif rising_edge(mm_clk) then
       -- Read access defaults: unused
       sla_out   <= c_mem_miso_rst;
diff --git a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_fpga_sens_reg.vhd b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_fpga_sens_reg.vhd
index c8474454b2..86c6e335a0 100644
--- a/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_fpga_sens_reg.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/src/vhdl/unb2_fpga_sens_reg.vhd
@@ -23,11 +23,11 @@
 --
 
 library IEEE, common_lib, technology_lib, fpga_sense_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2_fpga_sens_reg is
@@ -62,28 +62,28 @@ end unb2_fpga_sens_reg;
 
 architecture str of unb2_fpga_sens_reg is
 
-  --SIGNAL i_temp_high    : STD_LOGIC_VECTOR(6 DOWNTO 0);
+--SIGNAL i_temp_high    : STD_LOGIC_VECTOR(6 DOWNTO 0);
 
 begin
 
   temp_high <= (others => '0');  -- i_temp_high;
 
   u_fpga_sense: entity fpga_sense_lib.fpga_sense
-  generic map (
-    g_technology => g_technology,
-    g_sim        => g_sim
-  )
-  port map (
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
-
-    start_sense => start,
-
-    reg_temp_mosi    => sla_temp_in,
-    reg_temp_miso    => sla_temp_out,
-
-    reg_voltage_store_mosi    => sla_voltage_in,
-    reg_voltage_store_miso    => sla_voltage_out
-  );
+    generic map (
+      g_technology => g_technology,
+      g_sim        => g_sim
+    )
+    port map (
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
+
+      start_sense => start,
+
+      reg_temp_mosi    => sla_temp_in,
+      reg_temp_miso    => sla_temp_out,
+
+      reg_voltage_store_mosi    => sla_voltage_in,
+      reg_voltage_store_miso    => sla_voltage_out
+    );
 
 end str;
diff --git a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_mms_unb2_board_sens.vhd b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_mms_unb2_board_sens.vhd
index 3128953b34..5a2a2e0f54 100644
--- a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_mms_unb2_board_sens.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_mms_unb2_board_sens.vhd
@@ -32,12 +32,12 @@ entity tb_mms_unb2_board_sens is
 end tb_mms_unb2_board_sens;
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.unb2_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.unb2_board_pkg.all;
 
 architecture tb of tb_mms_unb2_board_sens is
 
@@ -153,63 +153,63 @@ begin
 
   -- I2C sensors master
   u_mms_unb2_board_sens : entity work.mms_unb2_board_sens
-  generic map (
-    g_sim             => c_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_sens,
-    g_sens_nof_result => 40,
-    g_clk_freq        => c_clk_freq,
-    g_temp_high       => c_temp_high,
-    g_comma_w         => 13
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => rst,
-    mm_clk    => clk,
-    mm_start  => start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_mosi,
-    reg_miso  => reg_miso,
-
-    -- i2c bus
-    scl       => scl,
-    sda       => sda
-  );
+    generic map (
+      g_sim             => c_sim,
+      g_i2c_peripheral  => c_i2c_peripheral_sens,
+      g_sens_nof_result => 40,
+      g_clk_freq        => c_clk_freq,
+      g_temp_high       => c_temp_high,
+      g_comma_w         => 13
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => rst,
+      mm_clk    => clk,
+      mm_start  => start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_mosi,
+      reg_miso  => reg_miso,
+
+      -- i2c bus
+      scl       => scl,
+      sda       => sda
+    );
 
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => scl,
-    sda               => sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => scl,
+      sda               => sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
 
diff --git a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd
index 3e7d5fa1b9..504a012a6d 100644
--- a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk125_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_clk125_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2_board_clk125_pll
-  port map (
-    arst      => ext_rst,
-    clk125    => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk125    => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd
index 01c62f46e1..727a80bf1d 100644
--- a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk200_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_clk200_pll is
@@ -72,45 +72,45 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_0,
-    st_rst200  => st_rst200_0,
-    st_clk200p => st_clk200p0,
-    st_rst200p => st_rst200p0,
-    st_clk400  => st_clk400,
-    st_rst400  => st_rst400
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_0,
+      st_rst200  => st_rst200_0,
+      st_clk200p => st_clk200p0,
+      st_rst200p => st_rst200p0,
+      st_clk400  => st_clk400,
+      st_rst400  => st_rst400
+    );
 
   dut_45 : entity work.unb2_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "625",
-    g_clk200p_phase_shift => "625"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_45,
-    st_rst200  => st_rst200_45,
-    st_clk200p => st_clk200p45,
-    st_rst200p => st_rst200p45,
-    st_clk400  => OPEN,
-    st_rst400  => open
-  );
+    generic map (
+      g_clk200_phase_shift  => "625",
+      g_clk200p_phase_shift => "625"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_45,
+      st_rst200  => st_rst200_45,
+      st_clk200p => st_clk200p45,
+      st_rst200p => st_rst200p45,
+      st_clk400  => OPEN,
+      st_rst400  => open
+    );
 
   dut_p6 : entity work.unb2_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => dp_clk200,
-    st_rst200  => dp_rst200
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => dp_clk200,
+      st_rst200  => dp_rst200
+    );
 
 end tb;
diff --git a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd
index b460c275ce..927b5c353b 100644
--- a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_clk25_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_clk25_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2_board_clk25_pll
-  port map (
-    arst      => ext_rst,
-    clk25     => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk25     => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd
index 35e015a38b..d601882160 100644
--- a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2_board_node_ctrl is
@@ -76,24 +76,24 @@ begin
   wdi_in <= wdi and sw;  -- sw wdi only when sw is active, during sw inactive the wdi_out will be extended
 
   dut : entity work.unb2_board_node_ctrl
-  generic map (
-    g_pulse_us     => c_pulse_us,
-    g_pulse_ms     => c_pulse_ms,
-    g_pulse_s      => c_pulse_s,
-    g_wdi_extend_w => c_wdi_extend_w
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => mm_rst,
-    -- WDI extend
-    mm_wdi_in   => wdi_in,
-    mm_wdi_out  => wdi_out,
-    -- Pulses
-    mm_pulse_us => pulse_us,
-    mm_pulse_ms => pulse_ms,
-    mm_pulse_s  => pulse_s
-  );
+    generic map (
+      g_pulse_us     => c_pulse_us,
+      g_pulse_ms     => c_pulse_ms,
+      g_pulse_s      => c_pulse_s,
+      g_wdi_extend_w => c_wdi_extend_w
+    )
+    port map (
+      -- MM clock domain reset
+      mm_clk      => mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => mm_rst,
+      -- WDI extend
+      mm_wdi_in   => wdi_in,
+      mm_wdi_out  => wdi_out,
+      -- Pulses
+      mm_pulse_us => pulse_us,
+      mm_pulse_ms => pulse_ms,
+      mm_pulse_s  => pulse_s
+    );
 
 end tb;
diff --git a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd
index 86668b2331..0b8a946bc8 100644
--- a/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board/tb/vhdl/tb_unb2_board_qsfp_leds.vhd
@@ -37,10 +37,10 @@
 --   > run -a
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_unb2_board_qsfp_leds is
 end tb_unb2_board_qsfp_leds;
@@ -142,49 +142,49 @@ begin
   end process;
 
   u_unb2_factory_qsfp_leds : entity work.unb2_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => true,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => factory_green_led_arr,
-    red_led_arr       => factory_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => true,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => factory_green_led_arr,
+      red_led_arr       => factory_red_led_arr
+    );
 
   u_unb2_user_qsfp_leds : entity work.unb2_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => false,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => user_green_led_arr,
-    red_led_arr       => user_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => false,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => user_green_led_arr,
+      red_led_arr       => user_red_led_arr
+    );
 
 end tb;
diff --git a/boards/uniboard2a/libraries/unb2a_board_10gbe/src/vhdl/unb2_board_10gbe.vhd b/boards/uniboard2a/libraries/unb2a_board_10gbe/src/vhdl/unb2_board_10gbe.vhd
index 62b69dadc3..0124aee774 100644
--- a/boards/uniboard2a/libraries/unb2a_board_10gbe/src/vhdl/unb2_board_10gbe.vhd
+++ b/boards/uniboard2a/libraries/unb2a_board_10gbe/src/vhdl/unb2_board_10gbe.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2_board_10gbe is
@@ -80,17 +80,17 @@ architecture str of unb2_board_10gbe is
 
 begin
   u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    refclk_644 => tr_ref_clk,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      refclk_644 => tr_ref_clk,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
diff --git a/boards/uniboard2b/designs/unb2b_arp_ping/src/vhdl/unb2b_arp_ping.vhd b/boards/uniboard2b/designs/unb2b_arp_ping/src/vhdl/unb2b_arp_ping.vhd
index 0b79a074c6..dba45b9957 100644
--- a/boards/uniboard2b/designs/unb2b_arp_ping/src/vhdl/unb2b_arp_ping.vhd
+++ b/boards/uniboard2b/designs/unb2b_arp_ping/src/vhdl/unb2b_arp_ping.vhd
@@ -27,16 +27,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, dp_lib, eth_lib, eth1g_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity unb2b_arp_ping is
   generic (
@@ -190,130 +190,130 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_sim_level               => g_sim_level,
-    g_technology              => g_technology,
-    g_base_ip                 => c_base_ip,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2b_board_aux,
-    g_factory_image           => g_factory_image,
-    g_udp_offload             => g_sim,  -- use g_udp_offload to enable ETH instance in simulation
-    g_udp_offload_nof_streams => 3,  -- use g_udp_offload, but no UDP offload streams
-    g_protect_addr_range      => g_protect_addr_range,
-    g_app_led_red             => true,
-    g_app_led_green           => true
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    app_led_red              => app_led_red,
-    app_led_green            => app_led_green,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_sim_level               => g_sim_level,
+      g_technology              => g_technology,
+      g_base_ip                 => c_base_ip,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2b_board_aux,
+      g_factory_image           => g_factory_image,
+      g_udp_offload             => g_sim,  -- use g_udp_offload to enable ETH instance in simulation
+      g_udp_offload_nof_streams => 3,  -- use g_udp_offload, but no UDP offload streams
+      g_protect_addr_range      => g_protect_addr_range,
+      g_app_led_red             => true,
+      g_app_led_green           => true
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      app_led_red              => app_led_red,
+      app_led_green            => app_led_green,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -- normaly done by unb_os
   p_wdi : process(mm_clk)
@@ -343,49 +343,49 @@ begin
 
   --u_eth1g_master : ENTITY eth1g_lib.eth1g_master(beh)
   u_eth1g_master : entity eth1g_lib.eth1g_master(rtl)
-  generic map (
-    g_sim         => g_sim
-  )
-  port map (
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    tse_mosi      => eth1g_tse_mosi,
-    tse_miso      => eth1g_tse_miso,
-    reg_interrupt => eth1g_reg_interrupt,
-    reg_mosi      => eth1g_reg_mosi,
-    reg_miso      => eth1g_reg_miso,
-    ram_mosi      => eth1g_ram_mosi,
-    ram_miso      => eth1g_ram_miso,
-
-    src_mac       => src_mac,
-    src_ip        => src_ip
-  );
+    generic map (
+      g_sim         => g_sim
+    )
+    port map (
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      tse_mosi      => eth1g_tse_mosi,
+      tse_miso      => eth1g_tse_miso,
+      reg_interrupt => eth1g_reg_interrupt,
+      reg_mosi      => eth1g_reg_mosi,
+      reg_miso      => eth1g_reg_miso,
+      ram_mosi      => eth1g_ram_mosi,
+      ram_miso      => eth1g_ram_miso,
+
+      src_mac       => src_mac,
+      src_ip        => src_ip
+    );
 
 
   u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_eth1g.vhd b/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_eth1g.vhd
index 4ca8b24be4..5cb232a10c 100644
--- a/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_eth1g.vhd
+++ b/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_eth1g.vhd
@@ -41,19 +41,19 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib, eth_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 
 entity tb_eth1g is
@@ -94,7 +94,7 @@ architecture tb of tb_eth1g is
   -- Payload user data
   constant c_tb_nof_data        : natural := 0;  -- nof UDP user data, nof ping padding data
   constant c_tb_ip_nof_data     : natural := c_network_udp_header_len + c_tb_nof_data;  -- nof IP data,
-                                          -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
+  -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
   constant c_tb_reply_payload   : boolean := true;  -- TRUE copy rx payload into response payload, else header only (e.g. for ARP)
 
   -- Packet headers
@@ -107,15 +107,21 @@ architecture tb of tb_eth1g is
   --                                                             symbols   counter               ARP=0x806               IP=0x800               IP=0x800
   constant c_dut_ethertype      : natural := sel_n(g_data_type, 16#07F0#, 16#07F1#, c_network_eth_type_arp, c_network_eth_type_ip, c_network_eth_type_ip);
 
-  constant c_tx_eth_header      : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w));
-  constant c_discard_eth_header : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w));
-  constant c_exp_eth_header     : t_network_eth_header := (dst_mac    => c_tx_eth_header.src_mac,  -- \/
-                                                           src_mac    => c_tx_eth_header.dst_mac,  -- /\
-                                                           eth_type   => c_tx_eth_header.eth_type);  -- =
+  constant c_tx_eth_header      : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w)
+  );
+  constant c_discard_eth_header : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w)
+  );
+  constant c_exp_eth_header     : t_network_eth_header := (
+    dst_mac    => c_tx_eth_header.src_mac,  -- \/
+    src_mac    => c_tx_eth_header.dst_mac,  -- /\
+    eth_type   => c_tx_eth_header.eth_type
+  );  -- =
 
   -- . IP header
   constant c_lcu_ip_addr        : natural := 16#05060708#;  -- = 05:06:07:08
@@ -126,64 +132,76 @@ architecture tb of tb_eth1g is
   --                                                          symbols counter  ARP                      ping=1                     UDP=17
   constant c_tb_ip_protocol     : natural := sel_n(g_data_type,    13,     14,  15, c_network_ip_protocol_icmp, c_network_ip_protocol_udp);
 
-  constant c_tx_ip_header       : t_network_ip_header := (version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
-                                                          header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
-                                                          services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
-                                                          total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
-                                                          identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
-                                                          flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
-                                                          fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
-                                                          time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
-                                                          protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
-                                                          header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
-                                                          src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
-                                                          dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w));
-
-  constant c_exp_ip_header      : t_network_ip_header := (version         => c_tx_ip_header.version,  -- =
-                                                          header_length   => c_tx_ip_header.header_length,  -- =
-                                                          services        => c_tx_ip_header.services,  -- =
-                                                          total_length    => c_tx_ip_header.total_length,  -- =
-                                                          identification  => c_tx_ip_header.identification,  -- =
-                                                          flags           => c_tx_ip_header.flags,  -- =
-                                                          fragment_offset => c_tx_ip_header.fragment_offset,  -- =
-                                                          time_to_live    => c_tx_ip_header.time_to_live,  -- =
-                                                          protocol        => c_tx_ip_header.protocol,  -- =
-                                                          header_checksum => c_tx_ip_header.header_checksum,  -- init value
-                                                          src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
-                                                          dst_ip_addr     => c_tx_ip_header.src_ip_addr);  -- /\
+  constant c_tx_ip_header       : t_network_ip_header := (
+    version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
+    header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
+    services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
+    total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
+    identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
+    flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
+    fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
+    time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
+    protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
+    header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
+    src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
+    dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w)
+  );
+
+  constant c_exp_ip_header      : t_network_ip_header := (
+    version         => c_tx_ip_header.version,  -- =
+    header_length   => c_tx_ip_header.header_length,  -- =
+    services        => c_tx_ip_header.services,  -- =
+    total_length    => c_tx_ip_header.total_length,  -- =
+    identification  => c_tx_ip_header.identification,  -- =
+    flags           => c_tx_ip_header.flags,  -- =
+    fragment_offset => c_tx_ip_header.fragment_offset,  -- =
+    time_to_live    => c_tx_ip_header.time_to_live,  -- =
+    protocol        => c_tx_ip_header.protocol,  -- =
+    header_checksum => c_tx_ip_header.header_checksum,  -- init value
+    src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
+    dst_ip_addr     => c_tx_ip_header.src_ip_addr
+  );  -- /\
 
   -- . ARP packet
-  constant c_tx_arp_packet      : t_network_arp_packet := (htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
-                                                           ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
-                                                           hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
-                                                           plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
-                                                           oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
-                                                           sha   => c_lcu_src_mac,
-                                                           spa   => TO_UVEC(c_lcu_ip_addr,              c_network_ip_addr_w),
-                                                           tha   => c_dut_src_mac,
-                                                           tpa   => TO_UVEC(c_dut_ip_addr,              c_network_ip_addr_w));
-
-  constant c_exp_arp_packet     : t_network_arp_packet := (htype => c_tx_arp_packet.htype,
-                                                           ptype => c_tx_arp_packet.ptype,
-                                                           hlen  => c_tx_arp_packet.hlen,
-                                                           plen  => c_tx_arp_packet.plen,
-                                                           oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
-                                                           sha   => c_tx_arp_packet.tha,  -- \/
-                                                           spa   => c_tx_arp_packet.tpa,  -- /\  \/
-                                                           tha   => c_tx_arp_packet.sha,  -- /  \ /\
-                                                           tpa   => c_tx_arp_packet.spa);  -- /  \
+  constant c_tx_arp_packet      : t_network_arp_packet := (
+    htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
+    ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
+    hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
+    plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
+    oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
+    sha   => c_lcu_src_mac,
+    spa   => TO_UVEC(c_lcu_ip_addr,              c_network_ip_addr_w),
+    tha   => c_dut_src_mac,
+    tpa   => TO_UVEC(c_dut_ip_addr,              c_network_ip_addr_w)
+  );
+
+  constant c_exp_arp_packet     : t_network_arp_packet := (
+    htype => c_tx_arp_packet.htype,
+    ptype => c_tx_arp_packet.ptype,
+    hlen  => c_tx_arp_packet.hlen,
+    plen  => c_tx_arp_packet.plen,
+    oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
+    sha   => c_tx_arp_packet.tha,  -- \/
+    spa   => c_tx_arp_packet.tpa,  -- /\  \/
+    tha   => c_tx_arp_packet.sha,  -- /  \ /\
+    tpa   => c_tx_arp_packet.spa
+  );  -- /  \
 
   -- . ICMP header
-  constant c_tx_icmp_header      : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
-                                                             code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
-                                                             checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
-                                                             id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
-                                                             sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w));
-  constant c_exp_icmp_header     : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
-                                                             code     => c_tx_icmp_header.code,
-                                                             checksum => c_tx_icmp_header.checksum,  -- init value
-                                                             id       => c_tx_icmp_header.id,
-                                                             sequence => c_tx_icmp_header.sequence);
+  constant c_tx_icmp_header      : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
+    code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
+    checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
+    id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
+    sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w)
+  );
+  constant c_exp_icmp_header     : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
+    code     => c_tx_icmp_header.code,
+    checksum => c_tx_icmp_header.checksum,  -- init value
+    id       => c_tx_icmp_header.id,
+    sequence => c_tx_icmp_header.sequence
+  );
 
   -- . UDP header
   constant c_dut_udp_port_ctrl   : natural := 11;  -- ETH demux UDP for control
@@ -194,15 +212,19 @@ architecture tb of tb_eth1g is
   constant c_lcu_udp_port        : natural := 10;  -- UDP port used for src_port
   constant c_dut_udp_port_st     : natural := c_dut_udp_port_st0;  -- UDP port used for dst_port
   constant c_tb_udp_total_length : natural := c_network_udp_total_length + c_tb_nof_data;
-  constant c_tx_udp_header       : t_network_udp_header := (src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
-                                                            dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
-                                                            total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
-                                                            checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w));  -- init value
-
-  constant c_exp_udp_header      : t_network_udp_header := (src_port     => c_tx_udp_header.dst_port,  -- \/
-                                                            dst_port     => c_tx_udp_header.src_port,  -- /\
-                                                            total_length => c_tx_udp_header.total_length,  -- =
-                                                            checksum     => c_tx_udp_header.checksum);  -- init value
+  constant c_tx_udp_header       : t_network_udp_header := (
+    src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
+    dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
+    total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
+    checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w)
+  );  -- init value
+
+  constant c_exp_udp_header      : t_network_udp_header := (
+    src_port     => c_tx_udp_header.dst_port,  -- \/
+    dst_port     => c_tx_udp_header.src_port,  -- /\
+    total_length => c_tx_udp_header.total_length,  -- =
+    checksum     => c_tx_udp_header.checksum
+  );  -- init value
 
   signal tx_total_header     : t_network_total_header;  -- transmitted packet header
   signal discard_total_header: t_network_total_header;  -- transmitted packet header for to be discarded packet
@@ -405,7 +427,7 @@ begin
             proc_mem_mm_bus_wr(c_eth_ram_tx_offset + I, TO_SINT(eth_ram_miso.rddata(c_word_w - 1 downto 0)), mm_clk, eth_ram_miso, eth_ram_mosi);
           end loop;
         --ELSE
-          -- . only reply header
+        -- . only reply header
         end if;
         v_eth_control_word := func_eth_mm_reg_control(eth_mm_reg_control);
         proc_mem_mm_bus_wr(c_eth_reg_control_wi + 0, TO_UINT(v_eth_control_word),  mm_clk, eth_reg_miso, eth_reg_mosi);
@@ -456,7 +478,7 @@ begin
 
     for I in 0 to 40 loop
       proc_tech_tse_tx_packet(tx_total_header, I, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
-      --FOR J IN 0 TO 9 LOOP WAIT UNTIL rising_edge(st_clk); END LOOP;
+    --FOR J IN 0 TO 9 LOOP WAIT UNTIL rising_edge(st_clk); END LOOP;
     end loop;
 
     if g_frm_discard_en = true then
@@ -486,17 +508,17 @@ begin
       proc_tech_tse_tx_packet(tx_total_header,    2, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
     end if;
 
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
 
     tx_end <= '1';
     wait;
@@ -532,77 +554,77 @@ begin
   end generate;
 
   dut : entity eth_lib.eth
-  generic map (
-    g_technology         => g_technology_dut,
-    g_cross_clock_domain => c_cross_clock_domain,
-    g_frm_discard_en     => g_frm_discard_en
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    eth_clk           => eth_clk,
-    st_rst            => st_rst,
-    st_clk            => st_clk,
-    -- UDP transmit interfaceg_frm_discard_en
-    -- . ST sink
-    udp_tx_snk_in_arr  => udp_tx_sosi_arr,
-    udp_tx_snk_out_arr => udp_tx_siso_arr,
-    -- UDP receive interface
-    -- . ST source
-    udp_rx_src_in_arr  => udp_rx_siso_arr,
-    udp_rx_src_out_arr => udp_rx_sosi_arr,
-    -- Control Memory Mapped Slaves
-    tse_sla_in        => eth_tse_mosi,
-    tse_sla_out       => eth_tse_miso,
-    reg_sla_in        => eth_reg_mosi,
-    reg_sla_out       => eth_reg_miso,
-    reg_sla_interrupt => eth_reg_interrupt,
-    ram_sla_in        => eth_ram_mosi,
-    ram_sla_out       => eth_ram_miso,
-    -- Monitoring
-    rx_flushed_frm_cnt => rx_pkt_flushed_cnt,
-    -- PHY interface
-    eth_txp           => eth_txp,
-    eth_rxp           => eth_rxp,
-    -- LED interface
-    tse_led           => eth_led
-  );
+    generic map (
+      g_technology         => g_technology_dut,
+      g_cross_clock_domain => c_cross_clock_domain,
+      g_frm_discard_en     => g_frm_discard_en
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      eth_clk           => eth_clk,
+      st_rst            => st_rst,
+      st_clk            => st_clk,
+      -- UDP transmit interfaceg_frm_discard_en
+      -- . ST sink
+      udp_tx_snk_in_arr  => udp_tx_sosi_arr,
+      udp_tx_snk_out_arr => udp_tx_siso_arr,
+      -- UDP receive interface
+      -- . ST source
+      udp_rx_src_in_arr  => udp_rx_siso_arr,
+      udp_rx_src_out_arr => udp_rx_sosi_arr,
+      -- Control Memory Mapped Slaves
+      tse_sla_in        => eth_tse_mosi,
+      tse_sla_out       => eth_tse_miso,
+      reg_sla_in        => eth_reg_mosi,
+      reg_sla_out       => eth_reg_miso,
+      reg_sla_interrupt => eth_reg_interrupt,
+      ram_sla_in        => eth_ram_mosi,
+      ram_sla_out       => eth_ram_miso,
+      -- Monitoring
+      rx_flushed_frm_cnt => rx_pkt_flushed_cnt,
+      -- PHY interface
+      eth_txp           => eth_txp,
+      eth_rxp           => eth_rxp,
+      -- LED interface
+      tse_led           => eth_led
+    );
 
   lcu : entity tech_tse_lib.tech_tse
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-
-    -- Memory Mapped Slave
-    mm_sla_in      => lcu_tse_mosi,
-    mm_sla_out     => lcu_tse_miso,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => lcu_tx_sosi,
-    tx_snk_out     => lcu_tx_siso,
-    -- . MAC specific
-    tx_mac_in      => lcu_tx_mac_in,
-    tx_mac_out     => lcu_tx_mac_out,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => lcu_rx_siso,
-    rx_src_out     => lcu_rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => lcu_rx_mac_out,
-
-    -- PHY interface
-    eth_txp        => lcu_txp,
-    eth_rxp        => lcu_rxp,
-
-    tse_led        => lcu_led
-  );
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+
+      -- Memory Mapped Slave
+      mm_sla_in      => lcu_tse_mosi,
+      mm_sla_out     => lcu_tse_miso,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => lcu_tx_sosi,
+      tx_snk_out     => lcu_tx_siso,
+      -- . MAC specific
+      tx_mac_in      => lcu_tx_mac_in,
+      tx_mac_out     => lcu_tx_mac_out,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => lcu_rx_siso,
+      rx_src_out     => lcu_rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => lcu_rx_mac_out,
+
+      -- PHY interface
+      eth_txp        => lcu_txp,
+      eth_rxp        => lcu_rxp,
+
+      tse_led        => lcu_led
+    );
 
   -- Verification
   tx_pkt_cnt <= tx_pkt_cnt + 1 when lcu_tx_sosi.sop = '1' and rising_edge(st_clk);
diff --git a/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_tb_eth1g.vhd b/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_tb_eth1g.vhd
index cbae477513..7f09235dcc 100644
--- a/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_tb_eth1g.vhd
+++ b/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_tb_eth1g.vhd
@@ -28,10 +28,10 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 
 entity tb_tb_eth1g is
@@ -51,24 +51,24 @@ architecture tb of tb_tb_eth1g is
 
 begin
 
--- g_technology_dut : NATURAL := c_tech_select_default;
--- g_technology_lcu : NATURAL := c_tech_select_default;
--- g_frm_discard_en : BOOLEAN := TRUE;   -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
--- g_flush_test_en  : BOOLEAN := FALSE;  -- when TRUE send many large frames to enforce flush in eth_buffer
--- g_tb_end         : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
--- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
--- --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
--- --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
--- --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
--- g_data_type : NATURAL := c_tb_tech_tse_data_type_udp
+  -- g_technology_dut : NATURAL := c_tech_select_default;
+  -- g_technology_lcu : NATURAL := c_tech_select_default;
+  -- g_frm_discard_en : BOOLEAN := TRUE;   -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
+  -- g_flush_test_en  : BOOLEAN := FALSE;  -- when TRUE send many large frames to enforce flush in eth_buffer
+  -- g_tb_end         : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
+  -- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
+  -- --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
+  -- --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
+  -- --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
+  -- g_data_type : NATURAL := c_tb_tech_tse_data_type_udp
 
---  u_use_symbols     : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu, FALSE, FALSE, FALSE, c_tb_tech_tse_data_type_symbols) PORT MAP (tb_end_vec(0));
---  u_use_counter     : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu, FALSE, FALSE, FALSE, c_tb_tech_tse_data_type_counter) PORT MAP (tb_end_vec(1));
---  u_use_arp         : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu,  TRUE, FALSE, FALSE, c_tb_tech_tse_data_type_arp    ) PORT MAP (tb_end_vec(2));
+  --  u_use_symbols     : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu, FALSE, FALSE, FALSE, c_tb_tech_tse_data_type_symbols) PORT MAP (tb_end_vec(0));
+  --  u_use_counter     : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu, FALSE, FALSE, FALSE, c_tb_tech_tse_data_type_counter) PORT MAP (tb_end_vec(1));
+  --  u_use_arp         : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu,  TRUE, FALSE, FALSE, c_tb_tech_tse_data_type_arp    ) PORT MAP (tb_end_vec(2));
   u_use_ping        : entity work.tb_eth1g generic map (g_technology_dut, c_technology_lcu,  true, false, false, c_tb_tech_tse_data_type_ping   ) port map (tb_end_vec(3));
---  u_use_udp         : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu,  TRUE, FALSE, FALSE, c_tb_tech_tse_data_type_udp    ) PORT MAP (tb_end_vec(4));
---  u_use_udp_flush   : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu,  TRUE,  TRUE, FALSE, c_tb_tech_tse_data_type_udp    ) PORT MAP (tb_end_vec(5));
+  --  u_use_udp         : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu,  TRUE, FALSE, FALSE, c_tb_tech_tse_data_type_udp    ) PORT MAP (tb_end_vec(4));
+  --  u_use_udp_flush   : ENTITY work.tb_eth1g GENERIC MAP (g_technology_dut, c_technology_lcu,  TRUE,  TRUE, FALSE, c_tb_tech_tse_data_type_udp    ) PORT MAP (tb_end_vec(5));
 
   tb_end <= '1' when tb_end_vec = c_tb_end_vec else '0';
 
diff --git a/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_unb2b_arp_ping.vhd b/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_unb2b_arp_ping.vhd
index 9ef33d30ab..545f79059b 100644
--- a/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_unb2b_arp_ping.vhd
+++ b/boards/uniboard2b/designs/unb2b_arp_ping/tb/vhdl/tb_unb2b_arp_ping.vhd
@@ -43,32 +43,32 @@
 --
 
 library IEEE, common_lib, technology_lib, unb2b_board_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_unb2b_arp_ping is
-    generic (
-      g_frm_discard_en : boolean := false;  -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
-      g_flush_test_en  : boolean := false;  -- when TRUE send many large frames to enforce flush in eth_buffer
-      --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
-      --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
-      --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
-      --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
-      --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
-      g_data_type      : natural := c_tb_tech_tse_data_type_ping;
-      g_tb_end         : boolean := true  -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
-    );
+  generic (
+    g_frm_discard_en : boolean := false;  -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
+    g_flush_test_en  : boolean := false;  -- when TRUE send many large frames to enforce flush in eth_buffer
+    --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
+    --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
+    --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
+    --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
+    --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
+    g_data_type      : natural := c_tb_tech_tse_data_type_ping;
+    g_tb_end         : boolean := true  -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  );
   port (
     tb_end : out std_logic
   );
@@ -189,7 +189,7 @@ architecture tb of tb_unb2b_arp_ping is
   -- Payload user data
   constant c_tb_nof_data        : natural := 0;  -- nof UDP user data, nof ping padding data
   constant c_tb_ip_nof_data     : natural := c_network_udp_header_len + c_tb_nof_data;  -- nof IP data,
-                                          -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
+  -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
   constant c_tb_reply_payload   : boolean := true;  -- TRUE copy rx payload into response payload, else header only (e.g. for ARP)
 
   -- Packet headers
@@ -197,15 +197,21 @@ architecture tb of tb_unb2b_arp_ping is
   --                                                             symbols   counter               ARP=0x806               IP=0x800               IP=0x800
   constant c_dut_ethertype      : natural := sel_n(g_data_type, 16#07F0#, 16#07F1#, c_network_eth_type_arp, c_network_eth_type_ip, c_network_eth_type_ip);
 
-  constant c_tx_eth_header      : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w));
-  constant c_discard_eth_header : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w));
-  constant c_exp_eth_header     : t_network_eth_header := (dst_mac    => c_tx_eth_header.src_mac,  -- \/
-                                                           src_mac    => c_tx_eth_header.dst_mac,  -- /\
-                                                           eth_type   => c_tx_eth_header.eth_type);  -- =
+  constant c_tx_eth_header      : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w)
+  );
+  constant c_discard_eth_header : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w)
+  );
+  constant c_exp_eth_header     : t_network_eth_header := (
+    dst_mac    => c_tx_eth_header.src_mac,  -- \/
+    src_mac    => c_tx_eth_header.dst_mac,  -- /\
+    eth_type   => c_tx_eth_header.eth_type
+  );  -- =
 
   -- . IP header
   constant c_tb_ip_total_length : natural := c_network_ip_total_length + c_tb_ip_nof_data;
@@ -214,64 +220,76 @@ architecture tb of tb_unb2b_arp_ping is
   --                                                          symbols counter  ARP                      ping=1                     UDP=17
   constant c_tb_ip_protocol     : natural := sel_n(g_data_type,    13,     14,  15, c_network_ip_protocol_icmp, c_network_ip_protocol_udp);
 
-  constant c_tx_ip_header       : t_network_ip_header := (version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
-                                                          header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
-                                                          services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
-                                                          total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
-                                                          identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
-                                                          flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
-                                                          fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
-                                                          time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
-                                                          protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
-                                                          header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
-                                                          src_ip_addr     =>         c_lcu_src_ip,
-                                                          dst_ip_addr     =>         c_dut_src_ip);
-
-  constant c_exp_ip_header      : t_network_ip_header := (version         => c_tx_ip_header.version,  -- =
-                                                          header_length   => c_tx_ip_header.header_length,  -- =
-                                                          services        => c_tx_ip_header.services,  -- =
-                                                          total_length    => c_tx_ip_header.total_length,  -- =
-                                                          identification  => c_tx_ip_header.identification,  -- =
-                                                          flags           => c_tx_ip_header.flags,  -- =
-                                                          fragment_offset => c_tx_ip_header.fragment_offset,  -- =
-                                                          time_to_live    => c_tx_ip_header.time_to_live,  -- =
-                                                          protocol        => c_tx_ip_header.protocol,  -- =
-                                                          header_checksum => c_tx_ip_header.header_checksum,  -- init value
-                                                          src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
-                                                          dst_ip_addr     => c_tx_ip_header.src_ip_addr);  -- /\
+  constant c_tx_ip_header       : t_network_ip_header := (
+    version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
+    header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
+    services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
+    total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
+    identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
+    flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
+    fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
+    time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
+    protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
+    header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
+    src_ip_addr     =>         c_lcu_src_ip,
+    dst_ip_addr     =>         c_dut_src_ip
+  );
+
+  constant c_exp_ip_header      : t_network_ip_header := (
+    version         => c_tx_ip_header.version,  -- =
+    header_length   => c_tx_ip_header.header_length,  -- =
+    services        => c_tx_ip_header.services,  -- =
+    total_length    => c_tx_ip_header.total_length,  -- =
+    identification  => c_tx_ip_header.identification,  -- =
+    flags           => c_tx_ip_header.flags,  -- =
+    fragment_offset => c_tx_ip_header.fragment_offset,  -- =
+    time_to_live    => c_tx_ip_header.time_to_live,  -- =
+    protocol        => c_tx_ip_header.protocol,  -- =
+    header_checksum => c_tx_ip_header.header_checksum,  -- init value
+    src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
+    dst_ip_addr     => c_tx_ip_header.src_ip_addr
+  );  -- /\
 
   -- . ARP packet
-  constant c_tx_arp_packet      : t_network_arp_packet := (htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
-                                                           ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
-                                                           hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
-                                                           plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
-                                                           oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
-                                                           sha   => c_lcu_src_mac,
-                                                           spa   => c_lcu_src_ip,
-                                                           tha   => c_dut_src_mac,
-                                                           tpa   => c_dut_src_ip);
-
-  constant c_exp_arp_packet     : t_network_arp_packet := (htype => c_tx_arp_packet.htype,
-                                                           ptype => c_tx_arp_packet.ptype,
-                                                           hlen  => c_tx_arp_packet.hlen,
-                                                           plen  => c_tx_arp_packet.plen,
-                                                           oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
-                                                           sha   => c_tx_arp_packet.tha,  -- \/
-                                                           spa   => c_tx_arp_packet.tpa,  -- /\  \/
-                                                           tha   => c_tx_arp_packet.sha,  -- /  \ /\
-                                                           tpa   => c_tx_arp_packet.spa);  -- /  \
+  constant c_tx_arp_packet      : t_network_arp_packet := (
+    htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
+    ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
+    hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
+    plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
+    oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
+    sha   => c_lcu_src_mac,
+    spa   => c_lcu_src_ip,
+    tha   => c_dut_src_mac,
+    tpa   => c_dut_src_ip
+  );
+
+  constant c_exp_arp_packet     : t_network_arp_packet := (
+    htype => c_tx_arp_packet.htype,
+    ptype => c_tx_arp_packet.ptype,
+    hlen  => c_tx_arp_packet.hlen,
+    plen  => c_tx_arp_packet.plen,
+    oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
+    sha   => c_tx_arp_packet.tha,  -- \/
+    spa   => c_tx_arp_packet.tpa,  -- /\  \/
+    tha   => c_tx_arp_packet.sha,  -- /  \ /\
+    tpa   => c_tx_arp_packet.spa
+  );  -- /  \
 
   -- . ICMP header
-  constant c_tx_icmp_header      : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
-                                                             code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
-                                                             checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
-                                                             id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
-                                                             sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w));
-  constant c_exp_icmp_header     : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
-                                                             code     => c_tx_icmp_header.code,
-                                                             checksum => c_tx_icmp_header.checksum,  -- init value
-                                                             id       => c_tx_icmp_header.id,
-                                                             sequence => c_tx_icmp_header.sequence);
+  constant c_tx_icmp_header      : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
+    code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
+    checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
+    id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
+    sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w)
+  );
+  constant c_exp_icmp_header     : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
+    code     => c_tx_icmp_header.code,
+    checksum => c_tx_icmp_header.checksum,  -- init value
+    id       => c_tx_icmp_header.id,
+    sequence => c_tx_icmp_header.sequence
+  );
 
   -- . UDP header
   constant c_dut_udp_port_ctrl   : natural := 11;  -- ETH demux UDP for control
@@ -279,15 +297,19 @@ architecture tb of tb_unb2b_arp_ping is
 
   constant c_tb_udp_total_length : natural := c_network_udp_total_length + c_tb_nof_data;
 
-  constant c_tx_udp_header       : t_network_udp_header := (src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
-                                                            dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
-                                                            total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
-                                                            checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w));  -- init value
+  constant c_tx_udp_header       : t_network_udp_header := (
+    src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
+    dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
+    total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
+    checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w)
+  );  -- init value
 
-  constant c_exp_udp_header      : t_network_udp_header := (src_port     => c_tx_udp_header.dst_port,  -- \/
-                                                            dst_port     => c_tx_udp_header.src_port,  -- /\
-                                                            total_length => c_tx_udp_header.total_length,  -- =
-                                                            checksum     => c_tx_udp_header.checksum);  -- init value
+  constant c_exp_udp_header      : t_network_udp_header := (
+    src_port     => c_tx_udp_header.dst_port,  -- \/
+    dst_port     => c_tx_udp_header.src_port,  -- /\
+    total_length => c_tx_udp_header.total_length,  -- =
+    checksum     => c_tx_udp_header.checksum
+  );  -- init value
 
   signal tx_total_header     : t_network_total_header;  -- transmitted packet header
   signal discard_total_header: t_network_total_header;  -- transmitted packet header for to be discarded packet
@@ -315,37 +337,37 @@ begin
   PMBUS_SD <= 'H';  -- pull up
 
   u_dut : entity work.unb2b_arp_ping
-  generic map (
-    g_sim         => c_sim,
-    g_sim_level   => c_sim_level
-  )
-  port map (
-    -- GENERAL
-    CLK         => sys_clk,
-    PPS         => pps,
-    WDI         => WDI,
-    INTA        => INTA,
-    INTB        => INTB,
-
-    sens_sc     => sens_scl,
-    sens_sd     => sens_sda,
-
-    PMBUS_SC    => PMBUS_SC,
-    PMBUS_SD    => PMBUS_SD,
-    PMBUS_ALERT => PMBUS_ALERT,
-
-    -- Others
-    VERSION     => VERSION,
-    ID          => ID,
-    TESTIO      => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_clk     => eth_clk,
-    ETH_SGIN    => eth_rxp_arr,
-    ETH_SGOUT   => eth_txp_arr,
-
-    QSFP_LED    => qsfp_led
-  );
+    generic map (
+      g_sim         => c_sim,
+      g_sim_level   => c_sim_level
+    )
+    port map (
+      -- GENERAL
+      CLK         => sys_clk,
+      PPS         => pps,
+      WDI         => WDI,
+      INTA        => INTA,
+      INTB        => INTB,
+
+      sens_sc     => sens_scl,
+      sens_sd     => sens_sda,
+
+      PMBUS_SC    => PMBUS_SC,
+      PMBUS_SD    => PMBUS_SD,
+      PMBUS_ALERT => PMBUS_ALERT,
+
+      -- Others
+      VERSION     => VERSION,
+      ID          => ID,
+      TESTIO      => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_clk     => eth_clk,
+      ETH_SGIN    => eth_rxp_arr,
+      ETH_SGOUT   => eth_txp_arr,
+
+      QSFP_LED    => qsfp_led
+    );
 
   ------------------------------------------------------------------------------
   -- Ethernet cable between LCU and DUT
@@ -383,43 +405,43 @@ begin
   end process;
 
   u_lcu : entity tech_tse_lib.tech_tse
-  generic map (
-    g_sim          => c_sim,
-    g_sim_level    => c_sim_level
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => tse_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-
-    -- Memory Mapped Slave
-    mm_sla_in      => lcu_tse_mosi,
-    mm_sla_out     => lcu_tse_miso,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => lcu_tx_sosi,
-    tx_snk_out     => lcu_tx_siso,
-    -- . MAC specific
-    tx_mac_in      => lcu_tx_mac_in,
-    tx_mac_out     => lcu_tx_mac_out,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => lcu_rx_siso,
-    rx_src_out     => lcu_rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => lcu_rx_mac_out,
-
-    -- PHY interface
-    eth_txp        => lcu_txp,
-    eth_rxp        => lcu_rxp,
-
-    tse_led        => lcu_led
-  );
+    generic map (
+      g_sim          => c_sim,
+      g_sim_level    => c_sim_level
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => tse_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+
+      -- Memory Mapped Slave
+      mm_sla_in      => lcu_tse_mosi,
+      mm_sla_out     => lcu_tse_miso,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => lcu_tx_sosi,
+      tx_snk_out     => lcu_tx_siso,
+      -- . MAC specific
+      tx_mac_in      => lcu_tx_mac_in,
+      tx_mac_out     => lcu_tx_mac_out,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => lcu_rx_siso,
+      rx_src_out     => lcu_rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => lcu_rx_mac_out,
+
+      -- PHY interface
+      eth_txp        => lcu_txp,
+      eth_rxp        => lcu_rxp,
+
+      tse_led        => lcu_led
+    );
 
   ------------------------------------------------------------------------------
   -- LCU transmit and receive packets
@@ -489,17 +511,17 @@ begin
       proc_tech_tse_tx_packet(tx_total_header,    2, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
     end if;
 
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
 
     tx_end <= '1';
     wait;
diff --git a/boards/uniboard2b/designs/unb2b_heater/src/vhdl/mmm_unb2b_heater.vhd b/boards/uniboard2b/designs/unb2b_heater/src/vhdl/mmm_unb2b_heater.vhd
index 8c7247cb87..cc25b86675 100644
--- a/boards/uniboard2b/designs/unb2b_heater/src/vhdl/mmm_unb2b_heater.vhd
+++ b/boards/uniboard2b/designs/unb2b_heater/src/vhdl/mmm_unb2b_heater.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2b_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2b_heater_pkg.all;
 
 
 entity mmm_unb2b_heater is
@@ -120,35 +120,35 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_heater          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HEATER")
-                                               port map(mm_rst, mm_clk, reg_heater_mosi, reg_heater_miso );
+      port map(mm_rst, mm_clk, reg_heater_mosi, reg_heater_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -165,155 +165,155 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2b_heater
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
---c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
---c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_heater_reset_export                   => OPEN,
-      reg_heater_clk_export                     => OPEN,
-      reg_heater_address_export                 => reg_heater_mosi.address(4 downto 0),
-      reg_heater_read_export                    => reg_heater_mosi.rd,
-      reg_heater_readdata_export                => reg_heater_miso.rddata(c_word_w - 1 downto 0),
-      reg_heater_write_export                   => reg_heater_mosi.wr,
-      reg_heater_writedata_export               => reg_heater_mosi.wrdata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(9 downto 0),
+        --c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(0 downto 0),
+        --c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_heater_reset_export                   => OPEN,
+        reg_heater_clk_export                     => OPEN,
+        reg_heater_address_export                 => reg_heater_mosi.address(4 downto 0),
+        reg_heater_read_export                    => reg_heater_mosi.rd,
+        reg_heater_readdata_export                => reg_heater_miso.rddata(c_word_w - 1 downto 0),
+        reg_heater_write_export                   => reg_heater_mosi.wr,
+        reg_heater_writedata_export               => reg_heater_mosi.wrdata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard2b/designs/unb2b_heater/src/vhdl/qsys_unb2b_heater_pkg.vhd b/boards/uniboard2b/designs/unb2b_heater/src/vhdl/qsys_unb2b_heater_pkg.vhd
index 831de95afb..57b881cc0a 100644
--- a/boards/uniboard2b/designs/unb2b_heater/src/vhdl/qsys_unb2b_heater_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_heater/src/vhdl/qsys_unb2b_heater_pkg.vhd
@@ -20,144 +20,144 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2b_heater_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v17 QSYS builder
-    -----------------------------------------------------------------------------
-    component qsys_unb2b_heater is
-        port (
-            avs_eth_0_clk_export                   : out std_logic;  -- export
-            avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export              : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export             : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export              : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export             : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                 : out std_logic;  -- export
-            avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export              : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export             : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                     : out std_logic;  -- export
-            pio_pps_read_export                    : out std_logic;  -- export
-            pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                   : out std_logic;  -- export
-            pio_pps_write_export                   : out std_logic;  -- export
-            pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export             : out std_logic;  -- export
-            pio_system_info_read_export            : out std_logic;  -- export
-            pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export           : out std_logic;  -- export
-            pio_system_info_write_export           : out std_logic;  -- export
-            pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export     : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export               : out std_logic;  -- export
-            reg_dpmm_data_read_export              : out std_logic;  -- export
-            reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export             : out std_logic;  -- export
-            reg_dpmm_data_write_export             : out std_logic;  -- export
-            reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                    : out std_logic;  -- export
-            reg_epcs_read_export                   : out std_logic;  -- export
-            reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                  : out std_logic;  -- export
-            reg_epcs_write_export                  : out std_logic;  -- export
-            reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_heater_address_export              : out std_logic_vector(4 downto 0);  -- export
-            reg_heater_clk_export                  : out std_logic;  -- export
-            reg_heater_read_export                 : out std_logic;  -- export
-            reg_heater_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_heater_reset_export                : out std_logic;  -- export
-            reg_heater_write_export                : out std_logic;  -- export
-            reg_heater_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export               : out std_logic;  -- export
-            reg_mmdp_data_read_export              : out std_logic;  -- export
-            reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export             : out std_logic;  -- export
-            reg_mmdp_data_write_export             : out std_logic;  -- export
-            reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                    : out std_logic;  -- export
-            reg_remu_read_export                   : out std_logic;  -- export
-            reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                  : out std_logic;  -- export
-            reg_remu_write_export                  : out std_logic;  -- export
-            reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export           : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export               : out std_logic;  -- export
-            reg_unb_pmbus_read_export              : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export             : out std_logic;  -- export
-            reg_unb_pmbus_write_export             : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export            : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                : out std_logic;  -- export
-            reg_unb_sens_read_export               : out std_logic;  -- export
-            reg_unb_sens_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export              : out std_logic;  -- export
-            reg_unb_sens_write_export              : out std_logic;  -- export
-            reg_unb_sens_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                     : out std_logic;  -- export
-            reg_wdi_read_export                    : out std_logic;  -- export
-            reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                   : out std_logic;  -- export
-            reg_wdi_write_export                   : out std_logic;  -- export
-            reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export         : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export             : out std_logic;  -- export
-            rom_system_info_read_export            : out std_logic;  -- export
-            rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export           : out std_logic;  -- export
-            rom_system_info_write_export           : out std_logic;  -- export
-            rom_system_info_writedata_export       : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_unb2b_heater;
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v17 QSYS builder
+  -----------------------------------------------------------------------------
+  component qsys_unb2b_heater is
+    port (
+      avs_eth_0_clk_export                   : out std_logic;  -- export
+      avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export              : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export             : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export              : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export             : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                 : out std_logic;  -- export
+      avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export              : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export             : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                     : out std_logic;  -- export
+      pio_pps_read_export                    : out std_logic;  -- export
+      pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                   : out std_logic;  -- export
+      pio_pps_write_export                   : out std_logic;  -- export
+      pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export             : out std_logic;  -- export
+      pio_system_info_read_export            : out std_logic;  -- export
+      pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export           : out std_logic;  -- export
+      pio_system_info_write_export           : out std_logic;  -- export
+      pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export     : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export               : out std_logic;  -- export
+      reg_dpmm_data_read_export              : out std_logic;  -- export
+      reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export             : out std_logic;  -- export
+      reg_dpmm_data_write_export             : out std_logic;  -- export
+      reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                    : out std_logic;  -- export
+      reg_epcs_read_export                   : out std_logic;  -- export
+      reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                  : out std_logic;  -- export
+      reg_epcs_write_export                  : out std_logic;  -- export
+      reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_heater_address_export              : out std_logic_vector(4 downto 0);  -- export
+      reg_heater_clk_export                  : out std_logic;  -- export
+      reg_heater_read_export                 : out std_logic;  -- export
+      reg_heater_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_heater_reset_export                : out std_logic;  -- export
+      reg_heater_write_export                : out std_logic;  -- export
+      reg_heater_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export               : out std_logic;  -- export
+      reg_mmdp_data_read_export              : out std_logic;  -- export
+      reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export             : out std_logic;  -- export
+      reg_mmdp_data_write_export             : out std_logic;  -- export
+      reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                    : out std_logic;  -- export
+      reg_remu_read_export                   : out std_logic;  -- export
+      reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                  : out std_logic;  -- export
+      reg_remu_write_export                  : out std_logic;  -- export
+      reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export           : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export               : out std_logic;  -- export
+      reg_unb_pmbus_read_export              : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export             : out std_logic;  -- export
+      reg_unb_pmbus_write_export             : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export            : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                : out std_logic;  -- export
+      reg_unb_sens_read_export               : out std_logic;  -- export
+      reg_unb_sens_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export              : out std_logic;  -- export
+      reg_unb_sens_write_export              : out std_logic;  -- export
+      reg_unb_sens_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                     : out std_logic;  -- export
+      reg_wdi_read_export                    : out std_logic;  -- export
+      reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                   : out std_logic;  -- export
+      reg_wdi_write_export                   : out std_logic;  -- export
+      reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export         : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export             : out std_logic;  -- export
+      rom_system_info_read_export            : out std_logic;  -- export
+      rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export           : out std_logic;  -- export
+      rom_system_info_write_export           : out std_logic;  -- export
+      rom_system_info_writedata_export       : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_unb2b_heater;
 
 
 end qsys_unb2b_heater_pkg;
diff --git a/boards/uniboard2b/designs/unb2b_heater/src/vhdl/unb2b_heater.vhd b/boards/uniboard2b/designs/unb2b_heater/src/vhdl/unb2b_heater.vhd
index 9c548cd558..e904879d61 100644
--- a/boards/uniboard2b/designs/unb2b_heater/src/vhdl/unb2b_heater.vhd
+++ b/boards/uniboard2b/designs/unb2b_heater/src/vhdl/unb2b_heater.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, util_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use util_lib.util_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use util_lib.util_heater_pkg.all;
 
 entity unb2b_heater is
   generic (
@@ -56,12 +56,12 @@ entity unb2b_heater is
     TESTIO       : inout std_logic_vector(c_unb2b_board_aux.testio_w - 1 downto 0);
 
     -- I2C Interface to Sensors
---    SENS_SC      : INOUT STD_LOGIC;
---    SENS_SD      : INOUT STD_LOGIC;
---
---    PMBUS_SC     : INOUT STD_LOGIC;
---    PMBUS_SD     : INOUT STD_LOGIC;
---    PMBUS_ALERT  : IN    STD_LOGIC := '0';
+    --    SENS_SC      : INOUT STD_LOGIC;
+    --    SENS_SD      : INOUT STD_LOGIC;
+    --
+    --    PMBUS_SC     : INOUT STD_LOGIC;
+    --    PMBUS_SD     : INOUT STD_LOGIC;
+    --    PMBUS_ALERT  : IN    STD_LOGIC := '0';
 
     -- 1GbE Control Interface
     ETH_CLK      : in    std_logic;
@@ -165,246 +165,246 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim           => g_sim,
-    g_technology    => g_technology,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_revision_id   => g_revision_id,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_mm_clk_freq,
-    g_dp_clk_use_pll => true,
-    g_eth_clk_freq  => c_unb2b_board_eth_clk_freq_125M,
-    g_aux           => c_unb2b_board_aux,
-    g_tse_clk_buf   => false,  -- TRUE,
-    g_factory_image => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
---    SENS_SC                  => 'Z', --SENS_SC,
---    SENS_SD                  => 'Z', --SENS_SD,
---    -- PM bus
---    PMBUS_SC                 => 'Z', --PMBUS_SC,
---    PMBUS_SD                 => 'Z', --PMBUS_SD,
---    PMBUS_ALERT              => 'Z', --PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_technology    => g_technology,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_revision_id   => g_revision_id,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_mm_clk_freq,
+      g_dp_clk_use_pll => true,
+      g_eth_clk_freq  => c_unb2b_board_eth_clk_freq_125M,
+      g_aux           => c_unb2b_board_aux,
+      g_tse_clk_buf   => false,  -- TRUE,
+      g_factory_image => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      --    SENS_SC                  => 'Z', --SENS_SC,
+      --    SENS_SD                  => 'Z', --SENS_SD,
+      --    -- PM bus
+      --    PMBUS_SC                 => 'Z', --PMBUS_SC,
+      --    PMBUS_SD                 => 'Z', --PMBUS_SD,
+      --    PMBUS_ALERT              => 'Z', --PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2b_heater
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- heater:
-    reg_heater_mosi          => reg_heater_mosi,
-    reg_heater_miso          => reg_heater_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- heater:
+      reg_heater_mosi          => reg_heater_mosi,
+      reg_heater_miso          => reg_heater_miso
+    );
 
   u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 
   u_heater : entity util_lib.util_heater
-  generic map (
-    g_technology  => g_technology,
-    --g_nof_mac4   => 315 -- on Arria10 using  630 of 1518 DSP blocks
-    --g_nof_mac4   => 630 --
-    g_nof_mac4   => 736,  -- max 736, 23 registers * 32 *2 = 1472 of 1518 DSP blocks (97%)
-    g_pipeline   => 72,  -- max 72
-    g_nof_ram    => 4,  -- max 4
-    g_nof_logic  => 24  -- max 24
-  )
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-
-    st_rst  => st_rst,
-    st_clk  => st_clk,
-
-    sla_in  => reg_heater_mosi,
-    sla_out => reg_heater_miso
-  );
+    generic map (
+      g_technology  => g_technology,
+      --g_nof_mac4   => 315 -- on Arria10 using  630 of 1518 DSP blocks
+      --g_nof_mac4   => 630 --
+      g_nof_mac4   => 736,  -- max 736, 23 registers * 32 *2 = 1472 of 1518 DSP blocks (97%)
+      g_pipeline   => 72,  -- max 72
+      g_nof_ram    => 4,  -- max 4
+      g_nof_logic  => 24  -- max 24
+    )
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+
+      st_rst  => st_rst,
+      st_clk  => st_clk,
+
+      sla_in  => reg_heater_mosi,
+      sla_out => reg_heater_miso
+    );
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_heater/tb/vhdl/tb_unb2b_heater.vhd b/boards/uniboard2b/designs/unb2b_heater/tb/vhdl/tb_unb2b_heater.vhd
index 0d2dfea153..582df5ad00 100644
--- a/boards/uniboard2b/designs/unb2b_heater/tb/vhdl/tb_unb2b_heater.vhd
+++ b/boards/uniboard2b/designs/unb2b_heater/tb/vhdl/tb_unb2b_heater.vhd
@@ -43,18 +43,18 @@
 --
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb2b_heater is
-    generic (
-      g_design_name : string  := "unb2b_heater";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2b_heater";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2b_heater;
 
 architecture tb of tb_unb2b_heater is
@@ -184,37 +184,37 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd
index 7d7bea513e..951e9fa4ac 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_corepll is
+  component altjesd_ss_RX_corepll is
 		port (
 			locked   : out std_logic;  -- export
 			outclk_0 : out std_logic;  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd
index 1bc0ee7c56..50d5bb6b90 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_frame_reset is
+  component altjesd_ss_RX_frame_reset is
 		port (
 			clk         : in  std_logic := 'X';  -- clk
 			in_reset_n  : in  std_logic := 'X';  -- reset_n
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd
index e6c8fc658b..77367eabe2 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_link_reset is
+  component altjesd_ss_RX_link_reset is
 		port (
 			clk         : in  std_logic := 'X';  -- clk
 			in_reset_n  : in  std_logic := 'X';  -- reset_n
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd
index 46793b217e..c634e00746 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_reset_seq is
+  component altjesd_ss_RX_reset_seq is
 		generic (
 			NUM_OUTPUTS                   : integer := 3;
 			ENABLE_DEASSERTION_INPUT_QUAL : integer := 0;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd
index 4aa29f21f8..8dff895996 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_xcvr_reset_control is
+  component altjesd_ss_RX_xcvr_reset_control is
 		port (
 			clock              : in  std_logic                    := 'X';  -- clk
 			pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd
index bfd91a8bfa..7d0eb498ea 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd
@@ -1,4 +1,4 @@
-	component device_clk is
+  component device_clk is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd
index 342b6062c1..124a3b18ef 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd
@@ -1,4 +1,4 @@
-	component frame_clk is
+  component frame_clk is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd
index 9868df2cdd..ff194b2a69 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd
@@ -1,4 +1,4 @@
-	component jesd is
+  component jesd is
 		port (
 			alldev_lane_aligned        : in  std_logic                     := 'X';  -- export
 			csr_cf                     : out std_logic_vector(4 downto 0);  -- export
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd
index 7da9be04fe..2017afa101 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd
@@ -1,4 +1,4 @@
-	component link_clk is
+  component link_clk is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd
index c97253ac79..5a858a60f9 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_avs_common_mm_0 is
+  component qsys_unb2b_minimal_avs_common_mm_0 is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd
index b57aa7daff..75fab6c120 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_avs_common_mm_1 is
+  component qsys_unb2b_minimal_avs_common_mm_1 is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd
index 3c94c1901f..274d14a577 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd
@@ -26,10 +26,10 @@
 -- . The avs2_eth_coe_hw.tcl determines the read latency per port
 
 library IEEE, common_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity avs2_eth_coe is
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd
index 3fc6ebb7a2..d49ba9d9fb 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd
@@ -23,9 +23,9 @@
 -- Purpose: Define the fields of network headers
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 package common_network_layers_pkg is
 
@@ -86,9 +86,11 @@ package common_network_layers_pkg is
     eth_type   : std_logic_vector(c_network_eth_type_w - 1 downto 0);
   end record;
 
-  constant c_network_eth_header_ones : t_network_eth_header := ("000000000000000000000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "0000000000000001");
+  constant c_network_eth_header_ones : t_network_eth_header := (
+    "000000000000000000000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- IPv4 Packet
@@ -135,13 +137,13 @@ package common_network_layers_pkg is
   constant c_network_ip_addr_len            : natural := 4;
   constant c_network_ip_addr_w              : natural := c_network_ip_addr_len * c_8;
 
-                                                      -- [0:7]                             [8:15]                      [16:31]
+  -- [0:7]                             [8:15]                      [16:31]
   constant c_network_ip_header_len          : natural := c_network_ip_version_header_len + c_network_ip_services_len + c_network_ip_total_length_len +
                                                          c_network_ip_identification_len +                             c_network_ip_flags_fragment_len +
                                                          c_network_ip_time_to_live_len   + c_network_ip_protocol_len + c_network_ip_header_checksum_len +
                                                          c_network_ip_addr_len +
                                                          c_network_ip_addr_len;
-                                                    -- = c_network_ip_header_length * c_word_sz = 20
+  -- = c_network_ip_header_length * c_word_sz = 20
   -- default field values
   constant c_network_ip_version             : natural := 4;  -- 4 = IPv4,
   constant c_network_ip_header_length       : natural := 5;  -- 5 = nof words in the header, no options field support
@@ -175,11 +177,20 @@ package common_network_layers_pkg is
     dst_ip_addr         : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet
   end record;
 
-  constant c_network_ip_header_ones : t_network_ip_header := ("0001", "0001", "00000001", "0000000000000001",
-                                                              "0000000000000001", "001", "0000000000001",
-                                                              "00000001", "00000001", "0000000000000001",
-                                                              "00000000000000000000000000000001",
-                                                              "00000000000000000000000000000001");
+  constant c_network_ip_header_ones : t_network_ip_header := (
+    "0001",
+    "0001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "001",
+    "0000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "00000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ARP Packet
@@ -216,12 +227,12 @@ package common_network_layers_pkg is
   constant c_network_arp_oper_len           : natural := 2;
   constant c_network_arp_oper_w             : natural := c_network_arp_oper_len * c_8;
 
-                                                      -- [0:15]                       [16:31]
+  -- [0:15]                       [16:31]
   constant c_network_arp_data_len           : natural := c_network_arp_htype_len    + c_network_arp_ptype_len +
                                                          c_network_arp_hlen_len     + c_network_arp_plen_len  + c_network_arp_oper_len +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len   +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len;
-                                                      -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
+  -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
 
   -- default field values
   constant c_network_arp_htype              : natural := 1;  -- Hardware type, 1=ethernet
@@ -247,12 +258,17 @@ package common_network_layers_pkg is
     tpa     : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet, Target Protocol Address
   end record;
 
-  constant c_network_arp_packet_ones : t_network_arp_packet := ("0000000000000001", "0000000000000001",
-                                                                "00000001", "00000001", "0000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001");
+  constant c_network_arp_packet_ones : t_network_arp_packet := (
+    "0000000000000001",
+    "0000000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ICMP (for ping)
@@ -301,8 +317,13 @@ package common_network_layers_pkg is
     sequence   : std_logic_vector(c_network_icmp_sequence_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_icmp_header_ones : t_network_icmp_header := ("00000001", "00000001", "0000000000000001",
-                                                                  "0000000000000001", "0000000000000001");
+  constant c_network_icmp_header_ones : t_network_icmp_header := (
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- UDP Packet
@@ -327,7 +348,7 @@ package common_network_layers_pkg is
   constant c_network_udp_checksum_len       : natural := 2;
   constant c_network_udp_checksum_w         : natural := c_network_udp_checksum_len * c_8;
 
-                                                      -- [0:15]                           [16:31]
+  -- [0:15]                           [16:31]
   constant c_network_udp_header_len         : natural := c_network_udp_port_len         + c_network_udp_port_len +
                                                          c_network_udp_total_length_len + c_network_udp_checksum_len;  -- 8
 
@@ -348,8 +369,12 @@ package common_network_layers_pkg is
     checksum     : std_logic_vector(c_network_udp_checksum_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_udp_header_ones : t_network_udp_header := ("0000000000000001", "0000000000000001",
-                                                                "0000000000000001", "0000000000000001");
+  constant c_network_udp_header_ones : t_network_udp_header := (
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
 end common_network_layers_pkg;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
index 9469fd2656..cfde8ebf26 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
@@ -30,9 +30,9 @@
 -- . More information can be found in the comments near the code.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
 
 package common_pkg is
 
@@ -165,315 +165,318 @@ package common_pkg is
 
   -- All functions assume [high downto low] input ranges
 
-  function pow2(n : natural) return natural;  -- = 2**n
-  function ceil_pow2(n : integer) return natural;  -- = 2**n, returns 1 for n<0
+  function pow2 (n : natural) return natural;  -- = 2**n
+  function ceil_pow2 (n : integer) return natural;  -- = 2**n, returns 1 for n<0
 
-  function true_log2(n : natural) return natural;  -- true_log2(n) = log2(n)
-  function ceil_log2(n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
+  function true_log2 (n : natural) return natural;  -- true_log2(n) = log2(n)
+  function ceil_log2 (n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
 
-  function floor_log10(n : natural) return natural;
+  function floor_log10 (n : natural) return natural;
 
-  function is_pow2(n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
-  function true_log_pow2(n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
+  function is_pow2 (n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
+  function true_log_pow2 (n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
 
-  function ratio( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
-  function ratio2(n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
+  function ratio ( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
+  function ratio2 (n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
 
-  function ceil_div(   n, d : natural)  return natural;  -- ceil_div    = n/d + (n MOD d)/=0
-  function ceil_value( n, d : natural)  return natural;  -- ceil_value  = ceil_div(n, d) * d
-  function floor_value(n, d : natural)  return natural;  -- floor_value = (n/d) * d
-  function ceil_div(   n : unsigned; d: natural) return unsigned;
-  function ceil_value( n : unsigned; d: natural) return unsigned;
-  function floor_value(n : unsigned; d: natural) return unsigned;
+  function ceil_div (   n, d : natural) return natural;  -- ceil_div    = n/d + (n MOD d)/=0
+  function ceil_value ( n, d : natural) return natural;  -- ceil_value  = ceil_div(n, d) * d
+  function floor_value (n, d : natural) return natural;  -- floor_value = (n/d) * d
+  function ceil_div (   n : unsigned; d: natural) return unsigned;
+  function ceil_value ( n : unsigned; d: natural) return unsigned;
+  function floor_value (n : unsigned; d: natural) return unsigned;
 
-  function slv(n: in std_logic)        return std_logic_vector;  -- standard logic to 1 element standard logic vector
-  function sl( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
+  function slv (n: in std_logic) return std_logic_vector;  -- standard logic to 1 element standard logic vector
+  function sl ( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
-  function to_natural_arr(n : t_nat_natural_arr)                return t_natural_arr;
-  function to_integer_arr(n : t_natural_arr)                    return t_integer_arr;
-  function to_integer_arr(n : t_nat_natural_arr)                return t_integer_arr;
-  function to_slv_32_arr( n : t_integer_arr)                    return t_slv_32_arr;
-  function to_slv_32_arr( n : t_natural_arr)                    return t_slv_32_arr;
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr;
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr;
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr;
+  function to_slv_32_arr ( n : t_integer_arr) return t_slv_32_arr;
+  function to_slv_32_arr ( n : t_natural_arr) return t_slv_32_arr;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
-  function vector_and(slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
-  function vector_or( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
-  function vector_xor(slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
+  function vector_and (slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
+  function vector_or ( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
+  function vector_xor (slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
 
-  function andv(slv : std_logic_vector) return std_logic;  -- alias of vector_and
-  function orv( slv : std_logic_vector) return std_logic;  -- alias of vector_or
-  function xorv(slv : std_logic_vector) return std_logic;  -- alias of vector_xor
+  function andv (slv : std_logic_vector) return std_logic;  -- alias of vector_and
+  function orv ( slv : std_logic_vector) return std_logic;  -- alias of vector_or
+  function xorv (slv : std_logic_vector) return std_logic;  -- alias of vector_xor
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
-  function matrix_or( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
+  function matrix_or ( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
 
-  function smallest(n, m    : integer)       return integer;
-  function smallest(n, m, l : integer)       return integer;
-  function smallest(n       : t_natural_arr) return natural;
+  function smallest (n, m    : integer) return integer;
+  function smallest (n, m, l : integer) return integer;
+  function smallest (n       : t_natural_arr) return natural;
 
-  function largest(n, m : integer)       return integer;
-  function largest(n    : t_natural_arr) return natural;
+  function largest (n, m : integer) return integer;
+  function largest (n    : t_natural_arr) return natural;
 
-  function func_sum(    n : t_natural_arr)     return natural;  -- sum     of all elements in array
-  function func_sum(    n : t_nat_natural_arr) return natural;
-  function func_product(n : t_natural_arr)     return natural;  -- product of all elements in array
-  function func_product(n : t_nat_natural_arr) return natural;
+  function func_sum (    n : t_natural_arr) return natural;  -- sum     of all elements in array
+  function func_sum (    n : t_nat_natural_arr) return natural;
+  function func_product (n : t_natural_arr) return natural;  -- product of all elements in array
+  function func_product (n : t_nat_natural_arr) return natural;
 
-  function "+" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise sum
-  function "+" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise sum
-  function "+" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise sum
+  function "+" (L, R: t_natural_arr) return t_natural_arr;  -- element wise sum
+  function "+" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise sum
+  function "+" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise sum
 
-  function "-" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise subtract
-  function "-" (L, R: t_natural_arr)               return t_integer_arr;  -- element wise subtract, support negative result
-  function "-" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise subtract
-  function "-" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_integer_arr;  -- element wise subtract, support negative result
+  function "-" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise subtract
+  function "-" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise subtract
 
-  function "*" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise product
-  function "*" (L   : t_natural_arr; R : natural)  return t_natural_arr;  -- element wise product
-  function "*" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise product
+  function "*" (L, R: t_natural_arr) return t_natural_arr;  -- element wise product
+  function "*" (L   : t_natural_arr; R : natural) return t_natural_arr;  -- element wise product
+  function "*" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise product
 
-  function "/" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise division
+  function "/" (L, R: t_natural_arr) return t_natural_arr;  -- element wise division
   function "/" (L   : t_natural_arr; R : positive) return t_natural_arr;  -- element wise division
-  function "/" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise division
-
-  function is_true(a : std_logic) return boolean;
-  function is_true(a : std_logic) return natural;
-  function is_true(a : boolean)   return std_logic;
-  function is_true(a : boolean)   return natural;
-  function is_true(a : integer)   return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
-  function is_true(a : integer)   return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
-
-  function sel_a_b(sel,           a, b : boolean)           return boolean;
-  function sel_a_b(sel,           a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : real)              return real;
-  function sel_a_b(sel : boolean; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : signed)            return signed;
-  function sel_a_b(sel : boolean; a, b : unsigned)          return unsigned;
-  function sel_a_b(sel : boolean; a, b : t_integer_arr)     return t_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_natural_arr)     return t_natural_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
-  function sel_a_b(sel : boolean; a, b : string)            return string;
-  function sel_a_b(sel : integer; a, b : string)            return string;
-  function sel_a_b(sel : boolean; a, b : time)              return time;
-  function sel_a_b(sel : boolean; a, b : severity_level)    return severity_level;
+  function "/" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise division
+
+  function is_true (a : std_logic) return boolean;
+  function is_true (a : std_logic) return natural;
+  function is_true (a : boolean) return std_logic;
+  function is_true (a : boolean) return natural;
+  function is_true (a : integer) return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
+  function is_true (a : integer) return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
+
+  function sel_a_b (sel,           a, b : boolean) return boolean;
+  function sel_a_b (sel,           a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : real) return real;
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : signed) return signed;
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned;
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
+  function sel_a_b (sel : boolean; a, b : string) return string;
+  function sel_a_b (sel : integer; a, b : string) return string;
+  function sel_a_b (sel : boolean; a, b : time) return time;
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level;
 
   -- sel_n() index sel = 0, 1, 2, ... will return a, b, c, ...
-  function sel_n(sel : natural; a, b, c                      : boolean) return boolean;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
-
-  function sel_n(sel : natural; a, b, c                      : integer) return integer;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : integer) return integer;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
-
-  function sel_n(sel : natural; a, b                         : string) return string;  -- 2
-  function sel_n(sel : natural; a, b, c                      : string) return string;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : string) return string;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
-
-  function array_init(init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
-  function array_init(init,             nof, incr        : natural) return t_nat_natural_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_16_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_32_arr;
-  function array_init(init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-  function array_init(init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
-  function array_sinit(init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
+  function sel_n (sel : natural; a, b, c                      : boolean) return boolean;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
+
+  function sel_n (sel : natural; a, b, c                      : integer) return integer;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : integer) return integer;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
+
+  function sel_n (sel : natural; a, b                         : string) return string;  -- 2
+  function sel_n (sel : natural; a, b, c                      : string) return string;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : string) return string;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
+
+  function array_init (init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
+  function array_init (init,             nof, incr        : natural) return t_nat_natural_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_16_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_32_arr;
+  function array_init (init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+  function array_init (init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
+  function array_sinit (init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
 
   -- Concatenate two or more STD_LOGIC_VECTORs into a single STD_LOGIC_VECTOR or extract one of them from a concatenated STD_LOGIC_VECTOR
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
-  function func_slv_concat_w(use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-
-  function TO_UINT(vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
-  function TO_SINT(vec : std_logic_vector) return integer;
-
-  function TO_UVEC(dec, w : natural) return std_logic_vector;
-  function TO_SVEC(dec, w : integer) return std_logic_vector;
-
-  function TO_SVEC_32(dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
-
--- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
+  function func_slv_concat_w (use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+
+  function TO_UINT (vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
+  function TO_SINT (vec : std_logic_vector) return integer;
+
+  function TO_UVEC (dec, w : natural) return std_logic_vector;
+  function TO_SVEC (dec, w : integer) return std_logic_vector;
+
+  function TO_SVEC_32 (dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  -- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
   -- behaviour of preserving the sign bit is less suitable for DSP and not necessary in general. A more
   -- appropriate approach is to ignore the MSbit sign and just keep the LS part. For too large values this
   -- means that the result gets wrapped, but that is fine for default behaviour, because that is also what
   -- happens for RESIZE of UNSIGNED. Therefor this is what the RESIZE_NUM for SIGNED and the RESIZE_SVEC do
   -- and better not use RESIZE for SIGNED anymore.
-  function RESIZE_NUM( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
-  function RESIZE_NUM( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
-  function RESIZE_UVEC(sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
-  function RESIZE_UINT(u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
-  function RESIZE_SINT(s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
-
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
-
-  function INCR_UVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : signed)   return std_logic_vector;
-                                                                                                                   -- Used in common_add_sub.vhd
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
-
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
-
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-
-  function offset_binary(a : std_logic_vector) return std_logic_vector;
-
-  function truncate(                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
-  function truncate_and_resize_uvec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
-  function truncate_and_resize_svec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function scale(                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
-  function scale_and_resize_uvec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
-  function scale_and_resize_svec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function truncate_or_resize_uvec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
-  function truncate_or_resize_svec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
-
-  function s_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
-  function s_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function s_round_up(vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function u_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
-  function u_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem round up for unsigned values
-
-  function u_to_s(u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
-  function s_to_u(s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
-
-  function u_wrap(u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
-  function s_wrap(s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
-
-  function u_clip(u : natural; max : natural) return natural;  -- if s < max return s, else return n
-  function s_clip(s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
-  function s_clip(s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
-
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
-  function hton(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
-  function hton(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
-  function ntoh(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
-  function ntoh(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
-
-  function flip(a : std_logic_vector)  return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
-  function flip(a, w : natural)        return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
-  function flip(a : t_slv_32_arr)      return t_slv_32_arr;
-  function flip(a : t_integer_arr)     return t_integer_arr;
-  function flip(a : t_natural_arr)     return t_natural_arr;
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr;
-
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
-  function transpose(a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
-
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
-
-  function pad(str: string; width: natural; pad_char: character) return string;
-
-  function slice_up(str: string; width: natural; i: natural) return string;
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string;
-  function slice_dn(str: string; width: natural; i: natural) return string;
-
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
+  function RESIZE_NUM ( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
+  function RESIZE_NUM ( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
+  function RESIZE_UVEC (sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
+  function RESIZE_UINT (u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
+  function RESIZE_SINT (s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
+
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector;
+  -- Used in common_add_sub.vhd
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
+
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
+
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+
+  function offset_binary (a : std_logic_vector) return std_logic_vector;
+
+  function truncate (                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
+  function truncate_and_resize_uvec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
+  function truncate_and_resize_svec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function scale (                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
+  function scale_and_resize_uvec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
+  function scale_and_resize_svec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function truncate_or_resize_uvec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
+  function truncate_or_resize_svec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
+
+  function s_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
+  function s_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function u_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
+  function u_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem round up for unsigned values
+
+  function u_to_s (u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
+  function s_to_u (s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
+
+  function u_wrap (u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
+  function s_wrap (s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
+
+  function u_clip (u : natural; max : natural) return natural;  -- if s < max return s, else return n
+  function s_clip (s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
+  function s_clip (s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
+
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
+  function hton (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
+  function hton (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
+  function ntoh (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
+  function ntoh (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
+
+  function flip (a : std_logic_vector) return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
+  function flip (a, w : natural) return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
+  function flip (a : t_slv_32_arr) return t_slv_32_arr;
+  function flip (a : t_integer_arr) return t_integer_arr;
+  function flip (a : t_natural_arr) return t_natural_arr;
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr;
+
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
+  function transpose (a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
+
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
+
+  function pad (str: string; width: natural; pad_char: character) return string;
+
+  function slice_up (str: string; width: natural; i: natural) return string;
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string;
+  function slice_dn (str: string; width: natural; i: natural) return string;
+
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Component specific functions
   ------------------------------------------------------------------------------
 
   -- common_fifo_*
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic);
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic);
 
   -- common_fanout_tree
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
 
   -- common_reorder_symbol
-  function func_common_reorder2_is_there(I, J : natural) return boolean;
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean;
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer;
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural;
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr;
+  function func_common_reorder2_is_there (I, J : natural) return boolean;
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean;
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer;
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural;
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr;
 
   -- Generate faster sample SCLK from digital DCLK for sim only
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic);
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic);
 
 end common_pkg;
 
 package body common_pkg is
 
-  function pow2(n : natural) return natural is
+  function pow2 (n : natural) return natural is
   begin
     return 2**n;
   end;
 
-  function ceil_pow2(n : integer) return natural is
+  function ceil_pow2 (n : integer) return natural is
   -- Also allows negative exponents and rounds up before returning the value
   begin
     return natural(integer(ceil(2**real(n))));
   end;
 
-  function true_log2(n : natural) return natural is
+  function true_log2 (n : natural) return natural is
   -- Purpose: For calculating extra vector width of existing vector
   -- Description: Return mathematical ceil(log2(n))
   --   n    log2()
@@ -492,7 +495,7 @@ package body common_pkg is
     return natural(integer(ceil(log2(real(n)))));
   end;
 
-  function ceil_log2(n : natural) return natural is
+  function ceil_log2 (n : natural) return natural is
   -- Purpose: For calculating vector width of new vector
   -- Description:
   --   Same as true_log2() except ceil_log2(1) = 1, which is needed to support
@@ -510,22 +513,22 @@ package body common_pkg is
     end if;
   end;
 
-  function floor_log10(n : natural) return natural is
+  function floor_log10 (n : natural) return natural is
   begin
     return natural(integer(floor(log10(real(n)))));
   end;
 
-  function is_pow2(n : natural) return boolean is
+  function is_pow2 (n : natural) return boolean is
   begin
     return n = 2**true_log2(n);
   end;
 
-  function true_log_pow2(n : natural) return natural is
+  function true_log_pow2 (n : natural) return natural is
   begin
     return 2**true_log2(n);
   end;
 
-  function ratio(n, d : natural) return natural is
+  function ratio (n, d : natural) return natural is
   begin
     if n mod d = 0 then
       return n / d;
@@ -534,32 +537,32 @@ package body common_pkg is
     end if;
   end;
 
-  function ratio2(n, m : natural) return natural is
+  function ratio2 (n, m : natural) return natural is
   begin
     return largest(ratio(n,m), ratio(m,n));
   end;
 
-  function ceil_div(n, d : natural) return natural is
+  function ceil_div (n, d : natural) return natural is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);
   end;
 
-  function ceil_value(n, d : natural) return natural is
+  function ceil_value (n, d : natural) return natural is
   begin
     return ceil_div(n, d) * d;
   end;
 
-  function floor_value(n, d : natural) return natural is
+  function floor_value (n, d : natural) return natural is
   begin
     return (n / d) * d;
   end;
 
-  function ceil_div(n : unsigned; d: natural) return unsigned is
+  function ceil_div (n : unsigned; d: natural) return unsigned is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);  -- "/" returns same width as n
   end;
 
-  function ceil_value(n : unsigned; d: natural) return unsigned is
+  function ceil_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -567,7 +570,7 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function floor_value(n : unsigned; d: natural) return unsigned is
+  function floor_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -575,21 +578,21 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function slv(n: in std_logic) return std_logic_vector is
+  function slv (n: in std_logic) return std_logic_vector is
     variable r : std_logic_vector(0 downto 0);
   begin
     r(0) := n;
     return r;
   end;
 
-  function sl(n: in std_logic_vector) return std_logic is
+  function sl (n: in std_logic_vector) return std_logic is
     variable r : std_logic;
   begin
     r := n(n'low);
     return r;
   end;
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr is
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -607,7 +610,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_natural_arr(n : t_nat_natural_arr) return t_natural_arr is
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr is
     variable vN : t_nat_natural_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -618,7 +621,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_integer_arr(n'length - 1 downto 0);
   begin
@@ -629,14 +632,14 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_nat_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return to_integer_arr(vN);
   end;
 
-  function to_slv_32_arr(n : t_integer_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_integer_arr) return t_slv_32_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -647,7 +650,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_slv_32_arr(n : t_natural_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_natural_arr) return t_slv_32_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -658,7 +661,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic is
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic is
     -- Linear loop to determine result takes combinatorial delay that is proportional to slv'LENGTH:
     --   FOR I IN slv'RANGE LOOP
     --     v_result := v_result OPERATION slv(I);
@@ -691,22 +694,22 @@ package body common_pkg is
     return v_stage_arr(c_nof_stages - 1)(0);
   end;
 
-  function vector_and(slv : std_logic_vector) return std_logic is
+  function vector_and (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function vector_or(slv : std_logic_vector) return std_logic is
+  function vector_or (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function vector_xor(slv : std_logic_vector) return std_logic is
+  function vector_xor (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector is
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector is
     variable v_one_hot : boolean := false;
     variable v_zeros   : std_logic_vector(slv'range) := (others => '0');
   begin
@@ -725,22 +728,22 @@ package body common_pkg is
     return slv;
   end;
 
-  function andv(slv : std_logic_vector) return std_logic is
+  function andv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function orv(slv : std_logic_vector) return std_logic is
+  function orv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function xorv(slv : std_logic_vector) return std_logic is
+  function xorv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '1';
   begin
@@ -752,7 +755,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function matrix_or(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_or (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '0';
   begin
@@ -764,7 +767,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function smallest(n, m : integer) return integer is
+  function smallest (n, m : integer) return integer is
   begin
     if n < m then
       return n;
@@ -773,16 +776,16 @@ package body common_pkg is
     end if;
   end;
 
-  function smallest(n, m, l : integer) return integer is
+  function smallest (n, m, l : integer) return integer is
     variable v : natural;
   begin
-                  v := n;
+    v := n;
     if v > m then v := m; end if;
     if v > l then v := l; end if;
     return v;
   end;
 
-  function smallest(n : t_natural_arr) return natural is
+  function smallest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -793,7 +796,7 @@ package body common_pkg is
     return m;
   end;
 
-  function largest(n, m : integer) return integer is
+  function largest (n, m : integer) return integer is
   begin
     if n > m then
       return n;
@@ -802,7 +805,7 @@ package body common_pkg is
     end if;
   end;
 
-  function largest(n : t_natural_arr) return natural is
+  function largest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -813,7 +816,7 @@ package body common_pkg is
     return m;
   end;
 
-  function func_sum(n : t_natural_arr) return natural is
+  function func_sum (n : t_natural_arr) return natural is
     variable vS : natural;
   begin
     vS := 0;
@@ -823,14 +826,14 @@ package body common_pkg is
     return vS;
   end;
 
-  function func_sum(n : t_nat_natural_arr) return natural is
+  function func_sum (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return func_sum(vN);
   end;
 
-  function func_product(n : t_natural_arr) return natural is
+  function func_product (n : t_natural_arr) return natural is
     variable vP : natural;
   begin
     vP := 1;
@@ -840,7 +843,7 @@ package body common_pkg is
     return vP;
   end;
 
-  function func_product(n : t_nat_natural_arr) return natural is
+  function func_product (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
@@ -999,14 +1002,14 @@ package body common_pkg is
     return vP;
   end;
 
-  function is_true(a : std_logic) return boolean   is begin if a = '1'  then return true; else return false; end if; end;
-  function is_true(a : std_logic) return natural   is begin if a = '1'  then return 1;    else return 0;     end if; end;
-  function is_true(a : boolean)   return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
-  function is_true(a : boolean)   return natural   is begin if a = true then return 1;    else return 0;     end if; end;
-  function is_true(a : integer)   return boolean   is begin if a /= 0   then return true; else return false; end if; end;
-  function is_true(a : integer)   return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
+  function is_true (a : std_logic) return boolean is begin if a = '1'  then return true; else return false; end if; end;
+  function is_true (a : std_logic) return natural is begin if a = '1'  then return 1;    else return 0;     end if; end;
+  function is_true (a : boolean) return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
+  function is_true (a : boolean) return natural is begin if a = true then return 1;    else return 0;     end if; end;
+  function is_true (a : integer) return boolean is begin if a /= 0   then return true; else return false; end if; end;
+  function is_true (a : integer) return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
 
-  function sel_a_b(sel, a, b : integer) return integer is
+  function sel_a_b (sel, a, b : integer) return integer is
   begin
     if sel /= 0 then
       return a;
@@ -1015,7 +1018,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel, a, b : boolean) return boolean is
+  function sel_a_b (sel, a, b : boolean) return boolean is
   begin
     if sel = true then
       return a;
@@ -1024,7 +1027,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : integer) return integer is
+  function sel_a_b (sel : boolean; a, b : integer) return integer is
   begin
     if sel = true then
       return a;
@@ -1033,7 +1036,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : real) return real is
+  function sel_a_b (sel : boolean; a, b : real) return real is
   begin
     if sel = true then
       return a;
@@ -1042,7 +1045,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic is
   begin
     if sel = true then
       return a;
@@ -1051,7 +1054,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic is
   begin
     if sel /= 0 then
       return a;
@@ -1060,7 +1063,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel /= 0 then
       return a;
@@ -1069,7 +1072,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel = true then
       return a;
@@ -1078,7 +1081,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : signed) return signed is
+  function sel_a_b (sel : boolean; a, b : signed) return signed is
   begin
     if sel = true then
       return a;
@@ -1087,7 +1090,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : unsigned) return unsigned is
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned is
   begin
     if sel = true then
       return a;
@@ -1096,7 +1099,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_integer_arr) return t_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1105,7 +1108,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_natural_arr) return t_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1114,7 +1117,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1123,7 +1126,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1132,7 +1135,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : string) return string is
+  function sel_a_b (sel : boolean; a, b : string) return string is
   begin
     if sel = true then
       return a;
@@ -1141,7 +1144,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : string) return string is
+  function sel_a_b (sel : integer; a, b : string) return string is
   begin
     if sel /= 0 then
       return a;
@@ -1150,7 +1153,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : time) return time is
+  function sel_a_b (sel : boolean; a, b : time) return time is
   begin
     if sel = true then
       return a;
@@ -1159,7 +1162,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : severity_level) return severity_level is
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level is
   begin
     if sel = true then
       return a;
@@ -1169,115 +1172,115 @@ package body common_pkg is
   end;
 
   -- sel_n : boolean
-  function sel_n(sel : natural; a, b, c : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : integer
-  function sel_n(sel : natural; a, b, c : integer) return integer is
+  function sel_n (sel : natural; a, b, c : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
-  function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
-  function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
-
-  function array_init(init : std_logic; nof : natural) return std_logic_vector is
+  function sel_n (sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n (sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
+  function sel_n (sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
+
+  function array_init (init : std_logic; nof : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1286,7 +1289,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_natural_arr is
+  function array_init (init, nof : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1295,7 +1298,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_nat_natural_arr is
+  function array_init (init, nof : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1304,7 +1307,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_natural_arr is
+  function array_init (init, nof, incr : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1316,7 +1319,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_nat_natural_arr is
+  function array_init (init, nof, incr : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1328,7 +1331,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_16_arr is
+  function array_init (init, nof, incr : integer) return t_slv_16_arr is
     variable v_arr : t_slv_16_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1340,7 +1343,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_32_arr is
+  function array_init (init, nof, incr : integer) return t_slv_32_arr is
     variable v_arr : t_slv_32_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1352,7 +1355,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width : natural) return std_logic_vector is
+  function array_init (init, nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1361,7 +1364,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width, incr : natural) return std_logic_vector is
+  function array_init (init, nof, width, incr : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
     variable v_i   : natural;
   begin
@@ -1373,7 +1376,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_sinit(init :integer; nof, width : natural) return std_logic_vector is
+  function array_sinit (init :integer; nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1382,7 +1385,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix is
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix is
     variable v_mat : t_slv_64_matrix(nof_a - 1 downto 0, nof_b - 1 downto 0);
   begin
     for I in 0 to nof_a - 1 loop
@@ -1395,7 +1398,7 @@ package body common_pkg is
 
 
   -- Support concatenation of up to 7 slv into 1 slv
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
     constant c_max_w : natural := a'length + b'length + c'length + d'length + e'length + f'length + g'length;
     variable v_res   : std_logic_vector(c_max_w - 1 downto 0) := (others => '0');
     variable v_len   : natural := 0;
@@ -1410,32 +1413,32 @@ package body common_pkg is
     return v_res(v_len - 1 downto 0);
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, false, a, b, c, d, e, f, "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, false, false, a, b, c, d, e, "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, false, false, false, a, b, c, d, "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, false, false, false, false, a, b, c, "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, false, false, false, false, false, a, b, "0", "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
     variable v_len : natural := 0;
   begin
     if use_a = true then v_len := v_len + a_w; end if;
@@ -1448,33 +1451,33 @@ package body common_pkg is
     return v_len;
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b : boolean; a_w, b_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b : boolean; a_w, b_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0);
   end func_slv_concat_w;
 
   -- extract slv
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
     variable v_w  : natural := 0;
     variable v_lo : natural := 0;
   begin
@@ -1520,64 +1523,64 @@ package body common_pkg is
     return vec(v_w - 1 + v_lo downto v_lo);  -- extracted slv
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
 
-  function TO_UINT(vec : std_logic_vector) return natural is
+  function TO_UINT (vec : std_logic_vector) return natural is
   begin
     return to_integer(unsigned(vec));
   end;
 
-  function TO_SINT(vec : std_logic_vector) return integer is
+  function TO_SINT (vec : std_logic_vector) return integer is
   begin
     return to_integer(signed(vec));
   end;
 
-  function TO_UVEC(dec, w : natural) return std_logic_vector is
+  function TO_UVEC (dec, w : natural) return std_logic_vector is
   begin
     return std_logic_vector(to_unsigned(dec, w));
   end;
 
-  function TO_SVEC(dec, w : integer) return std_logic_vector is
+  function TO_SVEC (dec, w : integer) return std_logic_vector is
   begin
     return std_logic_vector(to_signed(dec, w));
   end;
 
-  function TO_SVEC_32(dec : integer) return std_logic_vector is
+  function TO_SVEC_32 (dec : integer) return std_logic_vector is
   begin
     return TO_SVEC(dec, 32);
   end;
 
-  function RESIZE_NUM(u : unsigned; w : natural) return unsigned is
+  function RESIZE_NUM (u : unsigned; w : natural) return unsigned is
   begin
     -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
     return resize(u, w);
   end;
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -1587,47 +1590,47 @@ package body common_pkg is
     end if;
   end;
 
-  function RESIZE_UVEC(sl : std_logic; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (sl : std_logic; w : natural) return std_logic_vector is
     variable v_slv0 : std_logic_vector(w - 1 downto 1) := (others => '0');
   begin
     return v_slv0 & sl;
   end;
 
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(vec), w));
   end;
 
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(vec), w));
   end;
 
-  function RESIZE_UINT(u : integer; w : natural) return integer is
+  function RESIZE_UINT (u : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_UVEC(u, c_word_w);
     return TO_UINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_SINT(s : integer; w : natural) return integer is
+  function RESIZE_SINT (s : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_SVEC(s, c_word_w);
     return TO_SINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, 32);
   end;
 
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, 32);
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     if dec < 0 then
@@ -1639,74 +1642,74 @@ package body common_pkg is
     end if;
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector is
   begin
     return std_logic_vector(unsigned(vec) + dec);
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     return std_logic_vector(signed(vec) + v_dec);  -- uses function "+" (L : SIGNED, R : INTEGER)
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : signed) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector is
   begin
     return std_logic_vector(signed(vec) + dec);
   end;
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) + signed(r_vec));
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) - signed(r_vec));
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) + unsigned(r_vec));
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) - unsigned(r_vec));
   end;
 
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_re * b_re - a_im * b_im);
   end;
 
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_im * b_re + a_re * b_im);
   end;
 
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(unsigned(vec), -shift));  -- fill zeros from right
@@ -1715,7 +1718,7 @@ package body common_pkg is
     end if;
   end;
 
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(signed(vec), -shift));  -- same as SHIFT_LEFT for UNSIGNED
@@ -1741,24 +1744,24 @@ package body common_pkg is
   -- The offset_binary() mapping can be done and undone both ways.
   -- The offset_binary() mapping to two-complement binary yields a DC offset
   -- of -0.5 Lsb.
-  function offset_binary(a : std_logic_vector) return std_logic_vector is
+  function offset_binary (a : std_logic_vector) return std_logic_vector is
     variable v_res : std_logic_vector(a'length - 1 downto 0) := a;
   begin
-   v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
-   return v_res;
+    v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
+    return v_res;
   end;
 
-  function truncate(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function truncate (vec : std_logic_vector; n : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_vec     : std_logic_vector(c_vec_w - 1 downto 0) := vec;
     variable v_res     : std_logic_vector(c_trunc_w - 1 downto 0);
   begin
-   v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
-   return v_res;
+    v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
+    return v_res;
   end;
 
-  function truncate_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1769,7 +1772,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1780,7 +1783,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale(vec : std_logic_vector; n: natural) return std_logic_vector is
+  function scale (vec : std_logic_vector; n: natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_res     : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1789,7 +1792,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1800,7 +1803,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1811,7 +1814,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_uvec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_uvec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1824,7 +1827,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_svec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_svec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1856,7 +1859,7 @@ package body common_pkg is
   --   maximum product is -8*-8=+64 <= 127-8, so wrapping due to rounding
   --   overflow will never occur.
 
-  function s_round(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
     -- Use SIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1884,24 +1887,24 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function s_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return s_round(vec, n, false);  -- no round clip
   end;
 
   -- An alternative is to always round up, also for negative numbers (i.e. s_round_up = u_round).
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
   begin
     return u_round(vec, n, clip);
   end;
 
-  function s_round_up(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
   -- Unsigned numbers are round up (almost same as s_round, but without the else on negative vec)
-  function u_round(vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
     -- Use UNSIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1925,36 +1928,36 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function u_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
-  function u_to_s(u : natural; w : natural) return integer is
+  function u_to_s (u : natural; w : natural) return integer is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_u(w - 1 downto 0));
   end;
 
-  function s_to_u(s : integer; w : natural) return natural is
+  function s_to_u (s : integer; w : natural) return natural is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_s(w - 1 downto 0));
   end;
 
-  function u_wrap(u : natural; w : natural) return natural is
+  function u_wrap (u : natural; w : natural) return natural is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_u(w - 1 downto 0));
   end;
 
-  function s_wrap(s : integer; w : natural) return integer is
+  function s_wrap (s : integer; w : natural) return integer is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_s(w - 1 downto 0));
   end;
 
-  function u_clip(u : natural; max : natural) return natural is
+  function u_clip (u : natural; max : natural) return natural is
   begin
     if u > max then
       return max;
@@ -1963,7 +1966,7 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural; min : integer) return integer is
+  function s_clip (s : integer; max : natural; min : integer) return integer is
   begin
     if s < min then
       return min;
@@ -1976,12 +1979,12 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural) return integer is
+  function s_clip (s : integer; max : natural) return integer is
   begin
     return s_clip(s, max, -max);
   end;
 
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;  -- map a to range [h:0]
     variable v_b : std_logic_vector(a'length - 1 downto 0) := a;  -- default b = a
     variable vL  : natural;
@@ -1997,28 +2000,28 @@ package body common_pkg is
     return v_b;
   end function;
 
-  function hton(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, c_byte_w, sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function hton(a : std_logic_vector) return std_logic_vector is
+  function hton (a : std_logic_vector) return std_logic_vector is
     constant c_sz : natural := a'length / c_byte_w;
   begin
     return hton(a, c_byte_w, c_sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function ntoh(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function ntoh (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, sz);  -- i.e. ntoh() = hton()
   end function;
 
-  function ntoh(a : std_logic_vector) return std_logic_vector is
+  function ntoh (a : std_logic_vector) return std_logic_vector is
   begin
     return hton(a);  -- i.e. ntoh() = hton()
   end function;
 
-  function flip(a : std_logic_vector) return std_logic_vector is
+  function flip (a : std_logic_vector) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;
     variable v_b : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2028,12 +2031,12 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a, w : natural) return natural is
+  function flip (a, w : natural) return natural is
   begin
     return TO_UINT(flip(TO_UVEC(a, w)));
   end;
 
-  function flip(a : t_slv_32_arr) return t_slv_32_arr is
+  function flip (a : t_slv_32_arr) return t_slv_32_arr is
     variable v_a : t_slv_32_arr(a'length - 1 downto 0) := a;
     variable v_b : t_slv_32_arr(a'length - 1 downto 0);
   begin
@@ -2043,7 +2046,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_integer_arr) return t_integer_arr is
+  function flip (a : t_integer_arr) return t_integer_arr is
     variable v_a : t_integer_arr(a'length - 1 downto 0) := a;
     variable v_b : t_integer_arr(a'length - 1 downto 0);
   begin
@@ -2053,7 +2056,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_natural_arr) return t_natural_arr is
+  function flip (a : t_natural_arr) return t_natural_arr is
     variable v_a : t_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_natural_arr(a'length - 1 downto 0);
   begin
@@ -2063,7 +2066,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr is
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr is
     variable v_a : t_nat_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_nat_natural_arr(a'length - 1 downto 0);
   begin
@@ -2073,7 +2076,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector is
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector is
     variable vIn  : std_logic_vector(a'length - 1 downto 0);
     variable vOut : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2087,7 +2090,7 @@ package body common_pkg is
     return vOut;
   end function;
 
-  function transpose(a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
+  function transpose (a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
     variable vI  : natural;
     variable vJ  : natural;
   begin
@@ -2096,7 +2099,7 @@ package body common_pkg is
     return vJ * col + vI;
   end;
 
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
     -- Examples: split_w(256, 8, 32) = 32;  split_w(16, 8, 32) = 16; split_w(72, 8, 32) = 18;    -- Input_w must be multiple of 2.
     variable r: natural;
   begin
@@ -2111,34 +2114,34 @@ package body common_pkg is
     end loop;
   end;
 
-  function pad(str: string; width: natural; pad_char: character) return string is
+  function pad (str: string; width: natural; pad_char: character) return string is
     variable v_str : string(1 to width) := (others => pad_char);
   begin
     v_str(width - str'length + 1 to width) := str;
     return v_str;
   end;
 
-  function slice_up(str: string; width: natural; i: natural) return string is
+  function slice_up (str: string; width: natural; i: natural) return string is
   begin
     return str(i * width + 1 to (i + 1) * width);
   end;
 
   -- If the input value is not a multiple of the desired width, the return value is padded with
   -- the passed pad value. E.g. if input='10' and desired width is 4, return value is '0010'.
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string is
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string is
     variable padded_str : string(1 to width) := (others => '0');
   begin
     padded_str := pad(str(i * width + 1 to (i + 1) * width), width, '0');
     return padded_str;
   end;
 
-  function slice_dn(str: string; width: natural; i: natural) return string is
+  function slice_dn (str: string; width: natural; i: natural) return string is
   begin
     return str((i + 1) * width - 1 downto i * width);
   end;
 
 
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
     variable v_concat_slv : std_logic_vector(nof_elements * 32 - 1 downto 0) := (others => '0');
   begin
     for i in 0 to nof_elements - 1 loop
@@ -2152,16 +2155,17 @@ package body common_pkg is
   -- common_fifo_*
   ------------------------------------------------------------------------------
 
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic) is
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic) is
   begin
     -- c_fail_rd_emp : when TRUE report FAILURE when read from an empty FIFO, important when FIFO rd_val is not used
     -- c_note_is_ful : when TRUE report NOTE when FIFO goes full, to note that operation is on the limit
@@ -2174,7 +2178,7 @@ package body common_pkg is
     assert not(c_fail_rd_emp = true and rising_edge(rd_clk)  and rd_empty = '1' and rd_en = '1')  report c_fifo_name & " : read from empty fifo occurred!" severity FAILURE;
     assert not(c_note_is_ful = true and rising_edge(wr_full) and wr_rst = '0')                  report c_fifo_name & " : fifo is full now"               severity NOTE;
     assert not(                       rising_edge(wr_clk)  and wr_full = '1'  and wr_en = '1')  report c_fifo_name & " : fifo overflow occurred!"        severity FAILURE;
-    --synthesis translate_on
+  --synthesis translate_on
   end procedure proc_common_fifo_asserts;
 
 
@@ -2182,8 +2186,9 @@ package body common_pkg is
   -- common_fanout_tree
   ------------------------------------------------------------------------------
 
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
     constant k_cell_pipeline_factor_arr : t_natural_arr(c_nof_stages - 1 downto 0) := c_cell_pipeline_factor_arr;
     constant k_cell_pipeline_arr        : t_natural_arr(c_nof_output_per_cell - 1 downto 0) := c_cell_pipeline_arr;
     variable v_stage_pipeline_arr       : t_natural_arr(c_nof_output - 1 downto 0) := (others => 0);
@@ -2204,7 +2209,7 @@ package body common_pkg is
   ------------------------------------------------------------------------------
 
   -- Determine whether the stage I and row J index refer to any (active or redundant) 2-input reorder cell instantiation
-  function func_common_reorder2_is_there(I, J : natural) return boolean is
+  function func_common_reorder2_is_there (I, J : natural) return boolean is
     variable v_odd  : boolean;
     variable v_even : boolean;
   begin
@@ -2214,7 +2219,7 @@ package body common_pkg is
   end func_common_reorder2_is_there;
 
   -- Determine whether the stage I and row J index refer to an active 2-input reorder cell instantiation in a reorder network with N stages
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean is
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean is
     variable v_inst : boolean;
     variable v_act  : boolean;
   begin
@@ -2224,7 +2229,7 @@ package body common_pkg is
   end func_common_reorder2_is_active;
 
   -- Get the index K in the select setting array for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer is
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer is
     constant c_nof_reorder2_per_odd_stage  : natural := N / 2;
     constant c_nof_reorder2_per_even_stage : natural := (N - 1) / 2;
     variable v_nof_odd_stages  : natural;
@@ -2246,7 +2251,7 @@ package body common_pkg is
   end func_common_reorder2_get_select_index;
 
   -- Get the select setting for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural is
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural is
     constant c_nof_select : natural := select_arr'length;
     constant c_select_arr : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel        : natural;
@@ -2261,7 +2266,7 @@ package body common_pkg is
   end func_common_reorder2_get_select;
 
   -- Determine the inverse of a reorder network by using two reorder networks in series
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr is
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr is
     constant c_nof_select      : natural := select_arr'length;
     constant c_select_arr      : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel             : natural;
@@ -2287,8 +2292,8 @@ package body common_pkg is
     else
       -- N is even so only use stage 1 of the inverse_out reorder, the other stages remain at default pass on
       for K in 0 to N / 2 - 1 loop
-         v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
-         v_inverse_arr(v_Ki) := c_select_arr(K);
+        v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
+        v_inverse_arr(v_Ki) := c_select_arr(K);
       end loop;
       -- N is even so leave stage 1 of the inverse_in reorder at default pass on, and do inverse the other stages
       for I in 2 to N loop
@@ -2327,9 +2332,10 @@ package body common_pkg is
   --   that they all apply to the same wide data word that was clocked by the
   --   rising edge of the DCLK.
   ------------------------------------------------------------------------------
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic) is
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic) is
     variable v_dperiod : time;
     variable v_speriod : time;
   begin
@@ -2354,7 +2360,7 @@ package body common_pkg is
       end if;
       wait for v_speriod / 2;
       SCLK <= '1';
-      -- Wait for next DCLK
+    -- Wait for next DCLK
     end loop;
     wait;
   end proc_common_dclk_generate_sclk;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd
index 40128fea21..0fa106e866 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package dp_stream_pkg is
 
@@ -121,15 +121,33 @@ package dp_stream_pkg is
   end record;
 
   constant c_dp_sosi_unsigned_rst  : t_dp_sosi_unsigned := ('0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'));
-  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := ('1',
-                                                            to_unsigned(1, c_dp_stream_bsn_w),
-                                                            to_unsigned(1, c_dp_stream_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            '1', '1', '1',
-                                                            to_unsigned(1, c_dp_stream_empty_w),
-                                                            to_unsigned(1, c_dp_stream_channel_w),
-                                                            to_unsigned(1, c_dp_stream_error_w));
+  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := (
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_bsn_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    '1',
+    '1',
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_empty_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_channel_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_error_w)
+  );
 
   -- Use boolean to define whether a t_dp_siso, t_dp_sosi field is used ('1') or not ('0')
   type t_dp_siso_sl is record
@@ -208,30 +226,34 @@ package dp_stream_pkg is
   type t_dp_sosi_mat is array (integer range <>, integer range <>) of t_dp_sosi;
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
   -- . Use these functions to assign sosi data TO a record field
@@ -240,142 +262,142 @@ package dp_stream_pkg is
   --   Typically the sosi data are treated as unsigned in the record field, so extended with '0'. However for interpretating
   --   signed data in the simulation wave window it is easier to use sign extension in the record field. Therefore TO_DP_SDATA
   --   and RESIZE_DP_SDATA are defined as well.
-  function TO_DP_BSN(     n : natural) return std_logic_vector;
-  function TO_DP_DATA(    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
-  function TO_DP_SDATA(   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
-  function TO_DP_UDATA(   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector;  -- for re and im fields, signed data
-  function TO_DP_DSP_UDATA(n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
-  function TO_DP_EMPTY(   n : natural) return std_logic_vector;
-  function TO_DP_CHANNEL( n : natural) return std_logic_vector;
-  function TO_DP_ERROR(   n : natural) return std_logic_vector;
-  function RESIZE_DP_BSN(     vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_DATA(    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
-  function RESIZE_DP_SDATA(   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
-  function RESIZE_DP_XDATA(   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
-  function RESIZE_DP_EMPTY(   vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_CHANNEL( vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_ERROR(   vec : std_logic_vector) return std_logic_vector;
-
-  function INCR_DP_DATA(    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-  function INCR_DP_SDATA(   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-
-  function REPLICATE_DP_DATA(  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
-
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
+  function TO_DP_BSN (     n : natural) return std_logic_vector;
+  function TO_DP_DATA (    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
+  function TO_DP_SDATA (   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
+  function TO_DP_UDATA (   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector;  -- for re and im fields, signed data
+  function TO_DP_DSP_UDATA (n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
+  function TO_DP_EMPTY (   n : natural) return std_logic_vector;
+  function TO_DP_CHANNEL ( n : natural) return std_logic_vector;
+  function TO_DP_ERROR (   n : natural) return std_logic_vector;
+  function RESIZE_DP_BSN (     vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_DATA (    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
+  function RESIZE_DP_SDATA (   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
+  function RESIZE_DP_XDATA (   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
+  function RESIZE_DP_EMPTY (   vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_CHANNEL ( vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_ERROR (   vec : std_logic_vector) return std_logic_vector;
+
+  function INCR_DP_DATA (    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+  function INCR_DP_SDATA (   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+
+  function REPLICATE_DP_DATA (  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
+
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
 
   -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
   -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
-  function func_dp_data_shift(      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
+  function func_dp_data_shift (      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
+  function func_dp_data_shift_last (            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
 
   -- Determine resulting empty if two streams are concatenated or split
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi;
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi;
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr;                          str : string) return std_logic;
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
 
   -- Fix reversed buses due to connecting TO to DOWNTO range arrays.
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_info(              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_control(           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reset_control(         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_info (              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_control (           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reset_control (         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
 
   -- Reset sosi ctrl and preserve the sosi data (to avoid unnecessary data toggling and to ease data view in Wave window)
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
 
   -- Function to copy the BSN of one valid stream to all output streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
 
   -- Functions to combinatorially handle channels
   -- Note that the *_select and *_remove function are equivalent to dp_demux with g_combined=TRUE
-  function func_dp_stream_channel_set   (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
 
   -- Functions to combinatorially handle the error field
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
 
   -- Functions to combinatorially handle the BSN field
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
 
   -- Functions to combine sosi fields
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to convert sosi fields
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
 
   -- Functions to set the DATA, RE and IM field in a stream.
-  function func_dp_stream_set_data(dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
-
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-   -- . data_order_im_re defines the concatenation order data = im&re or re&im
-   -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
-   -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
-   -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
+
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  -- . data_order_im_re defines the concatenation order data = im&re or re&im
+  -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
+  -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
+  -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
 
   -- Concatenate the data and complex re,im fields from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
-  function func_dp_stream_concat(src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
+  function func_dp_stream_concat (src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
   -- . data_representation = "SIGNED"   treat sosi.data field as signed
@@ -384,14 +406,14 @@ package dp_stream_pkg is
   -- . data_order_im_re = TRUE  then "COMPLEX" data = im&re
   --                      FALSE then "COMPLEX" data = re&im
   --                      ignore when data_representation /= "COMPLEX"
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
 
   -- Deconcatenate data and complex re,im fields from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
 
 end dp_stream_pkg;
 
@@ -399,11 +421,12 @@ end dp_stream_pkg;
 package body dp_stream_pkg is
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     ready_reg(0) <= siso.ready;
     -- Register siso.ready in c_ready_latency registers
@@ -417,20 +440,22 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi, siso, ready_reg);
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     for i in 0 to sosi_arr'length - 1 loop
       ready_reg(i * (c_ready_latency + 1)) <= siso_arr(i).ready;  -- SLV is used as an array: nof_streams*(0..c_ready_latency)
@@ -448,118 +473,119 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
   end proc_dp_siso_alert;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
-  function TO_DP_BSN(n : natural) return std_logic_vector is
+  function TO_DP_BSN (n : natural) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_bsn_w);
   end TO_DP_BSN;
 
-  function TO_DP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_DATA;
 
-  function TO_DP_SDATA(n : integer) return std_logic_vector is
+  function TO_DP_SDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_SDATA;
 
-  function TO_DP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_UDATA (n : integer) return std_logic_vector is
   begin
     return TO_DP_DATA(n);
   end TO_DP_UDATA;
 
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_DATA;
 
-  function TO_DP_DSP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_UDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_UDATA;
 
-  function TO_DP_EMPTY(n : natural) return std_logic_vector is
+  function TO_DP_EMPTY (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_empty_w);
   end TO_DP_EMPTY;
 
-  function TO_DP_CHANNEL(n : natural) return std_logic_vector is
+  function TO_DP_CHANNEL (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_channel_w);
   end TO_DP_CHANNEL;
 
-  function TO_DP_ERROR(n : natural) return std_logic_vector is
+  function TO_DP_ERROR (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_error_w);
   end TO_DP_ERROR;
 
-  function RESIZE_DP_BSN(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_BSN (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_bsn_w);
   end RESIZE_DP_BSN;
 
-  function RESIZE_DP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_DATA;
 
-  function RESIZE_DP_SDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_SDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_SDATA;
 
-  function RESIZE_DP_XDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_XDATA (vec : std_logic_vector) return std_logic_vector is
     variable v_vec : std_logic_vector(c_dp_stream_data_w - 1 downto 0) := (others => 'X');
   begin
     v_vec(vec'length - 1 downto 0) := vec;
     return v_vec;
   end RESIZE_DP_XDATA;
 
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_dsp_data_w);
   end RESIZE_DP_DSP_DATA;
 
-  function RESIZE_DP_EMPTY(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_EMPTY (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_empty_w);
   end RESIZE_DP_EMPTY;
 
-  function RESIZE_DP_CHANNEL(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_CHANNEL (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_channel_w);
   end RESIZE_DP_CHANNEL;
 
-  function RESIZE_DP_ERROR(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_ERROR (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_error_w);
   end RESIZE_DP_ERROR;
 
-  function INCR_DP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DATA(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DATA;
 
-  function INCR_DP_SDATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_SDATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_SDATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_SDATA;
 
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DSP_DATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DSP_DATA;
 
-  function REPLICATE_DP_DATA(seq : std_logic_vector) return std_logic_vector is
+  function REPLICATE_DP_DATA (seq : std_logic_vector) return std_logic_vector is
     constant c_seq_w            : natural := seq'length;
     constant c_nof_replications : natural := ceil_div(c_dp_stream_data_w, c_seq_w);
     constant c_vec_w            : natural := ceil_value(c_dp_stream_data_w, c_seq_w);
@@ -571,7 +597,7 @@ package body dp_stream_pkg is
     return v_vec(c_dp_stream_data_w - 1 downto 0);
   end REPLICATE_DP_DATA;
 
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w :natural) return std_logic_vector is
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w :natural) return std_logic_vector is
     constant c_data_w           : natural := data'length;
     constant c_nof_replications : natural := ceil_div(c_data_w, seq_w);
     constant c_vec_w            : natural := ceil_value(c_data_w, seq_w);
@@ -590,7 +616,7 @@ package body dp_stream_pkg is
     return v_vec(c_data_w - 1 downto 0);
   end UNREPLICATE_DP_DATA;
 
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
     variable v_sosi_unsigned : t_dp_sosi_unsigned;
   begin
     v_sosi_unsigned.sync    := sync;
@@ -608,7 +634,7 @@ package body dp_stream_pkg is
   end TO_DP_SOSI_UNSIGNED;
 
   -- Keep part of head data and combine part of tail data
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
   begin
@@ -625,7 +651,7 @@ package body dp_stream_pkg is
 
 
   -- Shift and combine part of previous data and this data,
-  function func_dp_data_shift(prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
+  function func_dp_data_shift (prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_this;
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
@@ -658,7 +684,7 @@ package body dp_stream_pkg is
 
 
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
+  function func_dp_data_shift_last (tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_tail;
     variable vL     : natural := input_empty;
     variable vN     : natural := nof_symbols_per_data;
@@ -688,7 +714,7 @@ package body dp_stream_pkg is
 
   -- Determine resulting empty if two streams are concatenated
   -- . both empty must use the same nof symbols per data
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a := TO_UINT(head_empty);
@@ -700,7 +726,7 @@ package body dp_stream_pkg is
     return TO_UVEC(v_empty, head_empty'length);
   end func_dp_empty_concat;
 
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a   := TO_UINT(input_empty);
@@ -715,7 +741,7 @@ package body dp_stream_pkg is
 
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi is
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi is
     variable v_sosi : t_dp_sosi := c_dp_sosi_rst;
   begin
     for I in dp'range loop
@@ -729,7 +755,7 @@ package body dp_stream_pkg is
 
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -751,7 +777,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -775,19 +801,19 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -809,7 +835,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -833,13 +859,13 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
@@ -847,7 +873,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
     variable v_dp  : t_dp_siso_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -860,7 +886,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp  : t_dp_sosi_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -875,19 +901,19 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -899,7 +925,7 @@ package body dp_stream_pkg is
     return v_ctrl;
   end func_dp_stream_arr_get;
 
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -915,7 +941,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -928,7 +954,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -941,7 +967,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -954,7 +980,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -967,7 +993,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -980,7 +1006,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -993,7 +1019,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1006,7 +1032,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1019,7 +1045,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_to_range : t_dp_siso_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_siso_arr(in_arr'high downto 0);
   begin
@@ -1036,7 +1062,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_reverse_range;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_to_range : t_dp_sosi_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_sosi_arr(in_arr'high downto 0);
   begin
@@ -1054,7 +1080,7 @@ package body dp_stream_pkg is
   end func_dp_stream_arr_reverse_range;
 
   -- Functions to combinatorially hold the data fields and to set or reset the info and control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     v_dp := func_dp_stream_arr_set_info(   v_dp, info);  -- set sosi info
@@ -1062,7 +1088,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_combine_data_info_ctrl;
 
-  function func_dp_stream_arr_set_info(dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_info (dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi info
@@ -1074,7 +1100,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_info;
 
-  function func_dp_stream_arr_set_control(dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_control (dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi control
@@ -1086,7 +1112,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_control;
 
-  function func_dp_stream_arr_reset_control(dp : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reset_control (dp : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- reset sosi control
@@ -1098,7 +1124,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_reset_control;
 
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;  -- hold sosi data
   begin
     -- reset sosi control
@@ -1110,7 +1136,7 @@ package body dp_stream_pkg is
   end func_dp_stream_reset_control;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '0');  -- init max v_bsn with minimum value
   begin
     for I in dp'range loop
@@ -1123,13 +1149,13 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_max(dp, c_mask, w);
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '1');  -- init min v_bsn with maximum value
   begin
     for I in dp'range loop
@@ -1142,14 +1168,14 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_min;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_min(dp, c_mask, w);
   end func_dp_stream_arr_bsn_min;
 
   -- Function to copy the BSN number of one valid stream to all other streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_bsn : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0) := (others => '0');
     variable v_dp  : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
@@ -1166,14 +1192,14 @@ package body dp_stream_pkg is
 
 
   -- Functions to combinatorially handle channels
-  function func_dp_stream_channel_set(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.channel := TO_UVEC(ch, c_dp_stream_channel_w);
     return v_rec;
   end func_dp_stream_channel_set;
 
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) /= ch then
@@ -1184,7 +1210,7 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_channel_select;
 
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) = ch then
@@ -1196,7 +1222,7 @@ package body dp_stream_pkg is
   end func_dp_stream_channel_remove;
 
 
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.err := TO_UVEC(n, c_dp_stream_error_w);
@@ -1204,7 +1230,7 @@ package body dp_stream_pkg is
   end func_dp_stream_error_set;
 
 
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.bsn := RESIZE_DP_BSN(bsn);
@@ -1212,7 +1238,7 @@ package body dp_stream_pkg is
   end func_dp_stream_bsn_set;
 
 
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi is
     variable v_rec : t_dp_sosi := data;  -- Sosi data fields
   begin
     -- Combine sosi data with the sosi info fields
@@ -1225,7 +1251,7 @@ package body dp_stream_pkg is
   end func_dp_stream_combine_info_and_data;
 
 
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
     variable v_rec : t_dp_sosi_integer;
   begin
     v_rec.sync     := slv_sosi.sync;
@@ -1242,23 +1268,23 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_slv_to_integer;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
+  function func_dp_stream_set_data (dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;
   begin
-      if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
-      elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
-                            v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      else  report "Error in func_dp_stream_set_data for t_dp_sosi";
-      end if;
+    if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
+    elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
+      v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    else  report "Error in func_dp_stream_set_data for t_dp_sosi";
+    end if;
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1267,7 +1293,7 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1278,8 +1304,8 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_re           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1300,17 +1326,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_hi           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1333,17 +1359,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1352,17 +1378,17 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1371,18 +1397,18 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, 1, true);
   end;
 
   -- Concatenate the data (and complex fields) from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
     constant c_compl_data_w : natural   := data_w / 2;
     variable v_src_out      : t_dp_sosi := snk_in_arr(0);
   begin
@@ -1397,7 +1423,7 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_concat(src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
     variable v_snk_out_arr : t_dp_siso_arr(nof_streams - 1 downto 0);
   begin
     for i in v_snk_out_arr'range loop
@@ -1407,7 +1433,7 @@ package body dp_stream_pkg is
   end;
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_in_w  : natural   := in_w / 2;
     constant c_compl_out_w : natural   := out_w / 2;
     variable v_src_out     : t_dp_sosi := snk_in;
@@ -1445,12 +1471,12 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
   begin
     return func_dp_stream_reconcat(snk_in, in_w, out_w, nof_data, data_representation, true);
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_src_out_arr : t_dp_sosi_arr(snk_in_arr'range) := snk_in_arr;
   begin
     for i in v_src_out_arr'range loop
@@ -1459,13 +1485,13 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
   begin
     return func_dp_stream_reconcat(snk_in_arr, in_w, out_w, nof_data, data_representation, true);
   end;
 
   -- Deconcatenate data from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_deconcat (snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_src_out_arr  : t_dp_sosi_arr(nof_streams - 1 downto 0);
   begin
@@ -1481,7 +1507,7 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
   begin
     return src_out_arr(0);
   end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd
index d1ec55fe6f..adae931402 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
 
 
 package eth_pkg is
@@ -46,12 +46,12 @@ package eth_pkg is
 
   -- Actual frame space in RAM <= c_eth_max_frame_sz, default >= 1520, <= 9020 for jumbo
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*3/2;  -- Use 1536 = 3/2 M9K, to benefit from Rx and Tx in single buffer of 2*1.5=3 M9K, but does
-                                                                 -- yield simulation warning: Address pointed at port A is out of bound!
+  -- yield simulation warning: Address pointed at port A is out of bound!
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*8;  -- Use 8192 = 8 M9K for jumbo frame support with payload size <= 8172
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*9;  -- Use 9216 = 9 M9K for jumbo frame support with payload size <= 9000
   constant c_eth_frame_sz              : natural := 1024 * 2;  -- Use 2048 = 2 M9K to avoid simulation warning: Address pointed at port A is out of bound!
-                                                               -- when the module is used in an Nios II SOPC system
-                                                               -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
+  -- when the module is used in an Nios II SOPC system
+  -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
   constant c_eth_frame_nof_words       : natural := c_eth_frame_sz / c_word_sz;
   constant c_eth_frame_nof_words_w     : natural := ceil_log2(c_eth_frame_nof_words);  -- >= 9 bit, <= 12 bit
 
@@ -74,9 +74,17 @@ package eth_pkg is
     is_dhcp           : std_logic;
   end record;
 
-  constant c_eth_hdr_status_rst : t_eth_hdr_status := ('0', '0', '0', '0',
-                                                       (others => '0'), '0', '0',
-                                                       (others => '0'), '0');
+  constant c_eth_hdr_status_rst : t_eth_hdr_status := (
+    '0',
+    '0',
+    '0',
+    '0',
+    (others => '0'),
+    '0',
+    '0',
+    (others => '0'),
+    '0'
+  );
 
   ------------------------------------------------------------------------------
   -- Definitions for eth demux udp
@@ -185,16 +193,16 @@ package eth_pkg is
   constant c_eth_mm_reg_status_rst : t_eth_mm_reg_status    := ((others => '0'), (others => '0'), '0', '0', '0');
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(  mm_reg : std_logic_vector)     return t_eth_mm_reg_demux;
-  function func_eth_mm_reg_demux(  mm_reg : t_eth_mm_reg_demux)   return std_logic_vector;
-  function func_eth_mm_reg_config( mm_reg : std_logic_vector)     return t_eth_mm_reg_config;
-  function func_eth_mm_reg_config( mm_reg : t_eth_mm_reg_config)  return std_logic_vector;
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector)     return t_eth_mm_reg_control;
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector;
-  function func_eth_mm_reg_frame(  mm_reg : std_logic_vector)     return t_eth_mm_reg_frame;
-  function func_eth_mm_reg_frame(  mm_reg : t_eth_mm_reg_frame)   return std_logic_vector;
-  function func_eth_mm_reg_status( mm_reg : std_logic_vector)     return t_eth_mm_reg_status;
-  function func_eth_mm_reg_status( mm_reg : t_eth_mm_reg_status)  return std_logic_vector;
+  function func_eth_mm_reg_demux (  mm_reg : std_logic_vector) return t_eth_mm_reg_demux;
+  function func_eth_mm_reg_demux (  mm_reg : t_eth_mm_reg_demux) return std_logic_vector;
+  function func_eth_mm_reg_config ( mm_reg : std_logic_vector) return t_eth_mm_reg_config;
+  function func_eth_mm_reg_config ( mm_reg : t_eth_mm_reg_config) return std_logic_vector;
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control;
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector;
+  function func_eth_mm_reg_frame (  mm_reg : std_logic_vector) return t_eth_mm_reg_frame;
+  function func_eth_mm_reg_frame (  mm_reg : t_eth_mm_reg_frame) return std_logic_vector;
+  function func_eth_mm_reg_status ( mm_reg : std_logic_vector) return t_eth_mm_reg_status;
+  function func_eth_mm_reg_status ( mm_reg : t_eth_mm_reg_status) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Definitions for eth_mm_registers
@@ -223,7 +231,7 @@ end eth_pkg;
 package body eth_pkg is
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
+  function func_eth_mm_reg_demux (mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
     variable v_reg : t_eth_mm_reg_demux;
   begin
     -- Demux UDP MM registers
@@ -234,7 +242,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_demux;
 
-  function func_eth_mm_reg_demux(mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
+  function func_eth_mm_reg_demux (mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_demux_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg := (others => '0');  -- rsvd
@@ -246,7 +254,7 @@ package body eth_pkg is
   end func_eth_mm_reg_demux;
 
   -- MM config register
-  function func_eth_mm_reg_config(mm_reg : std_logic_vector) return t_eth_mm_reg_config is
+  function func_eth_mm_reg_config (mm_reg : std_logic_vector) return t_eth_mm_reg_config is
     variable v_reg : t_eth_mm_reg_config;
   begin
     v_reg.udp_port                        := mm_reg(c_network_udp_port_w + 3 * c_word_w - 1 downto 3 * c_word_w);  -- [15:0]  = control UDP port number
@@ -256,7 +264,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_config;
 
-  function func_eth_mm_reg_config(mm_reg : t_eth_mm_reg_config) return std_logic_vector is
+  function func_eth_mm_reg_config (mm_reg : t_eth_mm_reg_config) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_config_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                      := (others => '0');  -- rsvd
@@ -268,7 +276,7 @@ package body eth_pkg is
   end func_eth_mm_reg_config;
 
   -- MM control register
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector) return t_eth_mm_reg_control is
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control is
     variable v_reg : t_eth_mm_reg_control;
   begin
     v_reg.tx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -279,7 +287,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_control;
 
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector is
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_control_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
@@ -292,7 +300,7 @@ package body eth_pkg is
   end func_eth_mm_reg_control;
 
   -- MM frame register
-  function func_eth_mm_reg_frame(mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
+  function func_eth_mm_reg_frame (mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
     variable v_reg : t_eth_mm_reg_frame;
   begin
     v_reg.is_dhcp           := mm_reg(              c_byte_w + 7);  -- [15]
@@ -308,7 +316,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_frame;
 
-  function func_eth_mm_reg_frame(mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
+  function func_eth_mm_reg_frame (mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_frame_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                           := (others => '0');  -- rsvd
@@ -326,7 +334,7 @@ package body eth_pkg is
   end func_eth_mm_reg_frame;
 
   -- MM status register
-  function func_eth_mm_reg_status(mm_reg : std_logic_vector) return t_eth_mm_reg_status is
+  function func_eth_mm_reg_status (mm_reg : std_logic_vector) return t_eth_mm_reg_status is
     variable v_reg : t_eth_mm_reg_status;
   begin
     v_reg.rx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -337,7 +345,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_status;
 
-  function func_eth_mm_reg_status(mm_reg : t_eth_mm_reg_status) return std_logic_vector is
+  function func_eth_mm_reg_status (mm_reg : t_eth_mm_reg_status) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_status_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd
index 2eb92cbc7d..e8bb8d4283 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package tech_tse_pkg is
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd
index 3c94c1901f..274d14a577 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd
@@ -26,10 +26,10 @@
 -- . The avs2_eth_coe_hw.tcl determines the read latency per port
 
 library IEEE, common_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity avs2_eth_coe is
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd
index 3fc6ebb7a2..d49ba9d9fb 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd
@@ -23,9 +23,9 @@
 -- Purpose: Define the fields of network headers
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 package common_network_layers_pkg is
 
@@ -86,9 +86,11 @@ package common_network_layers_pkg is
     eth_type   : std_logic_vector(c_network_eth_type_w - 1 downto 0);
   end record;
 
-  constant c_network_eth_header_ones : t_network_eth_header := ("000000000000000000000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "0000000000000001");
+  constant c_network_eth_header_ones : t_network_eth_header := (
+    "000000000000000000000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- IPv4 Packet
@@ -135,13 +137,13 @@ package common_network_layers_pkg is
   constant c_network_ip_addr_len            : natural := 4;
   constant c_network_ip_addr_w              : natural := c_network_ip_addr_len * c_8;
 
-                                                      -- [0:7]                             [8:15]                      [16:31]
+  -- [0:7]                             [8:15]                      [16:31]
   constant c_network_ip_header_len          : natural := c_network_ip_version_header_len + c_network_ip_services_len + c_network_ip_total_length_len +
                                                          c_network_ip_identification_len +                             c_network_ip_flags_fragment_len +
                                                          c_network_ip_time_to_live_len   + c_network_ip_protocol_len + c_network_ip_header_checksum_len +
                                                          c_network_ip_addr_len +
                                                          c_network_ip_addr_len;
-                                                    -- = c_network_ip_header_length * c_word_sz = 20
+  -- = c_network_ip_header_length * c_word_sz = 20
   -- default field values
   constant c_network_ip_version             : natural := 4;  -- 4 = IPv4,
   constant c_network_ip_header_length       : natural := 5;  -- 5 = nof words in the header, no options field support
@@ -175,11 +177,20 @@ package common_network_layers_pkg is
     dst_ip_addr         : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet
   end record;
 
-  constant c_network_ip_header_ones : t_network_ip_header := ("0001", "0001", "00000001", "0000000000000001",
-                                                              "0000000000000001", "001", "0000000000001",
-                                                              "00000001", "00000001", "0000000000000001",
-                                                              "00000000000000000000000000000001",
-                                                              "00000000000000000000000000000001");
+  constant c_network_ip_header_ones : t_network_ip_header := (
+    "0001",
+    "0001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "001",
+    "0000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "00000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ARP Packet
@@ -216,12 +227,12 @@ package common_network_layers_pkg is
   constant c_network_arp_oper_len           : natural := 2;
   constant c_network_arp_oper_w             : natural := c_network_arp_oper_len * c_8;
 
-                                                      -- [0:15]                       [16:31]
+  -- [0:15]                       [16:31]
   constant c_network_arp_data_len           : natural := c_network_arp_htype_len    + c_network_arp_ptype_len +
                                                          c_network_arp_hlen_len     + c_network_arp_plen_len  + c_network_arp_oper_len +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len   +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len;
-                                                      -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
+  -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
 
   -- default field values
   constant c_network_arp_htype              : natural := 1;  -- Hardware type, 1=ethernet
@@ -247,12 +258,17 @@ package common_network_layers_pkg is
     tpa     : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet, Target Protocol Address
   end record;
 
-  constant c_network_arp_packet_ones : t_network_arp_packet := ("0000000000000001", "0000000000000001",
-                                                                "00000001", "00000001", "0000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001");
+  constant c_network_arp_packet_ones : t_network_arp_packet := (
+    "0000000000000001",
+    "0000000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ICMP (for ping)
@@ -301,8 +317,13 @@ package common_network_layers_pkg is
     sequence   : std_logic_vector(c_network_icmp_sequence_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_icmp_header_ones : t_network_icmp_header := ("00000001", "00000001", "0000000000000001",
-                                                                  "0000000000000001", "0000000000000001");
+  constant c_network_icmp_header_ones : t_network_icmp_header := (
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- UDP Packet
@@ -327,7 +348,7 @@ package common_network_layers_pkg is
   constant c_network_udp_checksum_len       : natural := 2;
   constant c_network_udp_checksum_w         : natural := c_network_udp_checksum_len * c_8;
 
-                                                      -- [0:15]                           [16:31]
+  -- [0:15]                           [16:31]
   constant c_network_udp_header_len         : natural := c_network_udp_port_len         + c_network_udp_port_len +
                                                          c_network_udp_total_length_len + c_network_udp_checksum_len;  -- 8
 
@@ -348,8 +369,12 @@ package common_network_layers_pkg is
     checksum     : std_logic_vector(c_network_udp_checksum_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_udp_header_ones : t_network_udp_header := ("0000000000000001", "0000000000000001",
-                                                                "0000000000000001", "0000000000000001");
+  constant c_network_udp_header_ones : t_network_udp_header := (
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
 end common_network_layers_pkg;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
index 9469fd2656..cfde8ebf26 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
@@ -30,9 +30,9 @@
 -- . More information can be found in the comments near the code.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
 
 package common_pkg is
 
@@ -165,315 +165,318 @@ package common_pkg is
 
   -- All functions assume [high downto low] input ranges
 
-  function pow2(n : natural) return natural;  -- = 2**n
-  function ceil_pow2(n : integer) return natural;  -- = 2**n, returns 1 for n<0
+  function pow2 (n : natural) return natural;  -- = 2**n
+  function ceil_pow2 (n : integer) return natural;  -- = 2**n, returns 1 for n<0
 
-  function true_log2(n : natural) return natural;  -- true_log2(n) = log2(n)
-  function ceil_log2(n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
+  function true_log2 (n : natural) return natural;  -- true_log2(n) = log2(n)
+  function ceil_log2 (n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
 
-  function floor_log10(n : natural) return natural;
+  function floor_log10 (n : natural) return natural;
 
-  function is_pow2(n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
-  function true_log_pow2(n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
+  function is_pow2 (n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
+  function true_log_pow2 (n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
 
-  function ratio( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
-  function ratio2(n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
+  function ratio ( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
+  function ratio2 (n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
 
-  function ceil_div(   n, d : natural)  return natural;  -- ceil_div    = n/d + (n MOD d)/=0
-  function ceil_value( n, d : natural)  return natural;  -- ceil_value  = ceil_div(n, d) * d
-  function floor_value(n, d : natural)  return natural;  -- floor_value = (n/d) * d
-  function ceil_div(   n : unsigned; d: natural) return unsigned;
-  function ceil_value( n : unsigned; d: natural) return unsigned;
-  function floor_value(n : unsigned; d: natural) return unsigned;
+  function ceil_div (   n, d : natural) return natural;  -- ceil_div    = n/d + (n MOD d)/=0
+  function ceil_value ( n, d : natural) return natural;  -- ceil_value  = ceil_div(n, d) * d
+  function floor_value (n, d : natural) return natural;  -- floor_value = (n/d) * d
+  function ceil_div (   n : unsigned; d: natural) return unsigned;
+  function ceil_value ( n : unsigned; d: natural) return unsigned;
+  function floor_value (n : unsigned; d: natural) return unsigned;
 
-  function slv(n: in std_logic)        return std_logic_vector;  -- standard logic to 1 element standard logic vector
-  function sl( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
+  function slv (n: in std_logic) return std_logic_vector;  -- standard logic to 1 element standard logic vector
+  function sl ( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
-  function to_natural_arr(n : t_nat_natural_arr)                return t_natural_arr;
-  function to_integer_arr(n : t_natural_arr)                    return t_integer_arr;
-  function to_integer_arr(n : t_nat_natural_arr)                return t_integer_arr;
-  function to_slv_32_arr( n : t_integer_arr)                    return t_slv_32_arr;
-  function to_slv_32_arr( n : t_natural_arr)                    return t_slv_32_arr;
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr;
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr;
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr;
+  function to_slv_32_arr ( n : t_integer_arr) return t_slv_32_arr;
+  function to_slv_32_arr ( n : t_natural_arr) return t_slv_32_arr;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
-  function vector_and(slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
-  function vector_or( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
-  function vector_xor(slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
+  function vector_and (slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
+  function vector_or ( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
+  function vector_xor (slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
 
-  function andv(slv : std_logic_vector) return std_logic;  -- alias of vector_and
-  function orv( slv : std_logic_vector) return std_logic;  -- alias of vector_or
-  function xorv(slv : std_logic_vector) return std_logic;  -- alias of vector_xor
+  function andv (slv : std_logic_vector) return std_logic;  -- alias of vector_and
+  function orv ( slv : std_logic_vector) return std_logic;  -- alias of vector_or
+  function xorv (slv : std_logic_vector) return std_logic;  -- alias of vector_xor
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
-  function matrix_or( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
+  function matrix_or ( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
 
-  function smallest(n, m    : integer)       return integer;
-  function smallest(n, m, l : integer)       return integer;
-  function smallest(n       : t_natural_arr) return natural;
+  function smallest (n, m    : integer) return integer;
+  function smallest (n, m, l : integer) return integer;
+  function smallest (n       : t_natural_arr) return natural;
 
-  function largest(n, m : integer)       return integer;
-  function largest(n    : t_natural_arr) return natural;
+  function largest (n, m : integer) return integer;
+  function largest (n    : t_natural_arr) return natural;
 
-  function func_sum(    n : t_natural_arr)     return natural;  -- sum     of all elements in array
-  function func_sum(    n : t_nat_natural_arr) return natural;
-  function func_product(n : t_natural_arr)     return natural;  -- product of all elements in array
-  function func_product(n : t_nat_natural_arr) return natural;
+  function func_sum (    n : t_natural_arr) return natural;  -- sum     of all elements in array
+  function func_sum (    n : t_nat_natural_arr) return natural;
+  function func_product (n : t_natural_arr) return natural;  -- product of all elements in array
+  function func_product (n : t_nat_natural_arr) return natural;
 
-  function "+" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise sum
-  function "+" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise sum
-  function "+" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise sum
+  function "+" (L, R: t_natural_arr) return t_natural_arr;  -- element wise sum
+  function "+" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise sum
+  function "+" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise sum
 
-  function "-" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise subtract
-  function "-" (L, R: t_natural_arr)               return t_integer_arr;  -- element wise subtract, support negative result
-  function "-" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise subtract
-  function "-" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_integer_arr;  -- element wise subtract, support negative result
+  function "-" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise subtract
+  function "-" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise subtract
 
-  function "*" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise product
-  function "*" (L   : t_natural_arr; R : natural)  return t_natural_arr;  -- element wise product
-  function "*" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise product
+  function "*" (L, R: t_natural_arr) return t_natural_arr;  -- element wise product
+  function "*" (L   : t_natural_arr; R : natural) return t_natural_arr;  -- element wise product
+  function "*" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise product
 
-  function "/" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise division
+  function "/" (L, R: t_natural_arr) return t_natural_arr;  -- element wise division
   function "/" (L   : t_natural_arr; R : positive) return t_natural_arr;  -- element wise division
-  function "/" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise division
-
-  function is_true(a : std_logic) return boolean;
-  function is_true(a : std_logic) return natural;
-  function is_true(a : boolean)   return std_logic;
-  function is_true(a : boolean)   return natural;
-  function is_true(a : integer)   return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
-  function is_true(a : integer)   return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
-
-  function sel_a_b(sel,           a, b : boolean)           return boolean;
-  function sel_a_b(sel,           a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : real)              return real;
-  function sel_a_b(sel : boolean; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : signed)            return signed;
-  function sel_a_b(sel : boolean; a, b : unsigned)          return unsigned;
-  function sel_a_b(sel : boolean; a, b : t_integer_arr)     return t_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_natural_arr)     return t_natural_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
-  function sel_a_b(sel : boolean; a, b : string)            return string;
-  function sel_a_b(sel : integer; a, b : string)            return string;
-  function sel_a_b(sel : boolean; a, b : time)              return time;
-  function sel_a_b(sel : boolean; a, b : severity_level)    return severity_level;
+  function "/" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise division
+
+  function is_true (a : std_logic) return boolean;
+  function is_true (a : std_logic) return natural;
+  function is_true (a : boolean) return std_logic;
+  function is_true (a : boolean) return natural;
+  function is_true (a : integer) return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
+  function is_true (a : integer) return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
+
+  function sel_a_b (sel,           a, b : boolean) return boolean;
+  function sel_a_b (sel,           a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : real) return real;
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : signed) return signed;
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned;
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
+  function sel_a_b (sel : boolean; a, b : string) return string;
+  function sel_a_b (sel : integer; a, b : string) return string;
+  function sel_a_b (sel : boolean; a, b : time) return time;
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level;
 
   -- sel_n() index sel = 0, 1, 2, ... will return a, b, c, ...
-  function sel_n(sel : natural; a, b, c                      : boolean) return boolean;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
-
-  function sel_n(sel : natural; a, b, c                      : integer) return integer;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : integer) return integer;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
-
-  function sel_n(sel : natural; a, b                         : string) return string;  -- 2
-  function sel_n(sel : natural; a, b, c                      : string) return string;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : string) return string;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
-
-  function array_init(init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
-  function array_init(init,             nof, incr        : natural) return t_nat_natural_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_16_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_32_arr;
-  function array_init(init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-  function array_init(init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
-  function array_sinit(init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
+  function sel_n (sel : natural; a, b, c                      : boolean) return boolean;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
+
+  function sel_n (sel : natural; a, b, c                      : integer) return integer;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : integer) return integer;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
+
+  function sel_n (sel : natural; a, b                         : string) return string;  -- 2
+  function sel_n (sel : natural; a, b, c                      : string) return string;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : string) return string;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
+
+  function array_init (init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
+  function array_init (init,             nof, incr        : natural) return t_nat_natural_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_16_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_32_arr;
+  function array_init (init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+  function array_init (init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
+  function array_sinit (init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
 
   -- Concatenate two or more STD_LOGIC_VECTORs into a single STD_LOGIC_VECTOR or extract one of them from a concatenated STD_LOGIC_VECTOR
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
-  function func_slv_concat_w(use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-
-  function TO_UINT(vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
-  function TO_SINT(vec : std_logic_vector) return integer;
-
-  function TO_UVEC(dec, w : natural) return std_logic_vector;
-  function TO_SVEC(dec, w : integer) return std_logic_vector;
-
-  function TO_SVEC_32(dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
-
--- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
+  function func_slv_concat_w (use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+
+  function TO_UINT (vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
+  function TO_SINT (vec : std_logic_vector) return integer;
+
+  function TO_UVEC (dec, w : natural) return std_logic_vector;
+  function TO_SVEC (dec, w : integer) return std_logic_vector;
+
+  function TO_SVEC_32 (dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  -- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
   -- behaviour of preserving the sign bit is less suitable for DSP and not necessary in general. A more
   -- appropriate approach is to ignore the MSbit sign and just keep the LS part. For too large values this
   -- means that the result gets wrapped, but that is fine for default behaviour, because that is also what
   -- happens for RESIZE of UNSIGNED. Therefor this is what the RESIZE_NUM for SIGNED and the RESIZE_SVEC do
   -- and better not use RESIZE for SIGNED anymore.
-  function RESIZE_NUM( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
-  function RESIZE_NUM( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
-  function RESIZE_UVEC(sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
-  function RESIZE_UINT(u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
-  function RESIZE_SINT(s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
-
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
-
-  function INCR_UVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : signed)   return std_logic_vector;
-                                                                                                                   -- Used in common_add_sub.vhd
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
-
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
-
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-
-  function offset_binary(a : std_logic_vector) return std_logic_vector;
-
-  function truncate(                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
-  function truncate_and_resize_uvec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
-  function truncate_and_resize_svec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function scale(                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
-  function scale_and_resize_uvec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
-  function scale_and_resize_svec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function truncate_or_resize_uvec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
-  function truncate_or_resize_svec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
-
-  function s_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
-  function s_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function s_round_up(vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function u_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
-  function u_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem round up for unsigned values
-
-  function u_to_s(u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
-  function s_to_u(s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
-
-  function u_wrap(u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
-  function s_wrap(s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
-
-  function u_clip(u : natural; max : natural) return natural;  -- if s < max return s, else return n
-  function s_clip(s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
-  function s_clip(s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
-
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
-  function hton(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
-  function hton(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
-  function ntoh(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
-  function ntoh(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
-
-  function flip(a : std_logic_vector)  return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
-  function flip(a, w : natural)        return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
-  function flip(a : t_slv_32_arr)      return t_slv_32_arr;
-  function flip(a : t_integer_arr)     return t_integer_arr;
-  function flip(a : t_natural_arr)     return t_natural_arr;
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr;
-
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
-  function transpose(a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
-
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
-
-  function pad(str: string; width: natural; pad_char: character) return string;
-
-  function slice_up(str: string; width: natural; i: natural) return string;
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string;
-  function slice_dn(str: string; width: natural; i: natural) return string;
-
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
+  function RESIZE_NUM ( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
+  function RESIZE_NUM ( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
+  function RESIZE_UVEC (sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
+  function RESIZE_UINT (u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
+  function RESIZE_SINT (s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
+
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector;
+  -- Used in common_add_sub.vhd
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
+
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
+
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+
+  function offset_binary (a : std_logic_vector) return std_logic_vector;
+
+  function truncate (                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
+  function truncate_and_resize_uvec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
+  function truncate_and_resize_svec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function scale (                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
+  function scale_and_resize_uvec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
+  function scale_and_resize_svec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function truncate_or_resize_uvec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
+  function truncate_or_resize_svec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
+
+  function s_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
+  function s_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function u_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
+  function u_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem round up for unsigned values
+
+  function u_to_s (u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
+  function s_to_u (s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
+
+  function u_wrap (u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
+  function s_wrap (s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
+
+  function u_clip (u : natural; max : natural) return natural;  -- if s < max return s, else return n
+  function s_clip (s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
+  function s_clip (s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
+
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
+  function hton (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
+  function hton (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
+  function ntoh (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
+  function ntoh (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
+
+  function flip (a : std_logic_vector) return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
+  function flip (a, w : natural) return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
+  function flip (a : t_slv_32_arr) return t_slv_32_arr;
+  function flip (a : t_integer_arr) return t_integer_arr;
+  function flip (a : t_natural_arr) return t_natural_arr;
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr;
+
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
+  function transpose (a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
+
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
+
+  function pad (str: string; width: natural; pad_char: character) return string;
+
+  function slice_up (str: string; width: natural; i: natural) return string;
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string;
+  function slice_dn (str: string; width: natural; i: natural) return string;
+
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Component specific functions
   ------------------------------------------------------------------------------
 
   -- common_fifo_*
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic);
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic);
 
   -- common_fanout_tree
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
 
   -- common_reorder_symbol
-  function func_common_reorder2_is_there(I, J : natural) return boolean;
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean;
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer;
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural;
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr;
+  function func_common_reorder2_is_there (I, J : natural) return boolean;
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean;
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer;
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural;
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr;
 
   -- Generate faster sample SCLK from digital DCLK for sim only
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic);
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic);
 
 end common_pkg;
 
 package body common_pkg is
 
-  function pow2(n : natural) return natural is
+  function pow2 (n : natural) return natural is
   begin
     return 2**n;
   end;
 
-  function ceil_pow2(n : integer) return natural is
+  function ceil_pow2 (n : integer) return natural is
   -- Also allows negative exponents and rounds up before returning the value
   begin
     return natural(integer(ceil(2**real(n))));
   end;
 
-  function true_log2(n : natural) return natural is
+  function true_log2 (n : natural) return natural is
   -- Purpose: For calculating extra vector width of existing vector
   -- Description: Return mathematical ceil(log2(n))
   --   n    log2()
@@ -492,7 +495,7 @@ package body common_pkg is
     return natural(integer(ceil(log2(real(n)))));
   end;
 
-  function ceil_log2(n : natural) return natural is
+  function ceil_log2 (n : natural) return natural is
   -- Purpose: For calculating vector width of new vector
   -- Description:
   --   Same as true_log2() except ceil_log2(1) = 1, which is needed to support
@@ -510,22 +513,22 @@ package body common_pkg is
     end if;
   end;
 
-  function floor_log10(n : natural) return natural is
+  function floor_log10 (n : natural) return natural is
   begin
     return natural(integer(floor(log10(real(n)))));
   end;
 
-  function is_pow2(n : natural) return boolean is
+  function is_pow2 (n : natural) return boolean is
   begin
     return n = 2**true_log2(n);
   end;
 
-  function true_log_pow2(n : natural) return natural is
+  function true_log_pow2 (n : natural) return natural is
   begin
     return 2**true_log2(n);
   end;
 
-  function ratio(n, d : natural) return natural is
+  function ratio (n, d : natural) return natural is
   begin
     if n mod d = 0 then
       return n / d;
@@ -534,32 +537,32 @@ package body common_pkg is
     end if;
   end;
 
-  function ratio2(n, m : natural) return natural is
+  function ratio2 (n, m : natural) return natural is
   begin
     return largest(ratio(n,m), ratio(m,n));
   end;
 
-  function ceil_div(n, d : natural) return natural is
+  function ceil_div (n, d : natural) return natural is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);
   end;
 
-  function ceil_value(n, d : natural) return natural is
+  function ceil_value (n, d : natural) return natural is
   begin
     return ceil_div(n, d) * d;
   end;
 
-  function floor_value(n, d : natural) return natural is
+  function floor_value (n, d : natural) return natural is
   begin
     return (n / d) * d;
   end;
 
-  function ceil_div(n : unsigned; d: natural) return unsigned is
+  function ceil_div (n : unsigned; d: natural) return unsigned is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);  -- "/" returns same width as n
   end;
 
-  function ceil_value(n : unsigned; d: natural) return unsigned is
+  function ceil_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -567,7 +570,7 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function floor_value(n : unsigned; d: natural) return unsigned is
+  function floor_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -575,21 +578,21 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function slv(n: in std_logic) return std_logic_vector is
+  function slv (n: in std_logic) return std_logic_vector is
     variable r : std_logic_vector(0 downto 0);
   begin
     r(0) := n;
     return r;
   end;
 
-  function sl(n: in std_logic_vector) return std_logic is
+  function sl (n: in std_logic_vector) return std_logic is
     variable r : std_logic;
   begin
     r := n(n'low);
     return r;
   end;
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr is
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -607,7 +610,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_natural_arr(n : t_nat_natural_arr) return t_natural_arr is
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr is
     variable vN : t_nat_natural_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -618,7 +621,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_integer_arr(n'length - 1 downto 0);
   begin
@@ -629,14 +632,14 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_nat_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return to_integer_arr(vN);
   end;
 
-  function to_slv_32_arr(n : t_integer_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_integer_arr) return t_slv_32_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -647,7 +650,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_slv_32_arr(n : t_natural_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_natural_arr) return t_slv_32_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -658,7 +661,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic is
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic is
     -- Linear loop to determine result takes combinatorial delay that is proportional to slv'LENGTH:
     --   FOR I IN slv'RANGE LOOP
     --     v_result := v_result OPERATION slv(I);
@@ -691,22 +694,22 @@ package body common_pkg is
     return v_stage_arr(c_nof_stages - 1)(0);
   end;
 
-  function vector_and(slv : std_logic_vector) return std_logic is
+  function vector_and (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function vector_or(slv : std_logic_vector) return std_logic is
+  function vector_or (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function vector_xor(slv : std_logic_vector) return std_logic is
+  function vector_xor (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector is
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector is
     variable v_one_hot : boolean := false;
     variable v_zeros   : std_logic_vector(slv'range) := (others => '0');
   begin
@@ -725,22 +728,22 @@ package body common_pkg is
     return slv;
   end;
 
-  function andv(slv : std_logic_vector) return std_logic is
+  function andv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function orv(slv : std_logic_vector) return std_logic is
+  function orv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function xorv(slv : std_logic_vector) return std_logic is
+  function xorv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '1';
   begin
@@ -752,7 +755,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function matrix_or(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_or (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '0';
   begin
@@ -764,7 +767,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function smallest(n, m : integer) return integer is
+  function smallest (n, m : integer) return integer is
   begin
     if n < m then
       return n;
@@ -773,16 +776,16 @@ package body common_pkg is
     end if;
   end;
 
-  function smallest(n, m, l : integer) return integer is
+  function smallest (n, m, l : integer) return integer is
     variable v : natural;
   begin
-                  v := n;
+    v := n;
     if v > m then v := m; end if;
     if v > l then v := l; end if;
     return v;
   end;
 
-  function smallest(n : t_natural_arr) return natural is
+  function smallest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -793,7 +796,7 @@ package body common_pkg is
     return m;
   end;
 
-  function largest(n, m : integer) return integer is
+  function largest (n, m : integer) return integer is
   begin
     if n > m then
       return n;
@@ -802,7 +805,7 @@ package body common_pkg is
     end if;
   end;
 
-  function largest(n : t_natural_arr) return natural is
+  function largest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -813,7 +816,7 @@ package body common_pkg is
     return m;
   end;
 
-  function func_sum(n : t_natural_arr) return natural is
+  function func_sum (n : t_natural_arr) return natural is
     variable vS : natural;
   begin
     vS := 0;
@@ -823,14 +826,14 @@ package body common_pkg is
     return vS;
   end;
 
-  function func_sum(n : t_nat_natural_arr) return natural is
+  function func_sum (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return func_sum(vN);
   end;
 
-  function func_product(n : t_natural_arr) return natural is
+  function func_product (n : t_natural_arr) return natural is
     variable vP : natural;
   begin
     vP := 1;
@@ -840,7 +843,7 @@ package body common_pkg is
     return vP;
   end;
 
-  function func_product(n : t_nat_natural_arr) return natural is
+  function func_product (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
@@ -999,14 +1002,14 @@ package body common_pkg is
     return vP;
   end;
 
-  function is_true(a : std_logic) return boolean   is begin if a = '1'  then return true; else return false; end if; end;
-  function is_true(a : std_logic) return natural   is begin if a = '1'  then return 1;    else return 0;     end if; end;
-  function is_true(a : boolean)   return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
-  function is_true(a : boolean)   return natural   is begin if a = true then return 1;    else return 0;     end if; end;
-  function is_true(a : integer)   return boolean   is begin if a /= 0   then return true; else return false; end if; end;
-  function is_true(a : integer)   return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
+  function is_true (a : std_logic) return boolean is begin if a = '1'  then return true; else return false; end if; end;
+  function is_true (a : std_logic) return natural is begin if a = '1'  then return 1;    else return 0;     end if; end;
+  function is_true (a : boolean) return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
+  function is_true (a : boolean) return natural is begin if a = true then return 1;    else return 0;     end if; end;
+  function is_true (a : integer) return boolean is begin if a /= 0   then return true; else return false; end if; end;
+  function is_true (a : integer) return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
 
-  function sel_a_b(sel, a, b : integer) return integer is
+  function sel_a_b (sel, a, b : integer) return integer is
   begin
     if sel /= 0 then
       return a;
@@ -1015,7 +1018,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel, a, b : boolean) return boolean is
+  function sel_a_b (sel, a, b : boolean) return boolean is
   begin
     if sel = true then
       return a;
@@ -1024,7 +1027,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : integer) return integer is
+  function sel_a_b (sel : boolean; a, b : integer) return integer is
   begin
     if sel = true then
       return a;
@@ -1033,7 +1036,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : real) return real is
+  function sel_a_b (sel : boolean; a, b : real) return real is
   begin
     if sel = true then
       return a;
@@ -1042,7 +1045,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic is
   begin
     if sel = true then
       return a;
@@ -1051,7 +1054,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic is
   begin
     if sel /= 0 then
       return a;
@@ -1060,7 +1063,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel /= 0 then
       return a;
@@ -1069,7 +1072,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel = true then
       return a;
@@ -1078,7 +1081,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : signed) return signed is
+  function sel_a_b (sel : boolean; a, b : signed) return signed is
   begin
     if sel = true then
       return a;
@@ -1087,7 +1090,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : unsigned) return unsigned is
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned is
   begin
     if sel = true then
       return a;
@@ -1096,7 +1099,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_integer_arr) return t_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1105,7 +1108,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_natural_arr) return t_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1114,7 +1117,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1123,7 +1126,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1132,7 +1135,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : string) return string is
+  function sel_a_b (sel : boolean; a, b : string) return string is
   begin
     if sel = true then
       return a;
@@ -1141,7 +1144,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : string) return string is
+  function sel_a_b (sel : integer; a, b : string) return string is
   begin
     if sel /= 0 then
       return a;
@@ -1150,7 +1153,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : time) return time is
+  function sel_a_b (sel : boolean; a, b : time) return time is
   begin
     if sel = true then
       return a;
@@ -1159,7 +1162,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : severity_level) return severity_level is
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level is
   begin
     if sel = true then
       return a;
@@ -1169,115 +1172,115 @@ package body common_pkg is
   end;
 
   -- sel_n : boolean
-  function sel_n(sel : natural; a, b, c : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : integer
-  function sel_n(sel : natural; a, b, c : integer) return integer is
+  function sel_n (sel : natural; a, b, c : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
-  function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
-  function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
-
-  function array_init(init : std_logic; nof : natural) return std_logic_vector is
+  function sel_n (sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n (sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
+  function sel_n (sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
+
+  function array_init (init : std_logic; nof : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1286,7 +1289,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_natural_arr is
+  function array_init (init, nof : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1295,7 +1298,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_nat_natural_arr is
+  function array_init (init, nof : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1304,7 +1307,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_natural_arr is
+  function array_init (init, nof, incr : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1316,7 +1319,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_nat_natural_arr is
+  function array_init (init, nof, incr : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1328,7 +1331,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_16_arr is
+  function array_init (init, nof, incr : integer) return t_slv_16_arr is
     variable v_arr : t_slv_16_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1340,7 +1343,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_32_arr is
+  function array_init (init, nof, incr : integer) return t_slv_32_arr is
     variable v_arr : t_slv_32_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1352,7 +1355,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width : natural) return std_logic_vector is
+  function array_init (init, nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1361,7 +1364,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width, incr : natural) return std_logic_vector is
+  function array_init (init, nof, width, incr : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
     variable v_i   : natural;
   begin
@@ -1373,7 +1376,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_sinit(init :integer; nof, width : natural) return std_logic_vector is
+  function array_sinit (init :integer; nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1382,7 +1385,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix is
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix is
     variable v_mat : t_slv_64_matrix(nof_a - 1 downto 0, nof_b - 1 downto 0);
   begin
     for I in 0 to nof_a - 1 loop
@@ -1395,7 +1398,7 @@ package body common_pkg is
 
 
   -- Support concatenation of up to 7 slv into 1 slv
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
     constant c_max_w : natural := a'length + b'length + c'length + d'length + e'length + f'length + g'length;
     variable v_res   : std_logic_vector(c_max_w - 1 downto 0) := (others => '0');
     variable v_len   : natural := 0;
@@ -1410,32 +1413,32 @@ package body common_pkg is
     return v_res(v_len - 1 downto 0);
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, false, a, b, c, d, e, f, "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, false, false, a, b, c, d, e, "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, false, false, false, a, b, c, d, "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, false, false, false, false, a, b, c, "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, false, false, false, false, false, a, b, "0", "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
     variable v_len : natural := 0;
   begin
     if use_a = true then v_len := v_len + a_w; end if;
@@ -1448,33 +1451,33 @@ package body common_pkg is
     return v_len;
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b : boolean; a_w, b_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b : boolean; a_w, b_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0);
   end func_slv_concat_w;
 
   -- extract slv
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
     variable v_w  : natural := 0;
     variable v_lo : natural := 0;
   begin
@@ -1520,64 +1523,64 @@ package body common_pkg is
     return vec(v_w - 1 + v_lo downto v_lo);  -- extracted slv
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
 
-  function TO_UINT(vec : std_logic_vector) return natural is
+  function TO_UINT (vec : std_logic_vector) return natural is
   begin
     return to_integer(unsigned(vec));
   end;
 
-  function TO_SINT(vec : std_logic_vector) return integer is
+  function TO_SINT (vec : std_logic_vector) return integer is
   begin
     return to_integer(signed(vec));
   end;
 
-  function TO_UVEC(dec, w : natural) return std_logic_vector is
+  function TO_UVEC (dec, w : natural) return std_logic_vector is
   begin
     return std_logic_vector(to_unsigned(dec, w));
   end;
 
-  function TO_SVEC(dec, w : integer) return std_logic_vector is
+  function TO_SVEC (dec, w : integer) return std_logic_vector is
   begin
     return std_logic_vector(to_signed(dec, w));
   end;
 
-  function TO_SVEC_32(dec : integer) return std_logic_vector is
+  function TO_SVEC_32 (dec : integer) return std_logic_vector is
   begin
     return TO_SVEC(dec, 32);
   end;
 
-  function RESIZE_NUM(u : unsigned; w : natural) return unsigned is
+  function RESIZE_NUM (u : unsigned; w : natural) return unsigned is
   begin
     -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
     return resize(u, w);
   end;
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -1587,47 +1590,47 @@ package body common_pkg is
     end if;
   end;
 
-  function RESIZE_UVEC(sl : std_logic; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (sl : std_logic; w : natural) return std_logic_vector is
     variable v_slv0 : std_logic_vector(w - 1 downto 1) := (others => '0');
   begin
     return v_slv0 & sl;
   end;
 
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(vec), w));
   end;
 
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(vec), w));
   end;
 
-  function RESIZE_UINT(u : integer; w : natural) return integer is
+  function RESIZE_UINT (u : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_UVEC(u, c_word_w);
     return TO_UINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_SINT(s : integer; w : natural) return integer is
+  function RESIZE_SINT (s : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_SVEC(s, c_word_w);
     return TO_SINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, 32);
   end;
 
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, 32);
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     if dec < 0 then
@@ -1639,74 +1642,74 @@ package body common_pkg is
     end if;
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector is
   begin
     return std_logic_vector(unsigned(vec) + dec);
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     return std_logic_vector(signed(vec) + v_dec);  -- uses function "+" (L : SIGNED, R : INTEGER)
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : signed) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector is
   begin
     return std_logic_vector(signed(vec) + dec);
   end;
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) + signed(r_vec));
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) - signed(r_vec));
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) + unsigned(r_vec));
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) - unsigned(r_vec));
   end;
 
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_re * b_re - a_im * b_im);
   end;
 
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_im * b_re + a_re * b_im);
   end;
 
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(unsigned(vec), -shift));  -- fill zeros from right
@@ -1715,7 +1718,7 @@ package body common_pkg is
     end if;
   end;
 
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(signed(vec), -shift));  -- same as SHIFT_LEFT for UNSIGNED
@@ -1741,24 +1744,24 @@ package body common_pkg is
   -- The offset_binary() mapping can be done and undone both ways.
   -- The offset_binary() mapping to two-complement binary yields a DC offset
   -- of -0.5 Lsb.
-  function offset_binary(a : std_logic_vector) return std_logic_vector is
+  function offset_binary (a : std_logic_vector) return std_logic_vector is
     variable v_res : std_logic_vector(a'length - 1 downto 0) := a;
   begin
-   v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
-   return v_res;
+    v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
+    return v_res;
   end;
 
-  function truncate(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function truncate (vec : std_logic_vector; n : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_vec     : std_logic_vector(c_vec_w - 1 downto 0) := vec;
     variable v_res     : std_logic_vector(c_trunc_w - 1 downto 0);
   begin
-   v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
-   return v_res;
+    v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
+    return v_res;
   end;
 
-  function truncate_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1769,7 +1772,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1780,7 +1783,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale(vec : std_logic_vector; n: natural) return std_logic_vector is
+  function scale (vec : std_logic_vector; n: natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_res     : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1789,7 +1792,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1800,7 +1803,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1811,7 +1814,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_uvec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_uvec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1824,7 +1827,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_svec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_svec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1856,7 +1859,7 @@ package body common_pkg is
   --   maximum product is -8*-8=+64 <= 127-8, so wrapping due to rounding
   --   overflow will never occur.
 
-  function s_round(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
     -- Use SIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1884,24 +1887,24 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function s_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return s_round(vec, n, false);  -- no round clip
   end;
 
   -- An alternative is to always round up, also for negative numbers (i.e. s_round_up = u_round).
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
   begin
     return u_round(vec, n, clip);
   end;
 
-  function s_round_up(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
   -- Unsigned numbers are round up (almost same as s_round, but without the else on negative vec)
-  function u_round(vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
     -- Use UNSIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1925,36 +1928,36 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function u_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
-  function u_to_s(u : natural; w : natural) return integer is
+  function u_to_s (u : natural; w : natural) return integer is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_u(w - 1 downto 0));
   end;
 
-  function s_to_u(s : integer; w : natural) return natural is
+  function s_to_u (s : integer; w : natural) return natural is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_s(w - 1 downto 0));
   end;
 
-  function u_wrap(u : natural; w : natural) return natural is
+  function u_wrap (u : natural; w : natural) return natural is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_u(w - 1 downto 0));
   end;
 
-  function s_wrap(s : integer; w : natural) return integer is
+  function s_wrap (s : integer; w : natural) return integer is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_s(w - 1 downto 0));
   end;
 
-  function u_clip(u : natural; max : natural) return natural is
+  function u_clip (u : natural; max : natural) return natural is
   begin
     if u > max then
       return max;
@@ -1963,7 +1966,7 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural; min : integer) return integer is
+  function s_clip (s : integer; max : natural; min : integer) return integer is
   begin
     if s < min then
       return min;
@@ -1976,12 +1979,12 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural) return integer is
+  function s_clip (s : integer; max : natural) return integer is
   begin
     return s_clip(s, max, -max);
   end;
 
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;  -- map a to range [h:0]
     variable v_b : std_logic_vector(a'length - 1 downto 0) := a;  -- default b = a
     variable vL  : natural;
@@ -1997,28 +2000,28 @@ package body common_pkg is
     return v_b;
   end function;
 
-  function hton(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, c_byte_w, sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function hton(a : std_logic_vector) return std_logic_vector is
+  function hton (a : std_logic_vector) return std_logic_vector is
     constant c_sz : natural := a'length / c_byte_w;
   begin
     return hton(a, c_byte_w, c_sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function ntoh(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function ntoh (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, sz);  -- i.e. ntoh() = hton()
   end function;
 
-  function ntoh(a : std_logic_vector) return std_logic_vector is
+  function ntoh (a : std_logic_vector) return std_logic_vector is
   begin
     return hton(a);  -- i.e. ntoh() = hton()
   end function;
 
-  function flip(a : std_logic_vector) return std_logic_vector is
+  function flip (a : std_logic_vector) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;
     variable v_b : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2028,12 +2031,12 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a, w : natural) return natural is
+  function flip (a, w : natural) return natural is
   begin
     return TO_UINT(flip(TO_UVEC(a, w)));
   end;
 
-  function flip(a : t_slv_32_arr) return t_slv_32_arr is
+  function flip (a : t_slv_32_arr) return t_slv_32_arr is
     variable v_a : t_slv_32_arr(a'length - 1 downto 0) := a;
     variable v_b : t_slv_32_arr(a'length - 1 downto 0);
   begin
@@ -2043,7 +2046,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_integer_arr) return t_integer_arr is
+  function flip (a : t_integer_arr) return t_integer_arr is
     variable v_a : t_integer_arr(a'length - 1 downto 0) := a;
     variable v_b : t_integer_arr(a'length - 1 downto 0);
   begin
@@ -2053,7 +2056,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_natural_arr) return t_natural_arr is
+  function flip (a : t_natural_arr) return t_natural_arr is
     variable v_a : t_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_natural_arr(a'length - 1 downto 0);
   begin
@@ -2063,7 +2066,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr is
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr is
     variable v_a : t_nat_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_nat_natural_arr(a'length - 1 downto 0);
   begin
@@ -2073,7 +2076,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector is
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector is
     variable vIn  : std_logic_vector(a'length - 1 downto 0);
     variable vOut : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2087,7 +2090,7 @@ package body common_pkg is
     return vOut;
   end function;
 
-  function transpose(a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
+  function transpose (a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
     variable vI  : natural;
     variable vJ  : natural;
   begin
@@ -2096,7 +2099,7 @@ package body common_pkg is
     return vJ * col + vI;
   end;
 
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
     -- Examples: split_w(256, 8, 32) = 32;  split_w(16, 8, 32) = 16; split_w(72, 8, 32) = 18;    -- Input_w must be multiple of 2.
     variable r: natural;
   begin
@@ -2111,34 +2114,34 @@ package body common_pkg is
     end loop;
   end;
 
-  function pad(str: string; width: natural; pad_char: character) return string is
+  function pad (str: string; width: natural; pad_char: character) return string is
     variable v_str : string(1 to width) := (others => pad_char);
   begin
     v_str(width - str'length + 1 to width) := str;
     return v_str;
   end;
 
-  function slice_up(str: string; width: natural; i: natural) return string is
+  function slice_up (str: string; width: natural; i: natural) return string is
   begin
     return str(i * width + 1 to (i + 1) * width);
   end;
 
   -- If the input value is not a multiple of the desired width, the return value is padded with
   -- the passed pad value. E.g. if input='10' and desired width is 4, return value is '0010'.
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string is
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string is
     variable padded_str : string(1 to width) := (others => '0');
   begin
     padded_str := pad(str(i * width + 1 to (i + 1) * width), width, '0');
     return padded_str;
   end;
 
-  function slice_dn(str: string; width: natural; i: natural) return string is
+  function slice_dn (str: string; width: natural; i: natural) return string is
   begin
     return str((i + 1) * width - 1 downto i * width);
   end;
 
 
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
     variable v_concat_slv : std_logic_vector(nof_elements * 32 - 1 downto 0) := (others => '0');
   begin
     for i in 0 to nof_elements - 1 loop
@@ -2152,16 +2155,17 @@ package body common_pkg is
   -- common_fifo_*
   ------------------------------------------------------------------------------
 
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic) is
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic) is
   begin
     -- c_fail_rd_emp : when TRUE report FAILURE when read from an empty FIFO, important when FIFO rd_val is not used
     -- c_note_is_ful : when TRUE report NOTE when FIFO goes full, to note that operation is on the limit
@@ -2174,7 +2178,7 @@ package body common_pkg is
     assert not(c_fail_rd_emp = true and rising_edge(rd_clk)  and rd_empty = '1' and rd_en = '1')  report c_fifo_name & " : read from empty fifo occurred!" severity FAILURE;
     assert not(c_note_is_ful = true and rising_edge(wr_full) and wr_rst = '0')                  report c_fifo_name & " : fifo is full now"               severity NOTE;
     assert not(                       rising_edge(wr_clk)  and wr_full = '1'  and wr_en = '1')  report c_fifo_name & " : fifo overflow occurred!"        severity FAILURE;
-    --synthesis translate_on
+  --synthesis translate_on
   end procedure proc_common_fifo_asserts;
 
 
@@ -2182,8 +2186,9 @@ package body common_pkg is
   -- common_fanout_tree
   ------------------------------------------------------------------------------
 
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
     constant k_cell_pipeline_factor_arr : t_natural_arr(c_nof_stages - 1 downto 0) := c_cell_pipeline_factor_arr;
     constant k_cell_pipeline_arr        : t_natural_arr(c_nof_output_per_cell - 1 downto 0) := c_cell_pipeline_arr;
     variable v_stage_pipeline_arr       : t_natural_arr(c_nof_output - 1 downto 0) := (others => 0);
@@ -2204,7 +2209,7 @@ package body common_pkg is
   ------------------------------------------------------------------------------
 
   -- Determine whether the stage I and row J index refer to any (active or redundant) 2-input reorder cell instantiation
-  function func_common_reorder2_is_there(I, J : natural) return boolean is
+  function func_common_reorder2_is_there (I, J : natural) return boolean is
     variable v_odd  : boolean;
     variable v_even : boolean;
   begin
@@ -2214,7 +2219,7 @@ package body common_pkg is
   end func_common_reorder2_is_there;
 
   -- Determine whether the stage I and row J index refer to an active 2-input reorder cell instantiation in a reorder network with N stages
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean is
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean is
     variable v_inst : boolean;
     variable v_act  : boolean;
   begin
@@ -2224,7 +2229,7 @@ package body common_pkg is
   end func_common_reorder2_is_active;
 
   -- Get the index K in the select setting array for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer is
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer is
     constant c_nof_reorder2_per_odd_stage  : natural := N / 2;
     constant c_nof_reorder2_per_even_stage : natural := (N - 1) / 2;
     variable v_nof_odd_stages  : natural;
@@ -2246,7 +2251,7 @@ package body common_pkg is
   end func_common_reorder2_get_select_index;
 
   -- Get the select setting for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural is
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural is
     constant c_nof_select : natural := select_arr'length;
     constant c_select_arr : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel        : natural;
@@ -2261,7 +2266,7 @@ package body common_pkg is
   end func_common_reorder2_get_select;
 
   -- Determine the inverse of a reorder network by using two reorder networks in series
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr is
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr is
     constant c_nof_select      : natural := select_arr'length;
     constant c_select_arr      : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel             : natural;
@@ -2287,8 +2292,8 @@ package body common_pkg is
     else
       -- N is even so only use stage 1 of the inverse_out reorder, the other stages remain at default pass on
       for K in 0 to N / 2 - 1 loop
-         v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
-         v_inverse_arr(v_Ki) := c_select_arr(K);
+        v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
+        v_inverse_arr(v_Ki) := c_select_arr(K);
       end loop;
       -- N is even so leave stage 1 of the inverse_in reorder at default pass on, and do inverse the other stages
       for I in 2 to N loop
@@ -2327,9 +2332,10 @@ package body common_pkg is
   --   that they all apply to the same wide data word that was clocked by the
   --   rising edge of the DCLK.
   ------------------------------------------------------------------------------
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic) is
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic) is
     variable v_dperiod : time;
     variable v_speriod : time;
   begin
@@ -2354,7 +2360,7 @@ package body common_pkg is
       end if;
       wait for v_speriod / 2;
       SCLK <= '1';
-      -- Wait for next DCLK
+    -- Wait for next DCLK
     end loop;
     wait;
   end proc_common_dclk_generate_sclk;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd
index 40128fea21..0fa106e866 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package dp_stream_pkg is
 
@@ -121,15 +121,33 @@ package dp_stream_pkg is
   end record;
 
   constant c_dp_sosi_unsigned_rst  : t_dp_sosi_unsigned := ('0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'));
-  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := ('1',
-                                                            to_unsigned(1, c_dp_stream_bsn_w),
-                                                            to_unsigned(1, c_dp_stream_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            '1', '1', '1',
-                                                            to_unsigned(1, c_dp_stream_empty_w),
-                                                            to_unsigned(1, c_dp_stream_channel_w),
-                                                            to_unsigned(1, c_dp_stream_error_w));
+  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := (
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_bsn_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    '1',
+    '1',
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_empty_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_channel_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_error_w)
+  );
 
   -- Use boolean to define whether a t_dp_siso, t_dp_sosi field is used ('1') or not ('0')
   type t_dp_siso_sl is record
@@ -208,30 +226,34 @@ package dp_stream_pkg is
   type t_dp_sosi_mat is array (integer range <>, integer range <>) of t_dp_sosi;
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
   -- . Use these functions to assign sosi data TO a record field
@@ -240,142 +262,142 @@ package dp_stream_pkg is
   --   Typically the sosi data are treated as unsigned in the record field, so extended with '0'. However for interpretating
   --   signed data in the simulation wave window it is easier to use sign extension in the record field. Therefore TO_DP_SDATA
   --   and RESIZE_DP_SDATA are defined as well.
-  function TO_DP_BSN(     n : natural) return std_logic_vector;
-  function TO_DP_DATA(    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
-  function TO_DP_SDATA(   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
-  function TO_DP_UDATA(   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector;  -- for re and im fields, signed data
-  function TO_DP_DSP_UDATA(n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
-  function TO_DP_EMPTY(   n : natural) return std_logic_vector;
-  function TO_DP_CHANNEL( n : natural) return std_logic_vector;
-  function TO_DP_ERROR(   n : natural) return std_logic_vector;
-  function RESIZE_DP_BSN(     vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_DATA(    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
-  function RESIZE_DP_SDATA(   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
-  function RESIZE_DP_XDATA(   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
-  function RESIZE_DP_EMPTY(   vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_CHANNEL( vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_ERROR(   vec : std_logic_vector) return std_logic_vector;
-
-  function INCR_DP_DATA(    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-  function INCR_DP_SDATA(   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-
-  function REPLICATE_DP_DATA(  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
-
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
+  function TO_DP_BSN (     n : natural) return std_logic_vector;
+  function TO_DP_DATA (    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
+  function TO_DP_SDATA (   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
+  function TO_DP_UDATA (   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector;  -- for re and im fields, signed data
+  function TO_DP_DSP_UDATA (n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
+  function TO_DP_EMPTY (   n : natural) return std_logic_vector;
+  function TO_DP_CHANNEL ( n : natural) return std_logic_vector;
+  function TO_DP_ERROR (   n : natural) return std_logic_vector;
+  function RESIZE_DP_BSN (     vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_DATA (    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
+  function RESIZE_DP_SDATA (   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
+  function RESIZE_DP_XDATA (   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
+  function RESIZE_DP_EMPTY (   vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_CHANNEL ( vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_ERROR (   vec : std_logic_vector) return std_logic_vector;
+
+  function INCR_DP_DATA (    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+  function INCR_DP_SDATA (   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+
+  function REPLICATE_DP_DATA (  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
+
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
 
   -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
   -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
-  function func_dp_data_shift(      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
+  function func_dp_data_shift (      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
+  function func_dp_data_shift_last (            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
 
   -- Determine resulting empty if two streams are concatenated or split
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi;
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi;
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr;                          str : string) return std_logic;
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
 
   -- Fix reversed buses due to connecting TO to DOWNTO range arrays.
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_info(              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_control(           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reset_control(         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_info (              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_control (           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reset_control (         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
 
   -- Reset sosi ctrl and preserve the sosi data (to avoid unnecessary data toggling and to ease data view in Wave window)
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
 
   -- Function to copy the BSN of one valid stream to all output streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
 
   -- Functions to combinatorially handle channels
   -- Note that the *_select and *_remove function are equivalent to dp_demux with g_combined=TRUE
-  function func_dp_stream_channel_set   (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
 
   -- Functions to combinatorially handle the error field
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
 
   -- Functions to combinatorially handle the BSN field
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
 
   -- Functions to combine sosi fields
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to convert sosi fields
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
 
   -- Functions to set the DATA, RE and IM field in a stream.
-  function func_dp_stream_set_data(dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
-
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-   -- . data_order_im_re defines the concatenation order data = im&re or re&im
-   -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
-   -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
-   -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
+
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  -- . data_order_im_re defines the concatenation order data = im&re or re&im
+  -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
+  -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
+  -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
 
   -- Concatenate the data and complex re,im fields from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
-  function func_dp_stream_concat(src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
+  function func_dp_stream_concat (src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
   -- . data_representation = "SIGNED"   treat sosi.data field as signed
@@ -384,14 +406,14 @@ package dp_stream_pkg is
   -- . data_order_im_re = TRUE  then "COMPLEX" data = im&re
   --                      FALSE then "COMPLEX" data = re&im
   --                      ignore when data_representation /= "COMPLEX"
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
 
   -- Deconcatenate data and complex re,im fields from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
 
 end dp_stream_pkg;
 
@@ -399,11 +421,12 @@ end dp_stream_pkg;
 package body dp_stream_pkg is
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     ready_reg(0) <= siso.ready;
     -- Register siso.ready in c_ready_latency registers
@@ -417,20 +440,22 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi, siso, ready_reg);
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     for i in 0 to sosi_arr'length - 1 loop
       ready_reg(i * (c_ready_latency + 1)) <= siso_arr(i).ready;  -- SLV is used as an array: nof_streams*(0..c_ready_latency)
@@ -448,118 +473,119 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
   end proc_dp_siso_alert;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
-  function TO_DP_BSN(n : natural) return std_logic_vector is
+  function TO_DP_BSN (n : natural) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_bsn_w);
   end TO_DP_BSN;
 
-  function TO_DP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_DATA;
 
-  function TO_DP_SDATA(n : integer) return std_logic_vector is
+  function TO_DP_SDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_SDATA;
 
-  function TO_DP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_UDATA (n : integer) return std_logic_vector is
   begin
     return TO_DP_DATA(n);
   end TO_DP_UDATA;
 
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_DATA;
 
-  function TO_DP_DSP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_UDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_UDATA;
 
-  function TO_DP_EMPTY(n : natural) return std_logic_vector is
+  function TO_DP_EMPTY (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_empty_w);
   end TO_DP_EMPTY;
 
-  function TO_DP_CHANNEL(n : natural) return std_logic_vector is
+  function TO_DP_CHANNEL (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_channel_w);
   end TO_DP_CHANNEL;
 
-  function TO_DP_ERROR(n : natural) return std_logic_vector is
+  function TO_DP_ERROR (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_error_w);
   end TO_DP_ERROR;
 
-  function RESIZE_DP_BSN(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_BSN (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_bsn_w);
   end RESIZE_DP_BSN;
 
-  function RESIZE_DP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_DATA;
 
-  function RESIZE_DP_SDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_SDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_SDATA;
 
-  function RESIZE_DP_XDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_XDATA (vec : std_logic_vector) return std_logic_vector is
     variable v_vec : std_logic_vector(c_dp_stream_data_w - 1 downto 0) := (others => 'X');
   begin
     v_vec(vec'length - 1 downto 0) := vec;
     return v_vec;
   end RESIZE_DP_XDATA;
 
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_dsp_data_w);
   end RESIZE_DP_DSP_DATA;
 
-  function RESIZE_DP_EMPTY(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_EMPTY (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_empty_w);
   end RESIZE_DP_EMPTY;
 
-  function RESIZE_DP_CHANNEL(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_CHANNEL (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_channel_w);
   end RESIZE_DP_CHANNEL;
 
-  function RESIZE_DP_ERROR(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_ERROR (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_error_w);
   end RESIZE_DP_ERROR;
 
-  function INCR_DP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DATA(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DATA;
 
-  function INCR_DP_SDATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_SDATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_SDATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_SDATA;
 
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DSP_DATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DSP_DATA;
 
-  function REPLICATE_DP_DATA(seq : std_logic_vector) return std_logic_vector is
+  function REPLICATE_DP_DATA (seq : std_logic_vector) return std_logic_vector is
     constant c_seq_w            : natural := seq'length;
     constant c_nof_replications : natural := ceil_div(c_dp_stream_data_w, c_seq_w);
     constant c_vec_w            : natural := ceil_value(c_dp_stream_data_w, c_seq_w);
@@ -571,7 +597,7 @@ package body dp_stream_pkg is
     return v_vec(c_dp_stream_data_w - 1 downto 0);
   end REPLICATE_DP_DATA;
 
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w :natural) return std_logic_vector is
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w :natural) return std_logic_vector is
     constant c_data_w           : natural := data'length;
     constant c_nof_replications : natural := ceil_div(c_data_w, seq_w);
     constant c_vec_w            : natural := ceil_value(c_data_w, seq_w);
@@ -590,7 +616,7 @@ package body dp_stream_pkg is
     return v_vec(c_data_w - 1 downto 0);
   end UNREPLICATE_DP_DATA;
 
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
     variable v_sosi_unsigned : t_dp_sosi_unsigned;
   begin
     v_sosi_unsigned.sync    := sync;
@@ -608,7 +634,7 @@ package body dp_stream_pkg is
   end TO_DP_SOSI_UNSIGNED;
 
   -- Keep part of head data and combine part of tail data
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
   begin
@@ -625,7 +651,7 @@ package body dp_stream_pkg is
 
 
   -- Shift and combine part of previous data and this data,
-  function func_dp_data_shift(prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
+  function func_dp_data_shift (prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_this;
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
@@ -658,7 +684,7 @@ package body dp_stream_pkg is
 
 
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
+  function func_dp_data_shift_last (tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_tail;
     variable vL     : natural := input_empty;
     variable vN     : natural := nof_symbols_per_data;
@@ -688,7 +714,7 @@ package body dp_stream_pkg is
 
   -- Determine resulting empty if two streams are concatenated
   -- . both empty must use the same nof symbols per data
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a := TO_UINT(head_empty);
@@ -700,7 +726,7 @@ package body dp_stream_pkg is
     return TO_UVEC(v_empty, head_empty'length);
   end func_dp_empty_concat;
 
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a   := TO_UINT(input_empty);
@@ -715,7 +741,7 @@ package body dp_stream_pkg is
 
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi is
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi is
     variable v_sosi : t_dp_sosi := c_dp_sosi_rst;
   begin
     for I in dp'range loop
@@ -729,7 +755,7 @@ package body dp_stream_pkg is
 
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -751,7 +777,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -775,19 +801,19 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -809,7 +835,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -833,13 +859,13 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
@@ -847,7 +873,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
     variable v_dp  : t_dp_siso_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -860,7 +886,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp  : t_dp_sosi_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -875,19 +901,19 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -899,7 +925,7 @@ package body dp_stream_pkg is
     return v_ctrl;
   end func_dp_stream_arr_get;
 
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -915,7 +941,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -928,7 +954,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -941,7 +967,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -954,7 +980,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -967,7 +993,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -980,7 +1006,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -993,7 +1019,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1006,7 +1032,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1019,7 +1045,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_to_range : t_dp_siso_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_siso_arr(in_arr'high downto 0);
   begin
@@ -1036,7 +1062,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_reverse_range;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_to_range : t_dp_sosi_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_sosi_arr(in_arr'high downto 0);
   begin
@@ -1054,7 +1080,7 @@ package body dp_stream_pkg is
   end func_dp_stream_arr_reverse_range;
 
   -- Functions to combinatorially hold the data fields and to set or reset the info and control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     v_dp := func_dp_stream_arr_set_info(   v_dp, info);  -- set sosi info
@@ -1062,7 +1088,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_combine_data_info_ctrl;
 
-  function func_dp_stream_arr_set_info(dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_info (dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi info
@@ -1074,7 +1100,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_info;
 
-  function func_dp_stream_arr_set_control(dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_control (dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi control
@@ -1086,7 +1112,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_control;
 
-  function func_dp_stream_arr_reset_control(dp : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reset_control (dp : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- reset sosi control
@@ -1098,7 +1124,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_reset_control;
 
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;  -- hold sosi data
   begin
     -- reset sosi control
@@ -1110,7 +1136,7 @@ package body dp_stream_pkg is
   end func_dp_stream_reset_control;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '0');  -- init max v_bsn with minimum value
   begin
     for I in dp'range loop
@@ -1123,13 +1149,13 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_max(dp, c_mask, w);
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '1');  -- init min v_bsn with maximum value
   begin
     for I in dp'range loop
@@ -1142,14 +1168,14 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_min;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_min(dp, c_mask, w);
   end func_dp_stream_arr_bsn_min;
 
   -- Function to copy the BSN number of one valid stream to all other streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_bsn : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0) := (others => '0');
     variable v_dp  : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
@@ -1166,14 +1192,14 @@ package body dp_stream_pkg is
 
 
   -- Functions to combinatorially handle channels
-  function func_dp_stream_channel_set(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.channel := TO_UVEC(ch, c_dp_stream_channel_w);
     return v_rec;
   end func_dp_stream_channel_set;
 
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) /= ch then
@@ -1184,7 +1210,7 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_channel_select;
 
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) = ch then
@@ -1196,7 +1222,7 @@ package body dp_stream_pkg is
   end func_dp_stream_channel_remove;
 
 
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.err := TO_UVEC(n, c_dp_stream_error_w);
@@ -1204,7 +1230,7 @@ package body dp_stream_pkg is
   end func_dp_stream_error_set;
 
 
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.bsn := RESIZE_DP_BSN(bsn);
@@ -1212,7 +1238,7 @@ package body dp_stream_pkg is
   end func_dp_stream_bsn_set;
 
 
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi is
     variable v_rec : t_dp_sosi := data;  -- Sosi data fields
   begin
     -- Combine sosi data with the sosi info fields
@@ -1225,7 +1251,7 @@ package body dp_stream_pkg is
   end func_dp_stream_combine_info_and_data;
 
 
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
     variable v_rec : t_dp_sosi_integer;
   begin
     v_rec.sync     := slv_sosi.sync;
@@ -1242,23 +1268,23 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_slv_to_integer;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
+  function func_dp_stream_set_data (dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;
   begin
-      if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
-      elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
-                            v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      else  report "Error in func_dp_stream_set_data for t_dp_sosi";
-      end if;
+    if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
+    elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
+      v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    else  report "Error in func_dp_stream_set_data for t_dp_sosi";
+    end if;
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1267,7 +1293,7 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1278,8 +1304,8 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_re           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1300,17 +1326,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_hi           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1333,17 +1359,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1352,17 +1378,17 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1371,18 +1397,18 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, 1, true);
   end;
 
   -- Concatenate the data (and complex fields) from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
     constant c_compl_data_w : natural   := data_w / 2;
     variable v_src_out      : t_dp_sosi := snk_in_arr(0);
   begin
@@ -1397,7 +1423,7 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_concat(src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
     variable v_snk_out_arr : t_dp_siso_arr(nof_streams - 1 downto 0);
   begin
     for i in v_snk_out_arr'range loop
@@ -1407,7 +1433,7 @@ package body dp_stream_pkg is
   end;
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_in_w  : natural   := in_w / 2;
     constant c_compl_out_w : natural   := out_w / 2;
     variable v_src_out     : t_dp_sosi := snk_in;
@@ -1445,12 +1471,12 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
   begin
     return func_dp_stream_reconcat(snk_in, in_w, out_w, nof_data, data_representation, true);
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_src_out_arr : t_dp_sosi_arr(snk_in_arr'range) := snk_in_arr;
   begin
     for i in v_src_out_arr'range loop
@@ -1459,13 +1485,13 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
   begin
     return func_dp_stream_reconcat(snk_in_arr, in_w, out_w, nof_data, data_representation, true);
   end;
 
   -- Deconcatenate data from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_deconcat (snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_src_out_arr  : t_dp_sosi_arr(nof_streams - 1 downto 0);
   begin
@@ -1481,7 +1507,7 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
   begin
     return src_out_arr(0);
   end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd
index d1ec55fe6f..adae931402 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
 
 
 package eth_pkg is
@@ -46,12 +46,12 @@ package eth_pkg is
 
   -- Actual frame space in RAM <= c_eth_max_frame_sz, default >= 1520, <= 9020 for jumbo
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*3/2;  -- Use 1536 = 3/2 M9K, to benefit from Rx and Tx in single buffer of 2*1.5=3 M9K, but does
-                                                                 -- yield simulation warning: Address pointed at port A is out of bound!
+  -- yield simulation warning: Address pointed at port A is out of bound!
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*8;  -- Use 8192 = 8 M9K for jumbo frame support with payload size <= 8172
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*9;  -- Use 9216 = 9 M9K for jumbo frame support with payload size <= 9000
   constant c_eth_frame_sz              : natural := 1024 * 2;  -- Use 2048 = 2 M9K to avoid simulation warning: Address pointed at port A is out of bound!
-                                                               -- when the module is used in an Nios II SOPC system
-                                                               -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
+  -- when the module is used in an Nios II SOPC system
+  -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
   constant c_eth_frame_nof_words       : natural := c_eth_frame_sz / c_word_sz;
   constant c_eth_frame_nof_words_w     : natural := ceil_log2(c_eth_frame_nof_words);  -- >= 9 bit, <= 12 bit
 
@@ -74,9 +74,17 @@ package eth_pkg is
     is_dhcp           : std_logic;
   end record;
 
-  constant c_eth_hdr_status_rst : t_eth_hdr_status := ('0', '0', '0', '0',
-                                                       (others => '0'), '0', '0',
-                                                       (others => '0'), '0');
+  constant c_eth_hdr_status_rst : t_eth_hdr_status := (
+    '0',
+    '0',
+    '0',
+    '0',
+    (others => '0'),
+    '0',
+    '0',
+    (others => '0'),
+    '0'
+  );
 
   ------------------------------------------------------------------------------
   -- Definitions for eth demux udp
@@ -185,16 +193,16 @@ package eth_pkg is
   constant c_eth_mm_reg_status_rst : t_eth_mm_reg_status    := ((others => '0'), (others => '0'), '0', '0', '0');
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(  mm_reg : std_logic_vector)     return t_eth_mm_reg_demux;
-  function func_eth_mm_reg_demux(  mm_reg : t_eth_mm_reg_demux)   return std_logic_vector;
-  function func_eth_mm_reg_config( mm_reg : std_logic_vector)     return t_eth_mm_reg_config;
-  function func_eth_mm_reg_config( mm_reg : t_eth_mm_reg_config)  return std_logic_vector;
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector)     return t_eth_mm_reg_control;
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector;
-  function func_eth_mm_reg_frame(  mm_reg : std_logic_vector)     return t_eth_mm_reg_frame;
-  function func_eth_mm_reg_frame(  mm_reg : t_eth_mm_reg_frame)   return std_logic_vector;
-  function func_eth_mm_reg_status( mm_reg : std_logic_vector)     return t_eth_mm_reg_status;
-  function func_eth_mm_reg_status( mm_reg : t_eth_mm_reg_status)  return std_logic_vector;
+  function func_eth_mm_reg_demux (  mm_reg : std_logic_vector) return t_eth_mm_reg_demux;
+  function func_eth_mm_reg_demux (  mm_reg : t_eth_mm_reg_demux) return std_logic_vector;
+  function func_eth_mm_reg_config ( mm_reg : std_logic_vector) return t_eth_mm_reg_config;
+  function func_eth_mm_reg_config ( mm_reg : t_eth_mm_reg_config) return std_logic_vector;
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control;
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector;
+  function func_eth_mm_reg_frame (  mm_reg : std_logic_vector) return t_eth_mm_reg_frame;
+  function func_eth_mm_reg_frame (  mm_reg : t_eth_mm_reg_frame) return std_logic_vector;
+  function func_eth_mm_reg_status ( mm_reg : std_logic_vector) return t_eth_mm_reg_status;
+  function func_eth_mm_reg_status ( mm_reg : t_eth_mm_reg_status) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Definitions for eth_mm_registers
@@ -223,7 +231,7 @@ end eth_pkg;
 package body eth_pkg is
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
+  function func_eth_mm_reg_demux (mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
     variable v_reg : t_eth_mm_reg_demux;
   begin
     -- Demux UDP MM registers
@@ -234,7 +242,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_demux;
 
-  function func_eth_mm_reg_demux(mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
+  function func_eth_mm_reg_demux (mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_demux_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg := (others => '0');  -- rsvd
@@ -246,7 +254,7 @@ package body eth_pkg is
   end func_eth_mm_reg_demux;
 
   -- MM config register
-  function func_eth_mm_reg_config(mm_reg : std_logic_vector) return t_eth_mm_reg_config is
+  function func_eth_mm_reg_config (mm_reg : std_logic_vector) return t_eth_mm_reg_config is
     variable v_reg : t_eth_mm_reg_config;
   begin
     v_reg.udp_port                        := mm_reg(c_network_udp_port_w + 3 * c_word_w - 1 downto 3 * c_word_w);  -- [15:0]  = control UDP port number
@@ -256,7 +264,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_config;
 
-  function func_eth_mm_reg_config(mm_reg : t_eth_mm_reg_config) return std_logic_vector is
+  function func_eth_mm_reg_config (mm_reg : t_eth_mm_reg_config) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_config_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                      := (others => '0');  -- rsvd
@@ -268,7 +276,7 @@ package body eth_pkg is
   end func_eth_mm_reg_config;
 
   -- MM control register
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector) return t_eth_mm_reg_control is
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control is
     variable v_reg : t_eth_mm_reg_control;
   begin
     v_reg.tx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -279,7 +287,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_control;
 
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector is
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_control_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
@@ -292,7 +300,7 @@ package body eth_pkg is
   end func_eth_mm_reg_control;
 
   -- MM frame register
-  function func_eth_mm_reg_frame(mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
+  function func_eth_mm_reg_frame (mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
     variable v_reg : t_eth_mm_reg_frame;
   begin
     v_reg.is_dhcp           := mm_reg(              c_byte_w + 7);  -- [15]
@@ -308,7 +316,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_frame;
 
-  function func_eth_mm_reg_frame(mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
+  function func_eth_mm_reg_frame (mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_frame_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                           := (others => '0');  -- rsvd
@@ -326,7 +334,7 @@ package body eth_pkg is
   end func_eth_mm_reg_frame;
 
   -- MM status register
-  function func_eth_mm_reg_status(mm_reg : std_logic_vector) return t_eth_mm_reg_status is
+  function func_eth_mm_reg_status (mm_reg : std_logic_vector) return t_eth_mm_reg_status is
     variable v_reg : t_eth_mm_reg_status;
   begin
     v_reg.rx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -337,7 +345,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_status;
 
-  function func_eth_mm_reg_status(mm_reg : t_eth_mm_reg_status) return std_logic_vector is
+  function func_eth_mm_reg_status (mm_reg : t_eth_mm_reg_status) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_status_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd
index 2eb92cbc7d..e8bb8d4283 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package tech_tse_pkg is
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd
index 5508d1789d..49cec362a2 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_avs_eth_0 is
+  component qsys_unb2b_minimal_avs_eth_0 is
 		port (
 			coe_clk_export             : out std_logic;  -- export
 			ins_interrupt_irq          : out std_logic;  -- irq
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd
index 69ff39c0a0..f5f9738149 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_clk_0 is
+  component qsys_unb2b_minimal_clk_0 is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd
index 6d24dae5a9..f0ba7ef983 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_cpu_0 is
+  component qsys_unb2b_minimal_cpu_0 is
 		port (
 			clk                                 : in  std_logic                     := 'X';  -- clk
 			dummy_ci_port                       : out std_logic;  -- readra
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd
index 927069ecd1..fb2dc732db 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_jesd204 is
+  component qsys_unb2b_minimal_jesd204 is
 		port (
 			alldev_lane_aligned        : in  std_logic                     := 'X';  -- export
 			csr_cf                     : out std_logic_vector(4 downto 0);  -- export
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd
index a169c6edeb..b2603c75cf 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd
@@ -16,32 +16,32 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library std;
-use std.textio.all;
+  use std.textio.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_wdata : in std_logic_vector(7 downto 0);
-                 signal fifo_wr : in std_logic;
-
-              -- outputs:
-                 signal fifo_FF : out std_logic;
-                 signal r_dat : out std_logic_vector(7 downto 0);
-                 signal wfifo_empty : out std_logic;
-                 signal wfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_wdata : in std_logic_vector(7 downto 0);
+    signal fifo_wr : in std_logic;
+
+    -- outputs:
+    signal fifo_FF : out std_logic;
+    signal r_dat : out std_logic_vector(7 downto 0);
+    signal wfifo_empty : out std_logic;
+    signal wfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w;
 
 
@@ -49,25 +49,25 @@ architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_18
 
 begin
 
---synthesis translate_off
-    process (clk)
+  --synthesis translate_off
+  process (clk)
     variable write_line : line;
-    begin
-      if clk'event and clk = '1' then
-        if std_logic'(fifo_wr) = '1' then
-          write(write_line, character'val(CONV_INTEGER(fifo_wdata)));
-          write(write_line, string'(""));
-          write(output, write_line.all);
-          deallocate (write_line);
-        end if;
+  begin
+    if clk'event and clk = '1' then
+      if std_logic'(fifo_wr) = '1' then
+        write(write_line, character'val(CONV_INTEGER(fifo_wdata)));
+        write(write_line, string'(""));
+        write(output, write_line.all);
+        deallocate (write_line);
       end if;
+    end if;
 
-    end process;
+  end process;
 
-    wfifo_used <= A_REP(std_logic'('0'), 6);
-    r_dat <= A_REP(std_logic'('0'), 8);
-    fifo_FF <= std_logic'('0');
-    wfifo_empty <= std_logic'('1');
+  wfifo_used <= A_REP(std_logic'('0'), 6);
+  r_dat <= A_REP(std_logic'('0'), 8);
+  fifo_FF <= std_logic'('0');
+  wfifo_empty <= std_logic'('1');
 --synthesis translate_on
 
 end europa;
@@ -79,85 +79,85 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library lpm;
-use lpm.all;
+  use lpm.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_clear : in std_logic;
-                 signal fifo_wdata : in std_logic_vector(7 downto 0);
-                 signal fifo_wr : in std_logic;
-                 signal rd_wfifo : in std_logic;
-
-              -- outputs:
-                 signal fifo_FF : out std_logic;
-                 signal r_dat : out std_logic_vector(7 downto 0);
-                 signal wfifo_empty : out std_logic;
-                 signal wfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_clear : in std_logic;
+    signal fifo_wdata : in std_logic_vector(7 downto 0);
+    signal fifo_wr : in std_logic;
+    signal rd_wfifo : in std_logic;
+
+    -- outputs:
+    signal fifo_FF : out std_logic;
+    signal r_dat : out std_logic_vector(7 downto 0);
+    signal wfifo_empty : out std_logic;
+    signal wfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
---synthesis translate_off
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_wdata : in std_logic_vector(7 downto 0);
-                    signal fifo_wr : in std_logic;
-
-                 -- outputs:
-                    signal fifo_FF : out std_logic;
-                    signal r_dat : out std_logic_vector(7 downto 0);
-                    signal wfifo_empty : out std_logic;
-                    signal wfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w;
-
---synthesis translate_on
---synthesis read_comments_as_HDL on
---  component scfifo is
---GENERIC (
---      lpm_hint : STRING;
---        lpm_numwords : NATURAL;
---        lpm_showahead : STRING;
---        lpm_type : STRING;
---        lpm_width : NATURAL;
---        lpm_widthu : NATURAL;
---        overflow_checking : STRING;
---        underflow_checking : STRING;
---        use_eab : STRING
---      );
---    PORT (
---    signal full : OUT STD_LOGIC;
---        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
---        signal empty : OUT STD_LOGIC;
---        signal rdreq : IN STD_LOGIC;
---        signal aclr : IN STD_LOGIC;
---        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal clock : IN STD_LOGIC;
---        signal wrreq : IN STD_LOGIC
---      );
---  end component scfifo;
---synthesis read_comments_as_HDL off
-                signal internal_fifo_FF :  std_logic;
-                signal internal_r_dat :  std_logic_vector(7 downto 0);
-                signal internal_wfifo_empty :  std_logic;
-                signal internal_wfifo_used :  std_logic_vector(5 downto 0);
+  --synthesis translate_off
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_wdata : in std_logic_vector(7 downto 0);
+      signal fifo_wr : in std_logic;
+
+      -- outputs:
+      signal fifo_FF : out std_logic;
+      signal r_dat : out std_logic_vector(7 downto 0);
+      signal wfifo_empty : out std_logic;
+      signal wfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w;
+
+  --synthesis translate_on
+  --synthesis read_comments_as_HDL on
+  --  component scfifo is
+  --GENERIC (
+  --      lpm_hint : STRING;
+  --        lpm_numwords : NATURAL;
+  --        lpm_showahead : STRING;
+  --        lpm_type : STRING;
+  --        lpm_width : NATURAL;
+  --        lpm_widthu : NATURAL;
+  --        overflow_checking : STRING;
+  --        underflow_checking : STRING;
+  --        use_eab : STRING
+  --      );
+  --    PORT (
+  --    signal full : OUT STD_LOGIC;
+  --        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
+  --        signal empty : OUT STD_LOGIC;
+  --        signal rdreq : IN STD_LOGIC;
+  --        signal aclr : IN STD_LOGIC;
+  --        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal clock : IN STD_LOGIC;
+  --        signal wrreq : IN STD_LOGIC
+  --      );
+  --  end component scfifo;
+  --synthesis read_comments_as_HDL off
+  signal internal_fifo_FF :  std_logic;
+  signal internal_r_dat :  std_logic_vector(7 downto 0);
+  signal internal_wfifo_empty :  std_logic;
+  signal internal_wfifo_used :  std_logic_vector(5 downto 0);
 
 begin
 
@@ -169,18 +169,18 @@ begin
   wfifo_empty <= internal_wfifo_empty;
   --vhdl renameroo for output signals
   wfifo_used <= internal_wfifo_used;
---synthesis translate_off
-    --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w, which is an e_instance
-    the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w
-      port map(
-        fifo_FF => internal_fifo_FF,
-        r_dat => internal_r_dat,
-        wfifo_empty => internal_wfifo_empty,
-        wfifo_used => internal_wfifo_used,
-        clk => clk,
-        fifo_wdata => fifo_wdata,
-        fifo_wr => fifo_wr
-      );
+  --synthesis translate_off
+  --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w, which is an e_instance
+  the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w
+    port map(
+      fifo_FF => internal_fifo_FF,
+      r_dat => internal_r_dat,
+      wfifo_empty => internal_wfifo_empty,
+      wfifo_used => internal_wfifo_used,
+      clk => clk,
+      fifo_wdata => fifo_wdata,
+      fifo_wr => fifo_wr
+    );
 
 
 --synthesis translate_on
@@ -220,72 +220,72 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_rd : in std_logic;
-                 signal rst_n : in std_logic;
-
-              -- outputs:
-                 signal fifo_EF : out std_logic;
-                 signal fifo_rdata : out std_logic_vector(7 downto 0);
-                 signal rfifo_full : out std_logic;
-                 signal rfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_rd : in std_logic;
+    signal rst_n : in std_logic;
+
+    -- outputs:
+    signal fifo_EF : out std_logic;
+    signal fifo_rdata : out std_logic_vector(7 downto 0);
+    signal rfifo_full : out std_logic;
+    signal rfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
-                signal bytes_left :  std_logic_vector(31 downto 0);
-                signal fifo_rd_d :  std_logic;
-                signal internal_rfifo_full1 :  std_logic;
-                signal new_rom :  std_logic;
-                signal num_bytes :  std_logic_vector(31 downto 0);
-                signal rfifo_entries :  std_logic_vector(6 downto 0);
+  signal bytes_left :  std_logic_vector(31 downto 0);
+  signal fifo_rd_d :  std_logic;
+  signal internal_rfifo_full1 :  std_logic;
+  signal new_rom :  std_logic;
+  signal num_bytes :  std_logic_vector(31 downto 0);
+  signal rfifo_entries :  std_logic_vector(6 downto 0);
 
 begin
 
   --vhdl renameroo for output signals
   rfifo_full <= internal_rfifo_full1;
---synthesis translate_off
-    -- Generate rfifo_entries for simulation
-    process (clk, rst_n)
-    begin
-      if rst_n = '0' then
-        bytes_left <= std_logic_vector'("00000000000000000000000000000000");
-        fifo_rd_d <= std_logic'('0');
-      elsif clk'event and clk = '1' then
-        fifo_rd_d <= fifo_rd;
-        -- decrement on read
-        if std_logic'(fifo_rd_d) = '1' then
-          bytes_left <= A_EXT (((std_logic_vector'("0") & (bytes_left)) - (std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 32);
-        end if;
-        -- catch new contents
-        if std_logic'(new_rom) = '1' then
-          bytes_left <= num_bytes;
-        end if;
+  --synthesis translate_off
+  -- Generate rfifo_entries for simulation
+  process (clk, rst_n)
+  begin
+    if rst_n = '0' then
+      bytes_left <= std_logic_vector'("00000000000000000000000000000000");
+      fifo_rd_d <= std_logic'('0');
+    elsif clk'event and clk = '1' then
+      fifo_rd_d <= fifo_rd;
+      -- decrement on read
+      if std_logic'(fifo_rd_d) = '1' then
+        bytes_left <= A_EXT (((std_logic_vector'("0") & (bytes_left)) - (std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 32);
       end if;
+      -- catch new contents
+      if std_logic'(new_rom) = '1' then
+        bytes_left <= num_bytes;
+      end if;
+    end if;
 
-    end process;
+  end process;
 
-    fifo_EF <= to_std_logic((bytes_left = std_logic_vector'("00000000000000000000000000000000")));
-    internal_rfifo_full1 <= to_std_logic((bytes_left > std_logic_vector'("00000000000000000000000001000000")));
-    rfifo_entries <= A_EXT (A_WE_StdLogicVector((std_logic'((internal_rfifo_full1)) = '1'), std_logic_vector'("00000000000000000000000001000000"), bytes_left), 7);
-    rfifo_used <= rfifo_entries(5 downto 0);
-    new_rom <= std_logic'('0');
-    num_bytes <= std_logic_vector'("00000000000000000000000000000000");
-    fifo_rdata <= std_logic_vector'("00000000");
+  fifo_EF <= to_std_logic((bytes_left = std_logic_vector'("00000000000000000000000000000000")));
+  internal_rfifo_full1 <= to_std_logic((bytes_left > std_logic_vector'("00000000000000000000000001000000")));
+  rfifo_entries <= A_EXT (A_WE_StdLogicVector((std_logic'((internal_rfifo_full1)) = '1'), std_logic_vector'("00000000000000000000000001000000"), bytes_left), 7);
+  rfifo_used <= rfifo_entries(5 downto 0);
+  new_rom <= std_logic'('0');
+  num_bytes <= std_logic_vector'("00000000000000000000000000000000");
+  fifo_rdata <= std_logic_vector'("00000000");
 --synthesis translate_on
 
 end europa;
@@ -297,86 +297,86 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library lpm;
-use lpm.all;
+  use lpm.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_clear : in std_logic;
-                 signal fifo_rd : in std_logic;
-                 signal rst_n : in std_logic;
-                 signal t_dat : in std_logic_vector(7 downto 0);
-                 signal wr_rfifo : in std_logic;
-
-              -- outputs:
-                 signal fifo_EF : out std_logic;
-                 signal fifo_rdata : out std_logic_vector(7 downto 0);
-                 signal rfifo_full : out std_logic;
-                 signal rfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_clear : in std_logic;
+    signal fifo_rd : in std_logic;
+    signal rst_n : in std_logic;
+    signal t_dat : in std_logic_vector(7 downto 0);
+    signal wr_rfifo : in std_logic;
+
+    -- outputs:
+    signal fifo_EF : out std_logic;
+    signal fifo_rdata : out std_logic_vector(7 downto 0);
+    signal rfifo_full : out std_logic;
+    signal rfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
---synthesis translate_off
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_rd : in std_logic;
-                    signal rst_n : in std_logic;
-
-                 -- outputs:
-                    signal fifo_EF : out std_logic;
-                    signal fifo_rdata : out std_logic_vector(7 downto 0);
-                    signal rfifo_full : out std_logic;
-                    signal rfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r;
-
---synthesis translate_on
---synthesis read_comments_as_HDL on
---  component scfifo is
---GENERIC (
---      lpm_hint : STRING;
---        lpm_numwords : NATURAL;
---        lpm_showahead : STRING;
---        lpm_type : STRING;
---        lpm_width : NATURAL;
---        lpm_widthu : NATURAL;
---        overflow_checking : STRING;
---        underflow_checking : STRING;
---        use_eab : STRING
---      );
---    PORT (
---    signal full : OUT STD_LOGIC;
---        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
---        signal empty : OUT STD_LOGIC;
---        signal rdreq : IN STD_LOGIC;
---        signal aclr : IN STD_LOGIC;
---        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal clock : IN STD_LOGIC;
---        signal wrreq : IN STD_LOGIC
---      );
---  end component scfifo;
---synthesis read_comments_as_HDL off
-                signal internal_fifo_EF :  std_logic;
-                signal internal_fifo_rdata :  std_logic_vector(7 downto 0);
-                signal internal_rfifo_full :  std_logic;
-                signal internal_rfifo_used :  std_logic_vector(5 downto 0);
+  --synthesis translate_off
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_rd : in std_logic;
+      signal rst_n : in std_logic;
+
+      -- outputs:
+      signal fifo_EF : out std_logic;
+      signal fifo_rdata : out std_logic_vector(7 downto 0);
+      signal rfifo_full : out std_logic;
+      signal rfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r;
+
+  --synthesis translate_on
+  --synthesis read_comments_as_HDL on
+  --  component scfifo is
+  --GENERIC (
+  --      lpm_hint : STRING;
+  --        lpm_numwords : NATURAL;
+  --        lpm_showahead : STRING;
+  --        lpm_type : STRING;
+  --        lpm_width : NATURAL;
+  --        lpm_widthu : NATURAL;
+  --        overflow_checking : STRING;
+  --        underflow_checking : STRING;
+  --        use_eab : STRING
+  --      );
+  --    PORT (
+  --    signal full : OUT STD_LOGIC;
+  --        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
+  --        signal empty : OUT STD_LOGIC;
+  --        signal rdreq : IN STD_LOGIC;
+  --        signal aclr : IN STD_LOGIC;
+  --        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal clock : IN STD_LOGIC;
+  --        signal wrreq : IN STD_LOGIC
+  --      );
+  --  end component scfifo;
+  --synthesis read_comments_as_HDL off
+  signal internal_fifo_EF :  std_logic;
+  signal internal_fifo_rdata :  std_logic_vector(7 downto 0);
+  signal internal_rfifo_full :  std_logic;
+  signal internal_rfifo_used :  std_logic_vector(5 downto 0);
 
 begin
 
@@ -388,18 +388,18 @@ begin
   rfifo_full <= internal_rfifo_full;
   --vhdl renameroo for output signals
   rfifo_used <= internal_rfifo_used;
---synthesis translate_off
-    --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r, which is an e_instance
-    the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r
-      port map(
-        fifo_EF => internal_fifo_EF,
-        fifo_rdata => internal_fifo_rdata,
-        rfifo_full => internal_rfifo_full,
-        rfifo_used => internal_rfifo_used,
-        clk => clk,
-        fifo_rd => fifo_rd,
-        rst_n => rst_n
-      );
+  --synthesis translate_off
+  --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r, which is an e_instance
+  the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r
+    port map(
+      fifo_EF => internal_fifo_EF,
+      fifo_rdata => internal_fifo_rdata,
+      rfifo_full => internal_rfifo_full,
+      rfifo_used => internal_rfifo_used,
+      clk => clk,
+      fifo_rd => fifo_rd,
+      rst_n => rst_n
+    );
 
 
 --synthesis translate_on
@@ -439,136 +439,136 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library lpm;
-use lpm.all;
+  use lpm.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi is
-        port (
-              -- inputs:
-                 signal av_address : in std_logic;
-                 signal av_chipselect : in std_logic;
-                 signal av_read_n : in std_logic;
-                 signal av_write_n : in std_logic;
-                 signal av_writedata : in std_logic_vector(31 downto 0);
-                 signal clk : in std_logic;
-                 signal rst_n : in std_logic;
-
-              -- outputs:
-                 signal av_irq : out std_logic;
-                 signal av_readdata : out std_logic_vector(31 downto 0);
-                 signal av_waitrequest : out std_logic;
-                 signal dataavailable : out std_logic;
-                 signal readyfordata : out std_logic
-              );
-attribute ALTERA_ATTRIBUTE : string;
-attribute ALTERA_ATTRIBUTE of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi : entity is "SUPPRESS_DA_RULE_INTERNAL=" "R101,C106,D101,D103" "";
+  port (
+    -- inputs:
+    signal av_address : in std_logic;
+    signal av_chipselect : in std_logic;
+    signal av_read_n : in std_logic;
+    signal av_write_n : in std_logic;
+    signal av_writedata : in std_logic_vector(31 downto 0);
+    signal clk : in std_logic;
+    signal rst_n : in std_logic;
+
+    -- outputs:
+    signal av_irq : out std_logic;
+    signal av_readdata : out std_logic_vector(31 downto 0);
+    signal av_waitrequest : out std_logic;
+    signal dataavailable : out std_logic;
+    signal readyfordata : out std_logic
+  );
+  attribute ALTERA_ATTRIBUTE : string;
+  attribute ALTERA_ATTRIBUTE of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi : entity is "SUPPRESS_DA_RULE_INTERNAL=" "R101,C106,D101,D103" "";
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi is
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_clear : in std_logic;
-                    signal fifo_wdata : in std_logic_vector(7 downto 0);
-                    signal fifo_wr : in std_logic;
-                    signal rd_wfifo : in std_logic;
-
-                 -- outputs:
-                    signal fifo_FF : out std_logic;
-                    signal r_dat : out std_logic_vector(7 downto 0);
-                    signal wfifo_empty : out std_logic;
-                    signal wfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w;
-
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_clear : in std_logic;
-                    signal fifo_rd : in std_logic;
-                    signal rst_n : in std_logic;
-                    signal t_dat : in std_logic_vector(7 downto 0);
-                    signal wr_rfifo : in std_logic;
-
-                 -- outputs:
-                    signal fifo_EF : out std_logic;
-                    signal fifo_rdata : out std_logic_vector(7 downto 0);
-                    signal rfifo_full : out std_logic;
-                    signal rfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r;
-
---synthesis read_comments_as_HDL on
---  component alt_jtag_atlantic is
---GENERIC (
---      INSTANCE_ID : NATURAL;
---        LOG2_RXFIFO_DEPTH : NATURAL;
---        LOG2_TXFIFO_DEPTH : NATURAL;
---        SLD_AUTO_INSTANCE_INDEX : STRING
---      );
---    PORT (
---    signal t_pause : OUT STD_LOGIC;
---        signal r_ena : OUT STD_LOGIC;
---        signal t_ena : OUT STD_LOGIC;
---        signal t_dat : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal t_dav : IN STD_LOGIC;
---        signal rst_n : IN STD_LOGIC;
---        signal r_dat : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal r_val : IN STD_LOGIC;
---        signal clk : IN STD_LOGIC
---      );
---  end component alt_jtag_atlantic;
---synthesis read_comments_as_HDL off
-                signal ac :  std_logic;
-                signal activity :  std_logic;
-                signal fifo_AE :  std_logic;
-                signal fifo_AF :  std_logic;
-                signal fifo_EF :  std_logic;
-                signal fifo_FF :  std_logic;
-                signal fifo_clear :  std_logic;
-                signal fifo_rd :  std_logic;
-                signal fifo_rdata :  std_logic_vector(7 downto 0);
-                signal fifo_wdata :  std_logic_vector(7 downto 0);
-                signal fifo_wr :  std_logic;
-                signal ien_AE :  std_logic;
-                signal ien_AF :  std_logic;
-                signal internal_av_waitrequest :  std_logic;
-                signal ipen_AE :  std_logic;
-                signal ipen_AF :  std_logic;
-                signal pause_irq :  std_logic;
-                signal r_dat :  std_logic_vector(7 downto 0);
-                signal r_ena :  std_logic;
-                signal r_val :  std_logic;
-                signal rd_wfifo :  std_logic;
-                signal read_0 :  std_logic;
-                signal rfifo_full :  std_logic;
-                signal rfifo_used :  std_logic_vector(5 downto 0);
-                signal rvalid :  std_logic;
-                signal sim_r_ena :  std_logic;
-                signal sim_t_dat :  std_logic;
-                signal sim_t_ena :  std_logic;
-                signal sim_t_pause :  std_logic;
-                signal t_dat :  std_logic_vector(7 downto 0);
-                signal t_dav :  std_logic;
-                signal t_ena :  std_logic;
-                signal t_pause :  std_logic;
-                signal wfifo_empty :  std_logic;
-                signal wfifo_used :  std_logic_vector(5 downto 0);
-                signal woverflow :  std_logic;
-                signal wr_rfifo :  std_logic;
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_clear : in std_logic;
+      signal fifo_wdata : in std_logic_vector(7 downto 0);
+      signal fifo_wr : in std_logic;
+      signal rd_wfifo : in std_logic;
+
+      -- outputs:
+      signal fifo_FF : out std_logic;
+      signal r_dat : out std_logic_vector(7 downto 0);
+      signal wfifo_empty : out std_logic;
+      signal wfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w;
+
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_clear : in std_logic;
+      signal fifo_rd : in std_logic;
+      signal rst_n : in std_logic;
+      signal t_dat : in std_logic_vector(7 downto 0);
+      signal wr_rfifo : in std_logic;
+
+      -- outputs:
+      signal fifo_EF : out std_logic;
+      signal fifo_rdata : out std_logic_vector(7 downto 0);
+      signal rfifo_full : out std_logic;
+      signal rfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r;
+
+  --synthesis read_comments_as_HDL on
+  --  component alt_jtag_atlantic is
+  --GENERIC (
+  --      INSTANCE_ID : NATURAL;
+  --        LOG2_RXFIFO_DEPTH : NATURAL;
+  --        LOG2_TXFIFO_DEPTH : NATURAL;
+  --        SLD_AUTO_INSTANCE_INDEX : STRING
+  --      );
+  --    PORT (
+  --    signal t_pause : OUT STD_LOGIC;
+  --        signal r_ena : OUT STD_LOGIC;
+  --        signal t_ena : OUT STD_LOGIC;
+  --        signal t_dat : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal t_dav : IN STD_LOGIC;
+  --        signal rst_n : IN STD_LOGIC;
+  --        signal r_dat : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal r_val : IN STD_LOGIC;
+  --        signal clk : IN STD_LOGIC
+  --      );
+  --  end component alt_jtag_atlantic;
+  --synthesis read_comments_as_HDL off
+  signal ac :  std_logic;
+  signal activity :  std_logic;
+  signal fifo_AE :  std_logic;
+  signal fifo_AF :  std_logic;
+  signal fifo_EF :  std_logic;
+  signal fifo_FF :  std_logic;
+  signal fifo_clear :  std_logic;
+  signal fifo_rd :  std_logic;
+  signal fifo_rdata :  std_logic_vector(7 downto 0);
+  signal fifo_wdata :  std_logic_vector(7 downto 0);
+  signal fifo_wr :  std_logic;
+  signal ien_AE :  std_logic;
+  signal ien_AF :  std_logic;
+  signal internal_av_waitrequest :  std_logic;
+  signal ipen_AE :  std_logic;
+  signal ipen_AF :  std_logic;
+  signal pause_irq :  std_logic;
+  signal r_dat :  std_logic_vector(7 downto 0);
+  signal r_ena :  std_logic;
+  signal r_val :  std_logic;
+  signal rd_wfifo :  std_logic;
+  signal read_0 :  std_logic;
+  signal rfifo_full :  std_logic;
+  signal rfifo_used :  std_logic_vector(5 downto 0);
+  signal rvalid :  std_logic;
+  signal sim_r_ena :  std_logic;
+  signal sim_t_dat :  std_logic;
+  signal sim_t_ena :  std_logic;
+  signal sim_t_pause :  std_logic;
+  signal t_dat :  std_logic_vector(7 downto 0);
+  signal t_dav :  std_logic;
+  signal t_ena :  std_logic;
+  signal t_pause :  std_logic;
+  signal wfifo_empty :  std_logic;
+  signal wfifo_used :  std_logic_vector(5 downto 0);
+  signal woverflow :  std_logic;
+  signal wr_rfifo :  std_logic;
 
 begin
 
@@ -701,28 +701,28 @@ begin
 
   --vhdl renameroo for output signals
   av_waitrequest <= internal_av_waitrequest;
---synthesis translate_off
-    -- Tie off Atlantic Interface signals not used for simulation
-    process (clk)
-    begin
-      if clk'event and clk = '1' then
-        sim_t_pause <= std_logic'('0');
-        sim_t_ena <= std_logic'('0');
-        sim_t_dat <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'(t_dav) = '1'), r_dat, A_REP(r_val, 8)));
-        sim_r_ena <= std_logic'('0');
-      end if;
+  --synthesis translate_off
+  -- Tie off Atlantic Interface signals not used for simulation
+  process (clk)
+  begin
+    if clk'event and clk = '1' then
+      sim_t_pause <= std_logic'('0');
+      sim_t_ena <= std_logic'('0');
+      sim_t_dat <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'(t_dav) = '1'), r_dat, A_REP(r_val, 8)));
+      sim_r_ena <= std_logic'('0');
+    end if;
 
-    end process;
+  end process;
 
-    r_ena <= sim_r_ena;
-    t_ena <= sim_t_ena;
-    t_dat <= std_logic_vector'("0000000") & (A_TOSTDLOGICVECTOR(sim_t_dat));
-    t_pause <= sim_t_pause;
-    process (fifo_EF)
-    begin
-        dataavailable <= not fifo_EF;
+  r_ena <= sim_r_ena;
+  t_ena <= sim_t_ena;
+  t_dat <= std_logic_vector'("0000000") & (A_TOSTDLOGICVECTOR(sim_t_dat));
+  t_pause <= sim_t_pause;
+  process (fifo_EF)
+  begin
+    dataavailable <= not fifo_EF;
 
-    end process;
+  end process;
 
 --synthesis translate_on
 --synthesis read_comments_as_HDL on
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd
index e357a3b8ab..4f7553ed71 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_jtag_uart_0 is
+  component qsys_unb2b_minimal_jtag_uart_0 is
 		port (
 			av_chipselect  : in  std_logic                     := 'X';  -- chipselect
 			av_address     : in  std_logic                     := 'X';  -- address
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd
index c400576a19..c4aec21c6b 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd
@@ -16,69 +16,69 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y is
-        generic (
-                 INIT_FILE : string := "onchip_memory2_0.hex"
-                 );
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(14 downto 0);
-                 signal byteenable : in std_logic_vector(3 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal clken : in std_logic;
-                 signal freeze : in std_logic;
-                 signal reset : in std_logic;
-                 signal reset_req : in std_logic;
-                 signal write : in std_logic;
-                 signal writedata : in std_logic_vector(31 downto 0);
+  generic (
+    INIT_FILE : string := "onchip_memory2_0.hex"
+  );
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(14 downto 0);
+    signal byteenable : in std_logic_vector(3 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal clken : in std_logic;
+    signal freeze : in std_logic;
+    signal reset : in std_logic;
+    signal reset_req : in std_logic;
+    signal write : in std_logic;
+    signal writedata : in std_logic_vector(31 downto 0);
 
-              -- outputs:
-                 signal readdata : out std_logic_vector(31 downto 0)
-              );
+    -- outputs:
+    signal readdata : out std_logic_vector(31 downto 0)
+  );
 end entity qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y;
 
 
 architecture europa of qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y is
   component altsyncram is
-generic (
+    generic (
       byte_size : natural;
-        init_file : string;
-        lpm_type : string;
-        maximum_depth : natural;
-        numwords_a : natural;
-        operation_mode : string;
-        outdata_reg_a : string;
-        ram_block_type : string;
-        read_during_write_mode_mixed_ports : string;
-        read_during_write_mode_port_a : string;
-        width_a : natural;
-        width_byteena_a : natural;
-        widthad_a : natural
-      );
+      init_file : string;
+      lpm_type : string;
+      maximum_depth : natural;
+      numwords_a : natural;
+      operation_mode : string;
+      outdata_reg_a : string;
+      ram_block_type : string;
+      read_during_write_mode_mixed_ports : string;
+      read_during_write_mode_port_a : string;
+      width_a : natural;
+      width_byteena_a : natural;
+      widthad_a : natural
+    );
     port (
-    signal q_a : out std_logic_vector(31 downto 0);
-        signal wren_a : in std_logic;
-        signal byteena_a : in std_logic_vector(3 downto 0);
-        signal clock0 : in std_logic;
-        signal address_a : in std_logic_vector(14 downto 0);
-        signal clocken0 : in std_logic;
-        signal data_a : in std_logic_vector(31 downto 0)
-      );
+      signal q_a : out std_logic_vector(31 downto 0);
+      signal wren_a : in std_logic;
+      signal byteena_a : in std_logic_vector(3 downto 0);
+      signal clock0 : in std_logic;
+      signal address_a : in std_logic_vector(14 downto 0);
+      signal clocken0 : in std_logic;
+      signal data_a : in std_logic_vector(31 downto 0)
+    );
   end component altsyncram;
-                signal clocken0 :  std_logic;
-                signal internal_readdata :  std_logic_vector(31 downto 0);
-                signal wren :  std_logic;
+  signal clocken0 :  std_logic;
+  signal internal_readdata :  std_logic_vector(31 downto 0);
+  signal wren :  std_logic;
 
 begin
 
@@ -101,13 +101,13 @@ begin
       widthad_a => 15
     )
     port map(
-            address_a => address,
-            byteena_a => byteenable,
-            clock0 => clk,
-            clocken0 => clocken0,
-            data_a => writedata,
-            q_a => internal_readdata,
-            wren_a => wren
+      address_a => address,
+      byteena_a => byteenable,
+      clock0 => clk,
+      clocken0 => clocken0,
+      data_a => writedata,
+      q_a => internal_readdata,
+      wren_a => wren
     );
 
   --s1, which is an e_avalon_slave
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd
index 1013d9c8fe..d4fadd4056 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_onchip_memory2_0 is
+  component qsys_unb2b_minimal_onchip_memory2_0 is
 		port (
 			clk        : in  std_logic                     := 'X';  -- clk
 			reset      : in  std_logic                     := 'X';  -- reset
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd
index 6ac45a007b..ed5d6c9386 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_pio_pps is
+  component qsys_unb2b_minimal_pio_pps is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd
index 54214733fc..ce7b65f835 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_pio_system_info is
+  component qsys_unb2b_minimal_pio_system_info is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd
index 275c472861..ad408c7815 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd
@@ -16,37 +16,37 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq is
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(1 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal reset_n : in std_logic;
-                 signal write_n : in std_logic;
-                 signal writedata : in std_logic_vector(31 downto 0);
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(1 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal reset_n : in std_logic;
+    signal write_n : in std_logic;
+    signal writedata : in std_logic_vector(31 downto 0);
 
-              -- outputs:
-                 signal out_port : out std_logic;
-                 signal readdata : out std_logic_vector(31 downto 0)
-              );
+    -- outputs:
+    signal out_port : out std_logic;
+    signal readdata : out std_logic_vector(31 downto 0)
+  );
 end entity qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq;
 
 
 architecture europa of qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq is
-                signal clk_en :  std_logic;
-                signal data_out :  std_logic;
-                signal read_mux_out :  std_logic;
+  signal clk_en :  std_logic;
+  signal data_out :  std_logic;
+  signal read_mux_out :  std_logic;
 
 begin
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd
index 7653111094..249fc3461d 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_pio_wdi is
+  component qsys_unb2b_minimal_pio_wdi is
 		port (
 			clk        : in  std_logic                     := 'X';  -- clk
 			out_port   : out std_logic;  -- export
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd
index beaf80437e..ca0753b8f6 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_dpmm_ctrl is
+  component qsys_unb2b_minimal_reg_dpmm_ctrl is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd
index d4d2ea56c7..cb7d6e343c 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_dpmm_data is
+  component qsys_unb2b_minimal_reg_dpmm_data is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd
index 35a921ca9e..2b12931243 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_epcs is
+  component qsys_unb2b_minimal_reg_epcs is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd
index 0dd4b690ec..996a19af95 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_fpga_temp_sens is
+  component qsys_unb2b_minimal_reg_fpga_temp_sens is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd
index 391087f935..72452d8e9f 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_fpga_voltage_sens is
+  component qsys_unb2b_minimal_reg_fpga_voltage_sens is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd
index 57e4a4c70f..cd765a360d 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_mmdp_ctrl is
+  component qsys_unb2b_minimal_reg_mmdp_ctrl is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd
index 6ef3680e58..51873f2715 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_mmdp_data is
+  component qsys_unb2b_minimal_reg_mmdp_data is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd
index 813521ee09..f0ecc1ba1f 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_remu is
+  component qsys_unb2b_minimal_reg_remu is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd
index 911de6ef25..31f317ab8d 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_unb_pmbus is
+  component qsys_unb2b_minimal_reg_unb_pmbus is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd
index bda7f7ffb4..2b7f3cd7f1 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_unb_sens is
+  component qsys_unb2b_minimal_reg_unb_sens is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd
index 2037678c58..5d57d1c5e1 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_wdi is
+  component qsys_unb2b_minimal_reg_wdi is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd
index 24125dfe0e..026a6b8def 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_rom_system_info is
+  component qsys_unb2b_minimal_rom_system_info is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd
index 8b3e6b4cf8..6c59af2597 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd
@@ -16,52 +16,52 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby is
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(2 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal reset_n : in std_logic;
-                 signal write_n : in std_logic;
-                 signal writedata : in std_logic_vector(15 downto 0);
-
-              -- outputs:
-                 signal irq : out std_logic;
-                 signal readdata : out std_logic_vector(15 downto 0)
-              );
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(2 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal reset_n : in std_logic;
+    signal write_n : in std_logic;
+    signal writedata : in std_logic_vector(15 downto 0);
+
+    -- outputs:
+    signal irq : out std_logic;
+    signal readdata : out std_logic_vector(15 downto 0)
+  );
 end entity qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby;
 
 
 architecture europa of qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby is
-                signal clk_en :  std_logic;
-                signal control_interrupt_enable :  std_logic;
-                signal control_register :  std_logic;
-                signal control_wr_strobe :  std_logic;
-                signal counter_is_running :  std_logic;
-                signal counter_is_zero :  std_logic;
-                signal counter_load_value :  std_logic_vector(16 downto 0);
-                signal delayed_unxcounter_is_zeroxx0 :  std_logic;
-                signal do_start_counter :  std_logic;
-                signal do_stop_counter :  std_logic;
-                signal force_reload :  std_logic;
-                signal internal_counter :  std_logic_vector(16 downto 0);
-                signal period_h_wr_strobe :  std_logic;
-                signal period_l_wr_strobe :  std_logic;
-                signal read_mux_out :  std_logic_vector(15 downto 0);
-                signal status_wr_strobe :  std_logic;
-                signal timeout_event :  std_logic;
-                signal timeout_occurred :  std_logic;
+  signal clk_en :  std_logic;
+  signal control_interrupt_enable :  std_logic;
+  signal control_register :  std_logic;
+  signal control_wr_strobe :  std_logic;
+  signal counter_is_running :  std_logic;
+  signal counter_is_zero :  std_logic;
+  signal counter_load_value :  std_logic_vector(16 downto 0);
+  signal delayed_unxcounter_is_zeroxx0 :  std_logic;
+  signal do_start_counter :  std_logic;
+  signal do_stop_counter :  std_logic;
+  signal force_reload :  std_logic;
+  signal internal_counter :  std_logic_vector(16 downto 0);
+  signal period_h_wr_strobe :  std_logic;
+  signal period_l_wr_strobe :  std_logic;
+  signal read_mux_out :  std_logic_vector(15 downto 0);
+  signal status_wr_strobe :  std_logic;
+  signal timeout_event :  std_logic;
+  signal timeout_occurred :  std_logic;
 
 begin
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd
index d3f78c4dc8..476cac0f46 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_timer_0 is
+  component qsys_unb2b_minimal_timer_0 is
 		port (
 			clk        : in  std_logic                     := 'X';  -- clk
 			irq        : out std_logic;  -- irq
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/unb2b_jesd_node0.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/unb2b_jesd_node0.vhd
index 99377fe8a1..a2c128cc21 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/unb2b_jesd_node0.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/unb2b_jesd_node0.vhd
@@ -21,14 +21,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, diag_lib, dp_lib, unb2b_jesd_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity unb2b_jesd_node0 is
   generic (
@@ -45,7 +45,7 @@ entity unb2b_jesd_node0 is
   );
   port (
     -- GENERAL
---    CLK          : IN    STD_LOGIC; -- System Clock
+    --    CLK          : IN    STD_LOGIC; -- System Clock
     PPS          : in    std_logic;  -- System Sync
     WDI          : out   std_logic;  -- Watchdog Clear
     INTA         : inout std_logic;  -- FPGA interconnect line
@@ -85,51 +85,51 @@ architecture str of unb2b_jesd_node0 is
 begin
 
   u_revision : entity unb2b_jesd_lib.unb2b_jesd
-  generic map (
-    g_design_name       => g_design_name,
-    g_design_note       => g_design_note,
-    g_technology        => g_technology,
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_stamp_date        => g_stamp_date,
-    g_stamp_time        => g_stamp_time,
-    g_stamp_svn         => g_stamp_svn,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    -- GENERAL
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => ETH_CLK,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    QSFP_LED     => QSFP_LED,
-
-    -- JESD signals
-    jesd204_rx_serial_data  => jesd204_rx_serial_data,
-    jesd204_sync_n_out      => jesd204_sync_n_out,
-    jesd204_rx_sysref       => jesd204_rx_sysref,
-    jesd204_device_clk      => jesd204_device_clk
-  );
+    generic map (
+      g_design_name       => g_design_name,
+      g_design_note       => g_design_note,
+      g_technology        => g_technology,
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_stamp_date        => g_stamp_date,
+      g_stamp_time        => g_stamp_time,
+      g_stamp_svn         => g_stamp_svn,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      -- GENERAL
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => ETH_CLK,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      QSFP_LED     => QSFP_LED,
+
+      -- JESD signals
+      jesd204_rx_serial_data  => jesd204_rx_serial_data,
+      jesd204_sync_n_out      => jesd204_sync_n_out,
+      jesd204_rx_sysref       => jesd204_rx_sysref,
+      jesd204_device_clk      => jesd204_device_clk
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd
index 7d7bea513e..951e9fa4ac 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_corepll/altjesd_ss_RX_corepll_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_corepll is
+  component altjesd_ss_RX_corepll is
 		port (
 			locked   : out std_logic;  -- export
 			outclk_0 : out std_logic;  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd
index 1bc0ee7c56..50d5bb6b90 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_frame_reset/altjesd_ss_RX_frame_reset_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_frame_reset is
+  component altjesd_ss_RX_frame_reset is
 		port (
 			clk         : in  std_logic := 'X';  -- clk
 			in_reset_n  : in  std_logic := 'X';  -- reset_n
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd
index e6c8fc658b..77367eabe2 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_link_reset/altjesd_ss_RX_link_reset_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_link_reset is
+  component altjesd_ss_RX_link_reset is
 		port (
 			clk         : in  std_logic := 'X';  -- clk
 			in_reset_n  : in  std_logic := 'X';  -- reset_n
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd
index 46793b217e..c634e00746 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_reset_seq/altjesd_ss_RX_reset_seq_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_reset_seq is
+  component altjesd_ss_RX_reset_seq is
 		generic (
 			NUM_OUTPUTS                   : integer := 3;
 			ENABLE_DEASSERTION_INPUT_QUAL : integer := 0;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd
index 4aa29f21f8..8dff895996 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/altjesd_ss_RX_xcvr_reset_control/altjesd_ss_RX_xcvr_reset_control_inst.vhd
@@ -1,4 +1,4 @@
-	component altjesd_ss_RX_xcvr_reset_control is
+  component altjesd_ss_RX_xcvr_reset_control is
 		port (
 			clock              : in  std_logic                    := 'X';  -- clk
 			pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd
index bfd91a8bfa..7d0eb498ea 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/device_clk/device_clk_inst.vhd
@@ -1,4 +1,4 @@
-	component device_clk is
+  component device_clk is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd
index 342b6062c1..124a3b18ef 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/frame_clk/frame_clk_inst.vhd
@@ -1,4 +1,4 @@
-	component frame_clk is
+  component frame_clk is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd
index 9868df2cdd..ff194b2a69 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/jesd/jesd_inst.vhd
@@ -1,4 +1,4 @@
-	component jesd is
+  component jesd is
 		port (
 			alldev_lane_aligned        : in  std_logic                     := 'X';  -- export
 			csr_cf                     : out std_logic_vector(4 downto 0);  -- export
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd
index 7da9be04fe..2017afa101 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/link_clk/link_clk_inst.vhd
@@ -1,4 +1,4 @@
-	component link_clk is
+  component link_clk is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd
index c97253ac79..5a858a60f9 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0/qsys_unb2b_minimal_avs_common_mm_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_avs_common_mm_0 is
+  component qsys_unb2b_minimal_avs_common_mm_0 is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd
index b57aa7daff..75fab6c120 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1/qsys_unb2b_minimal_avs_common_mm_1_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_avs_common_mm_1 is
+  component qsys_unb2b_minimal_avs_common_mm_1 is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd
index 3c94c1901f..274d14a577 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/avs2_eth_coe.vhd
@@ -26,10 +26,10 @@
 -- . The avs2_eth_coe_hw.tcl determines the read latency per port
 
 library IEEE, common_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity avs2_eth_coe is
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd
index 3fc6ebb7a2..d49ba9d9fb 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_network_layers_pkg.vhd
@@ -23,9 +23,9 @@
 -- Purpose: Define the fields of network headers
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 package common_network_layers_pkg is
 
@@ -86,9 +86,11 @@ package common_network_layers_pkg is
     eth_type   : std_logic_vector(c_network_eth_type_w - 1 downto 0);
   end record;
 
-  constant c_network_eth_header_ones : t_network_eth_header := ("000000000000000000000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "0000000000000001");
+  constant c_network_eth_header_ones : t_network_eth_header := (
+    "000000000000000000000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- IPv4 Packet
@@ -135,13 +137,13 @@ package common_network_layers_pkg is
   constant c_network_ip_addr_len            : natural := 4;
   constant c_network_ip_addr_w              : natural := c_network_ip_addr_len * c_8;
 
-                                                      -- [0:7]                             [8:15]                      [16:31]
+  -- [0:7]                             [8:15]                      [16:31]
   constant c_network_ip_header_len          : natural := c_network_ip_version_header_len + c_network_ip_services_len + c_network_ip_total_length_len +
                                                          c_network_ip_identification_len +                             c_network_ip_flags_fragment_len +
                                                          c_network_ip_time_to_live_len   + c_network_ip_protocol_len + c_network_ip_header_checksum_len +
                                                          c_network_ip_addr_len +
                                                          c_network_ip_addr_len;
-                                                    -- = c_network_ip_header_length * c_word_sz = 20
+  -- = c_network_ip_header_length * c_word_sz = 20
   -- default field values
   constant c_network_ip_version             : natural := 4;  -- 4 = IPv4,
   constant c_network_ip_header_length       : natural := 5;  -- 5 = nof words in the header, no options field support
@@ -175,11 +177,20 @@ package common_network_layers_pkg is
     dst_ip_addr         : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet
   end record;
 
-  constant c_network_ip_header_ones : t_network_ip_header := ("0001", "0001", "00000001", "0000000000000001",
-                                                              "0000000000000001", "001", "0000000000001",
-                                                              "00000001", "00000001", "0000000000000001",
-                                                              "00000000000000000000000000000001",
-                                                              "00000000000000000000000000000001");
+  constant c_network_ip_header_ones : t_network_ip_header := (
+    "0001",
+    "0001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "001",
+    "0000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "00000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ARP Packet
@@ -216,12 +227,12 @@ package common_network_layers_pkg is
   constant c_network_arp_oper_len           : natural := 2;
   constant c_network_arp_oper_w             : natural := c_network_arp_oper_len * c_8;
 
-                                                      -- [0:15]                       [16:31]
+  -- [0:15]                       [16:31]
   constant c_network_arp_data_len           : natural := c_network_arp_htype_len    + c_network_arp_ptype_len +
                                                          c_network_arp_hlen_len     + c_network_arp_plen_len  + c_network_arp_oper_len +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len   +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len;
-                                                      -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
+  -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
 
   -- default field values
   constant c_network_arp_htype              : natural := 1;  -- Hardware type, 1=ethernet
@@ -247,12 +258,17 @@ package common_network_layers_pkg is
     tpa     : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet, Target Protocol Address
   end record;
 
-  constant c_network_arp_packet_ones : t_network_arp_packet := ("0000000000000001", "0000000000000001",
-                                                                "00000001", "00000001", "0000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001");
+  constant c_network_arp_packet_ones : t_network_arp_packet := (
+    "0000000000000001",
+    "0000000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ICMP (for ping)
@@ -301,8 +317,13 @@ package common_network_layers_pkg is
     sequence   : std_logic_vector(c_network_icmp_sequence_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_icmp_header_ones : t_network_icmp_header := ("00000001", "00000001", "0000000000000001",
-                                                                  "0000000000000001", "0000000000000001");
+  constant c_network_icmp_header_ones : t_network_icmp_header := (
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- UDP Packet
@@ -327,7 +348,7 @@ package common_network_layers_pkg is
   constant c_network_udp_checksum_len       : natural := 2;
   constant c_network_udp_checksum_w         : natural := c_network_udp_checksum_len * c_8;
 
-                                                      -- [0:15]                           [16:31]
+  -- [0:15]                           [16:31]
   constant c_network_udp_header_len         : natural := c_network_udp_port_len         + c_network_udp_port_len +
                                                          c_network_udp_total_length_len + c_network_udp_checksum_len;  -- 8
 
@@ -348,8 +369,12 @@ package common_network_layers_pkg is
     checksum     : std_logic_vector(c_network_udp_checksum_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_udp_header_ones : t_network_udp_header := ("0000000000000001", "0000000000000001",
-                                                                "0000000000000001", "0000000000000001");
+  constant c_network_udp_header_ones : t_network_udp_header := (
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
 end common_network_layers_pkg;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
index 9469fd2656..cfde8ebf26 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
@@ -30,9 +30,9 @@
 -- . More information can be found in the comments near the code.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
 
 package common_pkg is
 
@@ -165,315 +165,318 @@ package common_pkg is
 
   -- All functions assume [high downto low] input ranges
 
-  function pow2(n : natural) return natural;  -- = 2**n
-  function ceil_pow2(n : integer) return natural;  -- = 2**n, returns 1 for n<0
+  function pow2 (n : natural) return natural;  -- = 2**n
+  function ceil_pow2 (n : integer) return natural;  -- = 2**n, returns 1 for n<0
 
-  function true_log2(n : natural) return natural;  -- true_log2(n) = log2(n)
-  function ceil_log2(n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
+  function true_log2 (n : natural) return natural;  -- true_log2(n) = log2(n)
+  function ceil_log2 (n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
 
-  function floor_log10(n : natural) return natural;
+  function floor_log10 (n : natural) return natural;
 
-  function is_pow2(n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
-  function true_log_pow2(n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
+  function is_pow2 (n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
+  function true_log_pow2 (n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
 
-  function ratio( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
-  function ratio2(n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
+  function ratio ( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
+  function ratio2 (n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
 
-  function ceil_div(   n, d : natural)  return natural;  -- ceil_div    = n/d + (n MOD d)/=0
-  function ceil_value( n, d : natural)  return natural;  -- ceil_value  = ceil_div(n, d) * d
-  function floor_value(n, d : natural)  return natural;  -- floor_value = (n/d) * d
-  function ceil_div(   n : unsigned; d: natural) return unsigned;
-  function ceil_value( n : unsigned; d: natural) return unsigned;
-  function floor_value(n : unsigned; d: natural) return unsigned;
+  function ceil_div (   n, d : natural) return natural;  -- ceil_div    = n/d + (n MOD d)/=0
+  function ceil_value ( n, d : natural) return natural;  -- ceil_value  = ceil_div(n, d) * d
+  function floor_value (n, d : natural) return natural;  -- floor_value = (n/d) * d
+  function ceil_div (   n : unsigned; d: natural) return unsigned;
+  function ceil_value ( n : unsigned; d: natural) return unsigned;
+  function floor_value (n : unsigned; d: natural) return unsigned;
 
-  function slv(n: in std_logic)        return std_logic_vector;  -- standard logic to 1 element standard logic vector
-  function sl( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
+  function slv (n: in std_logic) return std_logic_vector;  -- standard logic to 1 element standard logic vector
+  function sl ( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
-  function to_natural_arr(n : t_nat_natural_arr)                return t_natural_arr;
-  function to_integer_arr(n : t_natural_arr)                    return t_integer_arr;
-  function to_integer_arr(n : t_nat_natural_arr)                return t_integer_arr;
-  function to_slv_32_arr( n : t_integer_arr)                    return t_slv_32_arr;
-  function to_slv_32_arr( n : t_natural_arr)                    return t_slv_32_arr;
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr;
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr;
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr;
+  function to_slv_32_arr ( n : t_integer_arr) return t_slv_32_arr;
+  function to_slv_32_arr ( n : t_natural_arr) return t_slv_32_arr;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
-  function vector_and(slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
-  function vector_or( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
-  function vector_xor(slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
+  function vector_and (slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
+  function vector_or ( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
+  function vector_xor (slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
 
-  function andv(slv : std_logic_vector) return std_logic;  -- alias of vector_and
-  function orv( slv : std_logic_vector) return std_logic;  -- alias of vector_or
-  function xorv(slv : std_logic_vector) return std_logic;  -- alias of vector_xor
+  function andv (slv : std_logic_vector) return std_logic;  -- alias of vector_and
+  function orv ( slv : std_logic_vector) return std_logic;  -- alias of vector_or
+  function xorv (slv : std_logic_vector) return std_logic;  -- alias of vector_xor
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
-  function matrix_or( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
+  function matrix_or ( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
 
-  function smallest(n, m    : integer)       return integer;
-  function smallest(n, m, l : integer)       return integer;
-  function smallest(n       : t_natural_arr) return natural;
+  function smallest (n, m    : integer) return integer;
+  function smallest (n, m, l : integer) return integer;
+  function smallest (n       : t_natural_arr) return natural;
 
-  function largest(n, m : integer)       return integer;
-  function largest(n    : t_natural_arr) return natural;
+  function largest (n, m : integer) return integer;
+  function largest (n    : t_natural_arr) return natural;
 
-  function func_sum(    n : t_natural_arr)     return natural;  -- sum     of all elements in array
-  function func_sum(    n : t_nat_natural_arr) return natural;
-  function func_product(n : t_natural_arr)     return natural;  -- product of all elements in array
-  function func_product(n : t_nat_natural_arr) return natural;
+  function func_sum (    n : t_natural_arr) return natural;  -- sum     of all elements in array
+  function func_sum (    n : t_nat_natural_arr) return natural;
+  function func_product (n : t_natural_arr) return natural;  -- product of all elements in array
+  function func_product (n : t_nat_natural_arr) return natural;
 
-  function "+" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise sum
-  function "+" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise sum
-  function "+" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise sum
+  function "+" (L, R: t_natural_arr) return t_natural_arr;  -- element wise sum
+  function "+" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise sum
+  function "+" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise sum
 
-  function "-" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise subtract
-  function "-" (L, R: t_natural_arr)               return t_integer_arr;  -- element wise subtract, support negative result
-  function "-" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise subtract
-  function "-" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_integer_arr;  -- element wise subtract, support negative result
+  function "-" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise subtract
+  function "-" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise subtract
 
-  function "*" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise product
-  function "*" (L   : t_natural_arr; R : natural)  return t_natural_arr;  -- element wise product
-  function "*" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise product
+  function "*" (L, R: t_natural_arr) return t_natural_arr;  -- element wise product
+  function "*" (L   : t_natural_arr; R : natural) return t_natural_arr;  -- element wise product
+  function "*" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise product
 
-  function "/" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise division
+  function "/" (L, R: t_natural_arr) return t_natural_arr;  -- element wise division
   function "/" (L   : t_natural_arr; R : positive) return t_natural_arr;  -- element wise division
-  function "/" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise division
-
-  function is_true(a : std_logic) return boolean;
-  function is_true(a : std_logic) return natural;
-  function is_true(a : boolean)   return std_logic;
-  function is_true(a : boolean)   return natural;
-  function is_true(a : integer)   return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
-  function is_true(a : integer)   return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
-
-  function sel_a_b(sel,           a, b : boolean)           return boolean;
-  function sel_a_b(sel,           a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : real)              return real;
-  function sel_a_b(sel : boolean; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : signed)            return signed;
-  function sel_a_b(sel : boolean; a, b : unsigned)          return unsigned;
-  function sel_a_b(sel : boolean; a, b : t_integer_arr)     return t_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_natural_arr)     return t_natural_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
-  function sel_a_b(sel : boolean; a, b : string)            return string;
-  function sel_a_b(sel : integer; a, b : string)            return string;
-  function sel_a_b(sel : boolean; a, b : time)              return time;
-  function sel_a_b(sel : boolean; a, b : severity_level)    return severity_level;
+  function "/" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise division
+
+  function is_true (a : std_logic) return boolean;
+  function is_true (a : std_logic) return natural;
+  function is_true (a : boolean) return std_logic;
+  function is_true (a : boolean) return natural;
+  function is_true (a : integer) return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
+  function is_true (a : integer) return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
+
+  function sel_a_b (sel,           a, b : boolean) return boolean;
+  function sel_a_b (sel,           a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : real) return real;
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : signed) return signed;
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned;
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
+  function sel_a_b (sel : boolean; a, b : string) return string;
+  function sel_a_b (sel : integer; a, b : string) return string;
+  function sel_a_b (sel : boolean; a, b : time) return time;
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level;
 
   -- sel_n() index sel = 0, 1, 2, ... will return a, b, c, ...
-  function sel_n(sel : natural; a, b, c                      : boolean) return boolean;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
-
-  function sel_n(sel : natural; a, b, c                      : integer) return integer;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : integer) return integer;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
-
-  function sel_n(sel : natural; a, b                         : string) return string;  -- 2
-  function sel_n(sel : natural; a, b, c                      : string) return string;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : string) return string;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
-
-  function array_init(init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
-  function array_init(init,             nof, incr        : natural) return t_nat_natural_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_16_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_32_arr;
-  function array_init(init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-  function array_init(init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
-  function array_sinit(init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
+  function sel_n (sel : natural; a, b, c                      : boolean) return boolean;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
+
+  function sel_n (sel : natural; a, b, c                      : integer) return integer;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : integer) return integer;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
+
+  function sel_n (sel : natural; a, b                         : string) return string;  -- 2
+  function sel_n (sel : natural; a, b, c                      : string) return string;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : string) return string;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
+
+  function array_init (init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
+  function array_init (init,             nof, incr        : natural) return t_nat_natural_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_16_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_32_arr;
+  function array_init (init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+  function array_init (init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
+  function array_sinit (init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
 
   -- Concatenate two or more STD_LOGIC_VECTORs into a single STD_LOGIC_VECTOR or extract one of them from a concatenated STD_LOGIC_VECTOR
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
-  function func_slv_concat_w(use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-
-  function TO_UINT(vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
-  function TO_SINT(vec : std_logic_vector) return integer;
-
-  function TO_UVEC(dec, w : natural) return std_logic_vector;
-  function TO_SVEC(dec, w : integer) return std_logic_vector;
-
-  function TO_SVEC_32(dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
-
--- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
+  function func_slv_concat_w (use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+
+  function TO_UINT (vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
+  function TO_SINT (vec : std_logic_vector) return integer;
+
+  function TO_UVEC (dec, w : natural) return std_logic_vector;
+  function TO_SVEC (dec, w : integer) return std_logic_vector;
+
+  function TO_SVEC_32 (dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  -- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
   -- behaviour of preserving the sign bit is less suitable for DSP and not necessary in general. A more
   -- appropriate approach is to ignore the MSbit sign and just keep the LS part. For too large values this
   -- means that the result gets wrapped, but that is fine for default behaviour, because that is also what
   -- happens for RESIZE of UNSIGNED. Therefor this is what the RESIZE_NUM for SIGNED and the RESIZE_SVEC do
   -- and better not use RESIZE for SIGNED anymore.
-  function RESIZE_NUM( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
-  function RESIZE_NUM( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
-  function RESIZE_UVEC(sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
-  function RESIZE_UINT(u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
-  function RESIZE_SINT(s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
-
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
-
-  function INCR_UVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : signed)   return std_logic_vector;
-                                                                                                                   -- Used in common_add_sub.vhd
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
-
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
-
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-
-  function offset_binary(a : std_logic_vector) return std_logic_vector;
-
-  function truncate(                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
-  function truncate_and_resize_uvec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
-  function truncate_and_resize_svec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function scale(                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
-  function scale_and_resize_uvec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
-  function scale_and_resize_svec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function truncate_or_resize_uvec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
-  function truncate_or_resize_svec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
-
-  function s_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
-  function s_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function s_round_up(vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function u_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
-  function u_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem round up for unsigned values
-
-  function u_to_s(u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
-  function s_to_u(s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
-
-  function u_wrap(u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
-  function s_wrap(s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
-
-  function u_clip(u : natural; max : natural) return natural;  -- if s < max return s, else return n
-  function s_clip(s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
-  function s_clip(s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
-
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
-  function hton(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
-  function hton(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
-  function ntoh(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
-  function ntoh(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
-
-  function flip(a : std_logic_vector)  return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
-  function flip(a, w : natural)        return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
-  function flip(a : t_slv_32_arr)      return t_slv_32_arr;
-  function flip(a : t_integer_arr)     return t_integer_arr;
-  function flip(a : t_natural_arr)     return t_natural_arr;
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr;
-
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
-  function transpose(a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
-
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
-
-  function pad(str: string; width: natural; pad_char: character) return string;
-
-  function slice_up(str: string; width: natural; i: natural) return string;
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string;
-  function slice_dn(str: string; width: natural; i: natural) return string;
-
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
+  function RESIZE_NUM ( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
+  function RESIZE_NUM ( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
+  function RESIZE_UVEC (sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
+  function RESIZE_UINT (u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
+  function RESIZE_SINT (s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
+
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector;
+  -- Used in common_add_sub.vhd
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
+
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
+
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+
+  function offset_binary (a : std_logic_vector) return std_logic_vector;
+
+  function truncate (                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
+  function truncate_and_resize_uvec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
+  function truncate_and_resize_svec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function scale (                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
+  function scale_and_resize_uvec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
+  function scale_and_resize_svec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function truncate_or_resize_uvec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
+  function truncate_or_resize_svec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
+
+  function s_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
+  function s_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function u_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
+  function u_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem round up for unsigned values
+
+  function u_to_s (u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
+  function s_to_u (s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
+
+  function u_wrap (u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
+  function s_wrap (s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
+
+  function u_clip (u : natural; max : natural) return natural;  -- if s < max return s, else return n
+  function s_clip (s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
+  function s_clip (s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
+
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
+  function hton (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
+  function hton (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
+  function ntoh (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
+  function ntoh (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
+
+  function flip (a : std_logic_vector) return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
+  function flip (a, w : natural) return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
+  function flip (a : t_slv_32_arr) return t_slv_32_arr;
+  function flip (a : t_integer_arr) return t_integer_arr;
+  function flip (a : t_natural_arr) return t_natural_arr;
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr;
+
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
+  function transpose (a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
+
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
+
+  function pad (str: string; width: natural; pad_char: character) return string;
+
+  function slice_up (str: string; width: natural; i: natural) return string;
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string;
+  function slice_dn (str: string; width: natural; i: natural) return string;
+
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Component specific functions
   ------------------------------------------------------------------------------
 
   -- common_fifo_*
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic);
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic);
 
   -- common_fanout_tree
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
 
   -- common_reorder_symbol
-  function func_common_reorder2_is_there(I, J : natural) return boolean;
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean;
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer;
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural;
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr;
+  function func_common_reorder2_is_there (I, J : natural) return boolean;
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean;
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer;
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural;
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr;
 
   -- Generate faster sample SCLK from digital DCLK for sim only
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic);
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic);
 
 end common_pkg;
 
 package body common_pkg is
 
-  function pow2(n : natural) return natural is
+  function pow2 (n : natural) return natural is
   begin
     return 2**n;
   end;
 
-  function ceil_pow2(n : integer) return natural is
+  function ceil_pow2 (n : integer) return natural is
   -- Also allows negative exponents and rounds up before returning the value
   begin
     return natural(integer(ceil(2**real(n))));
   end;
 
-  function true_log2(n : natural) return natural is
+  function true_log2 (n : natural) return natural is
   -- Purpose: For calculating extra vector width of existing vector
   -- Description: Return mathematical ceil(log2(n))
   --   n    log2()
@@ -492,7 +495,7 @@ package body common_pkg is
     return natural(integer(ceil(log2(real(n)))));
   end;
 
-  function ceil_log2(n : natural) return natural is
+  function ceil_log2 (n : natural) return natural is
   -- Purpose: For calculating vector width of new vector
   -- Description:
   --   Same as true_log2() except ceil_log2(1) = 1, which is needed to support
@@ -510,22 +513,22 @@ package body common_pkg is
     end if;
   end;
 
-  function floor_log10(n : natural) return natural is
+  function floor_log10 (n : natural) return natural is
   begin
     return natural(integer(floor(log10(real(n)))));
   end;
 
-  function is_pow2(n : natural) return boolean is
+  function is_pow2 (n : natural) return boolean is
   begin
     return n = 2**true_log2(n);
   end;
 
-  function true_log_pow2(n : natural) return natural is
+  function true_log_pow2 (n : natural) return natural is
   begin
     return 2**true_log2(n);
   end;
 
-  function ratio(n, d : natural) return natural is
+  function ratio (n, d : natural) return natural is
   begin
     if n mod d = 0 then
       return n / d;
@@ -534,32 +537,32 @@ package body common_pkg is
     end if;
   end;
 
-  function ratio2(n, m : natural) return natural is
+  function ratio2 (n, m : natural) return natural is
   begin
     return largest(ratio(n,m), ratio(m,n));
   end;
 
-  function ceil_div(n, d : natural) return natural is
+  function ceil_div (n, d : natural) return natural is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);
   end;
 
-  function ceil_value(n, d : natural) return natural is
+  function ceil_value (n, d : natural) return natural is
   begin
     return ceil_div(n, d) * d;
   end;
 
-  function floor_value(n, d : natural) return natural is
+  function floor_value (n, d : natural) return natural is
   begin
     return (n / d) * d;
   end;
 
-  function ceil_div(n : unsigned; d: natural) return unsigned is
+  function ceil_div (n : unsigned; d: natural) return unsigned is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);  -- "/" returns same width as n
   end;
 
-  function ceil_value(n : unsigned; d: natural) return unsigned is
+  function ceil_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -567,7 +570,7 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function floor_value(n : unsigned; d: natural) return unsigned is
+  function floor_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -575,21 +578,21 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function slv(n: in std_logic) return std_logic_vector is
+  function slv (n: in std_logic) return std_logic_vector is
     variable r : std_logic_vector(0 downto 0);
   begin
     r(0) := n;
     return r;
   end;
 
-  function sl(n: in std_logic_vector) return std_logic is
+  function sl (n: in std_logic_vector) return std_logic is
     variable r : std_logic;
   begin
     r := n(n'low);
     return r;
   end;
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr is
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -607,7 +610,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_natural_arr(n : t_nat_natural_arr) return t_natural_arr is
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr is
     variable vN : t_nat_natural_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -618,7 +621,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_integer_arr(n'length - 1 downto 0);
   begin
@@ -629,14 +632,14 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_nat_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return to_integer_arr(vN);
   end;
 
-  function to_slv_32_arr(n : t_integer_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_integer_arr) return t_slv_32_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -647,7 +650,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_slv_32_arr(n : t_natural_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_natural_arr) return t_slv_32_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -658,7 +661,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic is
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic is
     -- Linear loop to determine result takes combinatorial delay that is proportional to slv'LENGTH:
     --   FOR I IN slv'RANGE LOOP
     --     v_result := v_result OPERATION slv(I);
@@ -691,22 +694,22 @@ package body common_pkg is
     return v_stage_arr(c_nof_stages - 1)(0);
   end;
 
-  function vector_and(slv : std_logic_vector) return std_logic is
+  function vector_and (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function vector_or(slv : std_logic_vector) return std_logic is
+  function vector_or (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function vector_xor(slv : std_logic_vector) return std_logic is
+  function vector_xor (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector is
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector is
     variable v_one_hot : boolean := false;
     variable v_zeros   : std_logic_vector(slv'range) := (others => '0');
   begin
@@ -725,22 +728,22 @@ package body common_pkg is
     return slv;
   end;
 
-  function andv(slv : std_logic_vector) return std_logic is
+  function andv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function orv(slv : std_logic_vector) return std_logic is
+  function orv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function xorv(slv : std_logic_vector) return std_logic is
+  function xorv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '1';
   begin
@@ -752,7 +755,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function matrix_or(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_or (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '0';
   begin
@@ -764,7 +767,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function smallest(n, m : integer) return integer is
+  function smallest (n, m : integer) return integer is
   begin
     if n < m then
       return n;
@@ -773,16 +776,16 @@ package body common_pkg is
     end if;
   end;
 
-  function smallest(n, m, l : integer) return integer is
+  function smallest (n, m, l : integer) return integer is
     variable v : natural;
   begin
-                  v := n;
+    v := n;
     if v > m then v := m; end if;
     if v > l then v := l; end if;
     return v;
   end;
 
-  function smallest(n : t_natural_arr) return natural is
+  function smallest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -793,7 +796,7 @@ package body common_pkg is
     return m;
   end;
 
-  function largest(n, m : integer) return integer is
+  function largest (n, m : integer) return integer is
   begin
     if n > m then
       return n;
@@ -802,7 +805,7 @@ package body common_pkg is
     end if;
   end;
 
-  function largest(n : t_natural_arr) return natural is
+  function largest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -813,7 +816,7 @@ package body common_pkg is
     return m;
   end;
 
-  function func_sum(n : t_natural_arr) return natural is
+  function func_sum (n : t_natural_arr) return natural is
     variable vS : natural;
   begin
     vS := 0;
@@ -823,14 +826,14 @@ package body common_pkg is
     return vS;
   end;
 
-  function func_sum(n : t_nat_natural_arr) return natural is
+  function func_sum (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return func_sum(vN);
   end;
 
-  function func_product(n : t_natural_arr) return natural is
+  function func_product (n : t_natural_arr) return natural is
     variable vP : natural;
   begin
     vP := 1;
@@ -840,7 +843,7 @@ package body common_pkg is
     return vP;
   end;
 
-  function func_product(n : t_nat_natural_arr) return natural is
+  function func_product (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
@@ -999,14 +1002,14 @@ package body common_pkg is
     return vP;
   end;
 
-  function is_true(a : std_logic) return boolean   is begin if a = '1'  then return true; else return false; end if; end;
-  function is_true(a : std_logic) return natural   is begin if a = '1'  then return 1;    else return 0;     end if; end;
-  function is_true(a : boolean)   return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
-  function is_true(a : boolean)   return natural   is begin if a = true then return 1;    else return 0;     end if; end;
-  function is_true(a : integer)   return boolean   is begin if a /= 0   then return true; else return false; end if; end;
-  function is_true(a : integer)   return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
+  function is_true (a : std_logic) return boolean is begin if a = '1'  then return true; else return false; end if; end;
+  function is_true (a : std_logic) return natural is begin if a = '1'  then return 1;    else return 0;     end if; end;
+  function is_true (a : boolean) return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
+  function is_true (a : boolean) return natural is begin if a = true then return 1;    else return 0;     end if; end;
+  function is_true (a : integer) return boolean is begin if a /= 0   then return true; else return false; end if; end;
+  function is_true (a : integer) return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
 
-  function sel_a_b(sel, a, b : integer) return integer is
+  function sel_a_b (sel, a, b : integer) return integer is
   begin
     if sel /= 0 then
       return a;
@@ -1015,7 +1018,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel, a, b : boolean) return boolean is
+  function sel_a_b (sel, a, b : boolean) return boolean is
   begin
     if sel = true then
       return a;
@@ -1024,7 +1027,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : integer) return integer is
+  function sel_a_b (sel : boolean; a, b : integer) return integer is
   begin
     if sel = true then
       return a;
@@ -1033,7 +1036,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : real) return real is
+  function sel_a_b (sel : boolean; a, b : real) return real is
   begin
     if sel = true then
       return a;
@@ -1042,7 +1045,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic is
   begin
     if sel = true then
       return a;
@@ -1051,7 +1054,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic is
   begin
     if sel /= 0 then
       return a;
@@ -1060,7 +1063,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel /= 0 then
       return a;
@@ -1069,7 +1072,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel = true then
       return a;
@@ -1078,7 +1081,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : signed) return signed is
+  function sel_a_b (sel : boolean; a, b : signed) return signed is
   begin
     if sel = true then
       return a;
@@ -1087,7 +1090,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : unsigned) return unsigned is
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned is
   begin
     if sel = true then
       return a;
@@ -1096,7 +1099,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_integer_arr) return t_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1105,7 +1108,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_natural_arr) return t_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1114,7 +1117,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1123,7 +1126,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1132,7 +1135,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : string) return string is
+  function sel_a_b (sel : boolean; a, b : string) return string is
   begin
     if sel = true then
       return a;
@@ -1141,7 +1144,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : string) return string is
+  function sel_a_b (sel : integer; a, b : string) return string is
   begin
     if sel /= 0 then
       return a;
@@ -1150,7 +1153,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : time) return time is
+  function sel_a_b (sel : boolean; a, b : time) return time is
   begin
     if sel = true then
       return a;
@@ -1159,7 +1162,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : severity_level) return severity_level is
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level is
   begin
     if sel = true then
       return a;
@@ -1169,115 +1172,115 @@ package body common_pkg is
   end;
 
   -- sel_n : boolean
-  function sel_n(sel : natural; a, b, c : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : integer
-  function sel_n(sel : natural; a, b, c : integer) return integer is
+  function sel_n (sel : natural; a, b, c : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
-  function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
-  function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
-
-  function array_init(init : std_logic; nof : natural) return std_logic_vector is
+  function sel_n (sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n (sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
+  function sel_n (sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
+
+  function array_init (init : std_logic; nof : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1286,7 +1289,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_natural_arr is
+  function array_init (init, nof : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1295,7 +1298,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_nat_natural_arr is
+  function array_init (init, nof : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1304,7 +1307,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_natural_arr is
+  function array_init (init, nof, incr : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1316,7 +1319,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_nat_natural_arr is
+  function array_init (init, nof, incr : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1328,7 +1331,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_16_arr is
+  function array_init (init, nof, incr : integer) return t_slv_16_arr is
     variable v_arr : t_slv_16_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1340,7 +1343,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_32_arr is
+  function array_init (init, nof, incr : integer) return t_slv_32_arr is
     variable v_arr : t_slv_32_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1352,7 +1355,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width : natural) return std_logic_vector is
+  function array_init (init, nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1361,7 +1364,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width, incr : natural) return std_logic_vector is
+  function array_init (init, nof, width, incr : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
     variable v_i   : natural;
   begin
@@ -1373,7 +1376,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_sinit(init :integer; nof, width : natural) return std_logic_vector is
+  function array_sinit (init :integer; nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1382,7 +1385,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix is
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix is
     variable v_mat : t_slv_64_matrix(nof_a - 1 downto 0, nof_b - 1 downto 0);
   begin
     for I in 0 to nof_a - 1 loop
@@ -1395,7 +1398,7 @@ package body common_pkg is
 
 
   -- Support concatenation of up to 7 slv into 1 slv
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
     constant c_max_w : natural := a'length + b'length + c'length + d'length + e'length + f'length + g'length;
     variable v_res   : std_logic_vector(c_max_w - 1 downto 0) := (others => '0');
     variable v_len   : natural := 0;
@@ -1410,32 +1413,32 @@ package body common_pkg is
     return v_res(v_len - 1 downto 0);
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, false, a, b, c, d, e, f, "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, false, false, a, b, c, d, e, "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, false, false, false, a, b, c, d, "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, false, false, false, false, a, b, c, "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, false, false, false, false, false, a, b, "0", "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
     variable v_len : natural := 0;
   begin
     if use_a = true then v_len := v_len + a_w; end if;
@@ -1448,33 +1451,33 @@ package body common_pkg is
     return v_len;
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b : boolean; a_w, b_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b : boolean; a_w, b_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0);
   end func_slv_concat_w;
 
   -- extract slv
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
     variable v_w  : natural := 0;
     variable v_lo : natural := 0;
   begin
@@ -1520,64 +1523,64 @@ package body common_pkg is
     return vec(v_w - 1 + v_lo downto v_lo);  -- extracted slv
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
 
-  function TO_UINT(vec : std_logic_vector) return natural is
+  function TO_UINT (vec : std_logic_vector) return natural is
   begin
     return to_integer(unsigned(vec));
   end;
 
-  function TO_SINT(vec : std_logic_vector) return integer is
+  function TO_SINT (vec : std_logic_vector) return integer is
   begin
     return to_integer(signed(vec));
   end;
 
-  function TO_UVEC(dec, w : natural) return std_logic_vector is
+  function TO_UVEC (dec, w : natural) return std_logic_vector is
   begin
     return std_logic_vector(to_unsigned(dec, w));
   end;
 
-  function TO_SVEC(dec, w : integer) return std_logic_vector is
+  function TO_SVEC (dec, w : integer) return std_logic_vector is
   begin
     return std_logic_vector(to_signed(dec, w));
   end;
 
-  function TO_SVEC_32(dec : integer) return std_logic_vector is
+  function TO_SVEC_32 (dec : integer) return std_logic_vector is
   begin
     return TO_SVEC(dec, 32);
   end;
 
-  function RESIZE_NUM(u : unsigned; w : natural) return unsigned is
+  function RESIZE_NUM (u : unsigned; w : natural) return unsigned is
   begin
     -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
     return resize(u, w);
   end;
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -1587,47 +1590,47 @@ package body common_pkg is
     end if;
   end;
 
-  function RESIZE_UVEC(sl : std_logic; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (sl : std_logic; w : natural) return std_logic_vector is
     variable v_slv0 : std_logic_vector(w - 1 downto 1) := (others => '0');
   begin
     return v_slv0 & sl;
   end;
 
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(vec), w));
   end;
 
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(vec), w));
   end;
 
-  function RESIZE_UINT(u : integer; w : natural) return integer is
+  function RESIZE_UINT (u : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_UVEC(u, c_word_w);
     return TO_UINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_SINT(s : integer; w : natural) return integer is
+  function RESIZE_SINT (s : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_SVEC(s, c_word_w);
     return TO_SINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, 32);
   end;
 
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, 32);
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     if dec < 0 then
@@ -1639,74 +1642,74 @@ package body common_pkg is
     end if;
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector is
   begin
     return std_logic_vector(unsigned(vec) + dec);
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     return std_logic_vector(signed(vec) + v_dec);  -- uses function "+" (L : SIGNED, R : INTEGER)
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : signed) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector is
   begin
     return std_logic_vector(signed(vec) + dec);
   end;
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) + signed(r_vec));
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) - signed(r_vec));
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) + unsigned(r_vec));
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) - unsigned(r_vec));
   end;
 
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_re * b_re - a_im * b_im);
   end;
 
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_im * b_re + a_re * b_im);
   end;
 
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(unsigned(vec), -shift));  -- fill zeros from right
@@ -1715,7 +1718,7 @@ package body common_pkg is
     end if;
   end;
 
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(signed(vec), -shift));  -- same as SHIFT_LEFT for UNSIGNED
@@ -1741,24 +1744,24 @@ package body common_pkg is
   -- The offset_binary() mapping can be done and undone both ways.
   -- The offset_binary() mapping to two-complement binary yields a DC offset
   -- of -0.5 Lsb.
-  function offset_binary(a : std_logic_vector) return std_logic_vector is
+  function offset_binary (a : std_logic_vector) return std_logic_vector is
     variable v_res : std_logic_vector(a'length - 1 downto 0) := a;
   begin
-   v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
-   return v_res;
+    v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
+    return v_res;
   end;
 
-  function truncate(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function truncate (vec : std_logic_vector; n : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_vec     : std_logic_vector(c_vec_w - 1 downto 0) := vec;
     variable v_res     : std_logic_vector(c_trunc_w - 1 downto 0);
   begin
-   v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
-   return v_res;
+    v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
+    return v_res;
   end;
 
-  function truncate_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1769,7 +1772,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1780,7 +1783,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale(vec : std_logic_vector; n: natural) return std_logic_vector is
+  function scale (vec : std_logic_vector; n: natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_res     : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1789,7 +1792,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1800,7 +1803,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1811,7 +1814,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_uvec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_uvec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1824,7 +1827,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_svec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_svec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1856,7 +1859,7 @@ package body common_pkg is
   --   maximum product is -8*-8=+64 <= 127-8, so wrapping due to rounding
   --   overflow will never occur.
 
-  function s_round(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
     -- Use SIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1884,24 +1887,24 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function s_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return s_round(vec, n, false);  -- no round clip
   end;
 
   -- An alternative is to always round up, also for negative numbers (i.e. s_round_up = u_round).
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
   begin
     return u_round(vec, n, clip);
   end;
 
-  function s_round_up(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
   -- Unsigned numbers are round up (almost same as s_round, but without the else on negative vec)
-  function u_round(vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
     -- Use UNSIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1925,36 +1928,36 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function u_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
-  function u_to_s(u : natural; w : natural) return integer is
+  function u_to_s (u : natural; w : natural) return integer is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_u(w - 1 downto 0));
   end;
 
-  function s_to_u(s : integer; w : natural) return natural is
+  function s_to_u (s : integer; w : natural) return natural is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_s(w - 1 downto 0));
   end;
 
-  function u_wrap(u : natural; w : natural) return natural is
+  function u_wrap (u : natural; w : natural) return natural is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_u(w - 1 downto 0));
   end;
 
-  function s_wrap(s : integer; w : natural) return integer is
+  function s_wrap (s : integer; w : natural) return integer is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_s(w - 1 downto 0));
   end;
 
-  function u_clip(u : natural; max : natural) return natural is
+  function u_clip (u : natural; max : natural) return natural is
   begin
     if u > max then
       return max;
@@ -1963,7 +1966,7 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural; min : integer) return integer is
+  function s_clip (s : integer; max : natural; min : integer) return integer is
   begin
     if s < min then
       return min;
@@ -1976,12 +1979,12 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural) return integer is
+  function s_clip (s : integer; max : natural) return integer is
   begin
     return s_clip(s, max, -max);
   end;
 
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;  -- map a to range [h:0]
     variable v_b : std_logic_vector(a'length - 1 downto 0) := a;  -- default b = a
     variable vL  : natural;
@@ -1997,28 +2000,28 @@ package body common_pkg is
     return v_b;
   end function;
 
-  function hton(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, c_byte_w, sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function hton(a : std_logic_vector) return std_logic_vector is
+  function hton (a : std_logic_vector) return std_logic_vector is
     constant c_sz : natural := a'length / c_byte_w;
   begin
     return hton(a, c_byte_w, c_sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function ntoh(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function ntoh (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, sz);  -- i.e. ntoh() = hton()
   end function;
 
-  function ntoh(a : std_logic_vector) return std_logic_vector is
+  function ntoh (a : std_logic_vector) return std_logic_vector is
   begin
     return hton(a);  -- i.e. ntoh() = hton()
   end function;
 
-  function flip(a : std_logic_vector) return std_logic_vector is
+  function flip (a : std_logic_vector) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;
     variable v_b : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2028,12 +2031,12 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a, w : natural) return natural is
+  function flip (a, w : natural) return natural is
   begin
     return TO_UINT(flip(TO_UVEC(a, w)));
   end;
 
-  function flip(a : t_slv_32_arr) return t_slv_32_arr is
+  function flip (a : t_slv_32_arr) return t_slv_32_arr is
     variable v_a : t_slv_32_arr(a'length - 1 downto 0) := a;
     variable v_b : t_slv_32_arr(a'length - 1 downto 0);
   begin
@@ -2043,7 +2046,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_integer_arr) return t_integer_arr is
+  function flip (a : t_integer_arr) return t_integer_arr is
     variable v_a : t_integer_arr(a'length - 1 downto 0) := a;
     variable v_b : t_integer_arr(a'length - 1 downto 0);
   begin
@@ -2053,7 +2056,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_natural_arr) return t_natural_arr is
+  function flip (a : t_natural_arr) return t_natural_arr is
     variable v_a : t_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_natural_arr(a'length - 1 downto 0);
   begin
@@ -2063,7 +2066,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr is
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr is
     variable v_a : t_nat_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_nat_natural_arr(a'length - 1 downto 0);
   begin
@@ -2073,7 +2076,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector is
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector is
     variable vIn  : std_logic_vector(a'length - 1 downto 0);
     variable vOut : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2087,7 +2090,7 @@ package body common_pkg is
     return vOut;
   end function;
 
-  function transpose(a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
+  function transpose (a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
     variable vI  : natural;
     variable vJ  : natural;
   begin
@@ -2096,7 +2099,7 @@ package body common_pkg is
     return vJ * col + vI;
   end;
 
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
     -- Examples: split_w(256, 8, 32) = 32;  split_w(16, 8, 32) = 16; split_w(72, 8, 32) = 18;    -- Input_w must be multiple of 2.
     variable r: natural;
   begin
@@ -2111,34 +2114,34 @@ package body common_pkg is
     end loop;
   end;
 
-  function pad(str: string; width: natural; pad_char: character) return string is
+  function pad (str: string; width: natural; pad_char: character) return string is
     variable v_str : string(1 to width) := (others => pad_char);
   begin
     v_str(width - str'length + 1 to width) := str;
     return v_str;
   end;
 
-  function slice_up(str: string; width: natural; i: natural) return string is
+  function slice_up (str: string; width: natural; i: natural) return string is
   begin
     return str(i * width + 1 to (i + 1) * width);
   end;
 
   -- If the input value is not a multiple of the desired width, the return value is padded with
   -- the passed pad value. E.g. if input='10' and desired width is 4, return value is '0010'.
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string is
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string is
     variable padded_str : string(1 to width) := (others => '0');
   begin
     padded_str := pad(str(i * width + 1 to (i + 1) * width), width, '0');
     return padded_str;
   end;
 
-  function slice_dn(str: string; width: natural; i: natural) return string is
+  function slice_dn (str: string; width: natural; i: natural) return string is
   begin
     return str((i + 1) * width - 1 downto i * width);
   end;
 
 
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
     variable v_concat_slv : std_logic_vector(nof_elements * 32 - 1 downto 0) := (others => '0');
   begin
     for i in 0 to nof_elements - 1 loop
@@ -2152,16 +2155,17 @@ package body common_pkg is
   -- common_fifo_*
   ------------------------------------------------------------------------------
 
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic) is
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic) is
   begin
     -- c_fail_rd_emp : when TRUE report FAILURE when read from an empty FIFO, important when FIFO rd_val is not used
     -- c_note_is_ful : when TRUE report NOTE when FIFO goes full, to note that operation is on the limit
@@ -2174,7 +2178,7 @@ package body common_pkg is
     assert not(c_fail_rd_emp = true and rising_edge(rd_clk)  and rd_empty = '1' and rd_en = '1')  report c_fifo_name & " : read from empty fifo occurred!" severity FAILURE;
     assert not(c_note_is_ful = true and rising_edge(wr_full) and wr_rst = '0')                  report c_fifo_name & " : fifo is full now"               severity NOTE;
     assert not(                       rising_edge(wr_clk)  and wr_full = '1'  and wr_en = '1')  report c_fifo_name & " : fifo overflow occurred!"        severity FAILURE;
-    --synthesis translate_on
+  --synthesis translate_on
   end procedure proc_common_fifo_asserts;
 
 
@@ -2182,8 +2186,9 @@ package body common_pkg is
   -- common_fanout_tree
   ------------------------------------------------------------------------------
 
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
     constant k_cell_pipeline_factor_arr : t_natural_arr(c_nof_stages - 1 downto 0) := c_cell_pipeline_factor_arr;
     constant k_cell_pipeline_arr        : t_natural_arr(c_nof_output_per_cell - 1 downto 0) := c_cell_pipeline_arr;
     variable v_stage_pipeline_arr       : t_natural_arr(c_nof_output - 1 downto 0) := (others => 0);
@@ -2204,7 +2209,7 @@ package body common_pkg is
   ------------------------------------------------------------------------------
 
   -- Determine whether the stage I and row J index refer to any (active or redundant) 2-input reorder cell instantiation
-  function func_common_reorder2_is_there(I, J : natural) return boolean is
+  function func_common_reorder2_is_there (I, J : natural) return boolean is
     variable v_odd  : boolean;
     variable v_even : boolean;
   begin
@@ -2214,7 +2219,7 @@ package body common_pkg is
   end func_common_reorder2_is_there;
 
   -- Determine whether the stage I and row J index refer to an active 2-input reorder cell instantiation in a reorder network with N stages
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean is
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean is
     variable v_inst : boolean;
     variable v_act  : boolean;
   begin
@@ -2224,7 +2229,7 @@ package body common_pkg is
   end func_common_reorder2_is_active;
 
   -- Get the index K in the select setting array for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer is
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer is
     constant c_nof_reorder2_per_odd_stage  : natural := N / 2;
     constant c_nof_reorder2_per_even_stage : natural := (N - 1) / 2;
     variable v_nof_odd_stages  : natural;
@@ -2246,7 +2251,7 @@ package body common_pkg is
   end func_common_reorder2_get_select_index;
 
   -- Get the select setting for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural is
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural is
     constant c_nof_select : natural := select_arr'length;
     constant c_select_arr : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel        : natural;
@@ -2261,7 +2266,7 @@ package body common_pkg is
   end func_common_reorder2_get_select;
 
   -- Determine the inverse of a reorder network by using two reorder networks in series
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr is
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr is
     constant c_nof_select      : natural := select_arr'length;
     constant c_select_arr      : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel             : natural;
@@ -2287,8 +2292,8 @@ package body common_pkg is
     else
       -- N is even so only use stage 1 of the inverse_out reorder, the other stages remain at default pass on
       for K in 0 to N / 2 - 1 loop
-         v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
-         v_inverse_arr(v_Ki) := c_select_arr(K);
+        v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
+        v_inverse_arr(v_Ki) := c_select_arr(K);
       end loop;
       -- N is even so leave stage 1 of the inverse_in reorder at default pass on, and do inverse the other stages
       for I in 2 to N loop
@@ -2327,9 +2332,10 @@ package body common_pkg is
   --   that they all apply to the same wide data word that was clocked by the
   --   rising edge of the DCLK.
   ------------------------------------------------------------------------------
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic) is
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic) is
     variable v_dperiod : time;
     variable v_speriod : time;
   begin
@@ -2354,7 +2360,7 @@ package body common_pkg is
       end if;
       wait for v_speriod / 2;
       SCLK <= '1';
-      -- Wait for next DCLK
+    -- Wait for next DCLK
     end loop;
     wait;
   end proc_common_dclk_generate_sclk;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd
index 40128fea21..0fa106e866 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/dp_stream_pkg.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package dp_stream_pkg is
 
@@ -121,15 +121,33 @@ package dp_stream_pkg is
   end record;
 
   constant c_dp_sosi_unsigned_rst  : t_dp_sosi_unsigned := ('0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'));
-  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := ('1',
-                                                            to_unsigned(1, c_dp_stream_bsn_w),
-                                                            to_unsigned(1, c_dp_stream_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            '1', '1', '1',
-                                                            to_unsigned(1, c_dp_stream_empty_w),
-                                                            to_unsigned(1, c_dp_stream_channel_w),
-                                                            to_unsigned(1, c_dp_stream_error_w));
+  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := (
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_bsn_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    '1',
+    '1',
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_empty_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_channel_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_error_w)
+  );
 
   -- Use boolean to define whether a t_dp_siso, t_dp_sosi field is used ('1') or not ('0')
   type t_dp_siso_sl is record
@@ -208,30 +226,34 @@ package dp_stream_pkg is
   type t_dp_sosi_mat is array (integer range <>, integer range <>) of t_dp_sosi;
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
   -- . Use these functions to assign sosi data TO a record field
@@ -240,142 +262,142 @@ package dp_stream_pkg is
   --   Typically the sosi data are treated as unsigned in the record field, so extended with '0'. However for interpretating
   --   signed data in the simulation wave window it is easier to use sign extension in the record field. Therefore TO_DP_SDATA
   --   and RESIZE_DP_SDATA are defined as well.
-  function TO_DP_BSN(     n : natural) return std_logic_vector;
-  function TO_DP_DATA(    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
-  function TO_DP_SDATA(   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
-  function TO_DP_UDATA(   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector;  -- for re and im fields, signed data
-  function TO_DP_DSP_UDATA(n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
-  function TO_DP_EMPTY(   n : natural) return std_logic_vector;
-  function TO_DP_CHANNEL( n : natural) return std_logic_vector;
-  function TO_DP_ERROR(   n : natural) return std_logic_vector;
-  function RESIZE_DP_BSN(     vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_DATA(    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
-  function RESIZE_DP_SDATA(   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
-  function RESIZE_DP_XDATA(   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
-  function RESIZE_DP_EMPTY(   vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_CHANNEL( vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_ERROR(   vec : std_logic_vector) return std_logic_vector;
-
-  function INCR_DP_DATA(    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-  function INCR_DP_SDATA(   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-
-  function REPLICATE_DP_DATA(  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
-
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
+  function TO_DP_BSN (     n : natural) return std_logic_vector;
+  function TO_DP_DATA (    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
+  function TO_DP_SDATA (   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
+  function TO_DP_UDATA (   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector;  -- for re and im fields, signed data
+  function TO_DP_DSP_UDATA (n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
+  function TO_DP_EMPTY (   n : natural) return std_logic_vector;
+  function TO_DP_CHANNEL ( n : natural) return std_logic_vector;
+  function TO_DP_ERROR (   n : natural) return std_logic_vector;
+  function RESIZE_DP_BSN (     vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_DATA (    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
+  function RESIZE_DP_SDATA (   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
+  function RESIZE_DP_XDATA (   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
+  function RESIZE_DP_EMPTY (   vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_CHANNEL ( vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_ERROR (   vec : std_logic_vector) return std_logic_vector;
+
+  function INCR_DP_DATA (    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+  function INCR_DP_SDATA (   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+
+  function REPLICATE_DP_DATA (  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
+
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
 
   -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
   -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
-  function func_dp_data_shift(      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
+  function func_dp_data_shift (      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
+  function func_dp_data_shift_last (            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
 
   -- Determine resulting empty if two streams are concatenated or split
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi;
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi;
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr;                          str : string) return std_logic;
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
 
   -- Fix reversed buses due to connecting TO to DOWNTO range arrays.
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_info(              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_control(           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reset_control(         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_info (              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_control (           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reset_control (         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
 
   -- Reset sosi ctrl and preserve the sosi data (to avoid unnecessary data toggling and to ease data view in Wave window)
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
 
   -- Function to copy the BSN of one valid stream to all output streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
 
   -- Functions to combinatorially handle channels
   -- Note that the *_select and *_remove function are equivalent to dp_demux with g_combined=TRUE
-  function func_dp_stream_channel_set   (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
 
   -- Functions to combinatorially handle the error field
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
 
   -- Functions to combinatorially handle the BSN field
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
 
   -- Functions to combine sosi fields
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to convert sosi fields
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
 
   -- Functions to set the DATA, RE and IM field in a stream.
-  function func_dp_stream_set_data(dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
-
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-   -- . data_order_im_re defines the concatenation order data = im&re or re&im
-   -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
-   -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
-   -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
+
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  -- . data_order_im_re defines the concatenation order data = im&re or re&im
+  -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
+  -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
+  -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
 
   -- Concatenate the data and complex re,im fields from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
-  function func_dp_stream_concat(src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
+  function func_dp_stream_concat (src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
   -- . data_representation = "SIGNED"   treat sosi.data field as signed
@@ -384,14 +406,14 @@ package dp_stream_pkg is
   -- . data_order_im_re = TRUE  then "COMPLEX" data = im&re
   --                      FALSE then "COMPLEX" data = re&im
   --                      ignore when data_representation /= "COMPLEX"
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
 
   -- Deconcatenate data and complex re,im fields from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
 
 end dp_stream_pkg;
 
@@ -399,11 +421,12 @@ end dp_stream_pkg;
 package body dp_stream_pkg is
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     ready_reg(0) <= siso.ready;
     -- Register siso.ready in c_ready_latency registers
@@ -417,20 +440,22 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi, siso, ready_reg);
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     for i in 0 to sosi_arr'length - 1 loop
       ready_reg(i * (c_ready_latency + 1)) <= siso_arr(i).ready;  -- SLV is used as an array: nof_streams*(0..c_ready_latency)
@@ -448,118 +473,119 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
   end proc_dp_siso_alert;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
-  function TO_DP_BSN(n : natural) return std_logic_vector is
+  function TO_DP_BSN (n : natural) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_bsn_w);
   end TO_DP_BSN;
 
-  function TO_DP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_DATA;
 
-  function TO_DP_SDATA(n : integer) return std_logic_vector is
+  function TO_DP_SDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_SDATA;
 
-  function TO_DP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_UDATA (n : integer) return std_logic_vector is
   begin
     return TO_DP_DATA(n);
   end TO_DP_UDATA;
 
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_DATA;
 
-  function TO_DP_DSP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_UDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_UDATA;
 
-  function TO_DP_EMPTY(n : natural) return std_logic_vector is
+  function TO_DP_EMPTY (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_empty_w);
   end TO_DP_EMPTY;
 
-  function TO_DP_CHANNEL(n : natural) return std_logic_vector is
+  function TO_DP_CHANNEL (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_channel_w);
   end TO_DP_CHANNEL;
 
-  function TO_DP_ERROR(n : natural) return std_logic_vector is
+  function TO_DP_ERROR (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_error_w);
   end TO_DP_ERROR;
 
-  function RESIZE_DP_BSN(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_BSN (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_bsn_w);
   end RESIZE_DP_BSN;
 
-  function RESIZE_DP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_DATA;
 
-  function RESIZE_DP_SDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_SDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_SDATA;
 
-  function RESIZE_DP_XDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_XDATA (vec : std_logic_vector) return std_logic_vector is
     variable v_vec : std_logic_vector(c_dp_stream_data_w - 1 downto 0) := (others => 'X');
   begin
     v_vec(vec'length - 1 downto 0) := vec;
     return v_vec;
   end RESIZE_DP_XDATA;
 
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_dsp_data_w);
   end RESIZE_DP_DSP_DATA;
 
-  function RESIZE_DP_EMPTY(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_EMPTY (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_empty_w);
   end RESIZE_DP_EMPTY;
 
-  function RESIZE_DP_CHANNEL(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_CHANNEL (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_channel_w);
   end RESIZE_DP_CHANNEL;
 
-  function RESIZE_DP_ERROR(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_ERROR (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_error_w);
   end RESIZE_DP_ERROR;
 
-  function INCR_DP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DATA(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DATA;
 
-  function INCR_DP_SDATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_SDATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_SDATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_SDATA;
 
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DSP_DATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DSP_DATA;
 
-  function REPLICATE_DP_DATA(seq : std_logic_vector) return std_logic_vector is
+  function REPLICATE_DP_DATA (seq : std_logic_vector) return std_logic_vector is
     constant c_seq_w            : natural := seq'length;
     constant c_nof_replications : natural := ceil_div(c_dp_stream_data_w, c_seq_w);
     constant c_vec_w            : natural := ceil_value(c_dp_stream_data_w, c_seq_w);
@@ -571,7 +597,7 @@ package body dp_stream_pkg is
     return v_vec(c_dp_stream_data_w - 1 downto 0);
   end REPLICATE_DP_DATA;
 
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w :natural) return std_logic_vector is
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w :natural) return std_logic_vector is
     constant c_data_w           : natural := data'length;
     constant c_nof_replications : natural := ceil_div(c_data_w, seq_w);
     constant c_vec_w            : natural := ceil_value(c_data_w, seq_w);
@@ -590,7 +616,7 @@ package body dp_stream_pkg is
     return v_vec(c_data_w - 1 downto 0);
   end UNREPLICATE_DP_DATA;
 
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
     variable v_sosi_unsigned : t_dp_sosi_unsigned;
   begin
     v_sosi_unsigned.sync    := sync;
@@ -608,7 +634,7 @@ package body dp_stream_pkg is
   end TO_DP_SOSI_UNSIGNED;
 
   -- Keep part of head data and combine part of tail data
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
   begin
@@ -625,7 +651,7 @@ package body dp_stream_pkg is
 
 
   -- Shift and combine part of previous data and this data,
-  function func_dp_data_shift(prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
+  function func_dp_data_shift (prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_this;
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
@@ -658,7 +684,7 @@ package body dp_stream_pkg is
 
 
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
+  function func_dp_data_shift_last (tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_tail;
     variable vL     : natural := input_empty;
     variable vN     : natural := nof_symbols_per_data;
@@ -688,7 +714,7 @@ package body dp_stream_pkg is
 
   -- Determine resulting empty if two streams are concatenated
   -- . both empty must use the same nof symbols per data
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a := TO_UINT(head_empty);
@@ -700,7 +726,7 @@ package body dp_stream_pkg is
     return TO_UVEC(v_empty, head_empty'length);
   end func_dp_empty_concat;
 
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a   := TO_UINT(input_empty);
@@ -715,7 +741,7 @@ package body dp_stream_pkg is
 
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi is
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi is
     variable v_sosi : t_dp_sosi := c_dp_sosi_rst;
   begin
     for I in dp'range loop
@@ -729,7 +755,7 @@ package body dp_stream_pkg is
 
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -751,7 +777,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -775,19 +801,19 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -809,7 +835,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -833,13 +859,13 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
@@ -847,7 +873,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
     variable v_dp  : t_dp_siso_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -860,7 +886,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp  : t_dp_sosi_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -875,19 +901,19 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -899,7 +925,7 @@ package body dp_stream_pkg is
     return v_ctrl;
   end func_dp_stream_arr_get;
 
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -915,7 +941,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -928,7 +954,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -941,7 +967,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -954,7 +980,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -967,7 +993,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -980,7 +1006,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -993,7 +1019,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1006,7 +1032,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1019,7 +1045,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_to_range : t_dp_siso_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_siso_arr(in_arr'high downto 0);
   begin
@@ -1036,7 +1062,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_reverse_range;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_to_range : t_dp_sosi_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_sosi_arr(in_arr'high downto 0);
   begin
@@ -1054,7 +1080,7 @@ package body dp_stream_pkg is
   end func_dp_stream_arr_reverse_range;
 
   -- Functions to combinatorially hold the data fields and to set or reset the info and control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     v_dp := func_dp_stream_arr_set_info(   v_dp, info);  -- set sosi info
@@ -1062,7 +1088,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_combine_data_info_ctrl;
 
-  function func_dp_stream_arr_set_info(dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_info (dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi info
@@ -1074,7 +1100,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_info;
 
-  function func_dp_stream_arr_set_control(dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_control (dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi control
@@ -1086,7 +1112,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_control;
 
-  function func_dp_stream_arr_reset_control(dp : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reset_control (dp : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- reset sosi control
@@ -1098,7 +1124,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_reset_control;
 
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;  -- hold sosi data
   begin
     -- reset sosi control
@@ -1110,7 +1136,7 @@ package body dp_stream_pkg is
   end func_dp_stream_reset_control;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '0');  -- init max v_bsn with minimum value
   begin
     for I in dp'range loop
@@ -1123,13 +1149,13 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_max(dp, c_mask, w);
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '1');  -- init min v_bsn with maximum value
   begin
     for I in dp'range loop
@@ -1142,14 +1168,14 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_min;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_min(dp, c_mask, w);
   end func_dp_stream_arr_bsn_min;
 
   -- Function to copy the BSN number of one valid stream to all other streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_bsn : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0) := (others => '0');
     variable v_dp  : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
@@ -1166,14 +1192,14 @@ package body dp_stream_pkg is
 
 
   -- Functions to combinatorially handle channels
-  function func_dp_stream_channel_set(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.channel := TO_UVEC(ch, c_dp_stream_channel_w);
     return v_rec;
   end func_dp_stream_channel_set;
 
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) /= ch then
@@ -1184,7 +1210,7 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_channel_select;
 
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) = ch then
@@ -1196,7 +1222,7 @@ package body dp_stream_pkg is
   end func_dp_stream_channel_remove;
 
 
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.err := TO_UVEC(n, c_dp_stream_error_w);
@@ -1204,7 +1230,7 @@ package body dp_stream_pkg is
   end func_dp_stream_error_set;
 
 
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.bsn := RESIZE_DP_BSN(bsn);
@@ -1212,7 +1238,7 @@ package body dp_stream_pkg is
   end func_dp_stream_bsn_set;
 
 
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi is
     variable v_rec : t_dp_sosi := data;  -- Sosi data fields
   begin
     -- Combine sosi data with the sosi info fields
@@ -1225,7 +1251,7 @@ package body dp_stream_pkg is
   end func_dp_stream_combine_info_and_data;
 
 
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
     variable v_rec : t_dp_sosi_integer;
   begin
     v_rec.sync     := slv_sosi.sync;
@@ -1242,23 +1268,23 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_slv_to_integer;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
+  function func_dp_stream_set_data (dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;
   begin
-      if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
-      elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
-                            v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      else  report "Error in func_dp_stream_set_data for t_dp_sosi";
-      end if;
+    if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
+    elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
+      v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    else  report "Error in func_dp_stream_set_data for t_dp_sosi";
+    end if;
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1267,7 +1293,7 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1278,8 +1304,8 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_re           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1300,17 +1326,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_hi           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1333,17 +1359,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1352,17 +1378,17 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1371,18 +1397,18 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, 1, true);
   end;
 
   -- Concatenate the data (and complex fields) from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
     constant c_compl_data_w : natural   := data_w / 2;
     variable v_src_out      : t_dp_sosi := snk_in_arr(0);
   begin
@@ -1397,7 +1423,7 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_concat(src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
     variable v_snk_out_arr : t_dp_siso_arr(nof_streams - 1 downto 0);
   begin
     for i in v_snk_out_arr'range loop
@@ -1407,7 +1433,7 @@ package body dp_stream_pkg is
   end;
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_in_w  : natural   := in_w / 2;
     constant c_compl_out_w : natural   := out_w / 2;
     variable v_src_out     : t_dp_sosi := snk_in;
@@ -1445,12 +1471,12 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
   begin
     return func_dp_stream_reconcat(snk_in, in_w, out_w, nof_data, data_representation, true);
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_src_out_arr : t_dp_sosi_arr(snk_in_arr'range) := snk_in_arr;
   begin
     for i in v_src_out_arr'range loop
@@ -1459,13 +1485,13 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
   begin
     return func_dp_stream_reconcat(snk_in_arr, in_w, out_w, nof_data, data_representation, true);
   end;
 
   -- Deconcatenate data from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_deconcat (snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_src_out_arr  : t_dp_sosi_arr(nof_streams - 1 downto 0);
   begin
@@ -1481,7 +1507,7 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
   begin
     return src_out_arr(0);
   end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd
index d1ec55fe6f..adae931402 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/eth_pkg.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
 
 
 package eth_pkg is
@@ -46,12 +46,12 @@ package eth_pkg is
 
   -- Actual frame space in RAM <= c_eth_max_frame_sz, default >= 1520, <= 9020 for jumbo
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*3/2;  -- Use 1536 = 3/2 M9K, to benefit from Rx and Tx in single buffer of 2*1.5=3 M9K, but does
-                                                                 -- yield simulation warning: Address pointed at port A is out of bound!
+  -- yield simulation warning: Address pointed at port A is out of bound!
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*8;  -- Use 8192 = 8 M9K for jumbo frame support with payload size <= 8172
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*9;  -- Use 9216 = 9 M9K for jumbo frame support with payload size <= 9000
   constant c_eth_frame_sz              : natural := 1024 * 2;  -- Use 2048 = 2 M9K to avoid simulation warning: Address pointed at port A is out of bound!
-                                                               -- when the module is used in an Nios II SOPC system
-                                                               -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
+  -- when the module is used in an Nios II SOPC system
+  -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
   constant c_eth_frame_nof_words       : natural := c_eth_frame_sz / c_word_sz;
   constant c_eth_frame_nof_words_w     : natural := ceil_log2(c_eth_frame_nof_words);  -- >= 9 bit, <= 12 bit
 
@@ -74,9 +74,17 @@ package eth_pkg is
     is_dhcp           : std_logic;
   end record;
 
-  constant c_eth_hdr_status_rst : t_eth_hdr_status := ('0', '0', '0', '0',
-                                                       (others => '0'), '0', '0',
-                                                       (others => '0'), '0');
+  constant c_eth_hdr_status_rst : t_eth_hdr_status := (
+    '0',
+    '0',
+    '0',
+    '0',
+    (others => '0'),
+    '0',
+    '0',
+    (others => '0'),
+    '0'
+  );
 
   ------------------------------------------------------------------------------
   -- Definitions for eth demux udp
@@ -185,16 +193,16 @@ package eth_pkg is
   constant c_eth_mm_reg_status_rst : t_eth_mm_reg_status    := ((others => '0'), (others => '0'), '0', '0', '0');
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(  mm_reg : std_logic_vector)     return t_eth_mm_reg_demux;
-  function func_eth_mm_reg_demux(  mm_reg : t_eth_mm_reg_demux)   return std_logic_vector;
-  function func_eth_mm_reg_config( mm_reg : std_logic_vector)     return t_eth_mm_reg_config;
-  function func_eth_mm_reg_config( mm_reg : t_eth_mm_reg_config)  return std_logic_vector;
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector)     return t_eth_mm_reg_control;
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector;
-  function func_eth_mm_reg_frame(  mm_reg : std_logic_vector)     return t_eth_mm_reg_frame;
-  function func_eth_mm_reg_frame(  mm_reg : t_eth_mm_reg_frame)   return std_logic_vector;
-  function func_eth_mm_reg_status( mm_reg : std_logic_vector)     return t_eth_mm_reg_status;
-  function func_eth_mm_reg_status( mm_reg : t_eth_mm_reg_status)  return std_logic_vector;
+  function func_eth_mm_reg_demux (  mm_reg : std_logic_vector) return t_eth_mm_reg_demux;
+  function func_eth_mm_reg_demux (  mm_reg : t_eth_mm_reg_demux) return std_logic_vector;
+  function func_eth_mm_reg_config ( mm_reg : std_logic_vector) return t_eth_mm_reg_config;
+  function func_eth_mm_reg_config ( mm_reg : t_eth_mm_reg_config) return std_logic_vector;
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control;
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector;
+  function func_eth_mm_reg_frame (  mm_reg : std_logic_vector) return t_eth_mm_reg_frame;
+  function func_eth_mm_reg_frame (  mm_reg : t_eth_mm_reg_frame) return std_logic_vector;
+  function func_eth_mm_reg_status ( mm_reg : std_logic_vector) return t_eth_mm_reg_status;
+  function func_eth_mm_reg_status ( mm_reg : t_eth_mm_reg_status) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Definitions for eth_mm_registers
@@ -223,7 +231,7 @@ end eth_pkg;
 package body eth_pkg is
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
+  function func_eth_mm_reg_demux (mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
     variable v_reg : t_eth_mm_reg_demux;
   begin
     -- Demux UDP MM registers
@@ -234,7 +242,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_demux;
 
-  function func_eth_mm_reg_demux(mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
+  function func_eth_mm_reg_demux (mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_demux_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg := (others => '0');  -- rsvd
@@ -246,7 +254,7 @@ package body eth_pkg is
   end func_eth_mm_reg_demux;
 
   -- MM config register
-  function func_eth_mm_reg_config(mm_reg : std_logic_vector) return t_eth_mm_reg_config is
+  function func_eth_mm_reg_config (mm_reg : std_logic_vector) return t_eth_mm_reg_config is
     variable v_reg : t_eth_mm_reg_config;
   begin
     v_reg.udp_port                        := mm_reg(c_network_udp_port_w + 3 * c_word_w - 1 downto 3 * c_word_w);  -- [15:0]  = control UDP port number
@@ -256,7 +264,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_config;
 
-  function func_eth_mm_reg_config(mm_reg : t_eth_mm_reg_config) return std_logic_vector is
+  function func_eth_mm_reg_config (mm_reg : t_eth_mm_reg_config) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_config_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                      := (others => '0');  -- rsvd
@@ -268,7 +276,7 @@ package body eth_pkg is
   end func_eth_mm_reg_config;
 
   -- MM control register
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector) return t_eth_mm_reg_control is
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control is
     variable v_reg : t_eth_mm_reg_control;
   begin
     v_reg.tx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -279,7 +287,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_control;
 
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector is
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_control_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
@@ -292,7 +300,7 @@ package body eth_pkg is
   end func_eth_mm_reg_control;
 
   -- MM frame register
-  function func_eth_mm_reg_frame(mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
+  function func_eth_mm_reg_frame (mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
     variable v_reg : t_eth_mm_reg_frame;
   begin
     v_reg.is_dhcp           := mm_reg(              c_byte_w + 7);  -- [15]
@@ -308,7 +316,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_frame;
 
-  function func_eth_mm_reg_frame(mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
+  function func_eth_mm_reg_frame (mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_frame_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                           := (others => '0');  -- rsvd
@@ -326,7 +334,7 @@ package body eth_pkg is
   end func_eth_mm_reg_frame;
 
   -- MM status register
-  function func_eth_mm_reg_status(mm_reg : std_logic_vector) return t_eth_mm_reg_status is
+  function func_eth_mm_reg_status (mm_reg : std_logic_vector) return t_eth_mm_reg_status is
     variable v_reg : t_eth_mm_reg_status;
   begin
     v_reg.rx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -337,7 +345,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_status;
 
-  function func_eth_mm_reg_status(mm_reg : t_eth_mm_reg_status) return std_logic_vector is
+  function func_eth_mm_reg_status (mm_reg : t_eth_mm_reg_status) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_status_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd
index 2eb92cbc7d..e8bb8d4283 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/tech_tse_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package tech_tse_pkg is
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd
index 3c94c1901f..274d14a577 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/avs2_eth_coe.vhd
@@ -26,10 +26,10 @@
 -- . The avs2_eth_coe_hw.tcl determines the read latency per port
 
 library IEEE, common_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity avs2_eth_coe is
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd
index 3fc6ebb7a2..d49ba9d9fb 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_network_layers_pkg.vhd
@@ -23,9 +23,9 @@
 -- Purpose: Define the fields of network headers
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 package common_network_layers_pkg is
 
@@ -86,9 +86,11 @@ package common_network_layers_pkg is
     eth_type   : std_logic_vector(c_network_eth_type_w - 1 downto 0);
   end record;
 
-  constant c_network_eth_header_ones : t_network_eth_header := ("000000000000000000000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "0000000000000001");
+  constant c_network_eth_header_ones : t_network_eth_header := (
+    "000000000000000000000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- IPv4 Packet
@@ -135,13 +137,13 @@ package common_network_layers_pkg is
   constant c_network_ip_addr_len            : natural := 4;
   constant c_network_ip_addr_w              : natural := c_network_ip_addr_len * c_8;
 
-                                                      -- [0:7]                             [8:15]                      [16:31]
+  -- [0:7]                             [8:15]                      [16:31]
   constant c_network_ip_header_len          : natural := c_network_ip_version_header_len + c_network_ip_services_len + c_network_ip_total_length_len +
                                                          c_network_ip_identification_len +                             c_network_ip_flags_fragment_len +
                                                          c_network_ip_time_to_live_len   + c_network_ip_protocol_len + c_network_ip_header_checksum_len +
                                                          c_network_ip_addr_len +
                                                          c_network_ip_addr_len;
-                                                    -- = c_network_ip_header_length * c_word_sz = 20
+  -- = c_network_ip_header_length * c_word_sz = 20
   -- default field values
   constant c_network_ip_version             : natural := 4;  -- 4 = IPv4,
   constant c_network_ip_header_length       : natural := 5;  -- 5 = nof words in the header, no options field support
@@ -175,11 +177,20 @@ package common_network_layers_pkg is
     dst_ip_addr         : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet
   end record;
 
-  constant c_network_ip_header_ones : t_network_ip_header := ("0001", "0001", "00000001", "0000000000000001",
-                                                              "0000000000000001", "001", "0000000000001",
-                                                              "00000001", "00000001", "0000000000000001",
-                                                              "00000000000000000000000000000001",
-                                                              "00000000000000000000000000000001");
+  constant c_network_ip_header_ones : t_network_ip_header := (
+    "0001",
+    "0001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "001",
+    "0000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "00000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ARP Packet
@@ -216,12 +227,12 @@ package common_network_layers_pkg is
   constant c_network_arp_oper_len           : natural := 2;
   constant c_network_arp_oper_w             : natural := c_network_arp_oper_len * c_8;
 
-                                                      -- [0:15]                       [16:31]
+  -- [0:15]                       [16:31]
   constant c_network_arp_data_len           : natural := c_network_arp_htype_len    + c_network_arp_ptype_len +
                                                          c_network_arp_hlen_len     + c_network_arp_plen_len  + c_network_arp_oper_len +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len   +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len;
-                                                      -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
+  -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
 
   -- default field values
   constant c_network_arp_htype              : natural := 1;  -- Hardware type, 1=ethernet
@@ -247,12 +258,17 @@ package common_network_layers_pkg is
     tpa     : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet, Target Protocol Address
   end record;
 
-  constant c_network_arp_packet_ones : t_network_arp_packet := ("0000000000000001", "0000000000000001",
-                                                                "00000001", "00000001", "0000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001");
+  constant c_network_arp_packet_ones : t_network_arp_packet := (
+    "0000000000000001",
+    "0000000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ICMP (for ping)
@@ -301,8 +317,13 @@ package common_network_layers_pkg is
     sequence   : std_logic_vector(c_network_icmp_sequence_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_icmp_header_ones : t_network_icmp_header := ("00000001", "00000001", "0000000000000001",
-                                                                  "0000000000000001", "0000000000000001");
+  constant c_network_icmp_header_ones : t_network_icmp_header := (
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- UDP Packet
@@ -327,7 +348,7 @@ package common_network_layers_pkg is
   constant c_network_udp_checksum_len       : natural := 2;
   constant c_network_udp_checksum_w         : natural := c_network_udp_checksum_len * c_8;
 
-                                                      -- [0:15]                           [16:31]
+  -- [0:15]                           [16:31]
   constant c_network_udp_header_len         : natural := c_network_udp_port_len         + c_network_udp_port_len +
                                                          c_network_udp_total_length_len + c_network_udp_checksum_len;  -- 8
 
@@ -348,8 +369,12 @@ package common_network_layers_pkg is
     checksum     : std_logic_vector(c_network_udp_checksum_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_udp_header_ones : t_network_udp_header := ("0000000000000001", "0000000000000001",
-                                                                "0000000000000001", "0000000000000001");
+  constant c_network_udp_header_ones : t_network_udp_header := (
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
 end common_network_layers_pkg;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
index 9469fd2656..cfde8ebf26 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
@@ -30,9 +30,9 @@
 -- . More information can be found in the comments near the code.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
 
 package common_pkg is
 
@@ -165,315 +165,318 @@ package common_pkg is
 
   -- All functions assume [high downto low] input ranges
 
-  function pow2(n : natural) return natural;  -- = 2**n
-  function ceil_pow2(n : integer) return natural;  -- = 2**n, returns 1 for n<0
+  function pow2 (n : natural) return natural;  -- = 2**n
+  function ceil_pow2 (n : integer) return natural;  -- = 2**n, returns 1 for n<0
 
-  function true_log2(n : natural) return natural;  -- true_log2(n) = log2(n)
-  function ceil_log2(n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
+  function true_log2 (n : natural) return natural;  -- true_log2(n) = log2(n)
+  function ceil_log2 (n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
 
-  function floor_log10(n : natural) return natural;
+  function floor_log10 (n : natural) return natural;
 
-  function is_pow2(n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
-  function true_log_pow2(n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
+  function is_pow2 (n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
+  function true_log_pow2 (n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
 
-  function ratio( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
-  function ratio2(n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
+  function ratio ( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
+  function ratio2 (n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
 
-  function ceil_div(   n, d : natural)  return natural;  -- ceil_div    = n/d + (n MOD d)/=0
-  function ceil_value( n, d : natural)  return natural;  -- ceil_value  = ceil_div(n, d) * d
-  function floor_value(n, d : natural)  return natural;  -- floor_value = (n/d) * d
-  function ceil_div(   n : unsigned; d: natural) return unsigned;
-  function ceil_value( n : unsigned; d: natural) return unsigned;
-  function floor_value(n : unsigned; d: natural) return unsigned;
+  function ceil_div (   n, d : natural) return natural;  -- ceil_div    = n/d + (n MOD d)/=0
+  function ceil_value ( n, d : natural) return natural;  -- ceil_value  = ceil_div(n, d) * d
+  function floor_value (n, d : natural) return natural;  -- floor_value = (n/d) * d
+  function ceil_div (   n : unsigned; d: natural) return unsigned;
+  function ceil_value ( n : unsigned; d: natural) return unsigned;
+  function floor_value (n : unsigned; d: natural) return unsigned;
 
-  function slv(n: in std_logic)        return std_logic_vector;  -- standard logic to 1 element standard logic vector
-  function sl( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
+  function slv (n: in std_logic) return std_logic_vector;  -- standard logic to 1 element standard logic vector
+  function sl ( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
-  function to_natural_arr(n : t_nat_natural_arr)                return t_natural_arr;
-  function to_integer_arr(n : t_natural_arr)                    return t_integer_arr;
-  function to_integer_arr(n : t_nat_natural_arr)                return t_integer_arr;
-  function to_slv_32_arr( n : t_integer_arr)                    return t_slv_32_arr;
-  function to_slv_32_arr( n : t_natural_arr)                    return t_slv_32_arr;
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr;
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr;
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr;
+  function to_slv_32_arr ( n : t_integer_arr) return t_slv_32_arr;
+  function to_slv_32_arr ( n : t_natural_arr) return t_slv_32_arr;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
-  function vector_and(slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
-  function vector_or( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
-  function vector_xor(slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
+  function vector_and (slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
+  function vector_or ( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
+  function vector_xor (slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
 
-  function andv(slv : std_logic_vector) return std_logic;  -- alias of vector_and
-  function orv( slv : std_logic_vector) return std_logic;  -- alias of vector_or
-  function xorv(slv : std_logic_vector) return std_logic;  -- alias of vector_xor
+  function andv (slv : std_logic_vector) return std_logic;  -- alias of vector_and
+  function orv ( slv : std_logic_vector) return std_logic;  -- alias of vector_or
+  function xorv (slv : std_logic_vector) return std_logic;  -- alias of vector_xor
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
-  function matrix_or( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
+  function matrix_or ( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
 
-  function smallest(n, m    : integer)       return integer;
-  function smallest(n, m, l : integer)       return integer;
-  function smallest(n       : t_natural_arr) return natural;
+  function smallest (n, m    : integer) return integer;
+  function smallest (n, m, l : integer) return integer;
+  function smallest (n       : t_natural_arr) return natural;
 
-  function largest(n, m : integer)       return integer;
-  function largest(n    : t_natural_arr) return natural;
+  function largest (n, m : integer) return integer;
+  function largest (n    : t_natural_arr) return natural;
 
-  function func_sum(    n : t_natural_arr)     return natural;  -- sum     of all elements in array
-  function func_sum(    n : t_nat_natural_arr) return natural;
-  function func_product(n : t_natural_arr)     return natural;  -- product of all elements in array
-  function func_product(n : t_nat_natural_arr) return natural;
+  function func_sum (    n : t_natural_arr) return natural;  -- sum     of all elements in array
+  function func_sum (    n : t_nat_natural_arr) return natural;
+  function func_product (n : t_natural_arr) return natural;  -- product of all elements in array
+  function func_product (n : t_nat_natural_arr) return natural;
 
-  function "+" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise sum
-  function "+" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise sum
-  function "+" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise sum
+  function "+" (L, R: t_natural_arr) return t_natural_arr;  -- element wise sum
+  function "+" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise sum
+  function "+" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise sum
 
-  function "-" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise subtract
-  function "-" (L, R: t_natural_arr)               return t_integer_arr;  -- element wise subtract, support negative result
-  function "-" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise subtract
-  function "-" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_integer_arr;  -- element wise subtract, support negative result
+  function "-" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise subtract
+  function "-" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise subtract
 
-  function "*" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise product
-  function "*" (L   : t_natural_arr; R : natural)  return t_natural_arr;  -- element wise product
-  function "*" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise product
+  function "*" (L, R: t_natural_arr) return t_natural_arr;  -- element wise product
+  function "*" (L   : t_natural_arr; R : natural) return t_natural_arr;  -- element wise product
+  function "*" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise product
 
-  function "/" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise division
+  function "/" (L, R: t_natural_arr) return t_natural_arr;  -- element wise division
   function "/" (L   : t_natural_arr; R : positive) return t_natural_arr;  -- element wise division
-  function "/" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise division
-
-  function is_true(a : std_logic) return boolean;
-  function is_true(a : std_logic) return natural;
-  function is_true(a : boolean)   return std_logic;
-  function is_true(a : boolean)   return natural;
-  function is_true(a : integer)   return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
-  function is_true(a : integer)   return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
-
-  function sel_a_b(sel,           a, b : boolean)           return boolean;
-  function sel_a_b(sel,           a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : real)              return real;
-  function sel_a_b(sel : boolean; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : signed)            return signed;
-  function sel_a_b(sel : boolean; a, b : unsigned)          return unsigned;
-  function sel_a_b(sel : boolean; a, b : t_integer_arr)     return t_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_natural_arr)     return t_natural_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
-  function sel_a_b(sel : boolean; a, b : string)            return string;
-  function sel_a_b(sel : integer; a, b : string)            return string;
-  function sel_a_b(sel : boolean; a, b : time)              return time;
-  function sel_a_b(sel : boolean; a, b : severity_level)    return severity_level;
+  function "/" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise division
+
+  function is_true (a : std_logic) return boolean;
+  function is_true (a : std_logic) return natural;
+  function is_true (a : boolean) return std_logic;
+  function is_true (a : boolean) return natural;
+  function is_true (a : integer) return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
+  function is_true (a : integer) return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
+
+  function sel_a_b (sel,           a, b : boolean) return boolean;
+  function sel_a_b (sel,           a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : real) return real;
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : signed) return signed;
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned;
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
+  function sel_a_b (sel : boolean; a, b : string) return string;
+  function sel_a_b (sel : integer; a, b : string) return string;
+  function sel_a_b (sel : boolean; a, b : time) return time;
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level;
 
   -- sel_n() index sel = 0, 1, 2, ... will return a, b, c, ...
-  function sel_n(sel : natural; a, b, c                      : boolean) return boolean;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
-
-  function sel_n(sel : natural; a, b, c                      : integer) return integer;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : integer) return integer;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
-
-  function sel_n(sel : natural; a, b                         : string) return string;  -- 2
-  function sel_n(sel : natural; a, b, c                      : string) return string;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : string) return string;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
-
-  function array_init(init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
-  function array_init(init,             nof, incr        : natural) return t_nat_natural_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_16_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_32_arr;
-  function array_init(init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-  function array_init(init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
-  function array_sinit(init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
+  function sel_n (sel : natural; a, b, c                      : boolean) return boolean;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
+
+  function sel_n (sel : natural; a, b, c                      : integer) return integer;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : integer) return integer;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
+
+  function sel_n (sel : natural; a, b                         : string) return string;  -- 2
+  function sel_n (sel : natural; a, b, c                      : string) return string;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : string) return string;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
+
+  function array_init (init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
+  function array_init (init,             nof, incr        : natural) return t_nat_natural_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_16_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_32_arr;
+  function array_init (init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+  function array_init (init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
+  function array_sinit (init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
 
   -- Concatenate two or more STD_LOGIC_VECTORs into a single STD_LOGIC_VECTOR or extract one of them from a concatenated STD_LOGIC_VECTOR
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
-  function func_slv_concat_w(use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-
-  function TO_UINT(vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
-  function TO_SINT(vec : std_logic_vector) return integer;
-
-  function TO_UVEC(dec, w : natural) return std_logic_vector;
-  function TO_SVEC(dec, w : integer) return std_logic_vector;
-
-  function TO_SVEC_32(dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
-
--- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a, b, c, d, e, f    : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e               : boolean; a, b, c, d, e       : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d                      : boolean; a, b, c, d          : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c                             : boolean; a, b, c             : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b                                    : boolean; a, b                : std_logic_vector) return std_logic_vector;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural) return natural;
+  function func_slv_concat_w (use_a, use_b                                    : boolean; a_w, b_w                          : natural) return natural;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f        : boolean; a_w, b_w, c_w, d_w, e_w, f_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e               : boolean; a_w, b_w, c_w, d_w, e_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d                      : boolean; a_w, b_w, c_w, d_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c                             : boolean; a_w, b_w, c_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b                                    : boolean; a_w, b_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+
+  function TO_UINT (vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
+  function TO_SINT (vec : std_logic_vector) return integer;
+
+  function TO_UVEC (dec, w : natural) return std_logic_vector;
+  function TO_SVEC (dec, w : integer) return std_logic_vector;
+
+  function TO_SVEC_32 (dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  -- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
   -- behaviour of preserving the sign bit is less suitable for DSP and not necessary in general. A more
   -- appropriate approach is to ignore the MSbit sign and just keep the LS part. For too large values this
   -- means that the result gets wrapped, but that is fine for default behaviour, because that is also what
   -- happens for RESIZE of UNSIGNED. Therefor this is what the RESIZE_NUM for SIGNED and the RESIZE_SVEC do
   -- and better not use RESIZE for SIGNED anymore.
-  function RESIZE_NUM( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
-  function RESIZE_NUM( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
-  function RESIZE_UVEC(sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
-  function RESIZE_UINT(u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
-  function RESIZE_SINT(s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
-
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
-
-  function INCR_UVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : signed)   return std_logic_vector;
-                                                                                                                   -- Used in common_add_sub.vhd
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
-
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
-
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-
-  function offset_binary(a : std_logic_vector) return std_logic_vector;
-
-  function truncate(                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
-  function truncate_and_resize_uvec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
-  function truncate_and_resize_svec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function scale(                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
-  function scale_and_resize_uvec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
-  function scale_and_resize_svec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function truncate_or_resize_uvec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
-  function truncate_or_resize_svec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
-
-  function s_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
-  function s_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function s_round_up(vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
-  function u_round(   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
-  function u_round(   vec : std_logic_vector; n : natural)                 return std_logic_vector;  -- idem round up for unsigned values
-
-  function u_to_s(u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
-  function s_to_u(s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
-
-  function u_wrap(u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
-  function s_wrap(s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
-
-  function u_clip(u : natural; max : natural) return natural;  -- if s < max return s, else return n
-  function s_clip(s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
-  function s_clip(s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
-
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
-  function hton(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
-  function hton(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
-  function ntoh(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
-  function ntoh(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
-
-  function flip(a : std_logic_vector)  return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
-  function flip(a, w : natural)        return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
-  function flip(a : t_slv_32_arr)      return t_slv_32_arr;
-  function flip(a : t_integer_arr)     return t_integer_arr;
-  function flip(a : t_natural_arr)     return t_natural_arr;
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr;
-
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
-  function transpose(a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
-
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
-
-  function pad(str: string; width: natural; pad_char: character) return string;
-
-  function slice_up(str: string; width: natural; i: natural) return string;
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string;
-  function slice_dn(str: string; width: natural; i: natural) return string;
-
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
+  function RESIZE_NUM ( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
+  function RESIZE_NUM ( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
+  function RESIZE_UVEC (sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
+  function RESIZE_UINT (u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
+  function RESIZE_SINT (s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
+
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector;
+  -- Used in common_add_sub.vhd
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
+
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
+
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+
+  function offset_binary (a : std_logic_vector) return std_logic_vector;
+
+  function truncate (                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
+  function truncate_and_resize_uvec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
+  function truncate_and_resize_svec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function scale (                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
+  function scale_and_resize_uvec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
+  function scale_and_resize_svec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function truncate_or_resize_uvec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
+  function truncate_or_resize_svec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
+
+  function s_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
+  function s_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem but round up to +infinity (s_round_up = u_round)
+  function u_round (   vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector;  -- idem round up for unsigned values
+  function u_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem round up for unsigned values
+
+  function u_to_s (u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
+  function s_to_u (s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
+
+  function u_wrap (u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
+  function s_wrap (s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
+
+  function u_clip (u : natural; max : natural) return natural;  -- if s < max return s, else return n
+  function s_clip (s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
+  function s_clip (s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
+
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
+  function hton (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
+  function hton (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
+  function ntoh (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
+  function ntoh (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
+
+  function flip (a : std_logic_vector) return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
+  function flip (a, w : natural) return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
+  function flip (a : t_slv_32_arr) return t_slv_32_arr;
+  function flip (a : t_integer_arr) return t_integer_arr;
+  function flip (a : t_natural_arr) return t_natural_arr;
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr;
+
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
+  function transpose (a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
+
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
+
+  function pad (str: string; width: natural; pad_char: character) return string;
+
+  function slice_up (str: string; width: natural; i: natural) return string;
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string;
+  function slice_dn (str: string; width: natural; i: natural) return string;
+
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Component specific functions
   ------------------------------------------------------------------------------
 
   -- common_fifo_*
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic);
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic);
 
   -- common_fanout_tree
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
 
   -- common_reorder_symbol
-  function func_common_reorder2_is_there(I, J : natural) return boolean;
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean;
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer;
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural;
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr;
+  function func_common_reorder2_is_there (I, J : natural) return boolean;
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean;
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer;
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural;
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr;
 
   -- Generate faster sample SCLK from digital DCLK for sim only
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic);
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic);
 
 end common_pkg;
 
 package body common_pkg is
 
-  function pow2(n : natural) return natural is
+  function pow2 (n : natural) return natural is
   begin
     return 2**n;
   end;
 
-  function ceil_pow2(n : integer) return natural is
+  function ceil_pow2 (n : integer) return natural is
   -- Also allows negative exponents and rounds up before returning the value
   begin
     return natural(integer(ceil(2**real(n))));
   end;
 
-  function true_log2(n : natural) return natural is
+  function true_log2 (n : natural) return natural is
   -- Purpose: For calculating extra vector width of existing vector
   -- Description: Return mathematical ceil(log2(n))
   --   n    log2()
@@ -492,7 +495,7 @@ package body common_pkg is
     return natural(integer(ceil(log2(real(n)))));
   end;
 
-  function ceil_log2(n : natural) return natural is
+  function ceil_log2 (n : natural) return natural is
   -- Purpose: For calculating vector width of new vector
   -- Description:
   --   Same as true_log2() except ceil_log2(1) = 1, which is needed to support
@@ -510,22 +513,22 @@ package body common_pkg is
     end if;
   end;
 
-  function floor_log10(n : natural) return natural is
+  function floor_log10 (n : natural) return natural is
   begin
     return natural(integer(floor(log10(real(n)))));
   end;
 
-  function is_pow2(n : natural) return boolean is
+  function is_pow2 (n : natural) return boolean is
   begin
     return n = 2**true_log2(n);
   end;
 
-  function true_log_pow2(n : natural) return natural is
+  function true_log_pow2 (n : natural) return natural is
   begin
     return 2**true_log2(n);
   end;
 
-  function ratio(n, d : natural) return natural is
+  function ratio (n, d : natural) return natural is
   begin
     if n mod d = 0 then
       return n / d;
@@ -534,32 +537,32 @@ package body common_pkg is
     end if;
   end;
 
-  function ratio2(n, m : natural) return natural is
+  function ratio2 (n, m : natural) return natural is
   begin
     return largest(ratio(n,m), ratio(m,n));
   end;
 
-  function ceil_div(n, d : natural) return natural is
+  function ceil_div (n, d : natural) return natural is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);
   end;
 
-  function ceil_value(n, d : natural) return natural is
+  function ceil_value (n, d : natural) return natural is
   begin
     return ceil_div(n, d) * d;
   end;
 
-  function floor_value(n, d : natural) return natural is
+  function floor_value (n, d : natural) return natural is
   begin
     return (n / d) * d;
   end;
 
-  function ceil_div(n : unsigned; d: natural) return unsigned is
+  function ceil_div (n : unsigned; d: natural) return unsigned is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);  -- "/" returns same width as n
   end;
 
-  function ceil_value(n : unsigned; d: natural) return unsigned is
+  function ceil_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -567,7 +570,7 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function floor_value(n : unsigned; d: natural) return unsigned is
+  function floor_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -575,21 +578,21 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function slv(n: in std_logic) return std_logic_vector is
+  function slv (n: in std_logic) return std_logic_vector is
     variable r : std_logic_vector(0 downto 0);
   begin
     r(0) := n;
     return r;
   end;
 
-  function sl(n: in std_logic_vector) return std_logic is
+  function sl (n: in std_logic_vector) return std_logic is
     variable r : std_logic;
   begin
     r := n(n'low);
     return r;
   end;
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr is
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -607,7 +610,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_natural_arr(n : t_nat_natural_arr) return t_natural_arr is
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr is
     variable vN : t_nat_natural_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -618,7 +621,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_integer_arr(n'length - 1 downto 0);
   begin
@@ -629,14 +632,14 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_nat_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return to_integer_arr(vN);
   end;
 
-  function to_slv_32_arr(n : t_integer_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_integer_arr) return t_slv_32_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -647,7 +650,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_slv_32_arr(n : t_natural_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_natural_arr) return t_slv_32_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -658,7 +661,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic is
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic is
     -- Linear loop to determine result takes combinatorial delay that is proportional to slv'LENGTH:
     --   FOR I IN slv'RANGE LOOP
     --     v_result := v_result OPERATION slv(I);
@@ -691,22 +694,22 @@ package body common_pkg is
     return v_stage_arr(c_nof_stages - 1)(0);
   end;
 
-  function vector_and(slv : std_logic_vector) return std_logic is
+  function vector_and (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function vector_or(slv : std_logic_vector) return std_logic is
+  function vector_or (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function vector_xor(slv : std_logic_vector) return std_logic is
+  function vector_xor (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector is
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector is
     variable v_one_hot : boolean := false;
     variable v_zeros   : std_logic_vector(slv'range) := (others => '0');
   begin
@@ -725,22 +728,22 @@ package body common_pkg is
     return slv;
   end;
 
-  function andv(slv : std_logic_vector) return std_logic is
+  function andv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function orv(slv : std_logic_vector) return std_logic is
+  function orv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function xorv(slv : std_logic_vector) return std_logic is
+  function xorv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '1';
   begin
@@ -752,7 +755,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function matrix_or(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_or (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '0';
   begin
@@ -764,7 +767,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function smallest(n, m : integer) return integer is
+  function smallest (n, m : integer) return integer is
   begin
     if n < m then
       return n;
@@ -773,16 +776,16 @@ package body common_pkg is
     end if;
   end;
 
-  function smallest(n, m, l : integer) return integer is
+  function smallest (n, m, l : integer) return integer is
     variable v : natural;
   begin
-                  v := n;
+    v := n;
     if v > m then v := m; end if;
     if v > l then v := l; end if;
     return v;
   end;
 
-  function smallest(n : t_natural_arr) return natural is
+  function smallest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -793,7 +796,7 @@ package body common_pkg is
     return m;
   end;
 
-  function largest(n, m : integer) return integer is
+  function largest (n, m : integer) return integer is
   begin
     if n > m then
       return n;
@@ -802,7 +805,7 @@ package body common_pkg is
     end if;
   end;
 
-  function largest(n : t_natural_arr) return natural is
+  function largest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -813,7 +816,7 @@ package body common_pkg is
     return m;
   end;
 
-  function func_sum(n : t_natural_arr) return natural is
+  function func_sum (n : t_natural_arr) return natural is
     variable vS : natural;
   begin
     vS := 0;
@@ -823,14 +826,14 @@ package body common_pkg is
     return vS;
   end;
 
-  function func_sum(n : t_nat_natural_arr) return natural is
+  function func_sum (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return func_sum(vN);
   end;
 
-  function func_product(n : t_natural_arr) return natural is
+  function func_product (n : t_natural_arr) return natural is
     variable vP : natural;
   begin
     vP := 1;
@@ -840,7 +843,7 @@ package body common_pkg is
     return vP;
   end;
 
-  function func_product(n : t_nat_natural_arr) return natural is
+  function func_product (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
@@ -999,14 +1002,14 @@ package body common_pkg is
     return vP;
   end;
 
-  function is_true(a : std_logic) return boolean   is begin if a = '1'  then return true; else return false; end if; end;
-  function is_true(a : std_logic) return natural   is begin if a = '1'  then return 1;    else return 0;     end if; end;
-  function is_true(a : boolean)   return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
-  function is_true(a : boolean)   return natural   is begin if a = true then return 1;    else return 0;     end if; end;
-  function is_true(a : integer)   return boolean   is begin if a /= 0   then return true; else return false; end if; end;
-  function is_true(a : integer)   return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
+  function is_true (a : std_logic) return boolean is begin if a = '1'  then return true; else return false; end if; end;
+  function is_true (a : std_logic) return natural is begin if a = '1'  then return 1;    else return 0;     end if; end;
+  function is_true (a : boolean) return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
+  function is_true (a : boolean) return natural is begin if a = true then return 1;    else return 0;     end if; end;
+  function is_true (a : integer) return boolean is begin if a /= 0   then return true; else return false; end if; end;
+  function is_true (a : integer) return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
 
-  function sel_a_b(sel, a, b : integer) return integer is
+  function sel_a_b (sel, a, b : integer) return integer is
   begin
     if sel /= 0 then
       return a;
@@ -1015,7 +1018,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel, a, b : boolean) return boolean is
+  function sel_a_b (sel, a, b : boolean) return boolean is
   begin
     if sel = true then
       return a;
@@ -1024,7 +1027,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : integer) return integer is
+  function sel_a_b (sel : boolean; a, b : integer) return integer is
   begin
     if sel = true then
       return a;
@@ -1033,7 +1036,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : real) return real is
+  function sel_a_b (sel : boolean; a, b : real) return real is
   begin
     if sel = true then
       return a;
@@ -1042,7 +1045,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic is
   begin
     if sel = true then
       return a;
@@ -1051,7 +1054,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic is
   begin
     if sel /= 0 then
       return a;
@@ -1060,7 +1063,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel /= 0 then
       return a;
@@ -1069,7 +1072,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel = true then
       return a;
@@ -1078,7 +1081,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : signed) return signed is
+  function sel_a_b (sel : boolean; a, b : signed) return signed is
   begin
     if sel = true then
       return a;
@@ -1087,7 +1090,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : unsigned) return unsigned is
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned is
   begin
     if sel = true then
       return a;
@@ -1096,7 +1099,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_integer_arr) return t_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1105,7 +1108,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_natural_arr) return t_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1114,7 +1117,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1123,7 +1126,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1132,7 +1135,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : string) return string is
+  function sel_a_b (sel : boolean; a, b : string) return string is
   begin
     if sel = true then
       return a;
@@ -1141,7 +1144,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : string) return string is
+  function sel_a_b (sel : integer; a, b : string) return string is
   begin
     if sel /= 0 then
       return a;
@@ -1150,7 +1153,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : time) return time is
+  function sel_a_b (sel : boolean; a, b : time) return time is
   begin
     if sel = true then
       return a;
@@ -1159,7 +1162,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : severity_level) return severity_level is
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level is
   begin
     if sel = true then
       return a;
@@ -1169,115 +1172,115 @@ package body common_pkg is
   end;
 
   -- sel_n : boolean
-  function sel_n(sel : natural; a, b, c : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : integer
-  function sel_n(sel : natural; a, b, c : integer) return integer is
+  function sel_n (sel : natural; a, b, c : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
-  function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
-  function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
-
-  function array_init(init : std_logic; nof : natural) return std_logic_vector is
+  function sel_n (sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n (sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
+  function sel_n (sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
+
+  function array_init (init : std_logic; nof : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1286,7 +1289,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_natural_arr is
+  function array_init (init, nof : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1295,7 +1298,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_nat_natural_arr is
+  function array_init (init, nof : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1304,7 +1307,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_natural_arr is
+  function array_init (init, nof, incr : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1316,7 +1319,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_nat_natural_arr is
+  function array_init (init, nof, incr : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1328,7 +1331,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_16_arr is
+  function array_init (init, nof, incr : integer) return t_slv_16_arr is
     variable v_arr : t_slv_16_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1340,7 +1343,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_32_arr is
+  function array_init (init, nof, incr : integer) return t_slv_32_arr is
     variable v_arr : t_slv_32_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1352,7 +1355,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width : natural) return std_logic_vector is
+  function array_init (init, nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1361,7 +1364,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width, incr : natural) return std_logic_vector is
+  function array_init (init, nof, width, incr : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
     variable v_i   : natural;
   begin
@@ -1373,7 +1376,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_sinit(init :integer; nof, width : natural) return std_logic_vector is
+  function array_sinit (init :integer; nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1382,7 +1385,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix is
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix is
     variable v_mat : t_slv_64_matrix(nof_a - 1 downto 0, nof_b - 1 downto 0);
   begin
     for I in 0 to nof_a - 1 loop
@@ -1395,7 +1398,7 @@ package body common_pkg is
 
 
   -- Support concatenation of up to 7 slv into 1 slv
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
     constant c_max_w : natural := a'length + b'length + c'length + d'length + e'length + f'length + g'length;
     variable v_res   : std_logic_vector(c_max_w - 1 downto 0) := (others => '0');
     variable v_len   : natural := 0;
@@ -1410,32 +1413,32 @@ package body common_pkg is
     return v_res(v_len - 1 downto 0);
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, false, a, b, c, d, e, f, "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, false, false, a, b, c, d, e, "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, false, false, false, a, b, c, d, "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, false, false, false, false, a, b, c, "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, false, false, false, false, false, a, b, "0", "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
     variable v_len : natural := 0;
   begin
     if use_a = true then v_len := v_len + a_w; end if;
@@ -1448,33 +1451,33 @@ package body common_pkg is
     return v_len;
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b : boolean; a_w, b_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b : boolean; a_w, b_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0);
   end func_slv_concat_w;
 
   -- extract slv
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
     variable v_w  : natural := 0;
     variable v_lo : natural := 0;
   begin
@@ -1520,64 +1523,64 @@ package body common_pkg is
     return vec(v_w - 1 + v_lo downto v_lo);  -- extracted slv
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, false, a_w, b_w, c_w, d_w, e_w, f_w, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
 
-  function TO_UINT(vec : std_logic_vector) return natural is
+  function TO_UINT (vec : std_logic_vector) return natural is
   begin
     return to_integer(unsigned(vec));
   end;
 
-  function TO_SINT(vec : std_logic_vector) return integer is
+  function TO_SINT (vec : std_logic_vector) return integer is
   begin
     return to_integer(signed(vec));
   end;
 
-  function TO_UVEC(dec, w : natural) return std_logic_vector is
+  function TO_UVEC (dec, w : natural) return std_logic_vector is
   begin
     return std_logic_vector(to_unsigned(dec, w));
   end;
 
-  function TO_SVEC(dec, w : integer) return std_logic_vector is
+  function TO_SVEC (dec, w : integer) return std_logic_vector is
   begin
     return std_logic_vector(to_signed(dec, w));
   end;
 
-  function TO_SVEC_32(dec : integer) return std_logic_vector is
+  function TO_SVEC_32 (dec : integer) return std_logic_vector is
   begin
     return TO_SVEC(dec, 32);
   end;
 
-  function RESIZE_NUM(u : unsigned; w : natural) return unsigned is
+  function RESIZE_NUM (u : unsigned; w : natural) return unsigned is
   begin
     -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
     return resize(u, w);
   end;
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -1587,47 +1590,47 @@ package body common_pkg is
     end if;
   end;
 
-  function RESIZE_UVEC(sl : std_logic; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (sl : std_logic; w : natural) return std_logic_vector is
     variable v_slv0 : std_logic_vector(w - 1 downto 1) := (others => '0');
   begin
     return v_slv0 & sl;
   end;
 
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(vec), w));
   end;
 
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(vec), w));
   end;
 
-  function RESIZE_UINT(u : integer; w : natural) return integer is
+  function RESIZE_UINT (u : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_UVEC(u, c_word_w);
     return TO_UINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_SINT(s : integer; w : natural) return integer is
+  function RESIZE_SINT (s : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_SVEC(s, c_word_w);
     return TO_SINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, 32);
   end;
 
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, 32);
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     if dec < 0 then
@@ -1639,74 +1642,74 @@ package body common_pkg is
     end if;
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector is
   begin
     return std_logic_vector(unsigned(vec) + dec);
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     return std_logic_vector(signed(vec) + v_dec);  -- uses function "+" (L : SIGNED, R : INTEGER)
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : signed) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector is
   begin
     return std_logic_vector(signed(vec) + dec);
   end;
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) + signed(r_vec));
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) - signed(r_vec));
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) + unsigned(r_vec));
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) - unsigned(r_vec));
   end;
 
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_re * b_re - a_im * b_im);
   end;
 
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_im * b_re + a_re * b_im);
   end;
 
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(unsigned(vec), -shift));  -- fill zeros from right
@@ -1715,7 +1718,7 @@ package body common_pkg is
     end if;
   end;
 
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(signed(vec), -shift));  -- same as SHIFT_LEFT for UNSIGNED
@@ -1741,24 +1744,24 @@ package body common_pkg is
   -- The offset_binary() mapping can be done and undone both ways.
   -- The offset_binary() mapping to two-complement binary yields a DC offset
   -- of -0.5 Lsb.
-  function offset_binary(a : std_logic_vector) return std_logic_vector is
+  function offset_binary (a : std_logic_vector) return std_logic_vector is
     variable v_res : std_logic_vector(a'length - 1 downto 0) := a;
   begin
-   v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
-   return v_res;
+    v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
+    return v_res;
   end;
 
-  function truncate(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function truncate (vec : std_logic_vector; n : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_vec     : std_logic_vector(c_vec_w - 1 downto 0) := vec;
     variable v_res     : std_logic_vector(c_trunc_w - 1 downto 0);
   begin
-   v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
-   return v_res;
+    v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
+    return v_res;
   end;
 
-  function truncate_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1769,7 +1772,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -1780,7 +1783,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale(vec : std_logic_vector; n: natural) return std_logic_vector is
+  function scale (vec : std_logic_vector; n: natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_res     : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1789,7 +1792,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1800,7 +1803,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -1811,7 +1814,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_uvec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_uvec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1824,7 +1827,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_svec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_svec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -1856,7 +1859,7 @@ package body common_pkg is
   --   maximum product is -8*-8=+64 <= 127-8, so wrapping due to rounding
   --   overflow will never occur.
 
-  function s_round(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
     -- Use SIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1884,24 +1887,24 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function s_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return s_round(vec, n, false);  -- no round clip
   end;
 
   -- An alternative is to always round up, also for negative numbers (i.e. s_round_up = u_round).
-  function s_round_up(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
   begin
     return u_round(vec, n, clip);
   end;
 
-  function s_round_up(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round_up (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
   -- Unsigned numbers are round up (almost same as s_round, but without the else on negative vec)
-  function u_round(vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural; clip : boolean ) return std_logic_vector is
     -- Use UNSIGNED to avoid NATURAL (32 bit range) overflow error
     constant c_in_w  : natural := vec'length;
     constant c_out_w : natural := vec'length - n;
@@ -1925,36 +1928,36 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function u_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
-  function u_to_s(u : natural; w : natural) return integer is
+  function u_to_s (u : natural; w : natural) return integer is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_u(w - 1 downto 0));
   end;
 
-  function s_to_u(s : integer; w : natural) return natural is
+  function s_to_u (s : integer; w : natural) return natural is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_s(w - 1 downto 0));
   end;
 
-  function u_wrap(u : natural; w : natural) return natural is
+  function u_wrap (u : natural; w : natural) return natural is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_u(w - 1 downto 0));
   end;
 
-  function s_wrap(s : integer; w : natural) return integer is
+  function s_wrap (s : integer; w : natural) return integer is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_s(w - 1 downto 0));
   end;
 
-  function u_clip(u : natural; max : natural) return natural is
+  function u_clip (u : natural; max : natural) return natural is
   begin
     if u > max then
       return max;
@@ -1963,7 +1966,7 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural; min : integer) return integer is
+  function s_clip (s : integer; max : natural; min : integer) return integer is
   begin
     if s < min then
       return min;
@@ -1976,12 +1979,12 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural) return integer is
+  function s_clip (s : integer; max : natural) return integer is
   begin
     return s_clip(s, max, -max);
   end;
 
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;  -- map a to range [h:0]
     variable v_b : std_logic_vector(a'length - 1 downto 0) := a;  -- default b = a
     variable vL  : natural;
@@ -1997,28 +2000,28 @@ package body common_pkg is
     return v_b;
   end function;
 
-  function hton(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, c_byte_w, sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function hton(a : std_logic_vector) return std_logic_vector is
+  function hton (a : std_logic_vector) return std_logic_vector is
     constant c_sz : natural := a'length / c_byte_w;
   begin
     return hton(a, c_byte_w, c_sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function ntoh(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function ntoh (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, sz);  -- i.e. ntoh() = hton()
   end function;
 
-  function ntoh(a : std_logic_vector) return std_logic_vector is
+  function ntoh (a : std_logic_vector) return std_logic_vector is
   begin
     return hton(a);  -- i.e. ntoh() = hton()
   end function;
 
-  function flip(a : std_logic_vector) return std_logic_vector is
+  function flip (a : std_logic_vector) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;
     variable v_b : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2028,12 +2031,12 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a, w : natural) return natural is
+  function flip (a, w : natural) return natural is
   begin
     return TO_UINT(flip(TO_UVEC(a, w)));
   end;
 
-  function flip(a : t_slv_32_arr) return t_slv_32_arr is
+  function flip (a : t_slv_32_arr) return t_slv_32_arr is
     variable v_a : t_slv_32_arr(a'length - 1 downto 0) := a;
     variable v_b : t_slv_32_arr(a'length - 1 downto 0);
   begin
@@ -2043,7 +2046,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_integer_arr) return t_integer_arr is
+  function flip (a : t_integer_arr) return t_integer_arr is
     variable v_a : t_integer_arr(a'length - 1 downto 0) := a;
     variable v_b : t_integer_arr(a'length - 1 downto 0);
   begin
@@ -2053,7 +2056,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_natural_arr) return t_natural_arr is
+  function flip (a : t_natural_arr) return t_natural_arr is
     variable v_a : t_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_natural_arr(a'length - 1 downto 0);
   begin
@@ -2063,7 +2066,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr is
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr is
     variable v_a : t_nat_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_nat_natural_arr(a'length - 1 downto 0);
   begin
@@ -2073,7 +2076,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector is
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector is
     variable vIn  : std_logic_vector(a'length - 1 downto 0);
     variable vOut : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2087,7 +2090,7 @@ package body common_pkg is
     return vOut;
   end function;
 
-  function transpose(a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
+  function transpose (a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
     variable vI  : natural;
     variable vJ  : natural;
   begin
@@ -2096,7 +2099,7 @@ package body common_pkg is
     return vJ * col + vI;
   end;
 
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
     -- Examples: split_w(256, 8, 32) = 32;  split_w(16, 8, 32) = 16; split_w(72, 8, 32) = 18;    -- Input_w must be multiple of 2.
     variable r: natural;
   begin
@@ -2111,34 +2114,34 @@ package body common_pkg is
     end loop;
   end;
 
-  function pad(str: string; width: natural; pad_char: character) return string is
+  function pad (str: string; width: natural; pad_char: character) return string is
     variable v_str : string(1 to width) := (others => pad_char);
   begin
     v_str(width - str'length + 1 to width) := str;
     return v_str;
   end;
 
-  function slice_up(str: string; width: natural; i: natural) return string is
+  function slice_up (str: string; width: natural; i: natural) return string is
   begin
     return str(i * width + 1 to (i + 1) * width);
   end;
 
   -- If the input value is not a multiple of the desired width, the return value is padded with
   -- the passed pad value. E.g. if input='10' and desired width is 4, return value is '0010'.
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string is
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string is
     variable padded_str : string(1 to width) := (others => '0');
   begin
     padded_str := pad(str(i * width + 1 to (i + 1) * width), width, '0');
     return padded_str;
   end;
 
-  function slice_dn(str: string; width: natural; i: natural) return string is
+  function slice_dn (str: string; width: natural; i: natural) return string is
   begin
     return str((i + 1) * width - 1 downto i * width);
   end;
 
 
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
     variable v_concat_slv : std_logic_vector(nof_elements * 32 - 1 downto 0) := (others => '0');
   begin
     for i in 0 to nof_elements - 1 loop
@@ -2152,16 +2155,17 @@ package body common_pkg is
   -- common_fifo_*
   ------------------------------------------------------------------------------
 
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic) is
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic) is
   begin
     -- c_fail_rd_emp : when TRUE report FAILURE when read from an empty FIFO, important when FIFO rd_val is not used
     -- c_note_is_ful : when TRUE report NOTE when FIFO goes full, to note that operation is on the limit
@@ -2174,7 +2178,7 @@ package body common_pkg is
     assert not(c_fail_rd_emp = true and rising_edge(rd_clk)  and rd_empty = '1' and rd_en = '1')  report c_fifo_name & " : read from empty fifo occurred!" severity FAILURE;
     assert not(c_note_is_ful = true and rising_edge(wr_full) and wr_rst = '0')                  report c_fifo_name & " : fifo is full now"               severity NOTE;
     assert not(                       rising_edge(wr_clk)  and wr_full = '1'  and wr_en = '1')  report c_fifo_name & " : fifo overflow occurred!"        severity FAILURE;
-    --synthesis translate_on
+  --synthesis translate_on
   end procedure proc_common_fifo_asserts;
 
 
@@ -2182,8 +2186,9 @@ package body common_pkg is
   -- common_fanout_tree
   ------------------------------------------------------------------------------
 
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
     constant k_cell_pipeline_factor_arr : t_natural_arr(c_nof_stages - 1 downto 0) := c_cell_pipeline_factor_arr;
     constant k_cell_pipeline_arr        : t_natural_arr(c_nof_output_per_cell - 1 downto 0) := c_cell_pipeline_arr;
     variable v_stage_pipeline_arr       : t_natural_arr(c_nof_output - 1 downto 0) := (others => 0);
@@ -2204,7 +2209,7 @@ package body common_pkg is
   ------------------------------------------------------------------------------
 
   -- Determine whether the stage I and row J index refer to any (active or redundant) 2-input reorder cell instantiation
-  function func_common_reorder2_is_there(I, J : natural) return boolean is
+  function func_common_reorder2_is_there (I, J : natural) return boolean is
     variable v_odd  : boolean;
     variable v_even : boolean;
   begin
@@ -2214,7 +2219,7 @@ package body common_pkg is
   end func_common_reorder2_is_there;
 
   -- Determine whether the stage I and row J index refer to an active 2-input reorder cell instantiation in a reorder network with N stages
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean is
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean is
     variable v_inst : boolean;
     variable v_act  : boolean;
   begin
@@ -2224,7 +2229,7 @@ package body common_pkg is
   end func_common_reorder2_is_active;
 
   -- Get the index K in the select setting array for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer is
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer is
     constant c_nof_reorder2_per_odd_stage  : natural := N / 2;
     constant c_nof_reorder2_per_even_stage : natural := (N - 1) / 2;
     variable v_nof_odd_stages  : natural;
@@ -2246,7 +2251,7 @@ package body common_pkg is
   end func_common_reorder2_get_select_index;
 
   -- Get the select setting for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural is
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural is
     constant c_nof_select : natural := select_arr'length;
     constant c_select_arr : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel        : natural;
@@ -2261,7 +2266,7 @@ package body common_pkg is
   end func_common_reorder2_get_select;
 
   -- Determine the inverse of a reorder network by using two reorder networks in series
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr is
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr is
     constant c_nof_select      : natural := select_arr'length;
     constant c_select_arr      : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel             : natural;
@@ -2287,8 +2292,8 @@ package body common_pkg is
     else
       -- N is even so only use stage 1 of the inverse_out reorder, the other stages remain at default pass on
       for K in 0 to N / 2 - 1 loop
-         v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
-         v_inverse_arr(v_Ki) := c_select_arr(K);
+        v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
+        v_inverse_arr(v_Ki) := c_select_arr(K);
       end loop;
       -- N is even so leave stage 1 of the inverse_in reorder at default pass on, and do inverse the other stages
       for I in 2 to N loop
@@ -2327,9 +2332,10 @@ package body common_pkg is
   --   that they all apply to the same wide data word that was clocked by the
   --   rising edge of the DCLK.
   ------------------------------------------------------------------------------
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic) is
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic) is
     variable v_dperiod : time;
     variable v_speriod : time;
   begin
@@ -2354,7 +2360,7 @@ package body common_pkg is
       end if;
       wait for v_speriod / 2;
       SCLK <= '1';
-      -- Wait for next DCLK
+    -- Wait for next DCLK
     end loop;
     wait;
   end proc_common_dclk_generate_sclk;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd
index 40128fea21..0fa106e866 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/dp_stream_pkg.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package dp_stream_pkg is
 
@@ -121,15 +121,33 @@ package dp_stream_pkg is
   end record;
 
   constant c_dp_sosi_unsigned_rst  : t_dp_sosi_unsigned := ('0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'));
-  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := ('1',
-                                                            to_unsigned(1, c_dp_stream_bsn_w),
-                                                            to_unsigned(1, c_dp_stream_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            '1', '1', '1',
-                                                            to_unsigned(1, c_dp_stream_empty_w),
-                                                            to_unsigned(1, c_dp_stream_channel_w),
-                                                            to_unsigned(1, c_dp_stream_error_w));
+  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := (
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_bsn_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    '1',
+    '1',
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_empty_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_channel_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_error_w)
+  );
 
   -- Use boolean to define whether a t_dp_siso, t_dp_sosi field is used ('1') or not ('0')
   type t_dp_siso_sl is record
@@ -208,30 +226,34 @@ package dp_stream_pkg is
   type t_dp_sosi_mat is array (integer range <>, integer range <>) of t_dp_sosi;
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
   -- . Use these functions to assign sosi data TO a record field
@@ -240,142 +262,142 @@ package dp_stream_pkg is
   --   Typically the sosi data are treated as unsigned in the record field, so extended with '0'. However for interpretating
   --   signed data in the simulation wave window it is easier to use sign extension in the record field. Therefore TO_DP_SDATA
   --   and RESIZE_DP_SDATA are defined as well.
-  function TO_DP_BSN(     n : natural) return std_logic_vector;
-  function TO_DP_DATA(    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
-  function TO_DP_SDATA(   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
-  function TO_DP_UDATA(   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector;  -- for re and im fields, signed data
-  function TO_DP_DSP_UDATA(n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
-  function TO_DP_EMPTY(   n : natural) return std_logic_vector;
-  function TO_DP_CHANNEL( n : natural) return std_logic_vector;
-  function TO_DP_ERROR(   n : natural) return std_logic_vector;
-  function RESIZE_DP_BSN(     vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_DATA(    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
-  function RESIZE_DP_SDATA(   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
-  function RESIZE_DP_XDATA(   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
-  function RESIZE_DP_EMPTY(   vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_CHANNEL( vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_ERROR(   vec : std_logic_vector) return std_logic_vector;
-
-  function INCR_DP_DATA(    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-  function INCR_DP_SDATA(   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-
-  function REPLICATE_DP_DATA(  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
-
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
+  function TO_DP_BSN (     n : natural) return std_logic_vector;
+  function TO_DP_DATA (    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
+  function TO_DP_SDATA (   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
+  function TO_DP_UDATA (   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector;  -- for re and im fields, signed data
+  function TO_DP_DSP_UDATA (n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
+  function TO_DP_EMPTY (   n : natural) return std_logic_vector;
+  function TO_DP_CHANNEL ( n : natural) return std_logic_vector;
+  function TO_DP_ERROR (   n : natural) return std_logic_vector;
+  function RESIZE_DP_BSN (     vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_DATA (    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
+  function RESIZE_DP_SDATA (   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
+  function RESIZE_DP_XDATA (   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
+  function RESIZE_DP_EMPTY (   vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_CHANNEL ( vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_ERROR (   vec : std_logic_vector) return std_logic_vector;
+
+  function INCR_DP_DATA (    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+  function INCR_DP_SDATA (   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+
+  function REPLICATE_DP_DATA (  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
+
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
 
   -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
   -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
-  function func_dp_data_shift(      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
+  function func_dp_data_shift (      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
+  function func_dp_data_shift_last (            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
 
   -- Determine resulting empty if two streams are concatenated or split
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi;
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi;
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr;                          str : string) return std_logic;
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
 
   -- Fix reversed buses due to connecting TO to DOWNTO range arrays.
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_info(              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_control(           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reset_control(         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_info (              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_control (           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reset_control (         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
 
   -- Reset sosi ctrl and preserve the sosi data (to avoid unnecessary data toggling and to ease data view in Wave window)
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
 
   -- Function to copy the BSN of one valid stream to all output streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
 
   -- Functions to combinatorially handle channels
   -- Note that the *_select and *_remove function are equivalent to dp_demux with g_combined=TRUE
-  function func_dp_stream_channel_set   (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
 
   -- Functions to combinatorially handle the error field
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
 
   -- Functions to combinatorially handle the BSN field
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
 
   -- Functions to combine sosi fields
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to convert sosi fields
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
 
   -- Functions to set the DATA, RE and IM field in a stream.
-  function func_dp_stream_set_data(dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
-
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-   -- . data_order_im_re defines the concatenation order data = im&re or re&im
-   -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
-   -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
-   -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
+
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  -- . data_order_im_re defines the concatenation order data = im&re or re&im
+  -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
+  -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
+  -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
 
   -- Concatenate the data and complex re,im fields from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
-  function func_dp_stream_concat(src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
+  function func_dp_stream_concat (src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
   -- . data_representation = "SIGNED"   treat sosi.data field as signed
@@ -384,14 +406,14 @@ package dp_stream_pkg is
   -- . data_order_im_re = TRUE  then "COMPLEX" data = im&re
   --                      FALSE then "COMPLEX" data = re&im
   --                      ignore when data_representation /= "COMPLEX"
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
 
   -- Deconcatenate data and complex re,im fields from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
 
 end dp_stream_pkg;
 
@@ -399,11 +421,12 @@ end dp_stream_pkg;
 package body dp_stream_pkg is
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     ready_reg(0) <= siso.ready;
     -- Register siso.ready in c_ready_latency registers
@@ -417,20 +440,22 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi, siso, ready_reg);
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     for i in 0 to sosi_arr'length - 1 loop
       ready_reg(i * (c_ready_latency + 1)) <= siso_arr(i).ready;  -- SLV is used as an array: nof_streams*(0..c_ready_latency)
@@ -448,118 +473,119 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
   end proc_dp_siso_alert;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
-  function TO_DP_BSN(n : natural) return std_logic_vector is
+  function TO_DP_BSN (n : natural) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_bsn_w);
   end TO_DP_BSN;
 
-  function TO_DP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_DATA;
 
-  function TO_DP_SDATA(n : integer) return std_logic_vector is
+  function TO_DP_SDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_SDATA;
 
-  function TO_DP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_UDATA (n : integer) return std_logic_vector is
   begin
     return TO_DP_DATA(n);
   end TO_DP_UDATA;
 
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_DATA;
 
-  function TO_DP_DSP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_UDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_UDATA;
 
-  function TO_DP_EMPTY(n : natural) return std_logic_vector is
+  function TO_DP_EMPTY (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_empty_w);
   end TO_DP_EMPTY;
 
-  function TO_DP_CHANNEL(n : natural) return std_logic_vector is
+  function TO_DP_CHANNEL (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_channel_w);
   end TO_DP_CHANNEL;
 
-  function TO_DP_ERROR(n : natural) return std_logic_vector is
+  function TO_DP_ERROR (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_error_w);
   end TO_DP_ERROR;
 
-  function RESIZE_DP_BSN(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_BSN (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_bsn_w);
   end RESIZE_DP_BSN;
 
-  function RESIZE_DP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_DATA;
 
-  function RESIZE_DP_SDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_SDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_SDATA;
 
-  function RESIZE_DP_XDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_XDATA (vec : std_logic_vector) return std_logic_vector is
     variable v_vec : std_logic_vector(c_dp_stream_data_w - 1 downto 0) := (others => 'X');
   begin
     v_vec(vec'length - 1 downto 0) := vec;
     return v_vec;
   end RESIZE_DP_XDATA;
 
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_dsp_data_w);
   end RESIZE_DP_DSP_DATA;
 
-  function RESIZE_DP_EMPTY(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_EMPTY (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_empty_w);
   end RESIZE_DP_EMPTY;
 
-  function RESIZE_DP_CHANNEL(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_CHANNEL (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_channel_w);
   end RESIZE_DP_CHANNEL;
 
-  function RESIZE_DP_ERROR(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_ERROR (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_error_w);
   end RESIZE_DP_ERROR;
 
-  function INCR_DP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DATA(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DATA;
 
-  function INCR_DP_SDATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_SDATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_SDATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_SDATA;
 
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DSP_DATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DSP_DATA;
 
-  function REPLICATE_DP_DATA(seq : std_logic_vector) return std_logic_vector is
+  function REPLICATE_DP_DATA (seq : std_logic_vector) return std_logic_vector is
     constant c_seq_w            : natural := seq'length;
     constant c_nof_replications : natural := ceil_div(c_dp_stream_data_w, c_seq_w);
     constant c_vec_w            : natural := ceil_value(c_dp_stream_data_w, c_seq_w);
@@ -571,7 +597,7 @@ package body dp_stream_pkg is
     return v_vec(c_dp_stream_data_w - 1 downto 0);
   end REPLICATE_DP_DATA;
 
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w :natural) return std_logic_vector is
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w :natural) return std_logic_vector is
     constant c_data_w           : natural := data'length;
     constant c_nof_replications : natural := ceil_div(c_data_w, seq_w);
     constant c_vec_w            : natural := ceil_value(c_data_w, seq_w);
@@ -590,7 +616,7 @@ package body dp_stream_pkg is
     return v_vec(c_data_w - 1 downto 0);
   end UNREPLICATE_DP_DATA;
 
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
     variable v_sosi_unsigned : t_dp_sosi_unsigned;
   begin
     v_sosi_unsigned.sync    := sync;
@@ -608,7 +634,7 @@ package body dp_stream_pkg is
   end TO_DP_SOSI_UNSIGNED;
 
   -- Keep part of head data and combine part of tail data
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
   begin
@@ -625,7 +651,7 @@ package body dp_stream_pkg is
 
 
   -- Shift and combine part of previous data and this data,
-  function func_dp_data_shift(prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
+  function func_dp_data_shift (prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_this;
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
@@ -658,7 +684,7 @@ package body dp_stream_pkg is
 
 
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
+  function func_dp_data_shift_last (tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_tail;
     variable vL     : natural := input_empty;
     variable vN     : natural := nof_symbols_per_data;
@@ -688,7 +714,7 @@ package body dp_stream_pkg is
 
   -- Determine resulting empty if two streams are concatenated
   -- . both empty must use the same nof symbols per data
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a := TO_UINT(head_empty);
@@ -700,7 +726,7 @@ package body dp_stream_pkg is
     return TO_UVEC(v_empty, head_empty'length);
   end func_dp_empty_concat;
 
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a   := TO_UINT(input_empty);
@@ -715,7 +741,7 @@ package body dp_stream_pkg is
 
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi is
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi is
     variable v_sosi : t_dp_sosi := c_dp_sosi_rst;
   begin
     for I in dp'range loop
@@ -729,7 +755,7 @@ package body dp_stream_pkg is
 
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -751,7 +777,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -775,19 +801,19 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -809,7 +835,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -833,13 +859,13 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
@@ -847,7 +873,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
     variable v_dp  : t_dp_siso_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -860,7 +886,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp  : t_dp_sosi_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -875,19 +901,19 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -899,7 +925,7 @@ package body dp_stream_pkg is
     return v_ctrl;
   end func_dp_stream_arr_get;
 
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -915,7 +941,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -928,7 +954,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -941,7 +967,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -954,7 +980,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -967,7 +993,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -980,7 +1006,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -993,7 +1019,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1006,7 +1032,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1019,7 +1045,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_to_range : t_dp_siso_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_siso_arr(in_arr'high downto 0);
   begin
@@ -1036,7 +1062,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_reverse_range;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_to_range : t_dp_sosi_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_sosi_arr(in_arr'high downto 0);
   begin
@@ -1054,7 +1080,7 @@ package body dp_stream_pkg is
   end func_dp_stream_arr_reverse_range;
 
   -- Functions to combinatorially hold the data fields and to set or reset the info and control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     v_dp := func_dp_stream_arr_set_info(   v_dp, info);  -- set sosi info
@@ -1062,7 +1088,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_combine_data_info_ctrl;
 
-  function func_dp_stream_arr_set_info(dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_info (dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi info
@@ -1074,7 +1100,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_info;
 
-  function func_dp_stream_arr_set_control(dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_control (dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi control
@@ -1086,7 +1112,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_control;
 
-  function func_dp_stream_arr_reset_control(dp : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reset_control (dp : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- reset sosi control
@@ -1098,7 +1124,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_reset_control;
 
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;  -- hold sosi data
   begin
     -- reset sosi control
@@ -1110,7 +1136,7 @@ package body dp_stream_pkg is
   end func_dp_stream_reset_control;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '0');  -- init max v_bsn with minimum value
   begin
     for I in dp'range loop
@@ -1123,13 +1149,13 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_max(dp, c_mask, w);
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '1');  -- init min v_bsn with maximum value
   begin
     for I in dp'range loop
@@ -1142,14 +1168,14 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_min;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_min(dp, c_mask, w);
   end func_dp_stream_arr_bsn_min;
 
   -- Function to copy the BSN number of one valid stream to all other streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_bsn : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0) := (others => '0');
     variable v_dp  : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
@@ -1166,14 +1192,14 @@ package body dp_stream_pkg is
 
 
   -- Functions to combinatorially handle channels
-  function func_dp_stream_channel_set(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.channel := TO_UVEC(ch, c_dp_stream_channel_w);
     return v_rec;
   end func_dp_stream_channel_set;
 
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) /= ch then
@@ -1184,7 +1210,7 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_channel_select;
 
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) = ch then
@@ -1196,7 +1222,7 @@ package body dp_stream_pkg is
   end func_dp_stream_channel_remove;
 
 
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.err := TO_UVEC(n, c_dp_stream_error_w);
@@ -1204,7 +1230,7 @@ package body dp_stream_pkg is
   end func_dp_stream_error_set;
 
 
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.bsn := RESIZE_DP_BSN(bsn);
@@ -1212,7 +1238,7 @@ package body dp_stream_pkg is
   end func_dp_stream_bsn_set;
 
 
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi is
     variable v_rec : t_dp_sosi := data;  -- Sosi data fields
   begin
     -- Combine sosi data with the sosi info fields
@@ -1225,7 +1251,7 @@ package body dp_stream_pkg is
   end func_dp_stream_combine_info_and_data;
 
 
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
     variable v_rec : t_dp_sosi_integer;
   begin
     v_rec.sync     := slv_sosi.sync;
@@ -1242,23 +1268,23 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_slv_to_integer;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
+  function func_dp_stream_set_data (dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;
   begin
-      if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
-      elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
-                            v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      else  report "Error in func_dp_stream_set_data for t_dp_sosi";
-      end if;
+    if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
+    elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
+      v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    else  report "Error in func_dp_stream_set_data for t_dp_sosi";
+    end if;
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1267,7 +1293,7 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1278,8 +1304,8 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_re           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1300,17 +1326,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_hi           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1333,17 +1359,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1352,17 +1378,17 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1371,18 +1397,18 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, 1, true);
   end;
 
   -- Concatenate the data (and complex fields) from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
     constant c_compl_data_w : natural   := data_w / 2;
     variable v_src_out      : t_dp_sosi := snk_in_arr(0);
   begin
@@ -1397,7 +1423,7 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_concat(src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
     variable v_snk_out_arr : t_dp_siso_arr(nof_streams - 1 downto 0);
   begin
     for i in v_snk_out_arr'range loop
@@ -1407,7 +1433,7 @@ package body dp_stream_pkg is
   end;
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_in_w  : natural   := in_w / 2;
     constant c_compl_out_w : natural   := out_w / 2;
     variable v_src_out     : t_dp_sosi := snk_in;
@@ -1445,12 +1471,12 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
   begin
     return func_dp_stream_reconcat(snk_in, in_w, out_w, nof_data, data_representation, true);
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_src_out_arr : t_dp_sosi_arr(snk_in_arr'range) := snk_in_arr;
   begin
     for i in v_src_out_arr'range loop
@@ -1459,13 +1485,13 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
   begin
     return func_dp_stream_reconcat(snk_in_arr, in_w, out_w, nof_data, data_representation, true);
   end;
 
   -- Deconcatenate data from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_deconcat (snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_src_out_arr  : t_dp_sosi_arr(nof_streams - 1 downto 0);
   begin
@@ -1481,7 +1507,7 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
   begin
     return src_out_arr(0);
   end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd
index d1ec55fe6f..adae931402 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/eth_pkg.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
 
 
 package eth_pkg is
@@ -46,12 +46,12 @@ package eth_pkg is
 
   -- Actual frame space in RAM <= c_eth_max_frame_sz, default >= 1520, <= 9020 for jumbo
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*3/2;  -- Use 1536 = 3/2 M9K, to benefit from Rx and Tx in single buffer of 2*1.5=3 M9K, but does
-                                                                 -- yield simulation warning: Address pointed at port A is out of bound!
+  -- yield simulation warning: Address pointed at port A is out of bound!
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*8;  -- Use 8192 = 8 M9K for jumbo frame support with payload size <= 8172
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*9;  -- Use 9216 = 9 M9K for jumbo frame support with payload size <= 9000
   constant c_eth_frame_sz              : natural := 1024 * 2;  -- Use 2048 = 2 M9K to avoid simulation warning: Address pointed at port A is out of bound!
-                                                               -- when the module is used in an Nios II SOPC system
-                                                               -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
+  -- when the module is used in an Nios II SOPC system
+  -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
   constant c_eth_frame_nof_words       : natural := c_eth_frame_sz / c_word_sz;
   constant c_eth_frame_nof_words_w     : natural := ceil_log2(c_eth_frame_nof_words);  -- >= 9 bit, <= 12 bit
 
@@ -74,9 +74,17 @@ package eth_pkg is
     is_dhcp           : std_logic;
   end record;
 
-  constant c_eth_hdr_status_rst : t_eth_hdr_status := ('0', '0', '0', '0',
-                                                       (others => '0'), '0', '0',
-                                                       (others => '0'), '0');
+  constant c_eth_hdr_status_rst : t_eth_hdr_status := (
+    '0',
+    '0',
+    '0',
+    '0',
+    (others => '0'),
+    '0',
+    '0',
+    (others => '0'),
+    '0'
+  );
 
   ------------------------------------------------------------------------------
   -- Definitions for eth demux udp
@@ -185,16 +193,16 @@ package eth_pkg is
   constant c_eth_mm_reg_status_rst : t_eth_mm_reg_status    := ((others => '0'), (others => '0'), '0', '0', '0');
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(  mm_reg : std_logic_vector)     return t_eth_mm_reg_demux;
-  function func_eth_mm_reg_demux(  mm_reg : t_eth_mm_reg_demux)   return std_logic_vector;
-  function func_eth_mm_reg_config( mm_reg : std_logic_vector)     return t_eth_mm_reg_config;
-  function func_eth_mm_reg_config( mm_reg : t_eth_mm_reg_config)  return std_logic_vector;
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector)     return t_eth_mm_reg_control;
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector;
-  function func_eth_mm_reg_frame(  mm_reg : std_logic_vector)     return t_eth_mm_reg_frame;
-  function func_eth_mm_reg_frame(  mm_reg : t_eth_mm_reg_frame)   return std_logic_vector;
-  function func_eth_mm_reg_status( mm_reg : std_logic_vector)     return t_eth_mm_reg_status;
-  function func_eth_mm_reg_status( mm_reg : t_eth_mm_reg_status)  return std_logic_vector;
+  function func_eth_mm_reg_demux (  mm_reg : std_logic_vector) return t_eth_mm_reg_demux;
+  function func_eth_mm_reg_demux (  mm_reg : t_eth_mm_reg_demux) return std_logic_vector;
+  function func_eth_mm_reg_config ( mm_reg : std_logic_vector) return t_eth_mm_reg_config;
+  function func_eth_mm_reg_config ( mm_reg : t_eth_mm_reg_config) return std_logic_vector;
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control;
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector;
+  function func_eth_mm_reg_frame (  mm_reg : std_logic_vector) return t_eth_mm_reg_frame;
+  function func_eth_mm_reg_frame (  mm_reg : t_eth_mm_reg_frame) return std_logic_vector;
+  function func_eth_mm_reg_status ( mm_reg : std_logic_vector) return t_eth_mm_reg_status;
+  function func_eth_mm_reg_status ( mm_reg : t_eth_mm_reg_status) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Definitions for eth_mm_registers
@@ -223,7 +231,7 @@ end eth_pkg;
 package body eth_pkg is
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
+  function func_eth_mm_reg_demux (mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
     variable v_reg : t_eth_mm_reg_demux;
   begin
     -- Demux UDP MM registers
@@ -234,7 +242,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_demux;
 
-  function func_eth_mm_reg_demux(mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
+  function func_eth_mm_reg_demux (mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_demux_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg := (others => '0');  -- rsvd
@@ -246,7 +254,7 @@ package body eth_pkg is
   end func_eth_mm_reg_demux;
 
   -- MM config register
-  function func_eth_mm_reg_config(mm_reg : std_logic_vector) return t_eth_mm_reg_config is
+  function func_eth_mm_reg_config (mm_reg : std_logic_vector) return t_eth_mm_reg_config is
     variable v_reg : t_eth_mm_reg_config;
   begin
     v_reg.udp_port                        := mm_reg(c_network_udp_port_w + 3 * c_word_w - 1 downto 3 * c_word_w);  -- [15:0]  = control UDP port number
@@ -256,7 +264,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_config;
 
-  function func_eth_mm_reg_config(mm_reg : t_eth_mm_reg_config) return std_logic_vector is
+  function func_eth_mm_reg_config (mm_reg : t_eth_mm_reg_config) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_config_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                      := (others => '0');  -- rsvd
@@ -268,7 +276,7 @@ package body eth_pkg is
   end func_eth_mm_reg_config;
 
   -- MM control register
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector) return t_eth_mm_reg_control is
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control is
     variable v_reg : t_eth_mm_reg_control;
   begin
     v_reg.tx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -279,7 +287,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_control;
 
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector is
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_control_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
@@ -292,7 +300,7 @@ package body eth_pkg is
   end func_eth_mm_reg_control;
 
   -- MM frame register
-  function func_eth_mm_reg_frame(mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
+  function func_eth_mm_reg_frame (mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
     variable v_reg : t_eth_mm_reg_frame;
   begin
     v_reg.is_dhcp           := mm_reg(              c_byte_w + 7);  -- [15]
@@ -308,7 +316,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_frame;
 
-  function func_eth_mm_reg_frame(mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
+  function func_eth_mm_reg_frame (mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_frame_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                           := (others => '0');  -- rsvd
@@ -326,7 +334,7 @@ package body eth_pkg is
   end func_eth_mm_reg_frame;
 
   -- MM status register
-  function func_eth_mm_reg_status(mm_reg : std_logic_vector) return t_eth_mm_reg_status is
+  function func_eth_mm_reg_status (mm_reg : std_logic_vector) return t_eth_mm_reg_status is
     variable v_reg : t_eth_mm_reg_status;
   begin
     v_reg.rx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -337,7 +345,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_status;
 
-  function func_eth_mm_reg_status(mm_reg : t_eth_mm_reg_status) return std_logic_vector is
+  function func_eth_mm_reg_status (mm_reg : t_eth_mm_reg_status) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_status_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd
index 2eb92cbc7d..e8bb8d4283 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/tech_tse_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package tech_tse_pkg is
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd
index 5508d1789d..49cec362a2 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/qsys_unb2b_minimal_avs_eth_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_avs_eth_0 is
+  component qsys_unb2b_minimal_avs_eth_0 is
 		port (
 			coe_clk_export             : out std_logic;  -- export
 			ins_interrupt_irq          : out std_logic;  -- irq
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd
index 69ff39c0a0..f5f9738149 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0/qsys_unb2b_minimal_clk_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_clk_0 is
+  component qsys_unb2b_minimal_clk_0 is
 		port (
 			clk_out     : out std_logic;  -- clk
 			in_clk      : in  std_logic := 'X';  -- clk
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd
index 6d24dae5a9..f0ba7ef983 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0/qsys_unb2b_minimal_cpu_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_cpu_0 is
+  component qsys_unb2b_minimal_cpu_0 is
 		port (
 			clk                                 : in  std_logic                     := 'X';  -- clk
 			dummy_ci_port                       : out std_logic;  -- readra
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd
index 927069ecd1..fb2dc732db 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jesd204/qsys_unb2b_minimal_jesd204_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_jesd204 is
+  component qsys_unb2b_minimal_jesd204 is
 		port (
 			alldev_lane_aligned        : in  std_logic                     := 'X';  -- export
 			csr_cf                     : out std_logic_vector(4 downto 0);  -- export
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd
index a169c6edeb..b2603c75cf 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/altera_avalon_jtag_uart_180/sim/qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi.vhd
@@ -16,32 +16,32 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library std;
-use std.textio.all;
+  use std.textio.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_wdata : in std_logic_vector(7 downto 0);
-                 signal fifo_wr : in std_logic;
-
-              -- outputs:
-                 signal fifo_FF : out std_logic;
-                 signal r_dat : out std_logic_vector(7 downto 0);
-                 signal wfifo_empty : out std_logic;
-                 signal wfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_wdata : in std_logic_vector(7 downto 0);
+    signal fifo_wr : in std_logic;
+
+    -- outputs:
+    signal fifo_FF : out std_logic;
+    signal r_dat : out std_logic_vector(7 downto 0);
+    signal wfifo_empty : out std_logic;
+    signal wfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w;
 
 
@@ -49,25 +49,25 @@ architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_18
 
 begin
 
---synthesis translate_off
-    process (clk)
+  --synthesis translate_off
+  process (clk)
     variable write_line : line;
-    begin
-      if clk'event and clk = '1' then
-        if std_logic'(fifo_wr) = '1' then
-          write(write_line, character'val(CONV_INTEGER(fifo_wdata)));
-          write(write_line, string'(""));
-          write(output, write_line.all);
-          deallocate (write_line);
-        end if;
+  begin
+    if clk'event and clk = '1' then
+      if std_logic'(fifo_wr) = '1' then
+        write(write_line, character'val(CONV_INTEGER(fifo_wdata)));
+        write(write_line, string'(""));
+        write(output, write_line.all);
+        deallocate (write_line);
       end if;
+    end if;
 
-    end process;
+  end process;
 
-    wfifo_used <= A_REP(std_logic'('0'), 6);
-    r_dat <= A_REP(std_logic'('0'), 8);
-    fifo_FF <= std_logic'('0');
-    wfifo_empty <= std_logic'('1');
+  wfifo_used <= A_REP(std_logic'('0'), 6);
+  r_dat <= A_REP(std_logic'('0'), 8);
+  fifo_FF <= std_logic'('0');
+  wfifo_empty <= std_logic'('1');
 --synthesis translate_on
 
 end europa;
@@ -79,85 +79,85 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library lpm;
-use lpm.all;
+  use lpm.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_clear : in std_logic;
-                 signal fifo_wdata : in std_logic_vector(7 downto 0);
-                 signal fifo_wr : in std_logic;
-                 signal rd_wfifo : in std_logic;
-
-              -- outputs:
-                 signal fifo_FF : out std_logic;
-                 signal r_dat : out std_logic_vector(7 downto 0);
-                 signal wfifo_empty : out std_logic;
-                 signal wfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_clear : in std_logic;
+    signal fifo_wdata : in std_logic_vector(7 downto 0);
+    signal fifo_wr : in std_logic;
+    signal rd_wfifo : in std_logic;
+
+    -- outputs:
+    signal fifo_FF : out std_logic;
+    signal r_dat : out std_logic_vector(7 downto 0);
+    signal wfifo_empty : out std_logic;
+    signal wfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
---synthesis translate_off
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_wdata : in std_logic_vector(7 downto 0);
-                    signal fifo_wr : in std_logic;
-
-                 -- outputs:
-                    signal fifo_FF : out std_logic;
-                    signal r_dat : out std_logic_vector(7 downto 0);
-                    signal wfifo_empty : out std_logic;
-                    signal wfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w;
-
---synthesis translate_on
---synthesis read_comments_as_HDL on
---  component scfifo is
---GENERIC (
---      lpm_hint : STRING;
---        lpm_numwords : NATURAL;
---        lpm_showahead : STRING;
---        lpm_type : STRING;
---        lpm_width : NATURAL;
---        lpm_widthu : NATURAL;
---        overflow_checking : STRING;
---        underflow_checking : STRING;
---        use_eab : STRING
---      );
---    PORT (
---    signal full : OUT STD_LOGIC;
---        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
---        signal empty : OUT STD_LOGIC;
---        signal rdreq : IN STD_LOGIC;
---        signal aclr : IN STD_LOGIC;
---        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal clock : IN STD_LOGIC;
---        signal wrreq : IN STD_LOGIC
---      );
---  end component scfifo;
---synthesis read_comments_as_HDL off
-                signal internal_fifo_FF :  std_logic;
-                signal internal_r_dat :  std_logic_vector(7 downto 0);
-                signal internal_wfifo_empty :  std_logic;
-                signal internal_wfifo_used :  std_logic_vector(5 downto 0);
+  --synthesis translate_off
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_wdata : in std_logic_vector(7 downto 0);
+      signal fifo_wr : in std_logic;
+
+      -- outputs:
+      signal fifo_FF : out std_logic;
+      signal r_dat : out std_logic_vector(7 downto 0);
+      signal wfifo_empty : out std_logic;
+      signal wfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w;
+
+  --synthesis translate_on
+  --synthesis read_comments_as_HDL on
+  --  component scfifo is
+  --GENERIC (
+  --      lpm_hint : STRING;
+  --        lpm_numwords : NATURAL;
+  --        lpm_showahead : STRING;
+  --        lpm_type : STRING;
+  --        lpm_width : NATURAL;
+  --        lpm_widthu : NATURAL;
+  --        overflow_checking : STRING;
+  --        underflow_checking : STRING;
+  --        use_eab : STRING
+  --      );
+  --    PORT (
+  --    signal full : OUT STD_LOGIC;
+  --        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
+  --        signal empty : OUT STD_LOGIC;
+  --        signal rdreq : IN STD_LOGIC;
+  --        signal aclr : IN STD_LOGIC;
+  --        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal clock : IN STD_LOGIC;
+  --        signal wrreq : IN STD_LOGIC
+  --      );
+  --  end component scfifo;
+  --synthesis read_comments_as_HDL off
+  signal internal_fifo_FF :  std_logic;
+  signal internal_r_dat :  std_logic_vector(7 downto 0);
+  signal internal_wfifo_empty :  std_logic;
+  signal internal_wfifo_used :  std_logic_vector(5 downto 0);
 
 begin
 
@@ -169,18 +169,18 @@ begin
   wfifo_empty <= internal_wfifo_empty;
   --vhdl renameroo for output signals
   wfifo_used <= internal_wfifo_used;
---synthesis translate_off
-    --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w, which is an e_instance
-    the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w
-      port map(
-        fifo_FF => internal_fifo_FF,
-        r_dat => internal_r_dat,
-        wfifo_empty => internal_wfifo_empty,
-        wfifo_used => internal_wfifo_used,
-        clk => clk,
-        fifo_wdata => fifo_wdata,
-        fifo_wr => fifo_wr
-      );
+  --synthesis translate_off
+  --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w, which is an e_instance
+  the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_w
+    port map(
+      fifo_FF => internal_fifo_FF,
+      r_dat => internal_r_dat,
+      wfifo_empty => internal_wfifo_empty,
+      wfifo_used => internal_wfifo_used,
+      clk => clk,
+      fifo_wdata => fifo_wdata,
+      fifo_wr => fifo_wr
+    );
 
 
 --synthesis translate_on
@@ -220,72 +220,72 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_rd : in std_logic;
-                 signal rst_n : in std_logic;
-
-              -- outputs:
-                 signal fifo_EF : out std_logic;
-                 signal fifo_rdata : out std_logic_vector(7 downto 0);
-                 signal rfifo_full : out std_logic;
-                 signal rfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_rd : in std_logic;
+    signal rst_n : in std_logic;
+
+    -- outputs:
+    signal fifo_EF : out std_logic;
+    signal fifo_rdata : out std_logic_vector(7 downto 0);
+    signal rfifo_full : out std_logic;
+    signal rfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
-                signal bytes_left :  std_logic_vector(31 downto 0);
-                signal fifo_rd_d :  std_logic;
-                signal internal_rfifo_full1 :  std_logic;
-                signal new_rom :  std_logic;
-                signal num_bytes :  std_logic_vector(31 downto 0);
-                signal rfifo_entries :  std_logic_vector(6 downto 0);
+  signal bytes_left :  std_logic_vector(31 downto 0);
+  signal fifo_rd_d :  std_logic;
+  signal internal_rfifo_full1 :  std_logic;
+  signal new_rom :  std_logic;
+  signal num_bytes :  std_logic_vector(31 downto 0);
+  signal rfifo_entries :  std_logic_vector(6 downto 0);
 
 begin
 
   --vhdl renameroo for output signals
   rfifo_full <= internal_rfifo_full1;
---synthesis translate_off
-    -- Generate rfifo_entries for simulation
-    process (clk, rst_n)
-    begin
-      if rst_n = '0' then
-        bytes_left <= std_logic_vector'("00000000000000000000000000000000");
-        fifo_rd_d <= std_logic'('0');
-      elsif clk'event and clk = '1' then
-        fifo_rd_d <= fifo_rd;
-        -- decrement on read
-        if std_logic'(fifo_rd_d) = '1' then
-          bytes_left <= A_EXT (((std_logic_vector'("0") & (bytes_left)) - (std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 32);
-        end if;
-        -- catch new contents
-        if std_logic'(new_rom) = '1' then
-          bytes_left <= num_bytes;
-        end if;
+  --synthesis translate_off
+  -- Generate rfifo_entries for simulation
+  process (clk, rst_n)
+  begin
+    if rst_n = '0' then
+      bytes_left <= std_logic_vector'("00000000000000000000000000000000");
+      fifo_rd_d <= std_logic'('0');
+    elsif clk'event and clk = '1' then
+      fifo_rd_d <= fifo_rd;
+      -- decrement on read
+      if std_logic'(fifo_rd_d) = '1' then
+        bytes_left <= A_EXT (((std_logic_vector'("0") & (bytes_left)) - (std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 32);
       end if;
+      -- catch new contents
+      if std_logic'(new_rom) = '1' then
+        bytes_left <= num_bytes;
+      end if;
+    end if;
 
-    end process;
+  end process;
 
-    fifo_EF <= to_std_logic((bytes_left = std_logic_vector'("00000000000000000000000000000000")));
-    internal_rfifo_full1 <= to_std_logic((bytes_left > std_logic_vector'("00000000000000000000000001000000")));
-    rfifo_entries <= A_EXT (A_WE_StdLogicVector((std_logic'((internal_rfifo_full1)) = '1'), std_logic_vector'("00000000000000000000000001000000"), bytes_left), 7);
-    rfifo_used <= rfifo_entries(5 downto 0);
-    new_rom <= std_logic'('0');
-    num_bytes <= std_logic_vector'("00000000000000000000000000000000");
-    fifo_rdata <= std_logic_vector'("00000000");
+  fifo_EF <= to_std_logic((bytes_left = std_logic_vector'("00000000000000000000000000000000")));
+  internal_rfifo_full1 <= to_std_logic((bytes_left > std_logic_vector'("00000000000000000000000001000000")));
+  rfifo_entries <= A_EXT (A_WE_StdLogicVector((std_logic'((internal_rfifo_full1)) = '1'), std_logic_vector'("00000000000000000000000001000000"), bytes_left), 7);
+  rfifo_used <= rfifo_entries(5 downto 0);
+  new_rom <= std_logic'('0');
+  num_bytes <= std_logic_vector'("00000000000000000000000000000000");
+  fifo_rdata <= std_logic_vector'("00000000");
 --synthesis translate_on
 
 end europa;
@@ -297,86 +297,86 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library lpm;
-use lpm.all;
+  use lpm.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
-        port (
-              -- inputs:
-                 signal clk : in std_logic;
-                 signal fifo_clear : in std_logic;
-                 signal fifo_rd : in std_logic;
-                 signal rst_n : in std_logic;
-                 signal t_dat : in std_logic_vector(7 downto 0);
-                 signal wr_rfifo : in std_logic;
-
-              -- outputs:
-                 signal fifo_EF : out std_logic;
-                 signal fifo_rdata : out std_logic_vector(7 downto 0);
-                 signal rfifo_full : out std_logic;
-                 signal rfifo_used : out std_logic_vector(5 downto 0)
-              );
+  port (
+    -- inputs:
+    signal clk : in std_logic;
+    signal fifo_clear : in std_logic;
+    signal fifo_rd : in std_logic;
+    signal rst_n : in std_logic;
+    signal t_dat : in std_logic_vector(7 downto 0);
+    signal wr_rfifo : in std_logic;
+
+    -- outputs:
+    signal fifo_EF : out std_logic;
+    signal fifo_rdata : out std_logic_vector(7 downto 0);
+    signal rfifo_full : out std_logic;
+    signal rfifo_used : out std_logic_vector(5 downto 0)
+  );
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
---synthesis translate_off
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_rd : in std_logic;
-                    signal rst_n : in std_logic;
-
-                 -- outputs:
-                    signal fifo_EF : out std_logic;
-                    signal fifo_rdata : out std_logic_vector(7 downto 0);
-                    signal rfifo_full : out std_logic;
-                    signal rfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r;
-
---synthesis translate_on
---synthesis read_comments_as_HDL on
---  component scfifo is
---GENERIC (
---      lpm_hint : STRING;
---        lpm_numwords : NATURAL;
---        lpm_showahead : STRING;
---        lpm_type : STRING;
---        lpm_width : NATURAL;
---        lpm_widthu : NATURAL;
---        overflow_checking : STRING;
---        underflow_checking : STRING;
---        use_eab : STRING
---      );
---    PORT (
---    signal full : OUT STD_LOGIC;
---        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
---        signal empty : OUT STD_LOGIC;
---        signal rdreq : IN STD_LOGIC;
---        signal aclr : IN STD_LOGIC;
---        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal clock : IN STD_LOGIC;
---        signal wrreq : IN STD_LOGIC
---      );
---  end component scfifo;
---synthesis read_comments_as_HDL off
-                signal internal_fifo_EF :  std_logic;
-                signal internal_fifo_rdata :  std_logic_vector(7 downto 0);
-                signal internal_rfifo_full :  std_logic;
-                signal internal_rfifo_used :  std_logic_vector(5 downto 0);
+  --synthesis translate_off
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_rd : in std_logic;
+      signal rst_n : in std_logic;
+
+      -- outputs:
+      signal fifo_EF : out std_logic;
+      signal fifo_rdata : out std_logic_vector(7 downto 0);
+      signal rfifo_full : out std_logic;
+      signal rfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r;
+
+  --synthesis translate_on
+  --synthesis read_comments_as_HDL on
+  --  component scfifo is
+  --GENERIC (
+  --      lpm_hint : STRING;
+  --        lpm_numwords : NATURAL;
+  --        lpm_showahead : STRING;
+  --        lpm_type : STRING;
+  --        lpm_width : NATURAL;
+  --        lpm_widthu : NATURAL;
+  --        overflow_checking : STRING;
+  --        underflow_checking : STRING;
+  --        use_eab : STRING
+  --      );
+  --    PORT (
+  --    signal full : OUT STD_LOGIC;
+  --        signal q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal usedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
+  --        signal empty : OUT STD_LOGIC;
+  --        signal rdreq : IN STD_LOGIC;
+  --        signal aclr : IN STD_LOGIC;
+  --        signal data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal clock : IN STD_LOGIC;
+  --        signal wrreq : IN STD_LOGIC
+  --      );
+  --  end component scfifo;
+  --synthesis read_comments_as_HDL off
+  signal internal_fifo_EF :  std_logic;
+  signal internal_fifo_rdata :  std_logic_vector(7 downto 0);
+  signal internal_rfifo_full :  std_logic;
+  signal internal_rfifo_used :  std_logic_vector(5 downto 0);
 
 begin
 
@@ -388,18 +388,18 @@ begin
   rfifo_full <= internal_rfifo_full;
   --vhdl renameroo for output signals
   rfifo_used <= internal_rfifo_used;
---synthesis translate_off
-    --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r, which is an e_instance
-    the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r
-      port map(
-        fifo_EF => internal_fifo_EF,
-        fifo_rdata => internal_fifo_rdata,
-        rfifo_full => internal_rfifo_full,
-        rfifo_used => internal_rfifo_used,
-        clk => clk,
-        fifo_rd => fifo_rd,
-        rst_n => rst_n
-      );
+  --synthesis translate_off
+  --the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r, which is an e_instance
+  the_qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r : qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_sim_scfifo_r
+    port map(
+      fifo_EF => internal_fifo_EF,
+      fifo_rdata => internal_fifo_rdata,
+      rfifo_full => internal_rfifo_full,
+      rfifo_used => internal_rfifo_used,
+      clk => clk,
+      fifo_rd => fifo_rd,
+      rst_n => rst_n
+    );
 
 
 --synthesis translate_on
@@ -439,136 +439,136 @@ end europa;
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 library lpm;
-use lpm.all;
+  use lpm.all;
 
 entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi is
-        port (
-              -- inputs:
-                 signal av_address : in std_logic;
-                 signal av_chipselect : in std_logic;
-                 signal av_read_n : in std_logic;
-                 signal av_write_n : in std_logic;
-                 signal av_writedata : in std_logic_vector(31 downto 0);
-                 signal clk : in std_logic;
-                 signal rst_n : in std_logic;
-
-              -- outputs:
-                 signal av_irq : out std_logic;
-                 signal av_readdata : out std_logic_vector(31 downto 0);
-                 signal av_waitrequest : out std_logic;
-                 signal dataavailable : out std_logic;
-                 signal readyfordata : out std_logic
-              );
-attribute ALTERA_ATTRIBUTE : string;
-attribute ALTERA_ATTRIBUTE of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi : entity is "SUPPRESS_DA_RULE_INTERNAL=" "R101,C106,D101,D103" "";
+  port (
+    -- inputs:
+    signal av_address : in std_logic;
+    signal av_chipselect : in std_logic;
+    signal av_read_n : in std_logic;
+    signal av_write_n : in std_logic;
+    signal av_writedata : in std_logic_vector(31 downto 0);
+    signal clk : in std_logic;
+    signal rst_n : in std_logic;
+
+    -- outputs:
+    signal av_irq : out std_logic;
+    signal av_readdata : out std_logic_vector(31 downto 0);
+    signal av_waitrequest : out std_logic;
+    signal dataavailable : out std_logic;
+    signal readyfordata : out std_logic
+  );
+  attribute ALTERA_ATTRIBUTE : string;
+  attribute ALTERA_ATTRIBUTE of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi : entity is "SUPPRESS_DA_RULE_INTERNAL=" "R101,C106,D101,D103" "";
 end entity qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi;
 
 
 architecture europa of qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi is
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_clear : in std_logic;
-                    signal fifo_wdata : in std_logic_vector(7 downto 0);
-                    signal fifo_wr : in std_logic;
-                    signal rd_wfifo : in std_logic;
-
-                 -- outputs:
-                    signal fifo_FF : out std_logic;
-                    signal r_dat : out std_logic_vector(7 downto 0);
-                    signal wfifo_empty : out std_logic;
-                    signal wfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w;
-
-component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
-           port (
-                 -- inputs:
-                    signal clk : in std_logic;
-                    signal fifo_clear : in std_logic;
-                    signal fifo_rd : in std_logic;
-                    signal rst_n : in std_logic;
-                    signal t_dat : in std_logic_vector(7 downto 0);
-                    signal wr_rfifo : in std_logic;
-
-                 -- outputs:
-                    signal fifo_EF : out std_logic;
-                    signal fifo_rdata : out std_logic_vector(7 downto 0);
-                    signal rfifo_full : out std_logic;
-                    signal rfifo_used : out std_logic_vector(5 downto 0)
-                 );
-end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r;
-
---synthesis read_comments_as_HDL on
---  component alt_jtag_atlantic is
---GENERIC (
---      INSTANCE_ID : NATURAL;
---        LOG2_RXFIFO_DEPTH : NATURAL;
---        LOG2_TXFIFO_DEPTH : NATURAL;
---        SLD_AUTO_INSTANCE_INDEX : STRING
---      );
---    PORT (
---    signal t_pause : OUT STD_LOGIC;
---        signal r_ena : OUT STD_LOGIC;
---        signal t_ena : OUT STD_LOGIC;
---        signal t_dat : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal t_dav : IN STD_LOGIC;
---        signal rst_n : IN STD_LOGIC;
---        signal r_dat : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
---        signal r_val : IN STD_LOGIC;
---        signal clk : IN STD_LOGIC
---      );
---  end component alt_jtag_atlantic;
---synthesis read_comments_as_HDL off
-                signal ac :  std_logic;
-                signal activity :  std_logic;
-                signal fifo_AE :  std_logic;
-                signal fifo_AF :  std_logic;
-                signal fifo_EF :  std_logic;
-                signal fifo_FF :  std_logic;
-                signal fifo_clear :  std_logic;
-                signal fifo_rd :  std_logic;
-                signal fifo_rdata :  std_logic_vector(7 downto 0);
-                signal fifo_wdata :  std_logic_vector(7 downto 0);
-                signal fifo_wr :  std_logic;
-                signal ien_AE :  std_logic;
-                signal ien_AF :  std_logic;
-                signal internal_av_waitrequest :  std_logic;
-                signal ipen_AE :  std_logic;
-                signal ipen_AF :  std_logic;
-                signal pause_irq :  std_logic;
-                signal r_dat :  std_logic_vector(7 downto 0);
-                signal r_ena :  std_logic;
-                signal r_val :  std_logic;
-                signal rd_wfifo :  std_logic;
-                signal read_0 :  std_logic;
-                signal rfifo_full :  std_logic;
-                signal rfifo_used :  std_logic_vector(5 downto 0);
-                signal rvalid :  std_logic;
-                signal sim_r_ena :  std_logic;
-                signal sim_t_dat :  std_logic;
-                signal sim_t_ena :  std_logic;
-                signal sim_t_pause :  std_logic;
-                signal t_dat :  std_logic_vector(7 downto 0);
-                signal t_dav :  std_logic;
-                signal t_ena :  std_logic;
-                signal t_pause :  std_logic;
-                signal wfifo_empty :  std_logic;
-                signal wfifo_used :  std_logic_vector(5 downto 0);
-                signal woverflow :  std_logic;
-                signal wr_rfifo :  std_logic;
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_clear : in std_logic;
+      signal fifo_wdata : in std_logic_vector(7 downto 0);
+      signal fifo_wr : in std_logic;
+      signal rd_wfifo : in std_logic;
+
+      -- outputs:
+      signal fifo_FF : out std_logic;
+      signal r_dat : out std_logic_vector(7 downto 0);
+      signal wfifo_empty : out std_logic;
+      signal wfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_w;
+
+  component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r is
+    port (
+      -- inputs:
+      signal clk : in std_logic;
+      signal fifo_clear : in std_logic;
+      signal fifo_rd : in std_logic;
+      signal rst_n : in std_logic;
+      signal t_dat : in std_logic_vector(7 downto 0);
+      signal wr_rfifo : in std_logic;
+
+      -- outputs:
+      signal fifo_EF : out std_logic;
+      signal fifo_rdata : out std_logic_vector(7 downto 0);
+      signal rfifo_full : out std_logic;
+      signal rfifo_used : out std_logic_vector(5 downto 0)
+    );
+  end component qsys_unb2b_minimal_jtag_uart_0_altera_avalon_jtag_uart_180_tj65noi_scfifo_r;
+
+  --synthesis read_comments_as_HDL on
+  --  component alt_jtag_atlantic is
+  --GENERIC (
+  --      INSTANCE_ID : NATURAL;
+  --        LOG2_RXFIFO_DEPTH : NATURAL;
+  --        LOG2_TXFIFO_DEPTH : NATURAL;
+  --        SLD_AUTO_INSTANCE_INDEX : STRING
+  --      );
+  --    PORT (
+  --    signal t_pause : OUT STD_LOGIC;
+  --        signal r_ena : OUT STD_LOGIC;
+  --        signal t_ena : OUT STD_LOGIC;
+  --        signal t_dat : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal t_dav : IN STD_LOGIC;
+  --        signal rst_n : IN STD_LOGIC;
+  --        signal r_dat : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+  --        signal r_val : IN STD_LOGIC;
+  --        signal clk : IN STD_LOGIC
+  --      );
+  --  end component alt_jtag_atlantic;
+  --synthesis read_comments_as_HDL off
+  signal ac :  std_logic;
+  signal activity :  std_logic;
+  signal fifo_AE :  std_logic;
+  signal fifo_AF :  std_logic;
+  signal fifo_EF :  std_logic;
+  signal fifo_FF :  std_logic;
+  signal fifo_clear :  std_logic;
+  signal fifo_rd :  std_logic;
+  signal fifo_rdata :  std_logic_vector(7 downto 0);
+  signal fifo_wdata :  std_logic_vector(7 downto 0);
+  signal fifo_wr :  std_logic;
+  signal ien_AE :  std_logic;
+  signal ien_AF :  std_logic;
+  signal internal_av_waitrequest :  std_logic;
+  signal ipen_AE :  std_logic;
+  signal ipen_AF :  std_logic;
+  signal pause_irq :  std_logic;
+  signal r_dat :  std_logic_vector(7 downto 0);
+  signal r_ena :  std_logic;
+  signal r_val :  std_logic;
+  signal rd_wfifo :  std_logic;
+  signal read_0 :  std_logic;
+  signal rfifo_full :  std_logic;
+  signal rfifo_used :  std_logic_vector(5 downto 0);
+  signal rvalid :  std_logic;
+  signal sim_r_ena :  std_logic;
+  signal sim_t_dat :  std_logic;
+  signal sim_t_ena :  std_logic;
+  signal sim_t_pause :  std_logic;
+  signal t_dat :  std_logic_vector(7 downto 0);
+  signal t_dav :  std_logic;
+  signal t_ena :  std_logic;
+  signal t_pause :  std_logic;
+  signal wfifo_empty :  std_logic;
+  signal wfifo_used :  std_logic_vector(5 downto 0);
+  signal woverflow :  std_logic;
+  signal wr_rfifo :  std_logic;
 
 begin
 
@@ -701,28 +701,28 @@ begin
 
   --vhdl renameroo for output signals
   av_waitrequest <= internal_av_waitrequest;
---synthesis translate_off
-    -- Tie off Atlantic Interface signals not used for simulation
-    process (clk)
-    begin
-      if clk'event and clk = '1' then
-        sim_t_pause <= std_logic'('0');
-        sim_t_ena <= std_logic'('0');
-        sim_t_dat <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'(t_dav) = '1'), r_dat, A_REP(r_val, 8)));
-        sim_r_ena <= std_logic'('0');
-      end if;
+  --synthesis translate_off
+  -- Tie off Atlantic Interface signals not used for simulation
+  process (clk)
+  begin
+    if clk'event and clk = '1' then
+      sim_t_pause <= std_logic'('0');
+      sim_t_ena <= std_logic'('0');
+      sim_t_dat <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'(t_dav) = '1'), r_dat, A_REP(r_val, 8)));
+      sim_r_ena <= std_logic'('0');
+    end if;
 
-    end process;
+  end process;
 
-    r_ena <= sim_r_ena;
-    t_ena <= sim_t_ena;
-    t_dat <= std_logic_vector'("0000000") & (A_TOSTDLOGICVECTOR(sim_t_dat));
-    t_pause <= sim_t_pause;
-    process (fifo_EF)
-    begin
-        dataavailable <= not fifo_EF;
+  r_ena <= sim_r_ena;
+  t_ena <= sim_t_ena;
+  t_dat <= std_logic_vector'("0000000") & (A_TOSTDLOGICVECTOR(sim_t_dat));
+  t_pause <= sim_t_pause;
+  process (fifo_EF)
+  begin
+    dataavailable <= not fifo_EF;
 
-    end process;
+  end process;
 
 --synthesis translate_on
 --synthesis read_comments_as_HDL on
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd
index e357a3b8ab..4f7553ed71 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0/qsys_unb2b_minimal_jtag_uart_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_jtag_uart_0 is
+  component qsys_unb2b_minimal_jtag_uart_0 is
 		port (
 			av_chipselect  : in  std_logic                     := 'X';  -- chipselect
 			av_address     : in  std_logic                     := 'X';  -- address
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd
index c400576a19..c4aec21c6b 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/altera_avalon_onchip_memory2_180/sim/qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y.vhd
@@ -16,69 +16,69 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y is
-        generic (
-                 INIT_FILE : string := "onchip_memory2_0.hex"
-                 );
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(14 downto 0);
-                 signal byteenable : in std_logic_vector(3 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal clken : in std_logic;
-                 signal freeze : in std_logic;
-                 signal reset : in std_logic;
-                 signal reset_req : in std_logic;
-                 signal write : in std_logic;
-                 signal writedata : in std_logic_vector(31 downto 0);
+  generic (
+    INIT_FILE : string := "onchip_memory2_0.hex"
+  );
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(14 downto 0);
+    signal byteenable : in std_logic_vector(3 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal clken : in std_logic;
+    signal freeze : in std_logic;
+    signal reset : in std_logic;
+    signal reset_req : in std_logic;
+    signal write : in std_logic;
+    signal writedata : in std_logic_vector(31 downto 0);
 
-              -- outputs:
-                 signal readdata : out std_logic_vector(31 downto 0)
-              );
+    -- outputs:
+    signal readdata : out std_logic_vector(31 downto 0)
+  );
 end entity qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y;
 
 
 architecture europa of qsys_unb2b_minimal_onchip_memory2_0_altera_avalon_onchip_memory2_180_lo46q2y is
   component altsyncram is
-generic (
+    generic (
       byte_size : natural;
-        init_file : string;
-        lpm_type : string;
-        maximum_depth : natural;
-        numwords_a : natural;
-        operation_mode : string;
-        outdata_reg_a : string;
-        ram_block_type : string;
-        read_during_write_mode_mixed_ports : string;
-        read_during_write_mode_port_a : string;
-        width_a : natural;
-        width_byteena_a : natural;
-        widthad_a : natural
-      );
+      init_file : string;
+      lpm_type : string;
+      maximum_depth : natural;
+      numwords_a : natural;
+      operation_mode : string;
+      outdata_reg_a : string;
+      ram_block_type : string;
+      read_during_write_mode_mixed_ports : string;
+      read_during_write_mode_port_a : string;
+      width_a : natural;
+      width_byteena_a : natural;
+      widthad_a : natural
+    );
     port (
-    signal q_a : out std_logic_vector(31 downto 0);
-        signal wren_a : in std_logic;
-        signal byteena_a : in std_logic_vector(3 downto 0);
-        signal clock0 : in std_logic;
-        signal address_a : in std_logic_vector(14 downto 0);
-        signal clocken0 : in std_logic;
-        signal data_a : in std_logic_vector(31 downto 0)
-      );
+      signal q_a : out std_logic_vector(31 downto 0);
+      signal wren_a : in std_logic;
+      signal byteena_a : in std_logic_vector(3 downto 0);
+      signal clock0 : in std_logic;
+      signal address_a : in std_logic_vector(14 downto 0);
+      signal clocken0 : in std_logic;
+      signal data_a : in std_logic_vector(31 downto 0)
+    );
   end component altsyncram;
-                signal clocken0 :  std_logic;
-                signal internal_readdata :  std_logic_vector(31 downto 0);
-                signal wren :  std_logic;
+  signal clocken0 :  std_logic;
+  signal internal_readdata :  std_logic_vector(31 downto 0);
+  signal wren :  std_logic;
 
 begin
 
@@ -101,13 +101,13 @@ begin
       widthad_a => 15
     )
     port map(
-            address_a => address,
-            byteena_a => byteenable,
-            clock0 => clk,
-            clocken0 => clocken0,
-            data_a => writedata,
-            q_a => internal_readdata,
-            wren_a => wren
+      address_a => address,
+      byteena_a => byteenable,
+      clock0 => clk,
+      clocken0 => clocken0,
+      data_a => writedata,
+      q_a => internal_readdata,
+      wren_a => wren
     );
 
   --s1, which is an e_avalon_slave
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd
index 1013d9c8fe..d4fadd4056 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0/qsys_unb2b_minimal_onchip_memory2_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_onchip_memory2_0 is
+  component qsys_unb2b_minimal_onchip_memory2_0 is
 		port (
 			clk        : in  std_logic                     := 'X';  -- clk
 			reset      : in  std_logic                     := 'X';  -- reset
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd
index 6ac45a007b..ed5d6c9386 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps/qsys_unb2b_minimal_pio_pps_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_pio_pps is
+  component qsys_unb2b_minimal_pio_pps is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd
index 54214733fc..ce7b65f835 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info/qsys_unb2b_minimal_pio_system_info_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_pio_system_info is
+  component qsys_unb2b_minimal_pio_system_info is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd
index 275c472861..ad408c7815 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/altera_avalon_pio_180/sim/qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq.vhd
@@ -16,37 +16,37 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq is
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(1 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal reset_n : in std_logic;
-                 signal write_n : in std_logic;
-                 signal writedata : in std_logic_vector(31 downto 0);
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(1 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal reset_n : in std_logic;
+    signal write_n : in std_logic;
+    signal writedata : in std_logic_vector(31 downto 0);
 
-              -- outputs:
-                 signal out_port : out std_logic;
-                 signal readdata : out std_logic_vector(31 downto 0)
-              );
+    -- outputs:
+    signal out_port : out std_logic;
+    signal readdata : out std_logic_vector(31 downto 0)
+  );
 end entity qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq;
 
 
 architecture europa of qsys_unb2b_minimal_pio_wdi_altera_avalon_pio_180_2botkdq is
-                signal clk_en :  std_logic;
-                signal data_out :  std_logic;
-                signal read_mux_out :  std_logic;
+  signal clk_en :  std_logic;
+  signal data_out :  std_logic;
+  signal read_mux_out :  std_logic;
 
 begin
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd
index 7653111094..249fc3461d 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi/qsys_unb2b_minimal_pio_wdi_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_pio_wdi is
+  component qsys_unb2b_minimal_pio_wdi is
 		port (
 			clk        : in  std_logic                     := 'X';  -- clk
 			out_port   : out std_logic;  -- export
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd
index beaf80437e..ca0753b8f6 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl/qsys_unb2b_minimal_reg_dpmm_ctrl_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_dpmm_ctrl is
+  component qsys_unb2b_minimal_reg_dpmm_ctrl is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd
index d4d2ea56c7..cb7d6e343c 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data/qsys_unb2b_minimal_reg_dpmm_data_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_dpmm_data is
+  component qsys_unb2b_minimal_reg_dpmm_data is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd
index 35a921ca9e..2b12931243 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs/qsys_unb2b_minimal_reg_epcs_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_epcs is
+  component qsys_unb2b_minimal_reg_epcs is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd
index 0dd4b690ec..996a19af95 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens/qsys_unb2b_minimal_reg_fpga_temp_sens_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_fpga_temp_sens is
+  component qsys_unb2b_minimal_reg_fpga_temp_sens is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd
index 391087f935..72452d8e9f 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens/qsys_unb2b_minimal_reg_fpga_voltage_sens_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_fpga_voltage_sens is
+  component qsys_unb2b_minimal_reg_fpga_voltage_sens is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd
index 57e4a4c70f..cd765a360d 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl/qsys_unb2b_minimal_reg_mmdp_ctrl_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_mmdp_ctrl is
+  component qsys_unb2b_minimal_reg_mmdp_ctrl is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd
index 6ef3680e58..51873f2715 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data/qsys_unb2b_minimal_reg_mmdp_data_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_mmdp_data is
+  component qsys_unb2b_minimal_reg_mmdp_data is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd
index 813521ee09..f0ecc1ba1f 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu/qsys_unb2b_minimal_reg_remu_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_remu is
+  component qsys_unb2b_minimal_reg_remu is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd
index 911de6ef25..31f317ab8d 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus/qsys_unb2b_minimal_reg_unb_pmbus_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_unb_pmbus is
+  component qsys_unb2b_minimal_reg_unb_pmbus is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd
index bda7f7ffb4..2b7f3cd7f1 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens/qsys_unb2b_minimal_reg_unb_sens_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_unb_sens is
+  component qsys_unb2b_minimal_reg_unb_sens is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd
index 2037678c58..5d57d1c5e1 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi/qsys_unb2b_minimal_reg_wdi_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_reg_wdi is
+  component qsys_unb2b_minimal_reg_wdi is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/sim/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/avs_common_mm_10/synth/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd
index 24125dfe0e..026a6b8def 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info/qsys_unb2b_minimal_rom_system_info_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_rom_system_info is
+  component qsys_unb2b_minimal_rom_system_info is
 		generic (
 			g_adr_w : natural := 5;
 			g_dat_w : natural := 32
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd
index 8b3e6b4cf8..6c59af2597 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/altera_avalon_timer_180/sim/qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby.vhd
@@ -16,52 +16,52 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby is
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(2 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal reset_n : in std_logic;
-                 signal write_n : in std_logic;
-                 signal writedata : in std_logic_vector(15 downto 0);
-
-              -- outputs:
-                 signal irq : out std_logic;
-                 signal readdata : out std_logic_vector(15 downto 0)
-              );
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(2 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal reset_n : in std_logic;
+    signal write_n : in std_logic;
+    signal writedata : in std_logic_vector(15 downto 0);
+
+    -- outputs:
+    signal irq : out std_logic;
+    signal readdata : out std_logic_vector(15 downto 0)
+  );
 end entity qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby;
 
 
 architecture europa of qsys_unb2b_minimal_timer_0_altera_avalon_timer_180_5qqtsby is
-                signal clk_en :  std_logic;
-                signal control_interrupt_enable :  std_logic;
-                signal control_register :  std_logic;
-                signal control_wr_strobe :  std_logic;
-                signal counter_is_running :  std_logic;
-                signal counter_is_zero :  std_logic;
-                signal counter_load_value :  std_logic_vector(16 downto 0);
-                signal delayed_unxcounter_is_zeroxx0 :  std_logic;
-                signal do_start_counter :  std_logic;
-                signal do_stop_counter :  std_logic;
-                signal force_reload :  std_logic;
-                signal internal_counter :  std_logic_vector(16 downto 0);
-                signal period_h_wr_strobe :  std_logic;
-                signal period_l_wr_strobe :  std_logic;
-                signal read_mux_out :  std_logic_vector(15 downto 0);
-                signal status_wr_strobe :  std_logic;
-                signal timeout_event :  std_logic;
-                signal timeout_occurred :  std_logic;
+  signal clk_en :  std_logic;
+  signal control_interrupt_enable :  std_logic;
+  signal control_register :  std_logic;
+  signal control_wr_strobe :  std_logic;
+  signal counter_is_running :  std_logic;
+  signal counter_is_zero :  std_logic;
+  signal counter_load_value :  std_logic_vector(16 downto 0);
+  signal delayed_unxcounter_is_zeroxx0 :  std_logic;
+  signal do_start_counter :  std_logic;
+  signal do_stop_counter :  std_logic;
+  signal force_reload :  std_logic;
+  signal internal_counter :  std_logic_vector(16 downto 0);
+  signal period_h_wr_strobe :  std_logic;
+  signal period_l_wr_strobe :  std_logic;
+  signal read_mux_out :  std_logic_vector(15 downto 0);
+  signal status_wr_strobe :  std_logic;
+  signal timeout_event :  std_logic;
+  signal timeout_occurred :  std_logic;
 
 begin
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd
index d3f78c4dc8..476cac0f46 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0/qsys_unb2b_minimal_timer_0_inst.vhd
@@ -1,4 +1,4 @@
-	component qsys_unb2b_minimal_timer_0 is
+  component qsys_unb2b_minimal_timer_0 is
 		port (
 			clk        : in  std_logic                     := 'X';  -- clk
 			irq        : out std_logic;  -- irq
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/unb2b_jesd_node3.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/unb2b_jesd_node3.vhd
index 0099aadc7d..cbe380f9e9 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/unb2b_jesd_node3.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/unb2b_jesd_node3.vhd
@@ -21,14 +21,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, diag_lib, dp_lib, unb2b_jesd_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity unb2b_jesd_node3 is
   generic (
@@ -45,7 +45,7 @@ entity unb2b_jesd_node3 is
   );
   port (
     -- GENERAL
---    CLK          : IN    STD_LOGIC; -- System Clock
+    --    CLK          : IN    STD_LOGIC; -- System Clock
     PPS          : in    std_logic;  -- System Sync
     WDI          : out   std_logic;  -- Watchdog Clear
     INTA         : inout std_logic;  -- FPGA interconnect line
@@ -85,51 +85,51 @@ architecture str of unb2b_jesd_node3 is
 begin
 
   u_revision : entity unb2b_jesd_lib.unb2b_jesd
-  generic map (
-    g_design_name       => g_design_name,
-    g_design_note       => g_design_note,
-    g_technology        => g_technology,
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_stamp_date        => g_stamp_date,
-    g_stamp_time        => g_stamp_time,
-    g_stamp_svn         => g_stamp_svn,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    -- GENERAL
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => ETH_CLK,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    QSFP_LED     => QSFP_LED,
-
-    -- JESD signals
-    jesd204_rx_serial_data  => jesd204_rx_serial_data,
-    jesd204_sync_n_out      => jesd204_sync_n_out,
-    jesd204_rx_sysref       => jesd204_rx_sysref,
-    jesd204_device_clk      => jesd204_device_clk
-  );
+    generic map (
+      g_design_name       => g_design_name,
+      g_design_note       => g_design_note,
+      g_technology        => g_technology,
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_stamp_date        => g_stamp_date,
+      g_stamp_time        => g_stamp_time,
+      g_stamp_svn         => g_stamp_svn,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      -- GENERAL
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => ETH_CLK,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      QSFP_LED     => QSFP_LED,
+
+      -- JESD signals
+      jesd204_rx_serial_data  => jesd204_rx_serial_data,
+      jesd204_sync_n_out      => jesd204_sync_n_out,
+      jesd204_rx_sysref       => jesd204_rx_sysref,
+      jesd204_device_clk      => jesd204_device_clk
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/mmm_unb2b_jesd.vhd b/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/mmm_unb2b_jesd.vhd
index 0cfce242e5..6c6206fdfa 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/mmm_unb2b_jesd.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/mmm_unb2b_jesd.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2b_jesd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2b_jesd_pkg.all;
 
 
 entity mmm_unb2b_jesd is
@@ -139,32 +139,32 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -182,214 +182,214 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2b_jesd
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-
-      ram_diag_data_buf_jesd_clk_export         => OPEN,
-      ram_diag_data_buf_jesd_reset_export       => OPEN,
-      ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(17 - 1 downto 0),
-      ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
-      ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
-      ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buf_jesd_reset_export       => OPEN,
-      reg_diag_data_buf_jesd_clk_export         => OPEN,
-      reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(6 - 1 downto 0),
-      reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
-      reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
-      reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
-
-
-            -- connections to the JESD IP:
-
-            --altjesd_reset_seq_irq_irq                                    =>
-            altjesd_reset_seq_pll_locked_in_reset1_dsrt_qual             => i_reset_n,
-            --altjesd_reset_seq_pll_reset_reset                            =>
-            altjesd_reset_seq_reset_in0_reset                            => mm_rst,
-            altjesd_reset_seq_rx_xcvr_ready_in_reset5_dsrt_qual         => '1',
-            altjesd_reset_seq_tx_xcvr_ready_in_reset2_dsrt_qual          => rx_xcvr_ready_in,
-            --altjesd_rx_csr_cf_export                                     =>
-            --altjesd_rx_csr_cs_export                                     =>
-            --altjesd_rx_csr_f_export                                      =>
-            --altjesd_rx_csr_hd_export                                     =>
-            --altjesd_rx_csr_k_export                                      =>
-            --altjesd_rx_csr_l_export                                      =>
-            altjesd_rx_csr_lane_powerdown_export                         => rx_csr_lane_powerdown,
-            --altjesd_rx_csr_m_export                                      =>
-            --altjesd_rx_csr_n_export                                      =>
-            --altjesd_rx_csr_np_export                                     =>
-            --altjesd_rx_csr_rx_testmode_export                            =>
-            --altjesd_rx_csr_s_export                                      =>
-            altjesd_rx_dev_sync_n_export                                 => jesd204_sync_n_out,
-            altjesd_rx_jesd204_rx_dlb_data_export                        => (others => '0'),
-            altjesd_rx_jesd204_rx_dlb_data_valid_export                  => (others => '0'),
-            altjesd_rx_jesd204_rx_dlb_disperr_export                     => (others => '0'),
-            altjesd_rx_jesd204_rx_dlb_errdetect_export                   => (others => '0'),
-            altjesd_rx_jesd204_rx_dlb_kchar_data_export                  => (others => '0'),
-            altjesd_rx_jesd204_rx_frame_error_export                     => '0',
-            altjesd_rx_jesd204_rx_int_irq                                => jesd204_rx_link_error,
-            altjesd_rx_jesd204_rx_link_data                              => jesd204_rx_link_data,
-            altjesd_rx_jesd204_rx_link_valid                             => jesd204_rx_link_valid,
-            altjesd_rx_jesd204_rx_link_ready                             => jesd204_rx_link_ready,
-            altjesd_rx_rx_serial_data_rx_serial_data(0)                     => jesd204_rx_serial_data,
-            altjesd_rx_rxlink_rst_n_reset_n                              => rx_link_rst_n,
-            altjesd_ss_rx_link_reset_out_reset_reset_n                   => rx_link_rst_n,
-            --altjesd_ss_rx_frame_reset_out_reset_reset_n                  =>
-            --altjesd_rx_rxphy_clk_export                                  =>
-            --altjesd_rx_sof_export                                        =>
-            --altjesd_rx_somf_export                                       =>
-            altjesd_rx_sysref_export                                     => jesd204_rx_sysref,
-            --altjesd_ss_rx_corepll_locked_export                          =>
-            --altjesd_ss_rx_xcvr_reset_control_pll_powerdown_pll_powerdown =>
-            altjesd_ss_rx_xcvr_reset_control_rx_ready_rx_ready           => xcvr_rst_ctrl_rx_ready,
-            device_clk_clk                                               => jesd204_device_clk,
-            device_clk_reset_reset_n                                     => '1',
-            frame_clk_clk                                                => frame_clk,
-            pll_out_frame_clk_clk                                        => frame_clk,
-            frame_clk_reset_reset_n                                      => '1',
-            link_clk_clk                                                 => link_clk,
-            pll_out_link_clk_clk                                         => link_clk,
-            link_clk_reset_reset_n                                       => '1'
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+
+        ram_diag_data_buf_jesd_clk_export         => OPEN,
+        ram_diag_data_buf_jesd_reset_export       => OPEN,
+        ram_diag_data_buf_jesd_address_export     => ram_diag_data_buf_jesd_mosi.address(17 - 1 downto 0),
+        ram_diag_data_buf_jesd_write_export       => ram_diag_data_buf_jesd_mosi.wr,
+        ram_diag_data_buf_jesd_writedata_export   => ram_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_jesd_read_export        => ram_diag_data_buf_jesd_mosi.rd,
+        ram_diag_data_buf_jesd_readdata_export    => ram_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buf_jesd_reset_export       => OPEN,
+        reg_diag_data_buf_jesd_clk_export         => OPEN,
+        reg_diag_data_buf_jesd_address_export     => reg_diag_data_buf_jesd_mosi.address(6 - 1 downto 0),
+        reg_diag_data_buf_jesd_write_export       => reg_diag_data_buf_jesd_mosi.wr,
+        reg_diag_data_buf_jesd_writedata_export   => reg_diag_data_buf_jesd_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_jesd_read_export        => reg_diag_data_buf_jesd_mosi.rd,
+        reg_diag_data_buf_jesd_readdata_export    => reg_diag_data_buf_jesd_miso.rddata(c_word_w - 1 downto 0),
+
+
+        -- connections to the JESD IP:
+
+        --altjesd_reset_seq_irq_irq                                    =>
+        altjesd_reset_seq_pll_locked_in_reset1_dsrt_qual             => i_reset_n,
+        --altjesd_reset_seq_pll_reset_reset                            =>
+        altjesd_reset_seq_reset_in0_reset                            => mm_rst,
+        altjesd_reset_seq_rx_xcvr_ready_in_reset5_dsrt_qual         => '1',
+        altjesd_reset_seq_tx_xcvr_ready_in_reset2_dsrt_qual          => rx_xcvr_ready_in,
+        --altjesd_rx_csr_cf_export                                     =>
+        --altjesd_rx_csr_cs_export                                     =>
+        --altjesd_rx_csr_f_export                                      =>
+        --altjesd_rx_csr_hd_export                                     =>
+        --altjesd_rx_csr_k_export                                      =>
+        --altjesd_rx_csr_l_export                                      =>
+        altjesd_rx_csr_lane_powerdown_export                         => rx_csr_lane_powerdown,
+        --altjesd_rx_csr_m_export                                      =>
+        --altjesd_rx_csr_n_export                                      =>
+        --altjesd_rx_csr_np_export                                     =>
+        --altjesd_rx_csr_rx_testmode_export                            =>
+        --altjesd_rx_csr_s_export                                      =>
+        altjesd_rx_dev_sync_n_export                                 => jesd204_sync_n_out,
+        altjesd_rx_jesd204_rx_dlb_data_export                        => (others => '0'),
+        altjesd_rx_jesd204_rx_dlb_data_valid_export                  => (others => '0'),
+        altjesd_rx_jesd204_rx_dlb_disperr_export                     => (others => '0'),
+        altjesd_rx_jesd204_rx_dlb_errdetect_export                   => (others => '0'),
+        altjesd_rx_jesd204_rx_dlb_kchar_data_export                  => (others => '0'),
+        altjesd_rx_jesd204_rx_frame_error_export                     => '0',
+        altjesd_rx_jesd204_rx_int_irq                                => jesd204_rx_link_error,
+        altjesd_rx_jesd204_rx_link_data                              => jesd204_rx_link_data,
+        altjesd_rx_jesd204_rx_link_valid                             => jesd204_rx_link_valid,
+        altjesd_rx_jesd204_rx_link_ready                             => jesd204_rx_link_ready,
+        altjesd_rx_rx_serial_data_rx_serial_data(0)                     => jesd204_rx_serial_data,
+        altjesd_rx_rxlink_rst_n_reset_n                              => rx_link_rst_n,
+        altjesd_ss_rx_link_reset_out_reset_reset_n                   => rx_link_rst_n,
+        --altjesd_ss_rx_frame_reset_out_reset_reset_n                  =>
+        --altjesd_rx_rxphy_clk_export                                  =>
+        --altjesd_rx_sof_export                                        =>
+        --altjesd_rx_somf_export                                       =>
+        altjesd_rx_sysref_export                                     => jesd204_rx_sysref,
+        --altjesd_ss_rx_corepll_locked_export                          =>
+        --altjesd_ss_rx_xcvr_reset_control_pll_powerdown_pll_powerdown =>
+        altjesd_ss_rx_xcvr_reset_control_rx_ready_rx_ready           => xcvr_rst_ctrl_rx_ready,
+        device_clk_clk                                               => jesd204_device_clk,
+        device_clk_reset_reset_n                                     => '1',
+        frame_clk_clk                                                => frame_clk,
+        pll_out_frame_clk_clk                                        => frame_clk,
+        frame_clk_reset_reset_n                                      => '1',
+        link_clk_clk                                                 => link_clk,
+        pll_out_link_clk_clk                                         => link_clk,
+        link_clk_reset_reset_n                                       => '1'
       );
   end generate;
 end str;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/qsys_unb2b_jesd_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/qsys_unb2b_jesd_pkg.vhd
index 7adf946bf8..4a36b8f5f0 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/qsys_unb2b_jesd_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/qsys_unb2b_jesd_pkg.vhd
@@ -20,199 +20,199 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2b_jesd_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v14 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v14 QSYS builder
+  -----------------------------------------------------------------------------
 
-component qsys_unb2b_jesd is
-        port (
-            altjesd_reset_seq_irq_irq                                    : out std_logic;  -- irq
-            altjesd_reset_seq_pll_locked_in_reset1_dsrt_qual             : in  std_logic                     := 'X';  -- reset1_dsrt_qual
-            altjesd_reset_seq_pll_reset_reset                            : out std_logic;  -- reset
-            altjesd_reset_seq_reset_in0_reset                            : in  std_logic                     := 'X';  -- reset
-            altjesd_reset_seq_rx_xcvr_ready_in_reset5_dsrt_qual          : in  std_logic                     := 'X';  -- reset5_dsrt_qual
-            altjesd_reset_seq_tx_xcvr_ready_in_reset2_dsrt_qual          : in  std_logic                     := 'X';  -- reset2_dsrt_qual
-            altjesd_rx_csr_cf_export                                     : out std_logic_vector(4 downto 0);  -- export
-            altjesd_rx_csr_cs_export                                     : out std_logic_vector(1 downto 0);  -- export
-            altjesd_rx_csr_f_export                                      : out std_logic_vector(7 downto 0);  -- export
-            altjesd_rx_csr_hd_export                                     : out std_logic;  -- export
-            altjesd_rx_csr_k_export                                      : out std_logic_vector(4 downto 0);  -- export
-            altjesd_rx_csr_l_export                                      : out std_logic_vector(4 downto 0);  -- export
-            altjesd_rx_csr_lane_powerdown_export                         : out std_logic_vector(0 downto 0);  -- export
-            altjesd_rx_csr_m_export                                      : out std_logic_vector(7 downto 0);  -- export
-            altjesd_rx_csr_n_export                                      : out std_logic_vector(4 downto 0);  -- export
-            altjesd_rx_csr_np_export                                     : out std_logic_vector(4 downto 0);  -- export
-            altjesd_rx_csr_rx_testmode_export                            : out std_logic_vector(3 downto 0);  -- export
-            altjesd_rx_csr_s_export                                      : out std_logic_vector(4 downto 0);  -- export
-            altjesd_rx_dev_sync_n_export                                 : out std_logic;  -- export
-            altjesd_rx_jesd204_rx_dlb_data_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            altjesd_rx_jesd204_rx_dlb_data_valid_export                  : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- export
-            altjesd_rx_jesd204_rx_dlb_disperr_export                     : in  std_logic_vector(3 downto 0)  := (others => 'X');  -- export
-            altjesd_rx_jesd204_rx_dlb_errdetect_export                   : in  std_logic_vector(3 downto 0)  := (others => 'X');  -- export
-            altjesd_rx_jesd204_rx_dlb_kchar_data_export                  : in  std_logic_vector(3 downto 0)  := (others => 'X');  -- export
-            altjesd_rx_jesd204_rx_frame_error_export                     : in  std_logic                     := 'X';  -- export
-            altjesd_rx_jesd204_rx_int_irq                                : out std_logic;  -- irq
-            altjesd_rx_jesd204_rx_link_data                              : out std_logic_vector(31 downto 0);  -- data
-            altjesd_rx_jesd204_rx_link_valid                             : out std_logic;  -- valid
-            altjesd_rx_jesd204_rx_link_ready                             : in  std_logic                     := 'X';  -- ready
-            altjesd_rx_rx_serial_data_rx_serial_data                     : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- rx_serial_data
-            altjesd_rx_rxlink_rst_n_reset_n                              : in  std_logic                     := 'X';  -- reset_n
-            altjesd_rx_rxphy_clk_export                                  : out std_logic_vector(0 downto 0);  -- export
-            altjesd_rx_sof_export                                        : out std_logic_vector(3 downto 0);  -- export
-            altjesd_rx_somf_export                                       : out std_logic_vector(3 downto 0);  -- export
-            altjesd_rx_sysref_export                                     : in  std_logic                     := 'X';  -- export
-            altjesd_ss_rx_corepll_locked_export                          : out std_logic;  -- export
-            altjesd_ss_rx_xcvr_reset_control_pll_powerdown_pll_powerdown : out std_logic_vector(0 downto 0);  -- pll_powerdown
-            altjesd_ss_rx_xcvr_reset_control_rx_ready_rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready
-            altjesd_ss_rx_frame_reset_out_reset_reset_n                  : out std_logic;  -- reset_n
-            altjesd_ss_rx_link_reset_out_reset_reset_n                   : out std_logic;  -- reset_n
-            avs_eth_0_clk_export                                         : out std_logic;  -- export
-            avs_eth_0_irq_export                                         : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export                                 : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export                                    : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export                                   : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export                                 : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export                                    : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export                                   : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export                                       : out std_logic;  -- export
-            avs_eth_0_tse_address_export                                 : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export                                    : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                             : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export                                   : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                                                      : in  std_logic                     := 'X';  -- clk
-            device_clk_clk                                               : in  std_logic                     := 'X';  -- clk
-            device_clk_reset_reset_n                                     : in  std_logic                     := 'X';  -- reset_n
-            frame_clk_clk                                                : in  std_logic                     := 'X';  -- clk
-            frame_clk_reset_reset_n                                      : in  std_logic                     := 'X';  -- reset_n
-            link_clk_clk                                                 : in  std_logic                     := 'X';  -- clk
-            link_clk_reset_reset_n                                       : in  std_logic                     := 'X';  -- reset_n
-            pio_pps_address_export                                       : out std_logic_vector(0 downto 0);  -- export
-            pio_pps_clk_export                                           : out std_logic;  -- export
-            pio_pps_read_export                                          : out std_logic;  -- export
-            pio_pps_readdata_export                                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                                         : out std_logic;  -- export
-            pio_pps_write_export                                         : out std_logic;  -- export
-            pio_pps_writedata_export                                     : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export                               : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export                                   : out std_logic;  -- export
-            pio_system_info_read_export                                  : out std_logic;  -- export
-            pio_system_info_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                                 : out std_logic;  -- export
-            pio_system_info_write_export                                 : out std_logic;  -- export
-            pio_system_info_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export                           : out std_logic;  -- export
-            pll_out_frame_clk_clk                                        : out std_logic;  -- clk
-            pll_out_link_clk_clk                                         : out std_logic;  -- clk
-            reg_dpmm_ctrl_address_export                                 : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export                                     : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export                                    : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                                   : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export                                   : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export                                 : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export                                     : out std_logic;  -- export
-            reg_dpmm_data_read_export                                    : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                                   : out std_logic;  -- export
-            reg_dpmm_data_write_export                                   : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export                                      : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                                          : out std_logic;  -- export
-            reg_epcs_read_export                                         : out std_logic;  -- export
-            reg_epcs_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                                        : out std_logic;  -- export
-            reg_epcs_write_export                                        : out std_logic;  -- export
-            reg_epcs_writedata_export                                    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_address_export                            : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export                                : out std_logic;  -- export
-            reg_fpga_temp_sens_read_export                               : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export                              : out std_logic;  -- export
-            reg_fpga_temp_sens_write_export                              : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_address_export                         : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export                             : out std_logic;  -- export
-            reg_fpga_voltage_sens_read_export                            : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export                           : out std_logic;  -- export
-            reg_fpga_voltage_sens_write_export                           : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export                                 : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export                                     : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export                                    : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                                   : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export                                   : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export                                 : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export                                     : out std_logic;  -- export
-            reg_mmdp_data_read_export                                    : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                                   : out std_logic;  -- export
-            reg_mmdp_data_write_export                                   : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export                                      : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                                          : out std_logic;  -- export
-            reg_remu_read_export                                         : out std_logic;  -- export
-            reg_remu_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                                        : out std_logic;  -- export
-            reg_remu_write_export                                        : out std_logic;  -- export
-            reg_remu_writedata_export                                    : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_address_export                                 : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export                                     : out std_logic;  -- export
-            reg_unb_pmbus_read_export                                    : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_reset_export                                   : out std_logic;  -- export
-            reg_unb_pmbus_write_export                                   : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export                                  : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export                                      : out std_logic;  -- export
-            reg_unb_sens_read_export                                     : out std_logic;  -- export
-            reg_unb_sens_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export                                    : out std_logic;  -- export
-            reg_unb_sens_write_export                                    : out std_logic;  -- export
-            reg_unb_sens_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export                                       : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                                           : out std_logic;  -- export
-            reg_wdi_read_export                                          : out std_logic;  -- export
-            reg_wdi_readdata_export                                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                                         : out std_logic;  -- export
-            reg_wdi_write_export                                         : out std_logic;  -- export
-            reg_wdi_writedata_export                                     : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                                                : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export                               : out std_logic_vector(9 downto 0);  -- export
-            rom_system_info_clk_export                                   : out std_logic;  -- export
-            rom_system_info_read_export                                  : out std_logic;  -- export
-            rom_system_info_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                                 : out std_logic;  -- export
-            rom_system_info_write_export                                 : out std_logic;  -- export
-            rom_system_info_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buf_jesd_address_export                        : out std_logic_vector(16 downto 0);  -- export
-            ram_diag_data_buf_jesd_clk_export                            : out std_logic;  -- export
-            ram_diag_data_buf_jesd_read_export                           : out std_logic;  -- export
-            ram_diag_data_buf_jesd_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buf_jesd_reset_export                          : out std_logic;  -- export
-            ram_diag_data_buf_jesd_write_export                          : out std_logic;  -- export
-            ram_diag_data_buf_jesd_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buf_jesd_address_export                        : out std_logic_vector(5 downto 0);  -- export
-            reg_diag_data_buf_jesd_clk_export                            : out std_logic;  -- export
-            reg_diag_data_buf_jesd_read_export                           : out std_logic;  -- export
-            reg_diag_data_buf_jesd_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buf_jesd_reset_export                          : out std_logic;  -- export
-            reg_diag_data_buf_jesd_write_export                          : out std_logic;  -- export
-            reg_diag_data_buf_jesd_writedata_export                      : out std_logic_vector(31 downto 0)  -- export
-        );
-    end component qsys_unb2b_jesd;
+  component qsys_unb2b_jesd is
+    port (
+      altjesd_reset_seq_irq_irq                                    : out std_logic;  -- irq
+      altjesd_reset_seq_pll_locked_in_reset1_dsrt_qual             : in  std_logic                     := 'X';  -- reset1_dsrt_qual
+      altjesd_reset_seq_pll_reset_reset                            : out std_logic;  -- reset
+      altjesd_reset_seq_reset_in0_reset                            : in  std_logic                     := 'X';  -- reset
+      altjesd_reset_seq_rx_xcvr_ready_in_reset5_dsrt_qual          : in  std_logic                     := 'X';  -- reset5_dsrt_qual
+      altjesd_reset_seq_tx_xcvr_ready_in_reset2_dsrt_qual          : in  std_logic                     := 'X';  -- reset2_dsrt_qual
+      altjesd_rx_csr_cf_export                                     : out std_logic_vector(4 downto 0);  -- export
+      altjesd_rx_csr_cs_export                                     : out std_logic_vector(1 downto 0);  -- export
+      altjesd_rx_csr_f_export                                      : out std_logic_vector(7 downto 0);  -- export
+      altjesd_rx_csr_hd_export                                     : out std_logic;  -- export
+      altjesd_rx_csr_k_export                                      : out std_logic_vector(4 downto 0);  -- export
+      altjesd_rx_csr_l_export                                      : out std_logic_vector(4 downto 0);  -- export
+      altjesd_rx_csr_lane_powerdown_export                         : out std_logic_vector(0 downto 0);  -- export
+      altjesd_rx_csr_m_export                                      : out std_logic_vector(7 downto 0);  -- export
+      altjesd_rx_csr_n_export                                      : out std_logic_vector(4 downto 0);  -- export
+      altjesd_rx_csr_np_export                                     : out std_logic_vector(4 downto 0);  -- export
+      altjesd_rx_csr_rx_testmode_export                            : out std_logic_vector(3 downto 0);  -- export
+      altjesd_rx_csr_s_export                                      : out std_logic_vector(4 downto 0);  -- export
+      altjesd_rx_dev_sync_n_export                                 : out std_logic;  -- export
+      altjesd_rx_jesd204_rx_dlb_data_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      altjesd_rx_jesd204_rx_dlb_data_valid_export                  : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- export
+      altjesd_rx_jesd204_rx_dlb_disperr_export                     : in  std_logic_vector(3 downto 0)  := (others => 'X');  -- export
+      altjesd_rx_jesd204_rx_dlb_errdetect_export                   : in  std_logic_vector(3 downto 0)  := (others => 'X');  -- export
+      altjesd_rx_jesd204_rx_dlb_kchar_data_export                  : in  std_logic_vector(3 downto 0)  := (others => 'X');  -- export
+      altjesd_rx_jesd204_rx_frame_error_export                     : in  std_logic                     := 'X';  -- export
+      altjesd_rx_jesd204_rx_int_irq                                : out std_logic;  -- irq
+      altjesd_rx_jesd204_rx_link_data                              : out std_logic_vector(31 downto 0);  -- data
+      altjesd_rx_jesd204_rx_link_valid                             : out std_logic;  -- valid
+      altjesd_rx_jesd204_rx_link_ready                             : in  std_logic                     := 'X';  -- ready
+      altjesd_rx_rx_serial_data_rx_serial_data                     : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- rx_serial_data
+      altjesd_rx_rxlink_rst_n_reset_n                              : in  std_logic                     := 'X';  -- reset_n
+      altjesd_rx_rxphy_clk_export                                  : out std_logic_vector(0 downto 0);  -- export
+      altjesd_rx_sof_export                                        : out std_logic_vector(3 downto 0);  -- export
+      altjesd_rx_somf_export                                       : out std_logic_vector(3 downto 0);  -- export
+      altjesd_rx_sysref_export                                     : in  std_logic                     := 'X';  -- export
+      altjesd_ss_rx_corepll_locked_export                          : out std_logic;  -- export
+      altjesd_ss_rx_xcvr_reset_control_pll_powerdown_pll_powerdown : out std_logic_vector(0 downto 0);  -- pll_powerdown
+      altjesd_ss_rx_xcvr_reset_control_rx_ready_rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready
+      altjesd_ss_rx_frame_reset_out_reset_reset_n                  : out std_logic;  -- reset_n
+      altjesd_ss_rx_link_reset_out_reset_reset_n                   : out std_logic;  -- reset_n
+      avs_eth_0_clk_export                                         : out std_logic;  -- export
+      avs_eth_0_irq_export                                         : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export                                 : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export                                    : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export                                   : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export                                 : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export                                    : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export                                   : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export                                       : out std_logic;  -- export
+      avs_eth_0_tse_address_export                                 : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export                                    : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                             : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export                                   : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                                                      : in  std_logic                     := 'X';  -- clk
+      device_clk_clk                                               : in  std_logic                     := 'X';  -- clk
+      device_clk_reset_reset_n                                     : in  std_logic                     := 'X';  -- reset_n
+      frame_clk_clk                                                : in  std_logic                     := 'X';  -- clk
+      frame_clk_reset_reset_n                                      : in  std_logic                     := 'X';  -- reset_n
+      link_clk_clk                                                 : in  std_logic                     := 'X';  -- clk
+      link_clk_reset_reset_n                                       : in  std_logic                     := 'X';  -- reset_n
+      pio_pps_address_export                                       : out std_logic_vector(0 downto 0);  -- export
+      pio_pps_clk_export                                           : out std_logic;  -- export
+      pio_pps_read_export                                          : out std_logic;  -- export
+      pio_pps_readdata_export                                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                                         : out std_logic;  -- export
+      pio_pps_write_export                                         : out std_logic;  -- export
+      pio_pps_writedata_export                                     : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export                               : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export                                   : out std_logic;  -- export
+      pio_system_info_read_export                                  : out std_logic;  -- export
+      pio_system_info_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                                 : out std_logic;  -- export
+      pio_system_info_write_export                                 : out std_logic;  -- export
+      pio_system_info_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export                           : out std_logic;  -- export
+      pll_out_frame_clk_clk                                        : out std_logic;  -- clk
+      pll_out_link_clk_clk                                         : out std_logic;  -- clk
+      reg_dpmm_ctrl_address_export                                 : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export                                     : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export                                    : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                                   : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export                                   : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export                                 : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export                                     : out std_logic;  -- export
+      reg_dpmm_data_read_export                                    : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                                   : out std_logic;  -- export
+      reg_dpmm_data_write_export                                   : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export                                      : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                                          : out std_logic;  -- export
+      reg_epcs_read_export                                         : out std_logic;  -- export
+      reg_epcs_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                                        : out std_logic;  -- export
+      reg_epcs_write_export                                        : out std_logic;  -- export
+      reg_epcs_writedata_export                                    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_address_export                            : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export                                : out std_logic;  -- export
+      reg_fpga_temp_sens_read_export                               : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export                              : out std_logic;  -- export
+      reg_fpga_temp_sens_write_export                              : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export                          : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_address_export                         : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export                             : out std_logic;  -- export
+      reg_fpga_voltage_sens_read_export                            : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export                           : out std_logic;  -- export
+      reg_fpga_voltage_sens_write_export                           : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export                                 : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export                                     : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export                                    : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                                   : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export                                   : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export                                 : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export                                     : out std_logic;  -- export
+      reg_mmdp_data_read_export                                    : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                                   : out std_logic;  -- export
+      reg_mmdp_data_write_export                                   : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export                                      : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                                          : out std_logic;  -- export
+      reg_remu_read_export                                         : out std_logic;  -- export
+      reg_remu_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                                        : out std_logic;  -- export
+      reg_remu_write_export                                        : out std_logic;  -- export
+      reg_remu_writedata_export                                    : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_address_export                                 : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export                                     : out std_logic;  -- export
+      reg_unb_pmbus_read_export                                    : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_reset_export                                   : out std_logic;  -- export
+      reg_unb_pmbus_write_export                                   : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export                               : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export                                  : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export                                      : out std_logic;  -- export
+      reg_unb_sens_read_export                                     : out std_logic;  -- export
+      reg_unb_sens_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export                                    : out std_logic;  -- export
+      reg_unb_sens_write_export                                    : out std_logic;  -- export
+      reg_unb_sens_writedata_export                                : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export                                       : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                                           : out std_logic;  -- export
+      reg_wdi_read_export                                          : out std_logic;  -- export
+      reg_wdi_readdata_export                                      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                                         : out std_logic;  -- export
+      reg_wdi_write_export                                         : out std_logic;  -- export
+      reg_wdi_writedata_export                                     : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                                                : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export                               : out std_logic_vector(9 downto 0);  -- export
+      rom_system_info_clk_export                                   : out std_logic;  -- export
+      rom_system_info_read_export                                  : out std_logic;  -- export
+      rom_system_info_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                                 : out std_logic;  -- export
+      rom_system_info_write_export                                 : out std_logic;  -- export
+      rom_system_info_writedata_export                             : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_jesd_address_export                        : out std_logic_vector(16 downto 0);  -- export
+      ram_diag_data_buf_jesd_clk_export                            : out std_logic;  -- export
+      ram_diag_data_buf_jesd_read_export                           : out std_logic;  -- export
+      ram_diag_data_buf_jesd_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_jesd_reset_export                          : out std_logic;  -- export
+      ram_diag_data_buf_jesd_write_export                          : out std_logic;  -- export
+      ram_diag_data_buf_jesd_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_jesd_address_export                        : out std_logic_vector(5 downto 0);  -- export
+      reg_diag_data_buf_jesd_clk_export                            : out std_logic;  -- export
+      reg_diag_data_buf_jesd_read_export                           : out std_logic;  -- export
+      reg_diag_data_buf_jesd_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_jesd_reset_export                          : out std_logic;  -- export
+      reg_diag_data_buf_jesd_write_export                          : out std_logic;  -- export
+      reg_diag_data_buf_jesd_writedata_export                      : out std_logic_vector(31 downto 0)  -- export
+    );
+  end component qsys_unb2b_jesd;
 
 end qsys_unb2b_jesd_pkg;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/unb2b_jesd.vhd b/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/unb2b_jesd.vhd
index ace483155e..80aaf6be45 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/unb2b_jesd.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/src/vhdl/unb2b_jesd.vhd
@@ -21,14 +21,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity unb2b_jesd is
   generic (
@@ -46,7 +46,7 @@ entity unb2b_jesd is
   );
   port (
     -- GENERAL
---    CLK          : IN    STD_LOGIC; -- System Clock
+    --    CLK          : IN    STD_LOGIC; -- System Clock
     PPS          : in    std_logic;  -- System Sync
     WDI          : out   std_logic;  -- Watchdog Clear
     INTA         : inout std_logic;  -- FPGA interconnect line
@@ -188,212 +188,212 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                => g_sim,
-    g_technology         => g_technology,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_stamp_svn          => g_stamp_svn,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                => c_unb2b_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range,
-    g_dp_clk_use_pll     => false
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => st_pps,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_technology         => g_technology,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_stamp_svn          => g_stamp_svn,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                => c_unb2b_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range,
+      g_dp_clk_use_pll     => false
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => st_pps,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2b_jesd
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    --
-    ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
-    reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
-
-    jesd204_rx_serial_data   => jesd204_rx_serial_data,
-    jesd204_sync_n_out       => jesd204_sync_n_out,
-    jesd204_rx_link_error    => jesd204_rx_link_error,
-    jesd204_rx_link_data     => jesd204_rx_link_data,
-    jesd204_rx_link_valid    => jesd204_rx_link_valid,
-    jesd204_rx_link_ready    => jesd204_rx_link_ready,
-    jesd204_rx_sysref        => jesd204_rx_sysref_n,
-    jesd204_device_clk       => st_clk
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      --
+      ram_diag_data_buf_jesd_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_diag_data_buf_jesd_miso => ram_diag_data_buf_jesd_miso,
+      reg_diag_data_buf_jesd_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_diag_data_buf_jesd_miso => reg_diag_data_buf_jesd_miso,
+
+      jesd204_rx_serial_data   => jesd204_rx_serial_data,
+      jesd204_sync_n_out       => jesd204_sync_n_out,
+      jesd204_rx_link_error    => jesd204_rx_link_error,
+      jesd204_rx_link_data     => jesd204_rx_link_data,
+      jesd204_rx_link_valid    => jesd204_rx_link_valid,
+      jesd204_rx_link_ready    => jesd204_rx_link_ready,
+      jesd204_rx_sysref        => jesd204_rx_sysref_n,
+      jesd204_device_clk       => st_clk
+    );
 
   CLK                  <= jesd204_device_clk;
   --PPS                  <= jesd204_rx_sysref;
@@ -409,28 +409,28 @@ begin
 
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    g_nof_streams  => 1,
-    g_data_w       => 32,
-    g_buf_nof_data => 16384,  -- 8192,
-    g_buf_use_sync => true,  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
-    g_use_rx_seq   => false
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => mm_rst,
-    dp_clk            => st_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_jesd_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_jesd_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_jesd_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_jesd_miso,
-
-    in_sosi_arr       => diag_data_buf_snk_in_arr,
-    in_sync           => st_pps
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_streams  => 1,
+      g_data_w       => 32,
+      g_buf_nof_data => 16384,  -- 8192,
+      g_buf_use_sync => true,  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
+      g_use_rx_seq   => false
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => mm_rst,
+      dp_clk            => st_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_jesd_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_jesd_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_jesd_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_jesd_miso,
+
+      in_sosi_arr       => diag_data_buf_snk_in_arr,
+      in_sync           => st_pps
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_jesd/tb/vhdl/tb_unb2b_jesd.vhd b/boards/uniboard2b/designs/unb2b_jesd/tb/vhdl/tb_unb2b_jesd.vhd
index 2c0f4a07c3..cde1c0382b 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/tb/vhdl/tb_unb2b_jesd.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/tb/vhdl/tb_unb2b_jesd.vhd
@@ -43,20 +43,20 @@
 --
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use i2c_lib.i2c_dev_unb2b_pkg.all;
-use i2c_lib.i2c_commander_unb2b_pmbus_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use i2c_lib.i2c_dev_unb2b_pkg.all;
+  use i2c_lib.i2c_commander_unb2b_pmbus_pkg.all;
 
 entity tb_unb2b_minimal is
-    generic (
-      g_design_name : string  := "unb2b_minimal";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2b_minimal";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2b_minimal;
 
 architecture tb of tb_unb2b_minimal is
@@ -188,51 +188,51 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   u_pmbus_tcvr0 : entity i2c_lib.dev_pmbus
-  generic map (
-    g_address => c_pmbus_tcvr0_address
-  )
-  port map (
-    scl       => PMBUS_SC,
-    sda       => PMBUS_SD,
-    vout_mode => 13,
-    vin       => 92,
-    vout      => 18,
-    iout      => 12,
-    vcap      => 0,
-    temp      => 36
-  );
+    generic map (
+      g_address => c_pmbus_tcvr0_address
+    )
+    port map (
+      scl       => PMBUS_SC,
+      sda       => PMBUS_SD,
+      vout_mode => 13,
+      vin       => 92,
+      vout      => 18,
+      iout      => 12,
+      vcap      => 0,
+      temp      => 36
+    );
 end tb;
diff --git a/boards/uniboard2b/designs/unb2b_minimal/revisions/unb2b_minimal_125m/unb2b_minimal_125m.vhd b/boards/uniboard2b/designs/unb2b_minimal/revisions/unb2b_minimal_125m/unb2b_minimal_125m.vhd
index 17b40afcd4..887d42c711 100644
--- a/boards/uniboard2b/designs/unb2b_minimal/revisions/unb2b_minimal_125m/unb2b_minimal_125m.vhd
+++ b/boards/uniboard2b/designs/unb2b_minimal/revisions/unb2b_minimal_125m/unb2b_minimal_125m.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, unb2b_minimal_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2b_minimal_125m is
@@ -77,46 +77,46 @@ architecture str of unb2b_minimal_125m is
 
 begin
   u_revision : entity unb2b_minimal_lib.unb2b_minimal
-  generic map (
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_technology         => g_technology,
-    g_sim                => g_sim,
-    g_sim_unb_nr         => g_sim_unb_nr,
-    g_sim_node_nr        => g_sim_node_nr,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- pmbus
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_technology         => g_technology,
+      g_sim                => g_sim,
+      g_sim_unb_nr         => g_sim_unb_nr,
+      g_sim_node_nr        => g_sim_node_nr,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- pmbus
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/mmm_unb2b_minimal.vhd b/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/mmm_unb2b_minimal.vhd
index b686089538..c3e5adc630 100644
--- a/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/mmm_unb2b_minimal.vhd
+++ b/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/mmm_unb2b_minimal.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2b_minimal_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2b_minimal_pkg.all;
 
 
 entity mmm_unb2b_minimal is
@@ -120,35 +120,35 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                               port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_ram_scrap           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -165,153 +165,153 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2b_minimal
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/qsys_unb2b_minimal_pkg.vhd b/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/qsys_unb2b_minimal_pkg.vhd
index 78235c8ddc..08853559d1 100644
--- a/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/qsys_unb2b_minimal_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/qsys_unb2b_minimal_pkg.vhd
@@ -20,144 +20,144 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2b_minimal_pkg is
 
-    -----------------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus v14 QSYS builder
-    -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus v14 QSYS builder
+  -----------------------------------------------------------------------------
 
-    component qsys_unb2b_minimal is
-        port (
-            avs_eth_0_clk_export               : out std_logic;  -- export
-            avs_eth_0_irq_export               : in  std_logic                     := 'X';  -- export
-            avs_eth_0_ram_address_export       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_read_export          : out std_logic;  -- export
-            avs_eth_0_ram_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_write_export         : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_address_export       : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_read_export          : out std_logic;  -- export
-            avs_eth_0_reg_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_reg_write_export         : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reset_export             : out std_logic;  -- export
-            avs_eth_0_tse_address_export       : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_read_export          : out std_logic;  -- export
-            avs_eth_0_tse_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export   : in  std_logic                     := 'X';  -- export
-            avs_eth_0_tse_write_export         : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            clk_clk                            : in  std_logic                     := 'X';  -- clk
-            pio_pps_address_export             : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_clk_export                 : out std_logic;  -- export
-            pio_pps_read_export                : out std_logic;  -- export
-            pio_pps_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export               : out std_logic;  -- export
-            pio_pps_write_export               : out std_logic;  -- export
-            pio_pps_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_address_export     : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_clk_export         : out std_logic;  -- export
-            pio_system_info_read_export        : out std_logic;  -- export
-            pio_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export       : out std_logic;  -- export
-            pio_system_info_write_export       : out std_logic;  -- export
-            pio_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            pio_wdi_external_connection_export : out std_logic;  -- export
-            ram_scrap_address_export           : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_clk_export               : out std_logic;  -- export
-            ram_scrap_read_export              : out std_logic;  -- export
-            ram_scrap_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export             : out std_logic;  -- export
-            ram_scrap_write_export             : out std_logic;  -- export
-            ram_scrap_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_clk_export           : out std_logic;  -- export
-            reg_dpmm_ctrl_read_export          : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export         : out std_logic;  -- export
-            reg_dpmm_ctrl_write_export         : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_clk_export           : out std_logic;  -- export
-            reg_dpmm_data_read_export          : out std_logic;  -- export
-            reg_dpmm_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export         : out std_logic;  -- export
-            reg_dpmm_data_write_export         : out std_logic;  -- export
-            reg_dpmm_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_clk_export                : out std_logic;  -- export
-            reg_epcs_read_export               : out std_logic;  -- export
-            reg_epcs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export              : out std_logic;  -- export
-            reg_epcs_write_export              : out std_logic;  -- export
-            reg_epcs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_clk_export           : out std_logic;  -- export
-            reg_mmdp_ctrl_read_export          : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export         : out std_logic;  -- export
-            reg_mmdp_ctrl_write_export         : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_address_export       : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_clk_export           : out std_logic;  -- export
-            reg_mmdp_data_read_export          : out std_logic;  -- export
-            reg_mmdp_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export         : out std_logic;  -- export
-            reg_mmdp_data_write_export         : out std_logic;  -- export
-            reg_mmdp_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_address_export            : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_clk_export                : out std_logic;  -- export
-            reg_remu_read_export               : out std_logic;  -- export
-            reg_remu_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export              : out std_logic;  -- export
-            reg_remu_write_export              : out std_logic;  -- export
-            reg_remu_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_sens_address_export        : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_sens_clk_export            : out std_logic;  -- export
-            reg_unb_sens_read_export           : out std_logic;  -- export
-            reg_unb_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_sens_reset_export          : out std_logic;  -- export
-            reg_unb_sens_write_export          : out std_logic;  -- export
-            reg_unb_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_address_export             : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_clk_export                 : out std_logic;  -- export
-            reg_wdi_read_export                : out std_logic;  -- export
-            reg_wdi_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export               : out std_logic;  -- export
-            reg_wdi_write_export               : out std_logic;  -- export
-            reg_wdi_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reset_reset_n                      : in  std_logic                     := 'X';  -- reset_n
-            rom_system_info_address_export     : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_clk_export         : out std_logic;  -- export
-            rom_system_info_read_export        : out std_logic;  -- export
-            rom_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export       : out std_logic;  -- export
-            rom_system_info_write_export       : out std_logic;  -- export
-            rom_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_read_export     : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export: out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_write_export    : out std_logic;  -- export
-            reg_fpga_temp_sens_address_export  : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_clk_export      : out std_logic;  -- export
-            reg_fpga_temp_sens_reset_export    : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_unb_pmbus_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_unb_pmbus_read_export          : out std_logic;  -- export
-            reg_unb_pmbus_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_unb_pmbus_write_export         : out std_logic;  -- export
-            reg_unb_pmbus_address_export       : out std_logic_vector(5 downto 0);  -- export
-            reg_unb_pmbus_clk_export           : out std_logic;  -- export
-            reg_unb_pmbus_reset_export         : out std_logic  -- export
-        );
-    end component qsys_unb2b_minimal;
+  component qsys_unb2b_minimal is
+    port (
+      avs_eth_0_clk_export               : out std_logic;  -- export
+      avs_eth_0_irq_export               : in  std_logic                     := 'X';  -- export
+      avs_eth_0_ram_address_export       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_read_export          : out std_logic;  -- export
+      avs_eth_0_ram_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_write_export         : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_address_export       : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_read_export          : out std_logic;  -- export
+      avs_eth_0_reg_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_reg_write_export         : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reset_export             : out std_logic;  -- export
+      avs_eth_0_tse_address_export       : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_read_export          : out std_logic;  -- export
+      avs_eth_0_tse_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export   : in  std_logic                     := 'X';  -- export
+      avs_eth_0_tse_write_export         : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      clk_clk                            : in  std_logic                     := 'X';  -- clk
+      pio_pps_address_export             : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_clk_export                 : out std_logic;  -- export
+      pio_pps_read_export                : out std_logic;  -- export
+      pio_pps_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export               : out std_logic;  -- export
+      pio_pps_write_export               : out std_logic;  -- export
+      pio_pps_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_address_export     : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_clk_export         : out std_logic;  -- export
+      pio_system_info_read_export        : out std_logic;  -- export
+      pio_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export       : out std_logic;  -- export
+      pio_system_info_write_export       : out std_logic;  -- export
+      pio_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      pio_wdi_external_connection_export : out std_logic;  -- export
+      ram_scrap_address_export           : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_clk_export               : out std_logic;  -- export
+      ram_scrap_read_export              : out std_logic;  -- export
+      ram_scrap_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export             : out std_logic;  -- export
+      ram_scrap_write_export             : out std_logic;  -- export
+      ram_scrap_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_clk_export           : out std_logic;  -- export
+      reg_dpmm_ctrl_read_export          : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export         : out std_logic;  -- export
+      reg_dpmm_ctrl_write_export         : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_clk_export           : out std_logic;  -- export
+      reg_dpmm_data_read_export          : out std_logic;  -- export
+      reg_dpmm_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export         : out std_logic;  -- export
+      reg_dpmm_data_write_export         : out std_logic;  -- export
+      reg_dpmm_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_clk_export                : out std_logic;  -- export
+      reg_epcs_read_export               : out std_logic;  -- export
+      reg_epcs_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export              : out std_logic;  -- export
+      reg_epcs_write_export              : out std_logic;  -- export
+      reg_epcs_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_clk_export           : out std_logic;  -- export
+      reg_mmdp_ctrl_read_export          : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export         : out std_logic;  -- export
+      reg_mmdp_ctrl_write_export         : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_address_export       : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_clk_export           : out std_logic;  -- export
+      reg_mmdp_data_read_export          : out std_logic;  -- export
+      reg_mmdp_data_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export         : out std_logic;  -- export
+      reg_mmdp_data_write_export         : out std_logic;  -- export
+      reg_mmdp_data_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_address_export            : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_clk_export                : out std_logic;  -- export
+      reg_remu_read_export               : out std_logic;  -- export
+      reg_remu_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export              : out std_logic;  -- export
+      reg_remu_write_export              : out std_logic;  -- export
+      reg_remu_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_sens_address_export        : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_sens_clk_export            : out std_logic;  -- export
+      reg_unb_sens_read_export           : out std_logic;  -- export
+      reg_unb_sens_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_sens_reset_export          : out std_logic;  -- export
+      reg_unb_sens_write_export          : out std_logic;  -- export
+      reg_unb_sens_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_address_export             : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_clk_export                 : out std_logic;  -- export
+      reg_wdi_read_export                : out std_logic;  -- export
+      reg_wdi_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export               : out std_logic;  -- export
+      reg_wdi_write_export               : out std_logic;  -- export
+      reg_wdi_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reset_reset_n                      : in  std_logic                     := 'X';  -- reset_n
+      rom_system_info_address_export     : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_clk_export         : out std_logic;  -- export
+      rom_system_info_read_export        : out std_logic;  -- export
+      rom_system_info_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export       : out std_logic;  -- export
+      rom_system_info_write_export       : out std_logic;  -- export
+      rom_system_info_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_readdata_export : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_read_export     : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export: out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_write_export    : out std_logic;  -- export
+      reg_fpga_temp_sens_address_export  : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_clk_export      : out std_logic;  -- export
+      reg_fpga_temp_sens_reset_export    : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_unb_pmbus_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_unb_pmbus_read_export          : out std_logic;  -- export
+      reg_unb_pmbus_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_unb_pmbus_write_export         : out std_logic;  -- export
+      reg_unb_pmbus_address_export       : out std_logic_vector(5 downto 0);  -- export
+      reg_unb_pmbus_clk_export           : out std_logic;  -- export
+      reg_unb_pmbus_reset_export         : out std_logic  -- export
+    );
+  end component qsys_unb2b_minimal;
 
 end qsys_unb2b_minimal_pkg;
diff --git a/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/unb2b_minimal.vhd b/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/unb2b_minimal.vhd
index 3d548a9e6f..c790f64a7b 100644
--- a/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/unb2b_minimal.vhd
+++ b/boards/uniboard2b/designs/unb2b_minimal/src/vhdl/unb2b_minimal.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
 
 entity unb2b_minimal is
   generic (
@@ -167,228 +167,228 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                => g_sim,
-    g_technology         => g_technology,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                => c_unb2b_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- scrap ram
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_technology         => g_technology,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                => c_unb2b_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- scrap ram
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2b_minimal
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- Scrap RAM
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- Scrap RAM
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso
+    );
 
   u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_minimal/tb/vhdl/tb_unb2b_minimal.vhd b/boards/uniboard2b/designs/unb2b_minimal/tb/vhdl/tb_unb2b_minimal.vhd
index 99a1387392..e4f6c2b9a8 100644
--- a/boards/uniboard2b/designs/unb2b_minimal/tb/vhdl/tb_unb2b_minimal.vhd
+++ b/boards/uniboard2b/designs/unb2b_minimal/tb/vhdl/tb_unb2b_minimal.vhd
@@ -43,20 +43,20 @@
 --
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
 
 entity tb_unb2b_minimal is
-    generic (
-      g_design_name : string  := "unb2b_minimal";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2b_minimal";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2b_minimal;
 
 architecture tb of tb_unb2b_minimal is
@@ -188,51 +188,51 @@ begin
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   u_pmbus_tcvr0 : entity i2c_lib.dev_pmbus
-  generic map (
-    g_address => c_pmbus_tcvr0_address
-  )
-  port map (
-    scl       => PMBUS_SC,
-    sda       => PMBUS_SD,
-    vout_mode => 13,
-    vin       => 92,
-    vout      => 18,
-    iout      => 12,
-    vcap      => 0,
-    temp      => 36
-  );
+    generic map (
+      g_address => c_pmbus_tcvr0_address
+    )
+    port map (
+      scl       => PMBUS_SC,
+      sda       => PMBUS_SD,
+      vout_mode => 13,
+      vin       => 92,
+      vout      => 18,
+      iout      => 12,
+      vcap      => 0,
+      temp      => 36
+    );
 end tb;
diff --git a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/tb_unb2b_test_10GbE.vhd b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/tb_unb2b_test_10GbE.vhd
index 9311380c77..24289d7397 100644
--- a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/tb_unb2b_test_10GbE.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/tb_unb2b_test_10GbE.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2b_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2b_test_10GbE is
@@ -31,8 +31,8 @@ end tb_unb2b_test_10GbE;
 architecture tb of tb_unb2b_test_10GbE is
 begin
   u_tb_unb2b_test : entity unb2b_test_lib.tb_unb2b_test
-  generic map (
-    g_design_name => "unb2b_test_10GbE"
-  );
+    generic map (
+      g_design_name => "unb2b_test_10GbE"
+    );
 end tb;
 
diff --git a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/unb2b_test_10GbE.vhd b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/unb2b_test_10GbE.vhd
index 97f02d9fb2..223f267cbd 100644
--- a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/unb2b_test_10GbE.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_10GbE/unb2b_test_10GbE.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, unb2b_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2b_test_10GbE is
@@ -67,20 +67,20 @@ entity unb2b_test_10GbE is
     BCK_REF_CLK  : in    std_logic;  -- Clock 10GbE back lower 24 lines
 
     -- back transceivers
---    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0);
---    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0);
---    BCK_RX       : IN    STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0');
---    BCK_TX       : OUT   STD_LOGIC_VECTOR(4-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0);
+    --    BCK_RX       : IN    STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0');
+    --    BCK_TX       : OUT   STD_LOGIC_VECTOR(4-1 downto 0);
 
     BCK_SDA      : inout std_logic_vector(c_unb2b_board_tr_back.i2c_w - 1 downto 0);
     BCK_SCL      : inout std_logic_vector(c_unb2b_board_tr_back.i2c_w - 1 downto 0);
     BCK_ERR      : inout std_logic_vector(c_unb2b_board_tr_back.i2c_w - 1 downto 0);
 
     -- ring transceivers
-   -- RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
-   -- RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
-   -- RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
-   -- RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
+    -- RING_0_RX    : IN    STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
+    -- RING_0_TX    : OUT   STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
+    -- RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
+    -- RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0);
     -- pmbus
     PMBUS_SC     : inout std_logic;
     PMBUS_SD     : inout std_logic;
@@ -112,78 +112,78 @@ architecture str of unb2b_test_10GbE is
 
 begin
   u_revision : entity unb2b_test_lib.unb2b_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    SB_CLK       => SB_CLK,
-    BCK_REF_CLK  => BCK_REF_CLK,
-
-    -- back transceivers
-  --  BCK_RX       => BCK_RX,
-  --  BCK_TX       => BCK_TX,
-
-    BCK_SDA      => BCK_SDA,
-    BCK_SCL      => BCK_SCL,
-    BCK_ERR      => BCK_ERR,
-
-    -- ring transceivers
-  --  RING_0_RX    => RING_0_RX,
-  --  RING_0_TX    => RING_0_TX,
-  --  RING_1_RX    => RING_1_RX,
-  --  RING_1_TX    => RING_1_TX,
-    -- pmbus
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    QSFP_2_RX    => QSFP_2_RX,
-    QSFP_2_TX    => QSFP_2_TX,
-    QSFP_3_RX    => QSFP_3_RX,
-    QSFP_3_TX    => QSFP_3_TX,
-    QSFP_4_RX    => QSFP_4_RX,
-    QSFP_4_TX    => QSFP_4_TX,
-    QSFP_5_RX    => QSFP_5_RX,
-    QSFP_5_TX    => QSFP_5_TX,
-
-    QSFP_SDA     => QSFP_SDA,
-    QSFP_SCL     => QSFP_SCL,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      SB_CLK       => SB_CLK,
+      BCK_REF_CLK  => BCK_REF_CLK,
+
+      -- back transceivers
+      --  BCK_RX       => BCK_RX,
+      --  BCK_TX       => BCK_TX,
+
+      BCK_SDA      => BCK_SDA,
+      BCK_SCL      => BCK_SCL,
+      BCK_ERR      => BCK_ERR,
+
+      -- ring transceivers
+      --  RING_0_RX    => RING_0_RX,
+      --  RING_0_TX    => RING_0_TX,
+      --  RING_1_RX    => RING_1_RX,
+      --  RING_1_TX    => RING_1_TX,
+      -- pmbus
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      QSFP_2_RX    => QSFP_2_RX,
+      QSFP_2_TX    => QSFP_2_TX,
+      QSFP_3_RX    => QSFP_3_RX,
+      QSFP_3_TX    => QSFP_3_TX,
+      QSFP_4_RX    => QSFP_4_RX,
+      QSFP_4_TX    => QSFP_4_TX,
+      QSFP_5_RX    => QSFP_5_RX,
+      QSFP_5_TX    => QSFP_5_TX,
+
+      QSFP_SDA     => QSFP_SDA,
+      QSFP_SCL     => QSFP_SCL,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/tb_unb2b_test_ddr_MB_I_II.vhd b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/tb_unb2b_test_ddr_MB_I_II.vhd
index 73fbba0807..c13f455d77 100644
--- a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/tb_unb2b_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/tb_unb2b_test_ddr_MB_I_II.vhd
@@ -23,7 +23,7 @@
 
 
 library IEEE, unb2b_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2b_test_ddr_MB_I_II is
@@ -33,9 +33,9 @@ end tb_unb2b_test_ddr_MB_I_II;
 architecture tb of tb_unb2b_test_ddr_MB_I_II is
 begin
   u_tb_unb2b_test : entity unb2b_test_lib.tb_unb2b_test
-  generic map (
-    g_design_name   => "unb2b_test_ddr_MB_I_II",
-    g_sim_model_ddr => false
-  );
+    generic map (
+      g_design_name   => "unb2b_test_ddr_MB_I_II",
+      g_sim_model_ddr => false
+    );
 end tb;
 
diff --git a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/unb2b_test_ddr_MB_I_II.vhd b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/unb2b_test_ddr_MB_I_II.vhd
index 049740b6f0..0862334f19 100644
--- a/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/unb2b_test_ddr_MB_I_II.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/revisions/unb2b_test_ddr_MB_I_II/unb2b_test_ddr_MB_I_II.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, unb2b_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2b_test_ddr_MB_I_II is
@@ -89,56 +89,56 @@ architecture str of unb2b_test_ddr_MB_I_II is
 
 begin
   u_revision : entity unb2b_test_lib.unb2b_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
-
-    PMBUS_SC     => PMBUS_SC,
-    PMBUS_SD     => PMBUS_SD,
-    PMBUS_ALERT  => PMBUS_ALERT,
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+      -- I2C Interface to Sensors
+      SENS_SC      => SENS_SC,
+      SENS_SD      => SENS_SD,
+
+      PMBUS_SC     => PMBUS_SC,
+      PMBUS_SD     => PMBUS_SD,
+      PMBUS_ALERT  => PMBUS_ALERT,
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2b/designs/unb2b_test/src/vhdl/mmm_unb2b_test.vhd b/boards/uniboard2b/designs/unb2b_test/src/vhdl/mmm_unb2b_test.vhd
index 7af096606c..dab17540c8 100644
--- a/boards/uniboard2b/designs/unb2b_test/src/vhdl/mmm_unb2b_test.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/src/vhdl/mmm_unb2b_test.vhd
@@ -20,25 +20,25 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, tech_mac_10g_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use work.qsys_unb2b_test_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.unb2b_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use unb2b_board_lib.unb2b_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use work.qsys_unb2b_test_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.unb2b_test_pkg.all;
 
 
 
@@ -239,16 +239,16 @@ architecture str of mmm_unb2b_test is
   constant c_ram_diag_databuffer_ddr_addr_w        : natural := ceil_log2(2                   * pow2(ceil_log2(g_bg_block_size)));
 
   -- dp_offload
---  CONSTANT c_reg_dp_offload_tx_adr_w                     : NATURAL := 1; -- Dev note: add to c_unb2b_board_peripherals_mm_reg_default
---  CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w          : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w));
---
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words);
---  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w));
---
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words);
---  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w));
+  --  CONSTANT c_reg_dp_offload_tx_adr_w                     : NATURAL := 1; -- Dev note: add to c_unb2b_board_peripherals_mm_reg_default
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w          : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w));
+  --
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words);
+  --  CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w));
+  --
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words    : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w);
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w        : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words);
+  --  CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w  : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w));
 
   -- tr_10GbE
   constant c_reg_tr_10GbE_adr_w                    : natural := func_tech_mac_10g_csr_addr_w(g_technology);
@@ -293,112 +293,112 @@ begin
     eth1g_eth1_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                 port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                 port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_unb_pmbus         : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS")
-                                                 port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
+      port map(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                 port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_diag_bg_1GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_1GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso);
     u_mm_file_ram_diag_bg_1GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_1GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso);
     u_mm_file_reg_diag_tx_seq_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_1GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
     u_mm_file_ram_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
     u_mm_file_reg_diag_tx_seq_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
 
---    u_mm_file_reg_dp_offload_tx_1GbE  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
---                                                   PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
---
---    u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
---                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
---
---    u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
---                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
+    --    u_mm_file_reg_dp_offload_tx_1GbE  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE")
+    --                                                   PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso);
+    --
+    --    u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT")
+    --                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso);
+    --
+    --    u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat  : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT")
+    --                                                           PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso);
 
     u_mm_file_reg_bsn_monitor_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso);
     u_mm_file_reg_bsn_monitor_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso);
     u_mm_file_ram_diag_data_buffer_1GbE  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_1GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso);
     u_mm_file_reg_diag_rx_seq_1GbE       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_1GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
     u_mm_file_ram_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
     u_mm_file_reg_diag_rx_seq_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
 
     u_mm_file_reg_io_ddr_MB_I                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
 
     u_mm_file_reg_io_ddr_MB_II                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth0            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
+      port map(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
     u_mm_file_reg_eth1            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_MMS_REG")
-                                               port map(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso);
+      port map(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso);
 
     u_mm_file_reg_tr_10GbE_qsfp_ring : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
     u_mm_file_reg_tr_10GbE_back0     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
     u_mm_file_reg_tr_10GbE_back1     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK1")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso);
 
     u_mm_file_reg_eth10g_qsfp_ring   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
     u_mm_file_reg_eth10g_back0       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
     u_mm_file_reg_eth10g_back1       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK1")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso);
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -423,10 +423,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_eth0_reg_mosi, i_eth1g_eth0_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
-        else
-          eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
-        end if;
+        eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
+      else
+        eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
+      end if;
     end process;
 
 
@@ -445,405 +445,405 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2b_test
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
-
-      avs_eth_1_reset_export                    => eth1g_eth1_mm_rst,
-      avs_eth_1_clk_export                      => OPEN,
-      avs_eth_1_tse_address_export              => eth1g_eth1_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_1_tse_write_export                => eth1g_eth1_tse_mosi.wr,
-      avs_eth_1_tse_read_export                 => eth1g_eth1_tse_mosi.rd,
-      avs_eth_1_tse_writedata_export            => eth1g_eth1_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_readdata_export             => eth1g_eth1_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_waitrequest_export          => eth1g_eth1_tse_miso.waitrequest,
-      avs_eth_1_reg_address_export              => eth1g_eth1_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_1_reg_write_export                => eth1g_eth1_reg_mosi.wr,
-      avs_eth_1_reg_read_export                 => eth1g_eth1_reg_mosi.rd,
-      avs_eth_1_reg_writedata_export            => eth1g_eth1_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_reg_readdata_export             => eth1g_eth1_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_address_export              => eth1g_eth1_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_1_ram_write_export                => eth1g_eth1_ram_mosi.wr,
-      avs_eth_1_ram_read_export                 => eth1g_eth1_ram_mosi.rd,
-      avs_eth_1_ram_writedata_export            => eth1g_eth1_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_readdata_export             => eth1g_eth1_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_irq_export                      => eth1g_eth1_reg_interrupt,
-
-      reg_unb_sens_reset_export                 => OPEN,
-      reg_unb_sens_clk_export                   => OPEN,
-      reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_unb_pmbus_reset_export                => OPEN,
-      reg_unb_pmbus_clk_export                  => OPEN,
-      reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
-      reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
-      reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
-      reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
-      reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
-      reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
-      reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
-      reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
-
-      reg_tr_10gbe_back0_reset_export           => OPEN,
-      reg_tr_10gbe_back0_clk_export             => OPEN,
-      reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
-      reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
-      reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
-
-      reg_tr_10gbe_back1_reset_export           => OPEN,
-      reg_tr_10gbe_back1_clk_export             => OPEN,
-      reg_tr_10gbe_back1_address_export         => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back1_write_export           => reg_tr_10GbE_back1_mosi.wr,
-      reg_tr_10gbe_back1_writedata_export       => reg_tr_10GbE_back1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back1_read_export            => reg_tr_10GbE_back1_mosi.rd,
-      reg_tr_10gbe_back1_readdata_export        => reg_tr_10GbE_back1_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back1_waitrequest_export     => reg_tr_10GbE_back1_miso.waitrequest,
-
-      reg_eth10g_qsfp_ring_reset_export         => OPEN,
-      reg_eth10g_qsfp_ring_clk_export           => OPEN,
-      reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
-      reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
-      reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back0_reset_export             => OPEN,
-      reg_eth10g_back0_clk_export               => OPEN,
-      reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
-      reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
-      reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
-      reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back1_reset_export             => OPEN,
-      reg_eth10g_back1_clk_export               => OPEN,
-      reg_eth10g_back1_address_export           => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w - 1 downto 0),
-      reg_eth10g_back1_write_export             => reg_eth10g_back1_mosi.wr,
-      reg_eth10g_back1_writedata_export         => reg_eth10g_back1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back1_read_export              => reg_eth10g_back1_mosi.rd,
-      reg_eth10g_back1_readdata_export          => reg_eth10g_back1_miso.rddata(c_word_w - 1 downto 0),
-
---      -- the_reg_dp_offload_tx_1GbE
---      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
---      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
---      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
---      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
---      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0),
---
---      -- the_reg_dp_offload_tx_1GbE_hdr_dat
---      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
---      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
---      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
---      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
---      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
---
---      -- the_reg_dp_offload_rx_1GbE_hdr_dat
---      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
---      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
---      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
---      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
---      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
---      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
---      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
-
-
-      reg_bsn_monitor_1gbe_reset_export         => OPEN,
-      reg_bsn_monitor_1gbe_clk_export           => OPEN,
-      reg_bsn_monitor_1gbe_address_export       => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_1gbe_write_export         => reg_bsn_monitor_1GbE_mosi.wr,
-      reg_bsn_monitor_1gbe_writedata_export     => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_1gbe_read_export          => reg_bsn_monitor_1GbE_mosi.rd,
-      reg_bsn_monitor_1gbe_readdata_export      => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_10gbe_reset_export        => OPEN,
-      reg_bsn_monitor_10gbe_clk_export          => OPEN,
-      reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
-      reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
-      reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_1gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_1gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_1gbe_address_export   => reg_diag_data_buf_1gbe_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_1gbe_write_export     => reg_diag_data_buf_1gbe_mosi.wr,
-      reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_1gbe_read_export      => reg_diag_data_buf_1gbe_mosi.rd,
-      reg_diag_data_buffer_1gbe_readdata_export  => reg_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_10gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_10gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(5 downto 0),
-      reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
-      reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
-      reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_1gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_1gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_1gbe_address_export   => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_1gbe_write_export     => ram_diag_data_buf_1gbe_mosi.wr,
-      ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_1gbe_read_export      => ram_diag_data_buf_1gbe_mosi.rd,
-      ram_diag_data_buffer_1gbe_readdata_export  => ram_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_10gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_10gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
-      ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
-      ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_1GbE_reset_export               => OPEN,
-      reg_diag_bg_1GbE_clk_export                 => OPEN,
-      reg_diag_bg_1GbE_address_export             => reg_diag_bg_1GbE_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_1GbE_write_export               => reg_diag_bg_1GbE_mosi.wr,
-      reg_diag_bg_1GbE_writedata_export           => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_1GbE_read_export                => reg_diag_bg_1GbE_mosi.rd,
-      reg_diag_bg_1GbE_readdata_export            => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_10GbE_reset_export              => OPEN,
-      reg_diag_bg_10GbE_clk_export                => OPEN,
-      reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
-      reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
-      reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_1GbE_reset_export               => OPEN,
-      ram_diag_bg_1GbE_clk_export                 => OPEN,
-      ram_diag_bg_1GbE_address_export             => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
-      ram_diag_bg_1GbE_write_export               => ram_diag_bg_1GbE_mosi.wr,
-      ram_diag_bg_1GbE_writedata_export           => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_1GbE_read_export                => ram_diag_bg_1GbE_mosi.rd,
-      ram_diag_bg_1GbE_readdata_export            => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_10GbE_reset_export              => OPEN,
-      ram_diag_bg_10GbE_clk_export                => OPEN,
-      ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
-      ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
-      ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
-      ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_I_clk_export                      => OPEN,
-      reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
-      reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_I_reset_export                    => OPEN,
-      reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
-      reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_II_clk_export                     => OPEN,
-      reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
-      reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_II_reset_export                   => OPEN,
-      reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
-      reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-
-   		reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
-   		reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
-   		reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
-   		reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
-   		reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-   		reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
-   		reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
-   		reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
-   		reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-   		reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
-   		reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
+
+        avs_eth_1_reset_export                    => eth1g_eth1_mm_rst,
+        avs_eth_1_clk_export                      => OPEN,
+        avs_eth_1_tse_address_export              => eth1g_eth1_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_1_tse_write_export                => eth1g_eth1_tse_mosi.wr,
+        avs_eth_1_tse_read_export                 => eth1g_eth1_tse_mosi.rd,
+        avs_eth_1_tse_writedata_export            => eth1g_eth1_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_readdata_export             => eth1g_eth1_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_waitrequest_export          => eth1g_eth1_tse_miso.waitrequest,
+        avs_eth_1_reg_address_export              => eth1g_eth1_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_1_reg_write_export                => eth1g_eth1_reg_mosi.wr,
+        avs_eth_1_reg_read_export                 => eth1g_eth1_reg_mosi.rd,
+        avs_eth_1_reg_writedata_export            => eth1g_eth1_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_reg_readdata_export             => eth1g_eth1_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_address_export              => eth1g_eth1_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_1_ram_write_export                => eth1g_eth1_ram_mosi.wr,
+        avs_eth_1_ram_read_export                 => eth1g_eth1_ram_mosi.rd,
+        avs_eth_1_ram_writedata_export            => eth1g_eth1_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_readdata_export             => eth1g_eth1_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_irq_export                      => eth1g_eth1_reg_interrupt,
+
+        reg_unb_sens_reset_export                 => OPEN,
+        reg_unb_sens_clk_export                   => OPEN,
+        reg_unb_sens_address_export               => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        reg_unb_sens_write_export                 => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export             => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_read_export                  => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export              => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_unb_pmbus_reset_export                => OPEN,
+        reg_unb_pmbus_clk_export                  => OPEN,
+        reg_unb_pmbus_address_export              => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w - 1 downto 0),
+        reg_unb_pmbus_write_export                => reg_unb_pmbus_mosi.wr,
+        reg_unb_pmbus_writedata_export            => reg_unb_pmbus_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_pmbus_read_export                 => reg_unb_pmbus_mosi.rd,
+        reg_unb_pmbus_readdata_export             => reg_unb_pmbus_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
+        reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
+        reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
+        reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
+        reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
+
+        reg_tr_10gbe_back0_reset_export           => OPEN,
+        reg_tr_10gbe_back0_clk_export             => OPEN,
+        reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
+        reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
+        reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
+
+        reg_tr_10gbe_back1_reset_export           => OPEN,
+        reg_tr_10gbe_back1_clk_export             => OPEN,
+        reg_tr_10gbe_back1_address_export         => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back1_write_export           => reg_tr_10GbE_back1_mosi.wr,
+        reg_tr_10gbe_back1_writedata_export       => reg_tr_10GbE_back1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back1_read_export            => reg_tr_10GbE_back1_mosi.rd,
+        reg_tr_10gbe_back1_readdata_export        => reg_tr_10GbE_back1_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back1_waitrequest_export     => reg_tr_10GbE_back1_miso.waitrequest,
+
+        reg_eth10g_qsfp_ring_reset_export         => OPEN,
+        reg_eth10g_qsfp_ring_clk_export           => OPEN,
+        reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
+        reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
+        reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back0_reset_export             => OPEN,
+        reg_eth10g_back0_clk_export               => OPEN,
+        reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
+        reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
+        reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
+        reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back1_reset_export             => OPEN,
+        reg_eth10g_back1_clk_export               => OPEN,
+        reg_eth10g_back1_address_export           => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w - 1 downto 0),
+        reg_eth10g_back1_write_export             => reg_eth10g_back1_mosi.wr,
+        reg_eth10g_back1_writedata_export         => reg_eth10g_back1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back1_read_export              => reg_eth10g_back1_mosi.rd,
+        reg_eth10g_back1_readdata_export          => reg_eth10g_back1_miso.rddata(c_word_w - 1 downto 0),
+
+        --      -- the_reg_dp_offload_tx_1GbE
+        --      reg_dp_offload_tx_1GbE_address_export         => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_clk_export             => OPEN,
+        --      reg_dp_offload_tx_1GbE_read_export            => reg_dp_offload_tx_1GbE_mosi.rd,
+        --      reg_dp_offload_tx_1GbE_readdata_export        => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_reset_export           => OPEN,
+        --      reg_dp_offload_tx_1GbE_write_export           => reg_dp_offload_tx_1GbE_mosi.wr,
+        --      reg_dp_offload_tx_1GbE_writedata_export       => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0),
+        --
+        --      -- the_reg_dp_offload_tx_1GbE_hdr_dat
+        --      reg_dp_offload_tx_1GbE_hdr_dat_address_export    => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_hdr_dat_clk_export        => OPEN,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_read_export       => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_tx_1GbE_hdr_dat_reset_export      => OPEN,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_write_export      => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr,
+        --      reg_dp_offload_tx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
+        --
+        --      -- the_reg_dp_offload_rx_1GbE_hdr_dat
+        --      reg_dp_offload_rx_1GbE_hdr_dat_address_export    => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0),
+        --      reg_dp_offload_rx_1GbE_hdr_dat_clk_export        => OPEN,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_read_export       => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_readdata_export   => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0),
+        --      reg_dp_offload_rx_1GbE_hdr_dat_reset_export      => OPEN,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_write_export      => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr,
+        --      reg_dp_offload_rx_1GbE_hdr_dat_writedata_export  => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0),
+
+
+        reg_bsn_monitor_1gbe_reset_export         => OPEN,
+        reg_bsn_monitor_1gbe_clk_export           => OPEN,
+        reg_bsn_monitor_1gbe_address_export       => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_1gbe_write_export         => reg_bsn_monitor_1GbE_mosi.wr,
+        reg_bsn_monitor_1gbe_writedata_export     => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_1gbe_read_export          => reg_bsn_monitor_1GbE_mosi.rd,
+        reg_bsn_monitor_1gbe_readdata_export      => reg_bsn_monitor_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_10gbe_reset_export        => OPEN,
+        reg_bsn_monitor_10gbe_clk_export          => OPEN,
+        reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
+        reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
+        reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_1gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_1gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_1gbe_address_export   => reg_diag_data_buf_1gbe_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_1gbe_write_export     => reg_diag_data_buf_1gbe_mosi.wr,
+        reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_1gbe_read_export      => reg_diag_data_buf_1gbe_mosi.rd,
+        reg_diag_data_buffer_1gbe_readdata_export  => reg_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_10gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_10gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(5 downto 0),
+        reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
+        reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
+        reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_1gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_1gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_1gbe_address_export   => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_1gbe_write_export     => ram_diag_data_buf_1gbe_mosi.wr,
+        ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_1gbe_read_export      => ram_diag_data_buf_1gbe_mosi.rd,
+        ram_diag_data_buffer_1gbe_readdata_export  => ram_diag_data_buf_1gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_10gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_10gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
+        ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
+        ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_1GbE_reset_export               => OPEN,
+        reg_diag_bg_1GbE_clk_export                 => OPEN,
+        reg_diag_bg_1GbE_address_export             => reg_diag_bg_1GbE_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_1GbE_write_export               => reg_diag_bg_1GbE_mosi.wr,
+        reg_diag_bg_1GbE_writedata_export           => reg_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_1GbE_read_export                => reg_diag_bg_1GbE_mosi.rd,
+        reg_diag_bg_1GbE_readdata_export            => reg_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_10GbE_reset_export              => OPEN,
+        reg_diag_bg_10GbE_clk_export                => OPEN,
+        reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
+        reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
+        reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_1GbE_reset_export               => OPEN,
+        ram_diag_bg_1GbE_clk_export                 => OPEN,
+        ram_diag_bg_1GbE_address_export             => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w - 1 downto 0),
+        ram_diag_bg_1GbE_write_export               => ram_diag_bg_1GbE_mosi.wr,
+        ram_diag_bg_1GbE_writedata_export           => ram_diag_bg_1GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_1GbE_read_export                => ram_diag_bg_1GbE_mosi.rd,
+        ram_diag_bg_1GbE_readdata_export            => ram_diag_bg_1GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_10GbE_reset_export              => OPEN,
+        ram_diag_bg_10GbE_clk_export                => OPEN,
+        ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
+        ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
+        ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
+        ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_I_clk_export                      => OPEN,
+        reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
+        reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_I_reset_export                    => OPEN,
+        reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
+        reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_II_clk_export                     => OPEN,
+        reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
+        reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_II_reset_export                   => OPEN,
+        reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
+        reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2b/designs/unb2b_test/src/vhdl/qsys_unb2b_test_pkg.vhd b/boards/uniboard2b/designs/unb2b_test/src/vhdl/qsys_unb2b_test_pkg.vhd
index eaffab4dbf..03df95ac35 100644
--- a/boards/uniboard2b/designs/unb2b_test/src/vhdl/qsys_unb2b_test_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/src/vhdl/qsys_unb2b_test_pkg.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2b_test_pkg is
 
@@ -29,362 +29,362 @@ package qsys_unb2b_test_pkg is
   -- $HDL_WORK/build/unb2b/quartus/unb2b_test_ddr/qsys_unb2b_test/sim/qsys_unb2b_test.vhd
   -----------------------------------------------------------------------------
 
-    component qsys_unb2b_test is
-       	port (
-            avs_eth_0_clk_export                                      : out std_logic;  -- avs_eth_0_clk.export
-            avs_eth_0_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_0_irq.export
-            avs_eth_0_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_ram_address.export
-            avs_eth_0_ram_read_export                                 : out std_logic;  -- avs_eth_0_ram_read.export
-            avs_eth_0_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_ram_readdata.export
-            avs_eth_0_ram_write_export                                : out std_logic;  -- avs_eth_0_ram_write.export
-            avs_eth_0_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_ram_writedata.export
-            avs_eth_0_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_0_reg_address.export
-            avs_eth_0_reg_read_export                                 : out std_logic;  -- avs_eth_0_reg_read.export
-            avs_eth_0_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_reg_readdata.export
-            avs_eth_0_reg_write_export                                : out std_logic;  -- avs_eth_0_reg_write.export
-            avs_eth_0_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_reg_writedata.export
-            avs_eth_0_reset_export                                    : out std_logic;  -- avs_eth_0_reset.export
-            avs_eth_0_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_tse_address.export
-            avs_eth_0_tse_read_export                                 : out std_logic;  -- avs_eth_0_tse_read.export
-            avs_eth_0_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_tse_readdata.export
-            avs_eth_0_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_0_tse_waitrequest.export
-            avs_eth_0_tse_write_export                                : out std_logic;  -- avs_eth_0_tse_write.export
-            avs_eth_0_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_tse_writedata.export
-            avs_eth_1_clk_export                                      : out std_logic;  -- avs_eth_1_clk.export
-            avs_eth_1_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_1_irq.export
-            avs_eth_1_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_ram_address.export
-            avs_eth_1_ram_read_export                                 : out std_logic;  -- avs_eth_1_ram_read.export
-            avs_eth_1_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_ram_readdata.export
-            avs_eth_1_ram_write_export                                : out std_logic;  -- avs_eth_1_ram_write.export
-            avs_eth_1_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_ram_writedata.export
-            avs_eth_1_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_1_reg_address.export
-            avs_eth_1_reg_read_export                                 : out std_logic;  -- avs_eth_1_reg_read.export
-            avs_eth_1_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_reg_readdata.export
-            avs_eth_1_reg_write_export                                : out std_logic;  -- avs_eth_1_reg_write.export
-            avs_eth_1_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_reg_writedata.export
-            avs_eth_1_reset_export                                    : out std_logic;  -- avs_eth_1_reset.export
-            avs_eth_1_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_tse_address.export
-            avs_eth_1_tse_read_export                                 : out std_logic;  -- avs_eth_1_tse_read.export
-            avs_eth_1_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_tse_readdata.export
-            avs_eth_1_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_1_tse_waitrequest.export
-            avs_eth_1_tse_write_export                                : out std_logic;  -- avs_eth_1_tse_write.export
-            avs_eth_1_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_tse_writedata.export
-            clk_clk                                                   : in  std_logic                     := '0';  -- clk.clk
-            pio_pps_address_export                                    : out std_logic_vector(0 downto 0);  -- pio_pps_address.export
-            pio_pps_clk_export                                        : out std_logic;  -- pio_pps_clk.export
-            pio_pps_read_export                                       : out std_logic;  -- pio_pps_read.export
-            pio_pps_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_pps_readdata.export
-            pio_pps_reset_export                                      : out std_logic;  -- pio_pps_reset.export
-            pio_pps_write_export                                      : out std_logic;  -- pio_pps_write.export
-            pio_pps_writedata_export                                  : out std_logic_vector(31 downto 0);  -- pio_pps_writedata.export
-            pio_system_info_address_export                            : out std_logic_vector(4 downto 0);  -- pio_system_info_address.export
-            pio_system_info_clk_export                                : out std_logic;  -- pio_system_info_clk.export
-            pio_system_info_read_export                               : out std_logic;  -- pio_system_info_read.export
-            pio_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_system_info_readdata.export
-            pio_system_info_reset_export                              : out std_logic;  -- pio_system_info_reset.export
-            pio_system_info_write_export                              : out std_logic;  -- pio_system_info_write.export
-            pio_system_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- pio_system_info_writedata.export
-            pio_wdi_external_connection_export                        : out std_logic;  -- pio_wdi_external_connection.export
-            ram_diag_bg_10gbe_address_export                          : out std_logic_vector(16 downto 0);  -- ram_diag_bg_10gbe_address.export
-            ram_diag_bg_10gbe_clk_export                              : out std_logic;  -- ram_diag_bg_10gbe_clk.export
-            ram_diag_bg_10gbe_read_export                             : out std_logic;  -- ram_diag_bg_10gbe_read.export
-            ram_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_10gbe_readdata.export
-            ram_diag_bg_10gbe_reset_export                            : out std_logic;  -- ram_diag_bg_10gbe_reset.export
-            ram_diag_bg_10gbe_write_export                            : out std_logic;  -- ram_diag_bg_10gbe_write.export
-            ram_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- ram_diag_bg_10gbe_writedata.export
-            ram_diag_bg_1gbe_address_export                           : out std_logic_vector(10 downto 0);  -- ram_diag_bg_1gbe_address.export
-            ram_diag_bg_1gbe_clk_export                               : out std_logic;  -- ram_diag_bg_1gbe_clk.export
-            ram_diag_bg_1gbe_read_export                              : out std_logic;  -- ram_diag_bg_1gbe_read.export
-            ram_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_1gbe_readdata.export
-            ram_diag_bg_1gbe_reset_export                             : out std_logic;  -- ram_diag_bg_1gbe_reset.export
-            ram_diag_bg_1gbe_write_export                             : out std_logic;  -- ram_diag_bg_1gbe_write.export
-            ram_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- ram_diag_bg_1gbe_writedata.export
-            ram_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(16 downto 0);  -- ram_diag_data_buffer_10gbe_address.export
-            ram_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- ram_diag_data_buffer_10gbe_clk.export
-            ram_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- ram_diag_data_buffer_10gbe_read.export
-            ram_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_10gbe_readdata.export
-            ram_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_reset.export
-            ram_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_write.export
-            ram_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_10gbe_writedata.export
-            ram_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_1gbe_address.export
-            ram_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- ram_diag_data_buffer_1gbe_clk.export
-            ram_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- ram_diag_data_buffer_1gbe_read.export
-            ram_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_1gbe_readdata.export
-            ram_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_reset.export
-            ram_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_write.export
-            ram_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_1gbe_writedata.export
-            ram_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_address.export
-            ram_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_clk.export
-            ram_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_read.export
-            ram_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_i_readdata.export
-            ram_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_reset.export
-            ram_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_write.export
-            ram_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_writedata.export
-            ram_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_address.export
-            ram_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_clk.export
-            ram_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_read.export
-            ram_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_ii_readdata.export
-            ram_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_reset.export
-            ram_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_write.export
-            ram_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_writedata.export
-            reg_bsn_monitor_10gbe_address_export                      : out std_logic_vector(10 downto 0);  -- reg_bsn_monitor_10gbe_address.export
-            reg_bsn_monitor_10gbe_clk_export                          : out std_logic;  -- reg_bsn_monitor_10gbe_clk.export
-            reg_bsn_monitor_10gbe_read_export                         : out std_logic;  -- reg_bsn_monitor_10gbe_read.export
-            reg_bsn_monitor_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_10gbe_readdata.export
-            reg_bsn_monitor_10gbe_reset_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_reset.export
-            reg_bsn_monitor_10gbe_write_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_write.export
-            reg_bsn_monitor_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_10gbe_writedata.export
-            reg_bsn_monitor_1gbe_address_export                       : out std_logic_vector(4 downto 0);  -- reg_bsn_monitor_1gbe_address.export
-            reg_bsn_monitor_1gbe_clk_export                           : out std_logic;  -- reg_bsn_monitor_1gbe_clk.export
-            reg_bsn_monitor_1gbe_read_export                          : out std_logic;  -- reg_bsn_monitor_1gbe_read.export
-            reg_bsn_monitor_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_1gbe_readdata.export
-            reg_bsn_monitor_1gbe_reset_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_reset.export
-            reg_bsn_monitor_1gbe_write_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_write.export
-            reg_bsn_monitor_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_1gbe_writedata.export
-            reg_diag_bg_10gbe_address_export                          : out std_logic_vector(2 downto 0);  -- reg_diag_bg_10gbe_address.export
-            reg_diag_bg_10gbe_clk_export                              : out std_logic;  -- reg_diag_bg_10gbe_clk.export
-            reg_diag_bg_10gbe_read_export                             : out std_logic;  -- reg_diag_bg_10gbe_read.export
-            reg_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_10gbe_readdata.export
-            reg_diag_bg_10gbe_reset_export                            : out std_logic;  -- reg_diag_bg_10gbe_reset.export
-            reg_diag_bg_10gbe_write_export                            : out std_logic;  -- reg_diag_bg_10gbe_write.export
-            reg_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- reg_diag_bg_10gbe_writedata.export
-            reg_diag_bg_1gbe_address_export                           : out std_logic_vector(2 downto 0);  -- reg_diag_bg_1gbe_address.export
-            reg_diag_bg_1gbe_clk_export                               : out std_logic;  -- reg_diag_bg_1gbe_clk.export
-            reg_diag_bg_1gbe_read_export                              : out std_logic;  -- reg_diag_bg_1gbe_read.export
-            reg_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_1gbe_readdata.export
-            reg_diag_bg_1gbe_reset_export                             : out std_logic;  -- reg_diag_bg_1gbe_reset.export
-            reg_diag_bg_1gbe_write_export                             : out std_logic;  -- reg_diag_bg_1gbe_write.export
-            reg_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_diag_bg_1gbe_writedata.export
-            reg_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(5 downto 0);  -- reg_diag_data_buffer_10gbe_address.export
-            reg_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- reg_diag_data_buffer_10gbe_clk.export
-            reg_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- reg_diag_data_buffer_10gbe_read.export
-            reg_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_10gbe_readdata.export
-            reg_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_reset.export
-            reg_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_write.export
-            reg_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_10gbe_writedata.export
-            reg_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_1gbe_address.export
-            reg_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- reg_diag_data_buffer_1gbe_clk.export
-            reg_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- reg_diag_data_buffer_1gbe_read.export
-            reg_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_1gbe_readdata.export
-            reg_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_reset.export
-            reg_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_write.export
-            reg_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_1gbe_writedata.export
-            reg_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_address.export
-            reg_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_clk.export
-            reg_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_read.export
-            reg_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_i_readdata.export
-            reg_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_reset.export
-            reg_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_write.export
-            reg_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_writedata.export
-            reg_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_address.export
-            reg_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_clk.export
-            reg_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_read.export
-            reg_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_ii_readdata.export
-            reg_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_reset.export
-            reg_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_write.export
-            reg_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_writedata.export
-            reg_diag_rx_seq_10gbe_address_export                      : out std_logic_vector(4 downto 0);  -- reg_diag_rx_seq_10gbe_address.export
-            reg_diag_rx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_rx_seq_10gbe_clk.export
-            reg_diag_rx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_rx_seq_10gbe_read.export
-            reg_diag_rx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_10gbe_readdata.export
-            reg_diag_rx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_reset.export
-            reg_diag_rx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_write.export
-            reg_diag_rx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_10gbe_writedata.export
-            reg_diag_rx_seq_1gbe_address_export                       : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_1gbe_address.export
-            reg_diag_rx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_rx_seq_1gbe_clk.export
-            reg_diag_rx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_rx_seq_1gbe_read.export
-            reg_diag_rx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_1gbe_readdata.export
-            reg_diag_rx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_reset.export
-            reg_diag_rx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_write.export
-            reg_diag_rx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_1gbe_writedata.export
-            reg_diag_rx_seq_ddr_mb_i_address_export                   : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_address.export
-            reg_diag_rx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_clk.export
-            reg_diag_rx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_read.export
-            reg_diag_rx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_i_readdata.export
-            reg_diag_rx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_reset.export
-            reg_diag_rx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_write.export
-            reg_diag_rx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_writedata.export
-            reg_diag_rx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_address.export
-            reg_diag_rx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_clk.export
-            reg_diag_rx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_read.export
-            reg_diag_rx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_ii_readdata.export
-            reg_diag_rx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_reset.export
-            reg_diag_rx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_write.export
-            reg_diag_rx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_writedata.export
-            reg_diag_tx_seq_10gbe_address_export                      : out std_logic_vector(3 downto 0);  -- reg_diag_tx_seq_10gbe_address.export
-            reg_diag_tx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_tx_seq_10gbe_clk.export
-            reg_diag_tx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_tx_seq_10gbe_read.export
-            reg_diag_tx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_10gbe_readdata.export
-            reg_diag_tx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_reset.export
-            reg_diag_tx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_write.export
-            reg_diag_tx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_10gbe_writedata.export
-            reg_diag_tx_seq_1gbe_address_export                       : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_1gbe_address.export
-            reg_diag_tx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_tx_seq_1gbe_clk.export
-            reg_diag_tx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_tx_seq_1gbe_read.export
-            reg_diag_tx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_1gbe_readdata.export
-            reg_diag_tx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_reset.export
-            reg_diag_tx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_write.export
-            reg_diag_tx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_1gbe_writedata.export
-            reg_diag_tx_seq_ddr_mb_i_address_export                   : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_address.export
-            reg_diag_tx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_clk.export
-            reg_diag_tx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_read.export
-            reg_diag_tx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_i_readdata.export
-            reg_diag_tx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_reset.export
-            reg_diag_tx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_write.export
-            reg_diag_tx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_writedata.export
-            reg_diag_tx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_address.export
-            reg_diag_tx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_clk.export
-            reg_diag_tx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_read.export
-            reg_diag_tx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_ii_readdata.export
-            reg_diag_tx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_reset.export
-            reg_diag_tx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_write.export
-            reg_diag_tx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_writedata.export
-            reg_dpmm_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_ctrl_address.export
-            reg_dpmm_ctrl_clk_export                                  : out std_logic;  -- reg_dpmm_ctrl_clk.export
-            reg_dpmm_ctrl_read_export                                 : out std_logic;  -- reg_dpmm_ctrl_read.export
-            reg_dpmm_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_ctrl_readdata.export
-            reg_dpmm_ctrl_reset_export                                : out std_logic;  -- reg_dpmm_ctrl_reset.export
-            reg_dpmm_ctrl_write_export                                : out std_logic;  -- reg_dpmm_ctrl_write.export
-            reg_dpmm_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_ctrl_writedata.export
-            reg_dpmm_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_data_address.export
-            reg_dpmm_data_clk_export                                  : out std_logic;  -- reg_dpmm_data_clk.export
-            reg_dpmm_data_read_export                                 : out std_logic;  -- reg_dpmm_data_read.export
-            reg_dpmm_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_data_readdata.export
-            reg_dpmm_data_reset_export                                : out std_logic;  -- reg_dpmm_data_reset.export
-            reg_dpmm_data_write_export                                : out std_logic;  -- reg_dpmm_data_write.export
-            reg_dpmm_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_data_writedata.export
-            reg_epcs_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_epcs_address.export
-            reg_epcs_clk_export                                       : out std_logic;  -- reg_epcs_clk.export
-            reg_epcs_read_export                                      : out std_logic;  -- reg_epcs_read.export
-            reg_epcs_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_epcs_readdata.export
-            reg_epcs_reset_export                                     : out std_logic;  -- reg_epcs_reset.export
-            reg_epcs_write_export                                     : out std_logic;  -- reg_epcs_write.export
-            reg_epcs_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_epcs_writedata.export
-            reg_eth10g_back0_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back0_address.export
-            reg_eth10g_back0_clk_export                               : out std_logic;  -- reg_eth10g_back0_clk.export
-            reg_eth10g_back0_read_export                              : out std_logic;  -- reg_eth10g_back0_read.export
-            reg_eth10g_back0_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back0_readdata.export
-            reg_eth10g_back0_reset_export                             : out std_logic;  -- reg_eth10g_back0_reset.export
-            reg_eth10g_back0_write_export                             : out std_logic;  -- reg_eth10g_back0_write.export
-            reg_eth10g_back0_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back0_writedata.export
-            reg_eth10g_back1_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back1_address.export
-            reg_eth10g_back1_clk_export                               : out std_logic;  -- reg_eth10g_back1_clk.export
-            reg_eth10g_back1_read_export                              : out std_logic;  -- reg_eth10g_back1_read.export
-            reg_eth10g_back1_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back1_readdata.export
-            reg_eth10g_back1_reset_export                             : out std_logic;  -- reg_eth10g_back1_reset.export
-            reg_eth10g_back1_write_export                             : out std_logic;  -- reg_eth10g_back1_write.export
-            reg_eth10g_back1_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back1_writedata.export
-            reg_eth10g_qsfp_ring_address_export                       : out std_logic_vector(6 downto 0);  -- reg_eth10g_qsfp_ring_address.export
-            reg_eth10g_qsfp_ring_clk_export                           : out std_logic;  -- reg_eth10g_qsfp_ring_clk.export
-            reg_eth10g_qsfp_ring_read_export                          : out std_logic;  -- reg_eth10g_qsfp_ring_read.export
-            reg_eth10g_qsfp_ring_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_qsfp_ring_readdata.export
-            reg_eth10g_qsfp_ring_reset_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_reset.export
-            reg_eth10g_qsfp_ring_write_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_write.export
-            reg_eth10g_qsfp_ring_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_eth10g_qsfp_ring_writedata.export
-            reg_fpga_temp_sens_address_export                         : out std_logic_vector(2 downto 0);  -- reg_fpga_temp_sens_address.export
-            reg_fpga_temp_sens_clk_export                             : out std_logic;  -- reg_fpga_temp_sens_clk.export
-            reg_fpga_temp_sens_read_export                            : out std_logic;  -- reg_fpga_temp_sens_read.export
-            reg_fpga_temp_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_temp_sens_readdata.export
-            reg_fpga_temp_sens_reset_export                           : out std_logic;  -- reg_fpga_temp_sens_reset.export
-            reg_fpga_temp_sens_write_export                           : out std_logic;  -- reg_fpga_temp_sens_write.export
-            reg_fpga_temp_sens_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_fpga_temp_sens_writedata.export
-            reg_fpga_voltage_sens_address_export                      : out std_logic_vector(3 downto 0);  -- reg_fpga_voltage_sens_address.export
-            reg_fpga_voltage_sens_clk_export                          : out std_logic;  -- reg_fpga_voltage_sens_clk.export
-            reg_fpga_voltage_sens_read_export                         : out std_logic;  -- reg_fpga_voltage_sens_read.export
-            reg_fpga_voltage_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_voltage_sens_readdata.export
-            reg_fpga_voltage_sens_reset_export                        : out std_logic;  -- reg_fpga_voltage_sens_reset.export
-            reg_fpga_voltage_sens_write_export                        : out std_logic;  -- reg_fpga_voltage_sens_write.export
-            reg_fpga_voltage_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_fpga_voltage_sens_writedata.export
-            reg_io_ddr_mb_i_address_export                            : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_i_address.export
-            reg_io_ddr_mb_i_clk_export                                : out std_logic;  -- reg_io_ddr_mb_i_clk.export
-            reg_io_ddr_mb_i_read_export                               : out std_logic;  -- reg_io_ddr_mb_i_read.export
-            reg_io_ddr_mb_i_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_i_readdata.export
-            reg_io_ddr_mb_i_reset_export                              : out std_logic;  -- reg_io_ddr_mb_i_reset.export
-            reg_io_ddr_mb_i_write_export                              : out std_logic;  -- reg_io_ddr_mb_i_write.export
-            reg_io_ddr_mb_i_writedata_export                          : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_i_writedata.export
-            reg_io_ddr_mb_ii_address_export                           : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_ii_address.export
-            reg_io_ddr_mb_ii_clk_export                               : out std_logic;  -- reg_io_ddr_mb_ii_clk.export
-            reg_io_ddr_mb_ii_read_export                              : out std_logic;  -- reg_io_ddr_mb_ii_read.export
-            reg_io_ddr_mb_ii_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_ii_readdata.export
-            reg_io_ddr_mb_ii_reset_export                             : out std_logic;  -- reg_io_ddr_mb_ii_reset.export
-            reg_io_ddr_mb_ii_write_export                             : out std_logic;  -- reg_io_ddr_mb_ii_write.export
-            reg_io_ddr_mb_ii_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_ii_writedata.export
-            reg_mmdp_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_ctrl_address.export
-            reg_mmdp_ctrl_clk_export                                  : out std_logic;  -- reg_mmdp_ctrl_clk.export
-            reg_mmdp_ctrl_read_export                                 : out std_logic;  -- reg_mmdp_ctrl_read.export
-            reg_mmdp_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_ctrl_readdata.export
-            reg_mmdp_ctrl_reset_export                                : out std_logic;  -- reg_mmdp_ctrl_reset.export
-            reg_mmdp_ctrl_write_export                                : out std_logic;  -- reg_mmdp_ctrl_write.export
-            reg_mmdp_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_ctrl_writedata.export
-            reg_mmdp_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_data_address.export
-            reg_mmdp_data_clk_export                                  : out std_logic;  -- reg_mmdp_data_clk.export
-            reg_mmdp_data_read_export                                 : out std_logic;  -- reg_mmdp_data_read.export
-            reg_mmdp_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_data_readdata.export
-            reg_mmdp_data_reset_export                                : out std_logic;  -- reg_mmdp_data_reset.export
-            reg_mmdp_data_write_export                                : out std_logic;  -- reg_mmdp_data_write.export
-            reg_mmdp_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_data_writedata.export
-            reg_remu_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_remu_address.export
-            reg_remu_clk_export                                       : out std_logic;  -- reg_remu_clk.export
-            reg_remu_read_export                                      : out std_logic;  -- reg_remu_read.export
-            reg_remu_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_remu_readdata.export
-            reg_remu_reset_export                                     : out std_logic;  -- reg_remu_reset.export
-            reg_remu_write_export                                     : out std_logic;  -- reg_remu_write.export
-            reg_remu_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_remu_writedata.export
-            reg_tr_10gbe_back0_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back0_address.export
-            reg_tr_10gbe_back0_clk_export                             : out std_logic;  -- reg_tr_10gbe_back0_clk.export
-            reg_tr_10gbe_back0_read_export                            : out std_logic;  -- reg_tr_10gbe_back0_read.export
-            reg_tr_10gbe_back0_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back0_readdata.export
-            reg_tr_10gbe_back0_reset_export                           : out std_logic;  -- reg_tr_10gbe_back0_reset.export
-            reg_tr_10gbe_back0_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back0_waitrequest.export
-            reg_tr_10gbe_back0_write_export                           : out std_logic;  -- reg_tr_10gbe_back0_write.export
-            reg_tr_10gbe_back0_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back0_writedata.export
-            reg_tr_10gbe_back1_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back1_address.export
-            reg_tr_10gbe_back1_clk_export                             : out std_logic;  -- reg_tr_10gbe_back1_clk.export
-            reg_tr_10gbe_back1_read_export                            : out std_logic;  -- reg_tr_10gbe_back1_read.export
-            reg_tr_10gbe_back1_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back1_readdata.export
-            reg_tr_10gbe_back1_reset_export                           : out std_logic;  -- reg_tr_10gbe_back1_reset.export
-            reg_tr_10gbe_back1_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back1_waitrequest.export
-            reg_tr_10gbe_back1_write_export                           : out std_logic;  -- reg_tr_10gbe_back1_write.export
-            reg_tr_10gbe_back1_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back1_writedata.export
-            reg_tr_10gbe_qsfp_ring_address_export                     : out std_logic_vector(18 downto 0);  -- reg_tr_10gbe_qsfp_ring_address.export
-            reg_tr_10gbe_qsfp_ring_clk_export                         : out std_logic;  -- reg_tr_10gbe_qsfp_ring_clk.export
-            reg_tr_10gbe_qsfp_ring_read_export                        : out std_logic;  -- reg_tr_10gbe_qsfp_ring_read.export
-            reg_tr_10gbe_qsfp_ring_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_qsfp_ring_readdata.export
-            reg_tr_10gbe_qsfp_ring_reset_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_reset.export
-            reg_tr_10gbe_qsfp_ring_waitrequest_export                 : in  std_logic                     := '0';  -- reg_tr_10gbe_qsfp_ring_waitrequest.export
-            reg_tr_10gbe_qsfp_ring_write_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_write.export
-            reg_tr_10gbe_qsfp_ring_writedata_export                   : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_qsfp_ring_writedata.export
-            reg_unb_pmbus_address_export                              : out std_logic_vector(5 downto 0);  -- reg_unb_pmbus_address.export
-            reg_unb_pmbus_clk_export                                  : out std_logic;  -- reg_unb_pmbus_clk.export
-            reg_unb_pmbus_read_export                                 : out std_logic;  -- reg_unb_pmbus_read.export
-            reg_unb_pmbus_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_pmbus_readdata.export
-            reg_unb_pmbus_reset_export                                : out std_logic;  -- reg_unb_pmbus_reset.export
-            reg_unb_pmbus_write_export                                : out std_logic;  -- reg_unb_pmbus_write.export
-            reg_unb_pmbus_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_unb_pmbus_writedata.export
-            reg_unb_sens_address_export                               : out std_logic_vector(5 downto 0);  -- reg_unb_sens_address.export
-            reg_unb_sens_clk_export                                   : out std_logic;  -- reg_unb_sens_clk.export
-            reg_unb_sens_read_export                                  : out std_logic;  -- reg_unb_sens_read.export
-            reg_unb_sens_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_sens_readdata.export
-            reg_unb_sens_reset_export                                 : out std_logic;  -- reg_unb_sens_reset.export
-            reg_unb_sens_write_export                                 : out std_logic;  -- reg_unb_sens_write.export
-            reg_unb_sens_writedata_export                             : out std_logic_vector(31 downto 0);  -- reg_unb_sens_writedata.export
-            reg_wdi_address_export                                    : out std_logic_vector(0 downto 0);  -- reg_wdi_address.export
-            reg_wdi_clk_export                                        : out std_logic;  -- reg_wdi_clk.export
-            reg_wdi_read_export                                       : out std_logic;  -- reg_wdi_read.export
-            reg_wdi_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_wdi_readdata.export
-            reg_wdi_reset_export                                      : out std_logic;  -- reg_wdi_reset.export
-            reg_wdi_write_export                                      : out std_logic;  -- reg_wdi_write.export
-            reg_wdi_writedata_export                                  : out std_logic_vector(31 downto 0);  -- reg_wdi_writedata.export
-            reset_reset_n                                             : in  std_logic                     := '0';  -- reset.reset_n
-            rom_system_info_address_export                            : out std_logic_vector(9 downto 0);  -- rom_system_info_address.export
-            rom_system_info_clk_export                                : out std_logic;  -- rom_system_info_clk.export
-            rom_system_info_read_export                               : out std_logic;  -- rom_system_info_read.export
-            rom_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- rom_system_info_readdata.export
-            rom_system_info_reset_export                              : out std_logic;  -- rom_system_info_reset.export
-            rom_system_info_write_export                              : out std_logic;  -- rom_system_info_write.export
-            rom_system_info_writedata_export                          : out std_logic_vector(31 downto 0)  -- rom_system_info_writedata.export
-        );
-    end component qsys_unb2b_test;
+  component qsys_unb2b_test is
+    port (
+      avs_eth_0_clk_export                                      : out std_logic;  -- avs_eth_0_clk.export
+      avs_eth_0_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_0_irq.export
+      avs_eth_0_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_ram_address.export
+      avs_eth_0_ram_read_export                                 : out std_logic;  -- avs_eth_0_ram_read.export
+      avs_eth_0_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_ram_readdata.export
+      avs_eth_0_ram_write_export                                : out std_logic;  -- avs_eth_0_ram_write.export
+      avs_eth_0_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_ram_writedata.export
+      avs_eth_0_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_0_reg_address.export
+      avs_eth_0_reg_read_export                                 : out std_logic;  -- avs_eth_0_reg_read.export
+      avs_eth_0_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_reg_readdata.export
+      avs_eth_0_reg_write_export                                : out std_logic;  -- avs_eth_0_reg_write.export
+      avs_eth_0_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_reg_writedata.export
+      avs_eth_0_reset_export                                    : out std_logic;  -- avs_eth_0_reset.export
+      avs_eth_0_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_0_tse_address.export
+      avs_eth_0_tse_read_export                                 : out std_logic;  -- avs_eth_0_tse_read.export
+      avs_eth_0_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_0_tse_readdata.export
+      avs_eth_0_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_0_tse_waitrequest.export
+      avs_eth_0_tse_write_export                                : out std_logic;  -- avs_eth_0_tse_write.export
+      avs_eth_0_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_0_tse_writedata.export
+      avs_eth_1_clk_export                                      : out std_logic;  -- avs_eth_1_clk.export
+      avs_eth_1_irq_export                                      : in  std_logic                     := '0';  -- avs_eth_1_irq.export
+      avs_eth_1_ram_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_ram_address.export
+      avs_eth_1_ram_read_export                                 : out std_logic;  -- avs_eth_1_ram_read.export
+      avs_eth_1_ram_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_ram_readdata.export
+      avs_eth_1_ram_write_export                                : out std_logic;  -- avs_eth_1_ram_write.export
+      avs_eth_1_ram_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_ram_writedata.export
+      avs_eth_1_reg_address_export                              : out std_logic_vector(3 downto 0);  -- avs_eth_1_reg_address.export
+      avs_eth_1_reg_read_export                                 : out std_logic;  -- avs_eth_1_reg_read.export
+      avs_eth_1_reg_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_reg_readdata.export
+      avs_eth_1_reg_write_export                                : out std_logic;  -- avs_eth_1_reg_write.export
+      avs_eth_1_reg_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_reg_writedata.export
+      avs_eth_1_reset_export                                    : out std_logic;  -- avs_eth_1_reset.export
+      avs_eth_1_tse_address_export                              : out std_logic_vector(9 downto 0);  -- avs_eth_1_tse_address.export
+      avs_eth_1_tse_read_export                                 : out std_logic;  -- avs_eth_1_tse_read.export
+      avs_eth_1_tse_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- avs_eth_1_tse_readdata.export
+      avs_eth_1_tse_waitrequest_export                          : in  std_logic                     := '0';  -- avs_eth_1_tse_waitrequest.export
+      avs_eth_1_tse_write_export                                : out std_logic;  -- avs_eth_1_tse_write.export
+      avs_eth_1_tse_writedata_export                            : out std_logic_vector(31 downto 0);  -- avs_eth_1_tse_writedata.export
+      clk_clk                                                   : in  std_logic                     := '0';  -- clk.clk
+      pio_pps_address_export                                    : out std_logic_vector(0 downto 0);  -- pio_pps_address.export
+      pio_pps_clk_export                                        : out std_logic;  -- pio_pps_clk.export
+      pio_pps_read_export                                       : out std_logic;  -- pio_pps_read.export
+      pio_pps_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_pps_readdata.export
+      pio_pps_reset_export                                      : out std_logic;  -- pio_pps_reset.export
+      pio_pps_write_export                                      : out std_logic;  -- pio_pps_write.export
+      pio_pps_writedata_export                                  : out std_logic_vector(31 downto 0);  -- pio_pps_writedata.export
+      pio_system_info_address_export                            : out std_logic_vector(4 downto 0);  -- pio_system_info_address.export
+      pio_system_info_clk_export                                : out std_logic;  -- pio_system_info_clk.export
+      pio_system_info_read_export                               : out std_logic;  -- pio_system_info_read.export
+      pio_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- pio_system_info_readdata.export
+      pio_system_info_reset_export                              : out std_logic;  -- pio_system_info_reset.export
+      pio_system_info_write_export                              : out std_logic;  -- pio_system_info_write.export
+      pio_system_info_writedata_export                          : out std_logic_vector(31 downto 0);  -- pio_system_info_writedata.export
+      pio_wdi_external_connection_export                        : out std_logic;  -- pio_wdi_external_connection.export
+      ram_diag_bg_10gbe_address_export                          : out std_logic_vector(16 downto 0);  -- ram_diag_bg_10gbe_address.export
+      ram_diag_bg_10gbe_clk_export                              : out std_logic;  -- ram_diag_bg_10gbe_clk.export
+      ram_diag_bg_10gbe_read_export                             : out std_logic;  -- ram_diag_bg_10gbe_read.export
+      ram_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_10gbe_readdata.export
+      ram_diag_bg_10gbe_reset_export                            : out std_logic;  -- ram_diag_bg_10gbe_reset.export
+      ram_diag_bg_10gbe_write_export                            : out std_logic;  -- ram_diag_bg_10gbe_write.export
+      ram_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- ram_diag_bg_10gbe_writedata.export
+      ram_diag_bg_1gbe_address_export                           : out std_logic_vector(10 downto 0);  -- ram_diag_bg_1gbe_address.export
+      ram_diag_bg_1gbe_clk_export                               : out std_logic;  -- ram_diag_bg_1gbe_clk.export
+      ram_diag_bg_1gbe_read_export                              : out std_logic;  -- ram_diag_bg_1gbe_read.export
+      ram_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_bg_1gbe_readdata.export
+      ram_diag_bg_1gbe_reset_export                             : out std_logic;  -- ram_diag_bg_1gbe_reset.export
+      ram_diag_bg_1gbe_write_export                             : out std_logic;  -- ram_diag_bg_1gbe_write.export
+      ram_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- ram_diag_bg_1gbe_writedata.export
+      ram_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(16 downto 0);  -- ram_diag_data_buffer_10gbe_address.export
+      ram_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- ram_diag_data_buffer_10gbe_clk.export
+      ram_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- ram_diag_data_buffer_10gbe_read.export
+      ram_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_10gbe_readdata.export
+      ram_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_reset.export
+      ram_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- ram_diag_data_buffer_10gbe_write.export
+      ram_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_10gbe_writedata.export
+      ram_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_1gbe_address.export
+      ram_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- ram_diag_data_buffer_1gbe_clk.export
+      ram_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- ram_diag_data_buffer_1gbe_read.export
+      ram_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_1gbe_readdata.export
+      ram_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_reset.export
+      ram_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- ram_diag_data_buffer_1gbe_write.export
+      ram_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_1gbe_writedata.export
+      ram_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_address.export
+      ram_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_clk.export
+      ram_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_read.export
+      ram_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_i_readdata.export
+      ram_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_reset.export
+      ram_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_i_write.export
+      ram_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_i_writedata.export
+      ram_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(10 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_address.export
+      ram_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_clk.export
+      ram_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_read.export
+      ram_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- ram_diag_data_buffer_ddr_mb_ii_readdata.export
+      ram_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_reset.export
+      ram_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- ram_diag_data_buffer_ddr_mb_ii_write.export
+      ram_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- ram_diag_data_buffer_ddr_mb_ii_writedata.export
+      reg_bsn_monitor_10gbe_address_export                      : out std_logic_vector(10 downto 0);  -- reg_bsn_monitor_10gbe_address.export
+      reg_bsn_monitor_10gbe_clk_export                          : out std_logic;  -- reg_bsn_monitor_10gbe_clk.export
+      reg_bsn_monitor_10gbe_read_export                         : out std_logic;  -- reg_bsn_monitor_10gbe_read.export
+      reg_bsn_monitor_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_10gbe_readdata.export
+      reg_bsn_monitor_10gbe_reset_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_reset.export
+      reg_bsn_monitor_10gbe_write_export                        : out std_logic;  -- reg_bsn_monitor_10gbe_write.export
+      reg_bsn_monitor_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_10gbe_writedata.export
+      reg_bsn_monitor_1gbe_address_export                       : out std_logic_vector(4 downto 0);  -- reg_bsn_monitor_1gbe_address.export
+      reg_bsn_monitor_1gbe_clk_export                           : out std_logic;  -- reg_bsn_monitor_1gbe_clk.export
+      reg_bsn_monitor_1gbe_read_export                          : out std_logic;  -- reg_bsn_monitor_1gbe_read.export
+      reg_bsn_monitor_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_bsn_monitor_1gbe_readdata.export
+      reg_bsn_monitor_1gbe_reset_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_reset.export
+      reg_bsn_monitor_1gbe_write_export                         : out std_logic;  -- reg_bsn_monitor_1gbe_write.export
+      reg_bsn_monitor_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_bsn_monitor_1gbe_writedata.export
+      reg_diag_bg_10gbe_address_export                          : out std_logic_vector(2 downto 0);  -- reg_diag_bg_10gbe_address.export
+      reg_diag_bg_10gbe_clk_export                              : out std_logic;  -- reg_diag_bg_10gbe_clk.export
+      reg_diag_bg_10gbe_read_export                             : out std_logic;  -- reg_diag_bg_10gbe_read.export
+      reg_diag_bg_10gbe_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_10gbe_readdata.export
+      reg_diag_bg_10gbe_reset_export                            : out std_logic;  -- reg_diag_bg_10gbe_reset.export
+      reg_diag_bg_10gbe_write_export                            : out std_logic;  -- reg_diag_bg_10gbe_write.export
+      reg_diag_bg_10gbe_writedata_export                        : out std_logic_vector(31 downto 0);  -- reg_diag_bg_10gbe_writedata.export
+      reg_diag_bg_1gbe_address_export                           : out std_logic_vector(2 downto 0);  -- reg_diag_bg_1gbe_address.export
+      reg_diag_bg_1gbe_clk_export                               : out std_logic;  -- reg_diag_bg_1gbe_clk.export
+      reg_diag_bg_1gbe_read_export                              : out std_logic;  -- reg_diag_bg_1gbe_read.export
+      reg_diag_bg_1gbe_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_bg_1gbe_readdata.export
+      reg_diag_bg_1gbe_reset_export                             : out std_logic;  -- reg_diag_bg_1gbe_reset.export
+      reg_diag_bg_1gbe_write_export                             : out std_logic;  -- reg_diag_bg_1gbe_write.export
+      reg_diag_bg_1gbe_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_diag_bg_1gbe_writedata.export
+      reg_diag_data_buffer_10gbe_address_export                 : out std_logic_vector(5 downto 0);  -- reg_diag_data_buffer_10gbe_address.export
+      reg_diag_data_buffer_10gbe_clk_export                     : out std_logic;  -- reg_diag_data_buffer_10gbe_clk.export
+      reg_diag_data_buffer_10gbe_read_export                    : out std_logic;  -- reg_diag_data_buffer_10gbe_read.export
+      reg_diag_data_buffer_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_10gbe_readdata.export
+      reg_diag_data_buffer_10gbe_reset_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_reset.export
+      reg_diag_data_buffer_10gbe_write_export                   : out std_logic;  -- reg_diag_data_buffer_10gbe_write.export
+      reg_diag_data_buffer_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_10gbe_writedata.export
+      reg_diag_data_buffer_1gbe_address_export                  : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_1gbe_address.export
+      reg_diag_data_buffer_1gbe_clk_export                      : out std_logic;  -- reg_diag_data_buffer_1gbe_clk.export
+      reg_diag_data_buffer_1gbe_read_export                     : out std_logic;  -- reg_diag_data_buffer_1gbe_read.export
+      reg_diag_data_buffer_1gbe_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_1gbe_readdata.export
+      reg_diag_data_buffer_1gbe_reset_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_reset.export
+      reg_diag_data_buffer_1gbe_write_export                    : out std_logic;  -- reg_diag_data_buffer_1gbe_write.export
+      reg_diag_data_buffer_1gbe_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_1gbe_writedata.export
+      reg_diag_data_buffer_ddr_mb_i_address_export              : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_address.export
+      reg_diag_data_buffer_ddr_mb_i_clk_export                  : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_clk.export
+      reg_diag_data_buffer_ddr_mb_i_read_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_read.export
+      reg_diag_data_buffer_ddr_mb_i_readdata_export             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_i_readdata.export
+      reg_diag_data_buffer_ddr_mb_i_reset_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_reset.export
+      reg_diag_data_buffer_ddr_mb_i_write_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_i_write.export
+      reg_diag_data_buffer_ddr_mb_i_writedata_export            : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_i_writedata.export
+      reg_diag_data_buffer_ddr_mb_ii_address_export             : out std_logic_vector(4 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_address.export
+      reg_diag_data_buffer_ddr_mb_ii_clk_export                 : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_clk.export
+      reg_diag_data_buffer_ddr_mb_ii_read_export                : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_read.export
+      reg_diag_data_buffer_ddr_mb_ii_readdata_export            : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_data_buffer_ddr_mb_ii_readdata.export
+      reg_diag_data_buffer_ddr_mb_ii_reset_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_reset.export
+      reg_diag_data_buffer_ddr_mb_ii_write_export               : out std_logic;  -- reg_diag_data_buffer_ddr_mb_ii_write.export
+      reg_diag_data_buffer_ddr_mb_ii_writedata_export           : out std_logic_vector(31 downto 0);  -- reg_diag_data_buffer_ddr_mb_ii_writedata.export
+      reg_diag_rx_seq_10gbe_address_export                      : out std_logic_vector(4 downto 0);  -- reg_diag_rx_seq_10gbe_address.export
+      reg_diag_rx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_rx_seq_10gbe_clk.export
+      reg_diag_rx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_rx_seq_10gbe_read.export
+      reg_diag_rx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_10gbe_readdata.export
+      reg_diag_rx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_reset.export
+      reg_diag_rx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_rx_seq_10gbe_write.export
+      reg_diag_rx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_10gbe_writedata.export
+      reg_diag_rx_seq_1gbe_address_export                       : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_1gbe_address.export
+      reg_diag_rx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_rx_seq_1gbe_clk.export
+      reg_diag_rx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_rx_seq_1gbe_read.export
+      reg_diag_rx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_1gbe_readdata.export
+      reg_diag_rx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_reset.export
+      reg_diag_rx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_rx_seq_1gbe_write.export
+      reg_diag_rx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_1gbe_writedata.export
+      reg_diag_rx_seq_ddr_mb_i_address_export                   : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_address.export
+      reg_diag_rx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_clk.export
+      reg_diag_rx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_read.export
+      reg_diag_rx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_i_readdata.export
+      reg_diag_rx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_reset.export
+      reg_diag_rx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_i_write.export
+      reg_diag_rx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_i_writedata.export
+      reg_diag_rx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(2 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_address.export
+      reg_diag_rx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_clk.export
+      reg_diag_rx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_read.export
+      reg_diag_rx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_rx_seq_ddr_mb_ii_readdata.export
+      reg_diag_rx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_reset.export
+      reg_diag_rx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_rx_seq_ddr_mb_ii_write.export
+      reg_diag_rx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_rx_seq_ddr_mb_ii_writedata.export
+      reg_diag_tx_seq_10gbe_address_export                      : out std_logic_vector(3 downto 0);  -- reg_diag_tx_seq_10gbe_address.export
+      reg_diag_tx_seq_10gbe_clk_export                          : out std_logic;  -- reg_diag_tx_seq_10gbe_clk.export
+      reg_diag_tx_seq_10gbe_read_export                         : out std_logic;  -- reg_diag_tx_seq_10gbe_read.export
+      reg_diag_tx_seq_10gbe_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_10gbe_readdata.export
+      reg_diag_tx_seq_10gbe_reset_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_reset.export
+      reg_diag_tx_seq_10gbe_write_export                        : out std_logic;  -- reg_diag_tx_seq_10gbe_write.export
+      reg_diag_tx_seq_10gbe_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_10gbe_writedata.export
+      reg_diag_tx_seq_1gbe_address_export                       : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_1gbe_address.export
+      reg_diag_tx_seq_1gbe_clk_export                           : out std_logic;  -- reg_diag_tx_seq_1gbe_clk.export
+      reg_diag_tx_seq_1gbe_read_export                          : out std_logic;  -- reg_diag_tx_seq_1gbe_read.export
+      reg_diag_tx_seq_1gbe_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_1gbe_readdata.export
+      reg_diag_tx_seq_1gbe_reset_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_reset.export
+      reg_diag_tx_seq_1gbe_write_export                         : out std_logic;  -- reg_diag_tx_seq_1gbe_write.export
+      reg_diag_tx_seq_1gbe_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_1gbe_writedata.export
+      reg_diag_tx_seq_ddr_mb_i_address_export                   : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_address.export
+      reg_diag_tx_seq_ddr_mb_i_clk_export                       : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_clk.export
+      reg_diag_tx_seq_ddr_mb_i_read_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_read.export
+      reg_diag_tx_seq_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_i_readdata.export
+      reg_diag_tx_seq_ddr_mb_i_reset_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_reset.export
+      reg_diag_tx_seq_ddr_mb_i_write_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_i_write.export
+      reg_diag_tx_seq_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_i_writedata.export
+      reg_diag_tx_seq_ddr_mb_ii_address_export                  : out std_logic_vector(1 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_address.export
+      reg_diag_tx_seq_ddr_mb_ii_clk_export                      : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_clk.export
+      reg_diag_tx_seq_ddr_mb_ii_read_export                     : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_read.export
+      reg_diag_tx_seq_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_diag_tx_seq_ddr_mb_ii_readdata.export
+      reg_diag_tx_seq_ddr_mb_ii_reset_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_reset.export
+      reg_diag_tx_seq_ddr_mb_ii_write_export                    : out std_logic;  -- reg_diag_tx_seq_ddr_mb_ii_write.export
+      reg_diag_tx_seq_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- reg_diag_tx_seq_ddr_mb_ii_writedata.export
+      reg_dpmm_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_ctrl_address.export
+      reg_dpmm_ctrl_clk_export                                  : out std_logic;  -- reg_dpmm_ctrl_clk.export
+      reg_dpmm_ctrl_read_export                                 : out std_logic;  -- reg_dpmm_ctrl_read.export
+      reg_dpmm_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_ctrl_readdata.export
+      reg_dpmm_ctrl_reset_export                                : out std_logic;  -- reg_dpmm_ctrl_reset.export
+      reg_dpmm_ctrl_write_export                                : out std_logic;  -- reg_dpmm_ctrl_write.export
+      reg_dpmm_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_ctrl_writedata.export
+      reg_dpmm_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_dpmm_data_address.export
+      reg_dpmm_data_clk_export                                  : out std_logic;  -- reg_dpmm_data_clk.export
+      reg_dpmm_data_read_export                                 : out std_logic;  -- reg_dpmm_data_read.export
+      reg_dpmm_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_dpmm_data_readdata.export
+      reg_dpmm_data_reset_export                                : out std_logic;  -- reg_dpmm_data_reset.export
+      reg_dpmm_data_write_export                                : out std_logic;  -- reg_dpmm_data_write.export
+      reg_dpmm_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_dpmm_data_writedata.export
+      reg_epcs_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_epcs_address.export
+      reg_epcs_clk_export                                       : out std_logic;  -- reg_epcs_clk.export
+      reg_epcs_read_export                                      : out std_logic;  -- reg_epcs_read.export
+      reg_epcs_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_epcs_readdata.export
+      reg_epcs_reset_export                                     : out std_logic;  -- reg_epcs_reset.export
+      reg_epcs_write_export                                     : out std_logic;  -- reg_epcs_write.export
+      reg_epcs_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_epcs_writedata.export
+      reg_eth10g_back0_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back0_address.export
+      reg_eth10g_back0_clk_export                               : out std_logic;  -- reg_eth10g_back0_clk.export
+      reg_eth10g_back0_read_export                              : out std_logic;  -- reg_eth10g_back0_read.export
+      reg_eth10g_back0_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back0_readdata.export
+      reg_eth10g_back0_reset_export                             : out std_logic;  -- reg_eth10g_back0_reset.export
+      reg_eth10g_back0_write_export                             : out std_logic;  -- reg_eth10g_back0_write.export
+      reg_eth10g_back0_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back0_writedata.export
+      reg_eth10g_back1_address_export                           : out std_logic_vector(5 downto 0);  -- reg_eth10g_back1_address.export
+      reg_eth10g_back1_clk_export                               : out std_logic;  -- reg_eth10g_back1_clk.export
+      reg_eth10g_back1_read_export                              : out std_logic;  -- reg_eth10g_back1_read.export
+      reg_eth10g_back1_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_back1_readdata.export
+      reg_eth10g_back1_reset_export                             : out std_logic;  -- reg_eth10g_back1_reset.export
+      reg_eth10g_back1_write_export                             : out std_logic;  -- reg_eth10g_back1_write.export
+      reg_eth10g_back1_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_eth10g_back1_writedata.export
+      reg_eth10g_qsfp_ring_address_export                       : out std_logic_vector(6 downto 0);  -- reg_eth10g_qsfp_ring_address.export
+      reg_eth10g_qsfp_ring_clk_export                           : out std_logic;  -- reg_eth10g_qsfp_ring_clk.export
+      reg_eth10g_qsfp_ring_read_export                          : out std_logic;  -- reg_eth10g_qsfp_ring_read.export
+      reg_eth10g_qsfp_ring_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_eth10g_qsfp_ring_readdata.export
+      reg_eth10g_qsfp_ring_reset_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_reset.export
+      reg_eth10g_qsfp_ring_write_export                         : out std_logic;  -- reg_eth10g_qsfp_ring_write.export
+      reg_eth10g_qsfp_ring_writedata_export                     : out std_logic_vector(31 downto 0);  -- reg_eth10g_qsfp_ring_writedata.export
+      reg_fpga_temp_sens_address_export                         : out std_logic_vector(2 downto 0);  -- reg_fpga_temp_sens_address.export
+      reg_fpga_temp_sens_clk_export                             : out std_logic;  -- reg_fpga_temp_sens_clk.export
+      reg_fpga_temp_sens_read_export                            : out std_logic;  -- reg_fpga_temp_sens_read.export
+      reg_fpga_temp_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_temp_sens_readdata.export
+      reg_fpga_temp_sens_reset_export                           : out std_logic;  -- reg_fpga_temp_sens_reset.export
+      reg_fpga_temp_sens_write_export                           : out std_logic;  -- reg_fpga_temp_sens_write.export
+      reg_fpga_temp_sens_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_fpga_temp_sens_writedata.export
+      reg_fpga_voltage_sens_address_export                      : out std_logic_vector(3 downto 0);  -- reg_fpga_voltage_sens_address.export
+      reg_fpga_voltage_sens_clk_export                          : out std_logic;  -- reg_fpga_voltage_sens_clk.export
+      reg_fpga_voltage_sens_read_export                         : out std_logic;  -- reg_fpga_voltage_sens_read.export
+      reg_fpga_voltage_sens_readdata_export                     : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_fpga_voltage_sens_readdata.export
+      reg_fpga_voltage_sens_reset_export                        : out std_logic;  -- reg_fpga_voltage_sens_reset.export
+      reg_fpga_voltage_sens_write_export                        : out std_logic;  -- reg_fpga_voltage_sens_write.export
+      reg_fpga_voltage_sens_writedata_export                    : out std_logic_vector(31 downto 0);  -- reg_fpga_voltage_sens_writedata.export
+      reg_io_ddr_mb_i_address_export                            : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_i_address.export
+      reg_io_ddr_mb_i_clk_export                                : out std_logic;  -- reg_io_ddr_mb_i_clk.export
+      reg_io_ddr_mb_i_read_export                               : out std_logic;  -- reg_io_ddr_mb_i_read.export
+      reg_io_ddr_mb_i_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_i_readdata.export
+      reg_io_ddr_mb_i_reset_export                              : out std_logic;  -- reg_io_ddr_mb_i_reset.export
+      reg_io_ddr_mb_i_write_export                              : out std_logic;  -- reg_io_ddr_mb_i_write.export
+      reg_io_ddr_mb_i_writedata_export                          : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_i_writedata.export
+      reg_io_ddr_mb_ii_address_export                           : out std_logic_vector(15 downto 0);  -- reg_io_ddr_mb_ii_address.export
+      reg_io_ddr_mb_ii_clk_export                               : out std_logic;  -- reg_io_ddr_mb_ii_clk.export
+      reg_io_ddr_mb_ii_read_export                              : out std_logic;  -- reg_io_ddr_mb_ii_read.export
+      reg_io_ddr_mb_ii_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_io_ddr_mb_ii_readdata.export
+      reg_io_ddr_mb_ii_reset_export                             : out std_logic;  -- reg_io_ddr_mb_ii_reset.export
+      reg_io_ddr_mb_ii_write_export                             : out std_logic;  -- reg_io_ddr_mb_ii_write.export
+      reg_io_ddr_mb_ii_writedata_export                         : out std_logic_vector(31 downto 0);  -- reg_io_ddr_mb_ii_writedata.export
+      reg_mmdp_ctrl_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_ctrl_address.export
+      reg_mmdp_ctrl_clk_export                                  : out std_logic;  -- reg_mmdp_ctrl_clk.export
+      reg_mmdp_ctrl_read_export                                 : out std_logic;  -- reg_mmdp_ctrl_read.export
+      reg_mmdp_ctrl_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_ctrl_readdata.export
+      reg_mmdp_ctrl_reset_export                                : out std_logic;  -- reg_mmdp_ctrl_reset.export
+      reg_mmdp_ctrl_write_export                                : out std_logic;  -- reg_mmdp_ctrl_write.export
+      reg_mmdp_ctrl_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_ctrl_writedata.export
+      reg_mmdp_data_address_export                              : out std_logic_vector(0 downto 0);  -- reg_mmdp_data_address.export
+      reg_mmdp_data_clk_export                                  : out std_logic;  -- reg_mmdp_data_clk.export
+      reg_mmdp_data_read_export                                 : out std_logic;  -- reg_mmdp_data_read.export
+      reg_mmdp_data_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_mmdp_data_readdata.export
+      reg_mmdp_data_reset_export                                : out std_logic;  -- reg_mmdp_data_reset.export
+      reg_mmdp_data_write_export                                : out std_logic;  -- reg_mmdp_data_write.export
+      reg_mmdp_data_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_mmdp_data_writedata.export
+      reg_remu_address_export                                   : out std_logic_vector(2 downto 0);  -- reg_remu_address.export
+      reg_remu_clk_export                                       : out std_logic;  -- reg_remu_clk.export
+      reg_remu_read_export                                      : out std_logic;  -- reg_remu_read.export
+      reg_remu_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_remu_readdata.export
+      reg_remu_reset_export                                     : out std_logic;  -- reg_remu_reset.export
+      reg_remu_write_export                                     : out std_logic;  -- reg_remu_write.export
+      reg_remu_writedata_export                                 : out std_logic_vector(31 downto 0);  -- reg_remu_writedata.export
+      reg_tr_10gbe_back0_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back0_address.export
+      reg_tr_10gbe_back0_clk_export                             : out std_logic;  -- reg_tr_10gbe_back0_clk.export
+      reg_tr_10gbe_back0_read_export                            : out std_logic;  -- reg_tr_10gbe_back0_read.export
+      reg_tr_10gbe_back0_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back0_readdata.export
+      reg_tr_10gbe_back0_reset_export                           : out std_logic;  -- reg_tr_10gbe_back0_reset.export
+      reg_tr_10gbe_back0_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back0_waitrequest.export
+      reg_tr_10gbe_back0_write_export                           : out std_logic;  -- reg_tr_10gbe_back0_write.export
+      reg_tr_10gbe_back0_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back0_writedata.export
+      reg_tr_10gbe_back1_address_export                         : out std_logic_vector(17 downto 0);  -- reg_tr_10gbe_back1_address.export
+      reg_tr_10gbe_back1_clk_export                             : out std_logic;  -- reg_tr_10gbe_back1_clk.export
+      reg_tr_10gbe_back1_read_export                            : out std_logic;  -- reg_tr_10gbe_back1_read.export
+      reg_tr_10gbe_back1_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_back1_readdata.export
+      reg_tr_10gbe_back1_reset_export                           : out std_logic;  -- reg_tr_10gbe_back1_reset.export
+      reg_tr_10gbe_back1_waitrequest_export                     : in  std_logic                     := '0';  -- reg_tr_10gbe_back1_waitrequest.export
+      reg_tr_10gbe_back1_write_export                           : out std_logic;  -- reg_tr_10gbe_back1_write.export
+      reg_tr_10gbe_back1_writedata_export                       : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_back1_writedata.export
+      reg_tr_10gbe_qsfp_ring_address_export                     : out std_logic_vector(18 downto 0);  -- reg_tr_10gbe_qsfp_ring_address.export
+      reg_tr_10gbe_qsfp_ring_clk_export                         : out std_logic;  -- reg_tr_10gbe_qsfp_ring_clk.export
+      reg_tr_10gbe_qsfp_ring_read_export                        : out std_logic;  -- reg_tr_10gbe_qsfp_ring_read.export
+      reg_tr_10gbe_qsfp_ring_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_tr_10gbe_qsfp_ring_readdata.export
+      reg_tr_10gbe_qsfp_ring_reset_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_reset.export
+      reg_tr_10gbe_qsfp_ring_waitrequest_export                 : in  std_logic                     := '0';  -- reg_tr_10gbe_qsfp_ring_waitrequest.export
+      reg_tr_10gbe_qsfp_ring_write_export                       : out std_logic;  -- reg_tr_10gbe_qsfp_ring_write.export
+      reg_tr_10gbe_qsfp_ring_writedata_export                   : out std_logic_vector(31 downto 0);  -- reg_tr_10gbe_qsfp_ring_writedata.export
+      reg_unb_pmbus_address_export                              : out std_logic_vector(5 downto 0);  -- reg_unb_pmbus_address.export
+      reg_unb_pmbus_clk_export                                  : out std_logic;  -- reg_unb_pmbus_clk.export
+      reg_unb_pmbus_read_export                                 : out std_logic;  -- reg_unb_pmbus_read.export
+      reg_unb_pmbus_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_pmbus_readdata.export
+      reg_unb_pmbus_reset_export                                : out std_logic;  -- reg_unb_pmbus_reset.export
+      reg_unb_pmbus_write_export                                : out std_logic;  -- reg_unb_pmbus_write.export
+      reg_unb_pmbus_writedata_export                            : out std_logic_vector(31 downto 0);  -- reg_unb_pmbus_writedata.export
+      reg_unb_sens_address_export                               : out std_logic_vector(5 downto 0);  -- reg_unb_sens_address.export
+      reg_unb_sens_clk_export                                   : out std_logic;  -- reg_unb_sens_clk.export
+      reg_unb_sens_read_export                                  : out std_logic;  -- reg_unb_sens_read.export
+      reg_unb_sens_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_unb_sens_readdata.export
+      reg_unb_sens_reset_export                                 : out std_logic;  -- reg_unb_sens_reset.export
+      reg_unb_sens_write_export                                 : out std_logic;  -- reg_unb_sens_write.export
+      reg_unb_sens_writedata_export                             : out std_logic_vector(31 downto 0);  -- reg_unb_sens_writedata.export
+      reg_wdi_address_export                                    : out std_logic_vector(0 downto 0);  -- reg_wdi_address.export
+      reg_wdi_clk_export                                        : out std_logic;  -- reg_wdi_clk.export
+      reg_wdi_read_export                                       : out std_logic;  -- reg_wdi_read.export
+      reg_wdi_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- reg_wdi_readdata.export
+      reg_wdi_reset_export                                      : out std_logic;  -- reg_wdi_reset.export
+      reg_wdi_write_export                                      : out std_logic;  -- reg_wdi_write.export
+      reg_wdi_writedata_export                                  : out std_logic_vector(31 downto 0);  -- reg_wdi_writedata.export
+      reset_reset_n                                             : in  std_logic                     := '0';  -- reset.reset_n
+      rom_system_info_address_export                            : out std_logic_vector(9 downto 0);  -- rom_system_info_address.export
+      rom_system_info_clk_export                                : out std_logic;  -- rom_system_info_clk.export
+      rom_system_info_read_export                               : out std_logic;  -- rom_system_info_read.export
+      rom_system_info_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => '0');  -- rom_system_info_readdata.export
+      rom_system_info_reset_export                              : out std_logic;  -- rom_system_info_reset.export
+      rom_system_info_write_export                              : out std_logic;  -- rom_system_info_write.export
+      rom_system_info_writedata_export                          : out std_logic_vector(31 downto 0)  -- rom_system_info_writedata.export
+    );
+  end component qsys_unb2b_test;
 
 
 
diff --git a/boards/uniboard2b/designs/unb2b_test/src/vhdl/udp_stream.vhd b/boards/uniboard2b/designs/unb2b_test/src/vhdl/udp_stream.vhd
index efb781f7ca..96ac0845d1 100644
--- a/boards/uniboard2b/designs/unb2b_test/src/vhdl/udp_stream.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/src/vhdl/udp_stream.vhd
@@ -21,19 +21,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, unb2b_board_lib, dp_lib, eth_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.unb2b_test_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.unb2b_test_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity udp_stream is
   generic (
@@ -105,14 +105,28 @@ end udp_stream;
 architecture str of udp_stream is
 
   -- Block generator
-  constant c_bg_ctrl                   : t_diag_block_gen := ('0',  -- enable (disabled by default)
-                                                              '0',  -- enable_sync
-                                                              TO_UVEC(     g_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(g_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        g_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   g_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                   : t_diag_block_gen := (
+    '0',  -- enable (disabled by default)
+    '0',  -- enable_sync
+    TO_UVEC(
+                  g_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     g_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                g_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
 
   constant c_nof_crc_words             : natural := 1;
@@ -157,54 +171,54 @@ begin
   -- TX: Block generator and DP fifo
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_technology         => g_technology,
-    g_nof_streams        => g_nof_streams,
-    g_buf_dat_w          => g_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_index_arr     => array_init(0, g_nof_streams),
-    g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
-    g_diag_block_gen_rst => c_bg_ctrl
---    g_use_tx_seq         => TRUE
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_diag_tx_seq_miso
-  );
-
-  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
-    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
     generic map (
-      g_technology => g_technology,
-      g_data_w    => g_data_w,
-      g_bsn_w     => 47,
-      g_use_bsn   => true,
-      g_use_sync  => true,
-      g_fifo_size => 50
+      g_technology         => g_technology,
+      g_nof_streams        => g_nof_streams,
+      g_buf_dat_w          => g_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_index_arr     => array_init(0, g_nof_streams),
+      g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
+      g_diag_block_gen_rst => c_bg_ctrl
+    --    g_use_tx_seq         => TRUE
     )
     port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- ST sink (from BG)
-      snk_out     => block_gen_src_in_arr(i),
-      snk_in      => block_gen_src_out_arr(i),
-      -- ST source (to tx_offload)
-      src_in      => fifo_block_gen_src_in_arr(i),
-      src_out     => fifo_block_gen_src_out_arr(i)
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_diag_tx_seq_miso
     );
+
+  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
+    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
+      generic map (
+        g_technology => g_technology,
+        g_data_w    => g_data_w,
+        g_bsn_w     => 47,
+        g_use_bsn   => true,
+        g_use_sync  => true,
+        g_fifo_size => 50
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- ST sink (from BG)
+        snk_out     => block_gen_src_in_arr(i),
+        snk_in      => block_gen_src_out_arr(i),
+        -- ST source (to tx_offload)
+        src_in      => fifo_block_gen_src_in_arr(i),
+        src_out     => fifo_block_gen_src_out_arr(i)
+      );
   end generate;
 
 
@@ -212,74 +226,74 @@ begin
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_technology                => g_technology,
-    g_nof_streams               => g_nof_streams,
-    g_data_w                    => g_data_w,
-    g_use_complex               => false,
---    g_max_nof_words_per_block   => c_max_nof_words_per_block,
-    g_nof_words_per_block       => g_def_block_size,
---    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
-    g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    -- MM
-    --reg_mosi              => reg_dp_offload_tx_mosi,
-    --reg_miso              => reg_dp_offload_tx_miso,
-    --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    -- from blockgen-fifo
-    snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
-    snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
-
-    -- output to MAC
-    src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
-    src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
-
-    hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_technology                => g_technology,
+      g_nof_streams               => g_nof_streams,
+      g_data_w                    => g_data_w,
+      g_use_complex               => false,
+      --    g_max_nof_words_per_block   => c_max_nof_words_per_block,
+      g_nof_words_per_block       => g_def_block_size,
+      --    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
+      g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      -- MM
+      --reg_mosi              => reg_dp_offload_tx_mosi,
+      --reg_miso              => reg_dp_offload_tx_miso,
+      --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      -- from blockgen-fifo
+      snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
+      snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
+
+      -- output to MAC
+      src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
+      src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
+
+      hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => g_nof_streams,
-    g_data_w              => g_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => g_remove_crc,
-    g_crc_nof_words       => c_nof_crc_words
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+    generic map (
+      g_nof_streams         => g_nof_streams,
+      g_data_w              => g_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => g_remove_crc,
+      g_crc_nof_words       => c_nof_crc_words
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
 
-    -- from MAC
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
 
-    -- to databuffer
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
+      --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
 
-    hdr_fields_out_arr    => hdr_fields_out_arr
-  );
+      -- from MAC
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      -- to databuffer
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
+    );
 
 
   gen_hdr_out_fields : for i in 0 to g_nof_streams - 1 generate
@@ -304,55 +318,55 @@ begin
 
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
-    in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
+      in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   diag_data_buf_snk_out_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => 32,  -- g_data_w, --FIXME
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false,  -- sync by reading last address of data buffer
-    g_use_rx_seq   => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_diag_rx_seq_miso,
-
-    in_sync           => diag_data_buf_snk_in_arr(0).sync,
-    in_sosi_arr       => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => 32,  -- g_data_w, --FIXME
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false,  -- sync by reading last address of data buffer
+      g_use_rx_seq   => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_diag_rx_seq_miso,
+
+      in_sync           => diag_data_buf_snk_in_arr(0).sync,
+      in_sosi_arr       => diag_data_buf_snk_in_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test.vhd b/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test.vhd
index f9dcc6a928..3d8a1990e6 100644
--- a/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test.vhd
@@ -21,20 +21,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2b_board_lib, unb2b_board_10gbe_lib, dp_lib, eth_lib, tr_10GbE_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.unb2b_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.unb2b_test_pkg.all;
 
 entity unb2b_test is
   generic (
@@ -320,10 +320,10 @@ architecture str of unb2b_test is
 
   signal i_QSFP_TX                       : t_unb2b_board_qsfp_bus_2arr(c_nof_qsfp_bus - 1 downto 0);
   signal i_QSFP_RX                       : t_unb2b_board_qsfp_bus_2arr(c_nof_qsfp_bus - 1 downto 0);
- -- SIGNAL i_RING_TX                       : t_unb2b_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
- -- SIGNAL i_RING_RX                       : t_unb2b_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
- -- SIGNAL i_BCK_TX                        : t_unb2b_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
- -- SIGNAL i_BCK_RX                        : t_unb2b_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
+  -- SIGNAL i_RING_TX                       : t_unb2b_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
+  -- SIGNAL i_RING_RX                       : t_unb2b_board_ring_bus_2arr(c_nof_ring_bus-1 DOWNTO 0);
+  -- SIGNAL i_BCK_TX                        : t_unb2b_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
+  -- SIGNAL i_BCK_RX                        : t_unb2b_board_back_bus_2arr(c_nof_back_bus-1 DOWNTO 0);
 
   signal serial_10G_tx_back_arr          : std_logic_vector(c_nof_streams_back0 + c_nof_streams_back1 - 1 downto 0) := (others => '0');
   signal serial_10G_rx_back_arr          : std_logic_vector(c_nof_streams_back0 + c_nof_streams_back1 - 1 downto 0);
@@ -356,13 +356,13 @@ architecture str of unb2b_test is
   signal reg_diag_tx_seq_10GbE_mosi      : t_mem_mosi;
   signal reg_diag_tx_seq_10GbE_miso      : t_mem_miso;
 
---  SIGNAL reg_dp_offload_tx_1GbE_mosi         : t_mem_mosi;
---  SIGNAL reg_dp_offload_tx_1GbE_miso         : t_mem_miso;
---  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_mosi : t_mem_mosi;
---  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_miso : t_mem_miso;
---
---  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_mosi : t_mem_mosi;
---  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_miso : t_mem_miso;
+  --  SIGNAL reg_dp_offload_tx_1GbE_mosi         : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_tx_1GbE_miso         : t_mem_miso;
+  --  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_mosi : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_tx_1GbE_hdr_dat_miso : t_mem_miso;
+  --
+  --  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_mosi : t_mem_mosi;
+  --  SIGNAL reg_dp_offload_rx_1GbE_hdr_dat_miso : t_mem_miso;
 
   signal reg_bsn_monitor_1GbE_mosi       : t_mem_mosi;
   signal reg_bsn_monitor_1GbE_miso       : t_mem_miso;
@@ -441,381 +441,381 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2b_board_lib.ctrl_unb2b_board
-  generic map (
-    g_sim                     => g_sim,
-    g_technology              => g_technology,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => sel_a_b(g_sim,c_unb2b_board_mm_clk_freq_25M,c_unb2b_board_mm_clk_freq_125M),
-    g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2b_board_aux,
-    g_udp_offload             => c_use_1GbE,
-    g_udp_offload_nof_streams => c_nof_streams_1GbE,
-    g_dp_clk_use_pll          => true,
-    g_factory_image           => g_factory_image
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-
-    ext_clk200               => ext_clk200,
-    ext_rst200               => ext_rst200,
-
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    mb_I_ref_rst             => mb_I_ref_rst,
-    mb_II_ref_rst            => mb_II_ref_rst,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_mm_rst             => eth1g_eth0_mm_rst,
-    eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
-    eth1g_tse_miso           => eth1g_eth0_tse_miso,
-    eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
-    eth1g_reg_miso           => eth1g_eth0_reg_miso,
-    eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
-    eth1g_ram_miso           => eth1g_eth0_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
-    udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
-    udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
-    udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
-    -- PM bus
-    PMBUS_SC                 => PMBUS_SC,
-    PMBUS_SD                 => PMBUS_SD,
-    PMBUS_ALERT              => PMBUS_ALERT,
-
-    -- . DDR reference clock domains reset creation
-    MB_I_REF_CLK             => MB_I_REF_CLK,
-    MB_II_REF_CLK            => MB_II_REF_CLK,
-    -- . 1GbE Control Interface
-    ETH_CLK                  => ETH_CLK,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_technology              => g_technology,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => sel_a_b(g_sim,c_unb2b_board_mm_clk_freq_25M,c_unb2b_board_mm_clk_freq_125M),
+      g_eth_clk_freq            => c_unb2b_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2b_board_aux,
+      g_udp_offload             => c_use_1GbE,
+      g_udp_offload_nof_streams => c_nof_streams_1GbE,
+      g_dp_clk_use_pll          => true,
+      g_factory_image           => g_factory_image
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+
+      ext_clk200               => ext_clk200,
+      ext_rst200               => ext_rst200,
+
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      mb_I_ref_rst             => mb_I_ref_rst,
+      mb_II_ref_rst            => mb_II_ref_rst,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_mm_rst             => eth1g_eth0_mm_rst,
+      eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
+      eth1g_tse_miso           => eth1g_eth0_tse_miso,
+      eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
+      eth1g_reg_miso           => eth1g_eth0_reg_miso,
+      eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
+      eth1g_ram_miso           => eth1g_eth0_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  eth1g_udp_tx_sosi_arr,
+      udp_tx_siso_arr          =>  eth1g_udp_tx_siso_arr,
+      udp_rx_sosi_arr          =>  eth1g_udp_rx_sosi_arr,
+      udp_rx_siso_arr          =>  eth1g_udp_rx_siso_arr,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      SENS_SC                  => SENS_SC,
+      SENS_SD                  => SENS_SD,
+      -- PM bus
+      PMBUS_SC                 => PMBUS_SC,
+      PMBUS_SD                 => PMBUS_SD,
+      PMBUS_ALERT              => PMBUS_ALERT,
+
+      -- . DDR reference clock domains reset creation
+      MB_I_REF_CLK             => MB_I_REF_CLK,
+      MB_II_REF_CLK            => MB_II_REF_CLK,
+      -- . 1GbE Control Interface
+      ETH_CLK                  => ETH_CLK,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2b_test
-  generic map (
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_technology        => g_technology,
-    g_bg_block_size     => c_bg_block_size,
-    g_hdr_field_arr     => c_hdr_field_arr,
-    g_nof_streams_1GbE  => c_unb2b_board_nof_eth,
-    g_nof_streams_qsfp  => c_unb2b_board_tr_qsfp.nof_bus * c_unb2b_board_tr_qsfp.bus_w,
-    g_nof_streams_ring  => 24,  -- c_unb2b_board_tr_ring.nof_bus * c_unb2b_board_tr_ring.bus_w,
-    g_nof_streams_back0 => 24,  -- c_unb2b_board_tr_back.bus_w,
-    g_nof_streams_back1 => 24  -- c_unb2b_board_tr_back.bus_w
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
-    reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
-    eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
-    eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
-    eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
-    eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
-    eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
-    eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
-    eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
-
-    -- eth1g ch1
-    eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
-    eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
-    eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
-    eth1g_eth1_reg_mosi      => eth1g_eth1_reg_mosi,
-    eth1g_eth1_reg_miso      => eth1g_eth1_reg_miso,
-    eth1g_eth1_reg_interrupt => eth1g_eth1_reg_interrupt,
-    eth1g_eth1_ram_mosi      => eth1g_eth1_ram_mosi,
-    eth1g_eth1_ram_miso      => eth1g_eth1_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- block gen
-    ram_diag_bg_1GbE_mosi          => ram_diag_bg_1GbE_mosi,
-    ram_diag_bg_1GbE_miso          => ram_diag_bg_1GbE_miso,
-    reg_diag_bg_1GbE_mosi          => reg_diag_bg_1GbE_mosi,
-    reg_diag_bg_1GbE_miso          => reg_diag_bg_1GbE_miso,
-    reg_diag_tx_seq_1GbE_mosi      => reg_diag_tx_seq_1GbE_mosi,
-    reg_diag_tx_seq_1GbE_miso      => reg_diag_tx_seq_1GbE_miso,
-
-    ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
-    ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
-    reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
-    reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
-    reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
-    reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
-
-    -- dp_offload_tx
---    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
---    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
---    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
---    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
---
---    -- dp_offload_rx
---    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
---    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-
-    -- bsn
-    reg_bsn_monitor_1GbE_mosi      => reg_bsn_monitor_1GbE_mosi,
-    reg_bsn_monitor_1GbE_miso      => reg_bsn_monitor_1GbE_miso,
-    reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
-    reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
-
-    -- databuffer
-    ram_diag_data_buf_1GbE_mosi    => ram_diag_data_buf_1GbE_mosi,
-    ram_diag_data_buf_1GbE_miso    => ram_diag_data_buf_1GbE_miso,
-    reg_diag_data_buf_1GbE_mosi    => reg_diag_data_buf_1GbE_mosi,
-    reg_diag_data_buf_1GbE_miso    => reg_diag_data_buf_1GbE_miso,
-    reg_diag_rx_seq_1GbE_mosi      => reg_diag_rx_seq_1GbE_mosi,
-    reg_diag_rx_seq_1GbE_miso      => reg_diag_rx_seq_1GbE_miso,
-
-    ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
-    ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
-    reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
-    reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
-    reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
-    reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
-
-    -- 10GbE
-
-    reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
-    reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
-
-    reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
-    reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
-
-    reg_tr_10GbE_back1_mosi        => reg_tr_10GbE_back1_mosi,
-    reg_tr_10GbE_back1_miso        => reg_tr_10GbE_back1_miso,
-
-    -- eth10g status
-    reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
-    reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
-
-    reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
-    reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
-
-    reg_eth10g_back1_mosi          => reg_eth10g_back1_mosi,
-    reg_eth10g_back1_miso          => reg_eth10g_back1_miso,
-
-    -- DDR4 : MB I
-    reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
-    reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
-    reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
-    reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
-    reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
-    reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
-    reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
-    reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
-    ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
-    ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
-
-    -- DDR4 : MB II
-    reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
-    reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
-    reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
-    reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
-    reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
-    reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
-    reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
-    reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
-    ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
-    ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso
-  );
-
-
-  gen_udp_stream_1GbE : if c_use_1GbE = true generate
-    u_udp_stream_1GbE : entity work.udp_stream
     generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_1GbE,
-      g_data_w                    => c_data_w_32,
-      g_bg_block_size             => c_def_1GbE_block_size,
-      g_bg_gapsize                => c_bg_gapsize_1GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_1GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
-      g_remove_crc                => true
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_technology        => g_technology,
+      g_bg_block_size     => c_bg_block_size,
+      g_hdr_field_arr     => c_hdr_field_arr,
+      g_nof_streams_1GbE  => c_unb2b_board_nof_eth,
+      g_nof_streams_qsfp  => c_unb2b_board_tr_qsfp.nof_bus * c_unb2b_board_tr_qsfp.bus_w,
+      g_nof_streams_ring  => 24,  -- c_unb2b_board_tr_ring.nof_bus * c_unb2b_board_tr_ring.bus_w,
+      g_nof_streams_back0 => 24,  -- c_unb2b_board_tr_back.bus_w,
+      g_nof_streams_back1 => 24  -- c_unb2b_board_tr_back.bus_w
     )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-
-      ID                             => ID,
-
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      reg_unb_pmbus_mosi       => reg_unb_pmbus_mosi,
+      reg_unb_pmbus_miso       => reg_unb_pmbus_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
+      eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
+      eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
+      eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
+      eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
+      eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
+      eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
+      eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
+
+      -- eth1g ch1
+      eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
+      eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
+      eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
+      eth1g_eth1_reg_mosi      => eth1g_eth1_reg_mosi,
+      eth1g_eth1_reg_miso      => eth1g_eth1_reg_miso,
+      eth1g_eth1_reg_interrupt => eth1g_eth1_reg_interrupt,
+      eth1g_eth1_ram_mosi      => eth1g_eth1_ram_mosi,
+      eth1g_eth1_ram_miso      => eth1g_eth1_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- block gen
+      ram_diag_bg_1GbE_mosi          => ram_diag_bg_1GbE_mosi,
+      ram_diag_bg_1GbE_miso          => ram_diag_bg_1GbE_miso,
+      reg_diag_bg_1GbE_mosi          => reg_diag_bg_1GbE_mosi,
+      reg_diag_bg_1GbE_miso          => reg_diag_bg_1GbE_miso,
+      reg_diag_tx_seq_1GbE_mosi      => reg_diag_tx_seq_1GbE_mosi,
+      reg_diag_tx_seq_1GbE_miso      => reg_diag_tx_seq_1GbE_miso,
+
+      ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
+      ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
+      reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
+      reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
+      reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
+      reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
 
       -- dp_offload_tx
---      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
---      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
---      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
---      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
-      dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
-
-      -- dp_offload_rx
---      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
---      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+      --    reg_dp_offload_tx_1GbE_mosi          => reg_dp_offload_tx_1GbE_mosi,
+      --    reg_dp_offload_tx_1GbE_miso          => reg_dp_offload_tx_1GbE_miso,
+      --    reg_dp_offload_tx_1GbE_hdr_dat_mosi  => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+      --    reg_dp_offload_tx_1GbE_hdr_dat_miso  => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+      --
+      --    -- dp_offload_rx
+      --    reg_dp_offload_rx_1GbE_hdr_dat_mosi  => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+      --    reg_dp_offload_rx_1GbE_hdr_dat_miso  => reg_dp_offload_rx_1GbE_hdr_dat_miso,
 
       -- bsn
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_1GbE_mosi      => reg_bsn_monitor_1GbE_mosi,
+      reg_bsn_monitor_1GbE_miso      => reg_bsn_monitor_1GbE_miso,
+      reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
+      reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
 
       -- databuffer
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      ram_diag_data_buf_1GbE_mosi    => ram_diag_data_buf_1GbE_mosi,
+      ram_diag_data_buf_1GbE_miso    => ram_diag_data_buf_1GbE_miso,
+      reg_diag_data_buf_1GbE_mosi    => reg_diag_data_buf_1GbE_mosi,
+      reg_diag_data_buf_1GbE_miso    => reg_diag_data_buf_1GbE_miso,
+      reg_diag_rx_seq_1GbE_mosi      => reg_diag_rx_seq_1GbE_mosi,
+      reg_diag_rx_seq_1GbE_miso      => reg_diag_rx_seq_1GbE_miso,
+
+      ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
+      ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
+      reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
+      reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
+      reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
+      reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
+
+      -- 10GbE
+
+      reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
+      reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
+
+      reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
+      reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
+
+      reg_tr_10GbE_back1_mosi        => reg_tr_10GbE_back1_mosi,
+      reg_tr_10GbE_back1_miso        => reg_tr_10GbE_back1_miso,
+
+      -- eth10g status
+      reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
+      reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
+
+      reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
+      reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
+
+      reg_eth10g_back1_mosi          => reg_eth10g_back1_mosi,
+      reg_eth10g_back1_miso          => reg_eth10g_back1_miso,
+
+      -- DDR4 : MB I
+      reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
+      reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
+      reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
+      reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
+      reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
+      reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
+      reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
+      reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
+      ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
+      ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
+
+      -- DDR4 : MB II
+      reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
+      reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
+      reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
+      reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
+      reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
+      reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
+      reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
+      reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
+      ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
+      ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso
     );
+
+
+  gen_udp_stream_1GbE : if c_use_1GbE = true generate
+    u_udp_stream_1GbE : entity work.udp_stream
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_1GbE,
+        g_data_w                    => c_data_w_32,
+        g_bg_block_size             => c_def_1GbE_block_size,
+        g_bg_gapsize                => c_bg_gapsize_1GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_1GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_1GbE,
+        g_remove_crc                => true
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+
+        ID                             => ID,
+
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_1GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_1GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_1GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_1GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_1GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_1GbE_miso,
+
+        -- dp_offload_tx
+        --      reg_dp_offload_tx_mosi         => reg_dp_offload_tx_1GbE_mosi,
+        --      reg_dp_offload_tx_miso         => reg_dp_offload_tx_1GbE_miso,
+        --      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_1GbE_hdr_dat_mosi,
+        --      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_1GbE_hdr_dat_miso,
+        dp_offload_tx_src_out_arr      => dp_offload_tx_1GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_1GbE_src_in_arr,
+
+        -- dp_offload_rx
+        --      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_1GbE_hdr_dat_mosi,
+        --      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_1GbE_hdr_dat_miso,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_1GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_1GbE_snk_out_arr,
+
+        -- bsn
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_1GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_1GbE_miso,
+
+        -- databuffer
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_1GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_1GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_1GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_1GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_1GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_1GbE_miso
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -835,90 +835,90 @@ begin
 
   gen_udp_stream_10GbE : if c_use_10GbE = true generate
     u_udp_stream_10GbE : entity work.udp_stream
-    generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0 + c_nof_streams_back1,
-      g_data_w                    => c_data_w_64,
-      g_bg_block_size             => c_bg_block_size,
-      g_bg_gapsize                => c_bg_gapsize_10GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_10GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
-      g_remove_crc                => false
-    )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-      ID                             => ID,
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
-
-
-      -- loopback:
-      --dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      --dp_offload_tx_src_in_arr       => (OTHERS=>c_dp_siso_rdy),
-      --dp_offload_rx_snk_in_arr       => dp_offload_tx_10GbE_src_out_arr,
-      --dp_offload_rx_snk_out_arr      => dp_offload_tx_10GbE_src_in_arr,
-
-      -- connect to dp_offload:
-      dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
-
-
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
-
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
-    );
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0 + c_nof_streams_back1,
+        g_data_w                    => c_data_w_64,
+        g_bg_block_size             => c_bg_block_size,
+        g_bg_gapsize                => c_bg_gapsize_10GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_10GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
+        g_remove_crc                => false
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+        ID                             => ID,
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
+
+
+        -- loopback:
+        --dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        --dp_offload_tx_src_in_arr       => (OTHERS=>c_dp_siso_rdy),
+        --dp_offload_rx_snk_in_arr       => dp_offload_tx_10GbE_src_out_arr,
+        --dp_offload_rx_snk_out_arr      => dp_offload_tx_10GbE_src_in_arr,
+
+        -- connect to dp_offload:
+        dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
+
+
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
+
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
+      );
 
 
     u_tr_10GbE_qsfp_and_ring: entity unb2b_board_10gbe_lib.unb2b_board_10gbe  -- QSFP and Ring lines
-    generic map (
-      g_sim           => g_sim,
-      g_sim_level     => 1,
-      g_technology    => g_technology,
-      g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
-      g_tx_fifo_fill  => c_def_10GbE_block_size,
-      g_tx_fifo_size  => c_def_10GbE_block_size * 2
-    )
-    port map (
-      tr_ref_clk          => SA_CLK,
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
-      reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
-      reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
-      reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
-
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-
-      serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
-      serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_sim_level     => 1,
+        g_technology    => g_technology,
+        g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
+        g_tx_fifo_fill  => c_def_10GbE_block_size,
+        g_tx_fifo_size  => c_def_10GbE_block_size * 2
+      )
+      port map (
+        tr_ref_clk          => SA_CLK,
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
+        reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
+        reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
+        reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
+
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+
+        serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
+        serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
+      );
 
     gen_qsfp_wires: for i in 0 to c_nof_streams_qsfp - 1 generate
-        serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
+      serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
       i_serial_10G_rx_qsfp_ring_arr(i) <=   serial_10G_rx_qsfp_arr(i);
     end generate;
 
@@ -940,163 +940,163 @@ begin
 
 
     u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_nof_qsfp_bus
-    )
-    port map (
-      serial_tx_arr => serial_10G_tx_qsfp_arr,
-      serial_rx_arr => serial_10G_rx_qsfp_arr,
-
-      green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
-
-      QSFP_RX    => i_QSFP_RX,
-      QSFP_TX    => i_QSFP_TX,
-
-      --QSFP_SDA   => QSFP_SDA,
-      --QSFP_SCL   => QSFP_SCL,
-      --QSFP_RST   => QSFP_RST,
-
-      QSFP_LED   => QSFP_LED
-    );
-
---    gen_ring_wires: FOR i IN 0 TO c_nof_streams_ring-1 GENERATE
---        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i+c_nof_streams_qsfp);
---      i_serial_10G_rx_qsfp_ring_arr(i+c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
---    END GENERATE;
---
---    i_RING_RX(0) <= RING_0_RX;
---    i_RING_RX(1) <= RING_1_RX;
---    RING_0_TX <= i_RING_TX(0);
---    RING_1_TX <= i_RING_TX(1);
---
---    u_ring_io : ENTITY unb2b_board_lib.unb2b_board_ring_io
---    GENERIC MAP (
---      g_nof_ring_bus => 2--c_nof_ring_bus
---    )
---    PORT MAP (
---      serial_tx_arr => serial_10G_tx_ring_arr,
---      serial_rx_arr => serial_10G_rx_ring_arr,
---      RING_RX => i_RING_RX,
---      RING_TX => i_RING_TX
---    );
-
-
---    u_tr_10GbE_back: ENTITY unb2b_board_10gbe_lib.unb2b_board_10gbe -- BACK lines
---    GENERIC MAP (
---      g_sim           => g_sim,
---      g_sim_level     => 1,
---      g_technology    => g_technology,
---      g_nof_macs      => c_nof_streams_back0,
---      g_tx_fifo_fill  => c_def_10GbE_block_size,
---      g_tx_fifo_size  => c_def_10GbE_block_size*2
---    )
---    PORT MAP (
---      tr_ref_clk          => SB_CLK,
---      mm_rst              => mm_rst,
---      mm_clk              => mm_clk,
---      reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
---      reg_mac_miso        => reg_tr_10GbE_back0_miso,
---      reg_eth10g_mosi     => reg_eth10g_back0_mosi,
---      reg_eth10g_miso     => reg_eth10g_back0_miso,
---      dp_rst              => dp_rst,
---      dp_clk              => dp_clk,
---
---      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
---      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-----      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0-1 DOWNTO 0),
-----      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0-1 DOWNTO 0),
---
---      serial_tx_arr       => i_serial_10G_tx_back0_arr,
---      serial_rx_arr       => i_serial_10G_rx_back0_arr
---    );
---
---    gen_back0_wires: FOR i IN 0 TO c_nof_streams_back0-1 GENERATE
---        serial_10G_tx_back_arr(i)  <= i_serial_10G_tx_back0_arr(i);
---      i_serial_10G_rx_back0_arr(i) <=   serial_10G_rx_back_arr(i);
---    END GENERATE;
---    --gen_back1_wires: FOR i IN 0 TO c_nof_streams_back1-1 GENERATE
---    --    serial_10G_tx_back_arr(i+c_nof_streams_back0) <= i_serial_10G_tx_back1_arr(i);
---    --  i_serial_10G_rx_back1_arr(i) <= serial_10G_rx_back_arr(i+c_nof_streams_back0);
---    --END GENERATE;
---
---    u_back_io : ENTITY unb2b_board_lib.unb2b_board_back_io
---    GENERIC MAP (
---      g_nof_back_bus => c_nof_back_bus
---    )
---    PORT MAP (
---      serial_tx_arr => serial_10G_tx_back_arr,
---      serial_rx_arr => serial_10G_rx_back_arr,
---
---      -- Serial I/O
---      -- back transceivers
---      BCK_RX(0)  => BCK_RX(c_nof_streams_back0-1 downto 0),
---      BCK_TX(0)  => BCK_TX(c_nof_streams_back0-1 downto 0),
---      --BCK_RX(1)  => BCK_RX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
---      --BCK_TX(1)  => BCK_TX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
---
---      BCK_SDA => BCK_SDA,
---      BCK_SCL => BCK_SCL,
---      BCK_ERR => BCK_ERR
---    );
+      generic map (
+        g_nof_qsfp_bus => c_nof_qsfp_bus
+      )
+      port map (
+        serial_tx_arr => serial_10G_tx_qsfp_arr,
+        serial_rx_arr => serial_10G_rx_qsfp_arr,
+
+        green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
+
+        QSFP_RX    => i_QSFP_RX,
+        QSFP_TX    => i_QSFP_TX,
+
+        --QSFP_SDA   => QSFP_SDA,
+        --QSFP_SCL   => QSFP_SCL,
+        --QSFP_RST   => QSFP_RST,
+
+        QSFP_LED   => QSFP_LED
+      );
+
+    --    gen_ring_wires: FOR i IN 0 TO c_nof_streams_ring-1 GENERATE
+    --        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i+c_nof_streams_qsfp);
+    --      i_serial_10G_rx_qsfp_ring_arr(i+c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
+    --    END GENERATE;
+    --
+    --    i_RING_RX(0) <= RING_0_RX;
+    --    i_RING_RX(1) <= RING_1_RX;
+    --    RING_0_TX <= i_RING_TX(0);
+    --    RING_1_TX <= i_RING_TX(1);
+    --
+    --    u_ring_io : ENTITY unb2b_board_lib.unb2b_board_ring_io
+    --    GENERIC MAP (
+    --      g_nof_ring_bus => 2--c_nof_ring_bus
+    --    )
+    --    PORT MAP (
+    --      serial_tx_arr => serial_10G_tx_ring_arr,
+    --      serial_rx_arr => serial_10G_rx_ring_arr,
+    --      RING_RX => i_RING_RX,
+    --      RING_TX => i_RING_TX
+    --    );
+
+
+    --    u_tr_10GbE_back: ENTITY unb2b_board_10gbe_lib.unb2b_board_10gbe -- BACK lines
+    --    GENERIC MAP (
+    --      g_sim           => g_sim,
+    --      g_sim_level     => 1,
+    --      g_technology    => g_technology,
+    --      g_nof_macs      => c_nof_streams_back0,
+    --      g_tx_fifo_fill  => c_def_10GbE_block_size,
+    --      g_tx_fifo_size  => c_def_10GbE_block_size*2
+    --    )
+    --    PORT MAP (
+    --      tr_ref_clk          => SB_CLK,
+    --      mm_rst              => mm_rst,
+    --      mm_clk              => mm_clk,
+    --      reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
+    --      reg_mac_miso        => reg_tr_10GbE_back0_miso,
+    --      reg_eth10g_mosi     => reg_eth10g_back0_mosi,
+    --      reg_eth10g_miso     => reg_eth10g_back0_miso,
+    --      dp_rst              => dp_rst,
+    --      dp_clk              => dp_clk,
+    --
+    --      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    --      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+    ----      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0-1 DOWNTO 0),
+    ----      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0-1 DOWNTO 0),
+    --
+    --      serial_tx_arr       => i_serial_10G_tx_back0_arr,
+    --      serial_rx_arr       => i_serial_10G_rx_back0_arr
+    --    );
+    --
+    --    gen_back0_wires: FOR i IN 0 TO c_nof_streams_back0-1 GENERATE
+    --        serial_10G_tx_back_arr(i)  <= i_serial_10G_tx_back0_arr(i);
+    --      i_serial_10G_rx_back0_arr(i) <=   serial_10G_rx_back_arr(i);
+    --    END GENERATE;
+    --    --gen_back1_wires: FOR i IN 0 TO c_nof_streams_back1-1 GENERATE
+    --    --    serial_10G_tx_back_arr(i+c_nof_streams_back0) <= i_serial_10G_tx_back1_arr(i);
+    --    --  i_serial_10G_rx_back1_arr(i) <= serial_10G_rx_back_arr(i+c_nof_streams_back0);
+    --    --END GENERATE;
+    --
+    --    u_back_io : ENTITY unb2b_board_lib.unb2b_board_back_io
+    --    GENERIC MAP (
+    --      g_nof_back_bus => c_nof_back_bus
+    --    )
+    --    PORT MAP (
+    --      serial_tx_arr => serial_10G_tx_back_arr,
+    --      serial_rx_arr => serial_10G_rx_back_arr,
+    --
+    --      -- Serial I/O
+    --      -- back transceivers
+    --      BCK_RX(0)  => BCK_RX(c_nof_streams_back0-1 downto 0),
+    --      BCK_TX(0)  => BCK_TX(c_nof_streams_back0-1 downto 0),
+    --      --BCK_RX(1)  => BCK_RX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
+    --      --BCK_TX(1)  => BCK_TX(c_nof_streams_back0+c_nof_streams_back1-1 downto c_nof_streams_back0),
+    --
+    --      BCK_SDA => BCK_SDA,
+    --      BCK_SCL => BCK_SCL,
+    --      BCK_ERR => BCK_ERR
+    --    );
 
 
     u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-    generic map (
-      g_sim             => g_sim,
-      g_factory_image   => g_factory_image,
-      g_nof_qsfp        => c_nof_qsfp_bus,
-      g_pulse_us        => 1000 / (10**9 / c_unb2b_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst               => dp_rst,
-      clk               => dp_clk,
-
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-
-      tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
-      tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
-      rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
-
-      green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
-    );
+      generic map (
+        g_sim             => g_sim,
+        g_factory_image   => g_factory_image,
+        g_nof_qsfp        => c_nof_qsfp_bus,
+        g_pulse_us        => 1000 / (10**9 / c_unb2b_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst               => dp_rst,
+        clk               => dp_clk,
+
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+
+        tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
+        tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
+        rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
+
+        green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
+      );
   end generate;
 
   gen_no_udp_stream_10GbE : if c_use_10GbE = false generate
     u_front_io : entity unb2b_board_lib.unb2b_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
-    )
-    port map (
-      green_led_arr => qsfp_green_led_arr,
-      red_led_arr   => qsfp_red_led_arr,
-      QSFP_LED      => QSFP_LED
-    );
+      generic map (
+        g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus
+      )
+      port map (
+        green_led_arr => qsfp_green_led_arr,
+        red_led_arr   => qsfp_red_led_arr,
+        QSFP_LED      => QSFP_LED
+      );
 
     u_front_led : entity unb2b_board_lib.unb2b_board_qsfp_leds
-    generic map (
-      g_sim           => g_sim,
-      g_factory_image => g_factory_image,
-      g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
-      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst             => mm_rst,
-      clk             => mm_clk,
-      green_led_arr   => qsfp_green_led_arr,
-      red_led_arr     => qsfp_red_led_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_factory_image => g_factory_image,
+        g_nof_qsfp      => c_unb2b_board_tr_qsfp.nof_bus,
+        g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst             => mm_rst,
+        clk             => mm_clk,
+        green_led_arr   => qsfp_green_led_arr,
+        red_led_arr     => qsfp_red_led_arr
+      );
   end generate;
 
 
@@ -1109,156 +1109,156 @@ begin
   gen_stream_MB_I : if c_use_MB_I = true generate
 
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr    => g_sim_model_ddr,
-      g_technology       => g_technology,
-
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
-
-      -- IO_DDR
-      g_io_tech_ddr      => g_ddr_MB_I,
-
-      -- DIAG data buffer
-      g_db_use_db        => false,
-      g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_I_REF_CLK,
-      ctlr_ref_rst        => mb_I_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_I_clk200,
-      ctlr_rst_out        => ddr_I_rst200,
-
-      ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_I_IN,
-      phy4_io             => MB_I_IO,
-      phy4_ou             => MB_I_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
-    );
+      generic map (
+        -- System
+        g_sim_model_ddr    => g_sim_model_ddr,
+        g_technology       => g_technology,
+
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+
+        -- IO_DDR
+        g_io_tech_ddr      => g_ddr_MB_I,
+
+        -- DIAG data buffer
+        g_db_use_db        => false,
+        g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_I_REF_CLK,
+        ctlr_ref_rst        => mb_I_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_I_clk200,
+        ctlr_rst_out        => ddr_I_rst200,
+
+        ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_I_IN,
+        phy4_io             => MB_I_IO,
+        phy4_ou             => MB_I_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
+      );
   end generate;
 
   gen_stream_MB_II : if c_use_MB_II = true generate
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr   => g_sim_model_ddr,
-      g_technology      => g_technology,
-
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
-
-      -- IO_DDR
-      g_io_tech_ddr     => g_ddr_MB_II,
-
-      -- DIAG data buffer
-      g_db_use_db       => false,
-      g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_II_REF_CLK,
-      ctlr_ref_rst        => mb_II_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_II_clk200,
-      ctlr_rst_out        => ddr_II_rst200,
-
-      ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_II_IN,
-      phy4_io             => MB_II_IO,
-      phy4_ou             => MB_II_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
-    );
+      generic map (
+        -- System
+        g_sim_model_ddr   => g_sim_model_ddr,
+        g_technology      => g_technology,
+
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+
+        -- IO_DDR
+        g_io_tech_ddr     => g_ddr_MB_II,
+
+        -- DIAG data buffer
+        g_db_use_db       => false,
+        g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_II_REF_CLK,
+        ctlr_ref_rst        => mb_II_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_II_clk200,
+        ctlr_rst_out        => ddr_II_rst200,
+
+        ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_II_IN,
+        phy4_io             => MB_II_IO,
+        phy4_ou             => MB_II_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test_pkg.vhd b/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test_pkg.vhd
index f78eda85f9..341ebd3375 100644
--- a/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/src/vhdl/unb2b_test_pkg.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 package unb2b_test_pkg is
 
@@ -31,27 +31,27 @@ package unb2b_test_pkg is
   --CONSTANT c_nof_hdr_fields : NATURAL := 1+3+12+4+2; -- Total header bits = 384 = 6 64b words
   constant c_nof_hdr_fields : natural := 3 + 12 + 4 + 2;  -- Total header bits = 384 = 6 64b words
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := (  -- ( field_name_pad("align"              ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
+    ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
 
   --CONSTANT c_hdr_field_ovr_init         : STD_LOGIC_VECTOR(c_nof_hdr_fields-1 DOWNTO 0) := "1001"&"111111111100"&"0011"&"00";
   constant c_hdr_field_ovr_init         : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := "001" & "111111111100" & "0011" & "00";
diff --git a/boards/uniboard2b/designs/unb2b_test/tb/vhdl/tb_unb2b_test.vhd b/boards/uniboard2b/designs/unb2b_test/tb/vhdl/tb_unb2b_test.vhd
index 8a8e92d8e2..477b2d2300 100644
--- a/boards/uniboard2b/designs/unb2b_test/tb/vhdl/tb_unb2b_test.vhd
+++ b/boards/uniboard2b/designs/unb2b_test/tb/vhdl/tb_unb2b_test.vhd
@@ -43,14 +43,14 @@
 --
 
 library IEEE, common_lib, unb2b_board_lib, i2c_lib, technology_lib, tech_pll_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2b_board_lib.unb2b_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2b_board_lib.unb2b_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_unb2b_test is
   generic (
@@ -187,143 +187,143 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_unb2b_test : entity work.unb2b_test
-  generic map (
-    g_design_name   => g_design_name,
-    g_sim           => c_sim,
-    g_sim_unb_nr    => c_unb_nr,
-    g_sim_node_nr   => c_node_nr,
-    g_sim_model_ddr => g_sim_model_ddr,
-    g_ddr_MB_I      => c_ddr_MB_I,
-    g_ddr_MB_II     => c_ddr_MB_II
-  )
-  port map (
-    -- GENERAL
-    CLK         => clk,
-    PPS         => pps,
-    WDI         => WDI,
-    INTA        => INTA,
-    INTB        => INTB,
-
-    SENS_SC     => sens_scl,
-    SENS_SD     => sens_sda,
-
-    -- Others
-    VERSION     => VERSION,
-    ID          => ID,
-    TESTIO      => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_CLK     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK      => sa_clk,
-    SB_CLK      => sb_clk,
-    BCK_REF_CLK => bck_ref_clk,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => mb_I_ref_clk,
-    MB_II_REF_CLK => mb_II_ref_clk,
-
-    PMBUS_ALERT => '0',
-
-    -- Serial I/O
- --   QSFP_0_TX  => si_lpbk_0,
- --   QSFP_0_RX  => si_lpbk_0,
---    QSFP_1_TX  => si_lpbk_1,
---    QSFP_1_RX  => si_lpbk_1,
---    QSFP_2_TX  => si_lpbk_2,
---    QSFP_2_RX  => si_lpbk_2,
---    QSFP_3_TX  => si_lpbk_3,
---    QSFP_3_RX  => si_lpbk_3,
---    QSFP_4_TX  => si_lpbk_4,
---    QSFP_4_RX  => si_lpbk_4,
---    QSFP_5_TX  => si_lpbk_5,
---    QSFP_5_RX  => si_lpbk_5,
---
---    RING_0_TX  => si_lpbk_6,
---    RING_0_RX  => si_lpbk_6,
---    RING_1_TX  => si_lpbk_7,
---    RING_1_RX  => si_lpbk_7,
---
---    BCK_TX     => si_lpbk_8,
---    BCK_RX     => si_lpbk_8,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN    => MB_I_IN,
-    MB_I_IO    => MB_I_IO,
-    MB_I_OU    => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN   => MB_II_IN,
-    MB_II_IO   => MB_II_IO,
-    MB_II_OU   => MB_II_OU,
-
-    -- Leds
-    QSFP_LED   => qsfp_led
-  );
+    generic map (
+      g_design_name   => g_design_name,
+      g_sim           => c_sim,
+      g_sim_unb_nr    => c_unb_nr,
+      g_sim_node_nr   => c_node_nr,
+      g_sim_model_ddr => g_sim_model_ddr,
+      g_ddr_MB_I      => c_ddr_MB_I,
+      g_ddr_MB_II     => c_ddr_MB_II
+    )
+    port map (
+      -- GENERAL
+      CLK         => clk,
+      PPS         => pps,
+      WDI         => WDI,
+      INTA        => INTA,
+      INTB        => INTB,
+
+      SENS_SC     => sens_scl,
+      SENS_SD     => sens_sda,
+
+      -- Others
+      VERSION     => VERSION,
+      ID          => ID,
+      TESTIO      => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_CLK     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK      => sa_clk,
+      SB_CLK      => sb_clk,
+      BCK_REF_CLK => bck_ref_clk,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => mb_I_ref_clk,
+      MB_II_REF_CLK => mb_II_ref_clk,
+
+      PMBUS_ALERT => '0',
+
+      -- Serial I/O
+      --   QSFP_0_TX  => si_lpbk_0,
+      --   QSFP_0_RX  => si_lpbk_0,
+      --    QSFP_1_TX  => si_lpbk_1,
+      --    QSFP_1_RX  => si_lpbk_1,
+      --    QSFP_2_TX  => si_lpbk_2,
+      --    QSFP_2_RX  => si_lpbk_2,
+      --    QSFP_3_TX  => si_lpbk_3,
+      --    QSFP_3_RX  => si_lpbk_3,
+      --    QSFP_4_TX  => si_lpbk_4,
+      --    QSFP_4_RX  => si_lpbk_4,
+      --    QSFP_5_TX  => si_lpbk_5,
+      --    QSFP_5_RX  => si_lpbk_5,
+      --
+      --    RING_0_TX  => si_lpbk_6,
+      --    RING_0_RX  => si_lpbk_6,
+      --    RING_1_TX  => si_lpbk_7,
+      --    RING_1_RX  => si_lpbk_7,
+      --
+      --    BCK_TX     => si_lpbk_8,
+      --    BCK_RX     => si_lpbk_8,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN    => MB_I_IN,
+      MB_I_IO    => MB_I_IO,
+      MB_I_OU    => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN   => MB_II_IN,
+      MB_II_IO   => MB_II_IO,
+      MB_II_OU   => MB_II_OU,
+
+      -- Leds
+      QSFP_LED   => qsfp_led
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard sensors
   ------------------------------------------------------------------------------
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA back node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => sens_scl,
-    sda  => sens_sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => sens_scl,
+      sda  => sens_sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => sens_scl,
-    sda               => sens_sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => sens_scl,
+      sda               => sens_sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard DDR4
   ------------------------------------------------------------------------------
 
   u_tech_ddr_memory_model_MB_I : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_I
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_I_OU,
-    mem4_io => MB_I_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_I
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_I_OU,
+      mem4_io => MB_I_IO
+    );
 
   u_tech_ddr_memory_model_MB_II : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_II
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_II_OU,
-    mem4_io => MB_II_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_II
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_II_OU,
+      mem4_io => MB_II_IO
+    );
 
 end tb;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/ctrl_unb2b_board.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/ctrl_unb2b_board.vhd
index ca05062873..14da95c46f 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/ctrl_unb2b_board.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/ctrl_unb2b_board.vhd
@@ -25,16 +25,16 @@
 --   . ctrl_unb2b_board.vhd with e.g. 1GbE, PPS, I2C, Remu, EPCS
 
 library IEEE, common_lib, dp_lib, ppsh_lib, i2c_lib, technology_lib, tech_tse_lib, eth_lib, remu_lib, epcs_lib, tech_pll_lib, tech_clkbuf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb2b_board_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb2b_board_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity ctrl_unb2b_board is
   generic (
@@ -340,15 +340,15 @@ begin
   i_ext_clk200 <= CLK;  -- use more special name for CLK pin signal to ease searching for it in editor, the external 200 MHz CLK as ext_clk200
 
   u_common_areset_ext : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_ext_clk200,
-    out_rst   => ext_rst200
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_ext_clk200,
+      out_rst   => ext_rst200
+    );
 
   -----------------------------------------------------------------------------
   -- xo_ethclk = ETH_CLK
@@ -357,15 +357,15 @@ begin
   i_xo_ethclk <= ETH_CLK;  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_xo_ethclk,
-    out_rst   => i_xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_xo_ethclk,
+      out_rst   => i_xo_rst
+    );
 
 
   -----------------------------------------------------------------------------
@@ -374,26 +374,26 @@ begin
   -----------------------------------------------------------------------------
 
   u_common_areset_mb_I : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_I_REF_CLK,
-    out_rst   => mb_I_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_I_REF_CLK,
+      out_rst   => mb_I_ref_rst
+    );
 
   u_common_areset_mb_II : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_II_REF_CLK,
-    out_rst   => mb_II_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_II_REF_CLK,
+      out_rst   => mb_II_ref_rst
+    );
 
   -----------------------------------------------------------------------------
   -- dp_clk + dp_rst generation
@@ -407,29 +407,29 @@ begin
 
   gen_pll: if g_sim = false and g_dp_clk_use_pll = true generate
     u_unb2b_board_clk200_pll : entity work.unb2b_board_clk200_pll
+      generic map (
+        g_technology          => g_technology,
+        g_use_fpll            => true,
+        g_clk200_phase_shift  => g_dp_clk_phase
+      )
+      port map (
+        arst       => i_mm_rst,
+        clk200     => i_ext_clk200,
+        st_clk200  => dp_clk,  -- = c0
+        st_rst200  => common_areset_in_rst
+      );
+  end generate;
+
+  u_common_areset_dp_rst : entity common_lib.common_areset
     generic map (
-      g_technology          => g_technology,
-      g_use_fpll            => true,
-      g_clk200_phase_shift  => g_dp_clk_phase
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
     )
     port map (
-      arst       => i_mm_rst,
-      clk200     => i_ext_clk200,
-      st_clk200  => dp_clk,  -- = c0
-      st_rst200  => common_areset_in_rst
+      in_rst    => common_areset_in_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => dp_clk_in,
+      out_rst   => dp_rst
     );
-  end generate;
-
-  u_common_areset_dp_rst : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => common_areset_in_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => dp_clk_in,
-    out_rst   => dp_rst
-  );
 
   -----------------------------------------------------------------------------
   -- mm_clk
@@ -443,48 +443,48 @@ begin
               clk50;  -- default
 
   gen_mm_clk_sim: if g_sim = true generate
-      epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
-      clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
-      clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
-      clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
-      sim_mm_clk  <= not sim_mm_clk after g_sim_mm_clk_period / 2;
-      mm_locked   <= '0', '1' after 70 ns;
+    epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
+    clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
+    clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
+    clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
+    sim_mm_clk  <= not sim_mm_clk after g_sim_mm_clk_period / 2;
+    mm_locked   <= '0', '1' after 70 ns;
   end generate;
 
   gen_mm_clk_hardware: if g_sim = false generate
     u_unb2b_board_clk125_pll : entity work.unb2b_board_clk125_pll
+      generic map (
+        g_use_fpll   => true,
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk125     => i_xo_ethclk,
+        c0_clk20   => epcs_clk,
+        c1_clk50   => clk50,
+        c2_clk100  => clk100,
+        c3_clk125  => clk125,
+        pll_locked => mm_locked
+      );
+  end generate;
+
+  u_unb2b_board_node_ctrl : entity work.unb2b_board_node_ctrl
     generic map (
-      g_use_fpll   => true,
-      g_technology => g_technology
+      g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
     )
     port map (
-      arst       => i_xo_rst,
-      clk125     => i_xo_ethclk,
-      c0_clk20   => epcs_clk,
-      c1_clk50   => clk50,
-      c2_clk100  => clk100,
-      c3_clk125  => clk125,
-      pll_locked => mm_locked
+      -- MM clock domain reset
+      mm_clk      => i_mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => i_mm_rst,
+      -- WDI extend
+      mm_wdi_in   => pout_wdi,
+      mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
     );
-  end generate;
-
-  u_unb2b_board_node_ctrl : entity work.unb2b_board_node_ctrl
-  generic map (
-    g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => i_mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => i_mm_rst,
-    -- WDI extend
-    mm_wdi_in   => pout_wdi,
-    mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
 
   -----------------------------------------------------------------------------
   -- System info
@@ -492,33 +492,33 @@ begin
   cs_sim <= is_true(g_sim);
 
   u_mms_unb2b_board_system_info : entity work.mms_unb2b_board_system_info
-  generic map (
-    g_sim         => g_sim,
-    g_technology  => g_technology,
-    g_design_name => g_design_name,
-    g_fw_version  => g_fw_version,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id,
-    g_design_note => g_design_note,
-    g_rom_version => c_rom_version
-  )
-  port map (
-    mm_clk      => i_mm_clk,
-    mm_rst      => i_mm_rst,
-
-    hw_version  => VERSION,
-    id          => ID,
-
-    reg_mosi    => reg_unb_system_info_mosi,
-    reg_miso    => reg_unb_system_info_miso,
-
-    rom_mosi    => rom_unb_system_info_mosi,
-    rom_miso    => rom_unb_system_info_miso,
-
-    chip_id     => this_chip_id,
-    bck_id      => this_bck_id
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_technology  => g_technology,
+      g_design_name => g_design_name,
+      g_fw_version  => g_fw_version,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id,
+      g_design_note => g_design_note,
+      g_rom_version => c_rom_version
+    )
+    port map (
+      mm_clk      => i_mm_clk,
+      mm_rst      => i_mm_rst,
+
+      hw_version  => VERSION,
+      id          => ID,
+
+      reg_mosi    => reg_unb_system_info_mosi,
+      reg_miso    => reg_unb_system_info_miso,
+
+      rom_mosi    => rom_unb_system_info_mosi,
+      rom_miso    => rom_unb_system_info_miso,
+
+      chip_id     => this_chip_id,
+      bck_id      => this_bck_id
+    );
 
 
   -----------------------------------------------------------------------------
@@ -556,12 +556,12 @@ begin
   led_toggle_green <= sel_a_b(g_factory_image = false, led_toggle, '0');
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => i_mm_rst,
-    clk         => i_mm_clk,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => i_mm_rst,
+      clk         => i_mm_clk,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
 
   ------------------------------------------------------------------------------
@@ -573,15 +573,15 @@ begin
   WDI <= mm_wdi or temp_alarm or wdi_override;
 
   u_unb2b_board_wdi_reg : entity work.unb2b_board_wdi_reg
-  port map (
-    mm_rst              => i_mm_rst,
-    mm_clk              => i_mm_clk,
+    port map (
+      mm_rst              => i_mm_rst,
+      mm_clk              => i_mm_clk,
 
-    sla_in              => reg_wdi_mosi,
-    sla_out             => reg_wdi_miso,
+      sla_in              => reg_wdi_mosi,
+      sla_out             => reg_wdi_miso,
 
-    wdi_override        => wdi_override
-  );
+      wdi_override        => wdi_override
+    );
 
 
   ------------------------------------------------------------------------------
@@ -591,75 +591,75 @@ begin
   -- So there is full control over the memory mapped registers to set start address of the flash
   -- and reconfigure from that address.
   u_mms_remu: entity remu_lib.mms_remu
-  generic map (
-    g_technology       => g_technology
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology       => g_technology
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    remu_mosi          => reg_remu_mosi,
-    remu_miso          => reg_remu_miso
-  );
+      remu_mosi          => reg_remu_mosi,
+      remu_miso          => reg_remu_miso
+    );
 
   -------------------------------------------------------------------------------
   ---- EPCS
   -------------------------------------------------------------------------------
   u_mms_epcs: entity epcs_lib.mms_epcs
-  generic map (
-    g_technology         => g_technology,
-    g_protect_addr_range => g_protect_addr_range,
-    g_protected_addr_lo  => g_protected_addr_lo,
-    g_protected_addr_hi  => g_protected_addr_hi
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology         => g_technology,
+      g_protect_addr_range => g_protect_addr_range,
+      g_protected_addr_lo  => g_protected_addr_lo,
+      g_protected_addr_hi  => g_protected_addr_hi
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    epcs_mosi          => reg_epcs_mosi,
-    epcs_miso          => reg_epcs_miso,
+      epcs_mosi          => reg_epcs_mosi,
+      epcs_miso          => reg_epcs_miso,
 
-    dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
-    dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
+      dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
+      dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
 
-    dpmm_data_mosi     => reg_dpmm_data_mosi,
-    dpmm_data_miso     => reg_dpmm_data_miso,
+      dpmm_data_mosi     => reg_dpmm_data_mosi,
+      dpmm_data_miso     => reg_dpmm_data_miso,
 
-    mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
-    mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
+      mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
+      mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
 
-    mmdp_data_mosi     => reg_mmdp_data_mosi,
-    mmdp_data_miso     => reg_mmdp_data_miso
-  );
+      mmdp_data_mosi     => reg_mmdp_data_mosi,
+      mmdp_data_miso     => reg_mmdp_data_miso
+    );
 
   ------------------------------------------------------------------------------
   -- PPS input
   ------------------------------------------------------------------------------
 
   u_mms_ppsh : entity ppsh_lib.mms_ppsh
-  generic map (
-    g_technology      => g_technology,
-    g_st_clk_freq     => g_dp_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst           => i_mm_rst,
-    mm_clk           => i_mm_clk,
-    st_rst           => dp_rst_in,
-    st_clk           => dp_clk_in,
-    pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
-
-    -- Memory-mapped clock domain
-    reg_mosi         => reg_ppsh_mosi,
-    reg_miso         => reg_ppsh_miso,
-
-    -- Streaming clock domain
-    pps_sys          => dp_pps
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_st_clk_freq     => g_dp_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst           => i_mm_rst,
+      mm_clk           => i_mm_clk,
+      st_rst           => dp_rst_in,
+      st_clk           => dp_clk_in,
+      pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
+
+      -- Memory-mapped clock domain
+      reg_mosi         => reg_ppsh_mosi,
+      reg_miso         => reg_ppsh_miso,
+
+      -- Streaming clock domain
+      pps_sys          => dp_pps
+    );
 
 
   ------------------------------------------------------------------------------
@@ -669,74 +669,74 @@ begin
   mm_board_sens_start <= mm_pulse_s when g_sim = false else mm_pulse_s;  -- mm_pulse_ms; ms pulse comes before the end of the I2C frame, this results in an overflow in simulation  -- speed up in simulation
 
   u_mms_unb2b_board_sens : entity work.mms_unb2b_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_sens,
-    g_sens_nof_result => 40,
-    g_clk_freq        => g_mm_clk_freq,
-    g_comma_w         => 13
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_sens_mosi,
-    reg_miso  => reg_unb_sens_miso,
-
-    -- i2c bus
-    scl       => SENS_SC,
-    sda       => SENS_SD
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_i2c_peripheral  => c_i2c_peripheral_sens,
+      g_sens_nof_result => 40,
+      g_clk_freq        => g_mm_clk_freq,
+      g_comma_w         => 13
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_sens_mosi,
+      reg_miso  => reg_unb_sens_miso,
+
+      -- i2c bus
+      scl       => SENS_SC,
+      sda       => SENS_SD
+    );
 
   u_mms_unb2b_board_pmbus : entity work.mms_unb2b_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_pmbus,
-    g_sens_nof_result => 42,
-    g_clk_freq        => g_mm_clk_freq,
-    g_comma_w         => 13
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_pmbus_mosi,
-    reg_miso  => reg_unb_pmbus_miso,
-
-    -- i2c bus
-    scl       => PMBUS_SC,
-    sda       => PMBUS_SD
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_i2c_peripheral  => c_i2c_peripheral_pmbus,
+      g_sens_nof_result => 42,
+      g_clk_freq        => g_mm_clk_freq,
+      g_comma_w         => 13
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+      mm_start  => mm_board_sens_start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_unb_pmbus_mosi,
+      reg_miso  => reg_unb_pmbus_miso,
+
+      -- i2c bus
+      scl       => PMBUS_SC,
+      sda       => PMBUS_SD
+    );
 
   u_mms_unb2b_fpga_sens : entity work.mms_unb2b_fpga_sens
-  generic map (
-    g_sim        => g_sim,
-    g_technology => g_technology,
-    g_temp_high  => g_fpga_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-
-    --mm_start  => mm_board_sens_start, -- this does not work, perhaps pulsewidth is too small
-    mm_start  => '1',  -- this works
-
-    -- Memory-mapped clock domain
-    reg_temp_mosi  => reg_fpga_temp_sens_mosi,
-    reg_temp_miso  => reg_fpga_temp_sens_miso,
-    reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_voltage_miso  => reg_fpga_voltage_sens_miso,
-
-    -- Temperature alarm
-    temp_alarm => temp_alarm
-  );
+    generic map (
+      g_sim        => g_sim,
+      g_technology => g_technology,
+      g_temp_high  => g_fpga_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+
+      --mm_start  => mm_board_sens_start, -- this does not work, perhaps pulsewidth is too small
+      mm_start  => '1',  -- this works
+
+      -- Memory-mapped clock domain
+      reg_temp_mosi  => reg_fpga_temp_sens_mosi,
+      reg_temp_miso  => reg_fpga_temp_sens_miso,
+      reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_voltage_miso  => reg_fpga_voltage_sens_miso,
+
+      -- Temperature alarm
+      temp_alarm => temp_alarm
+    );
 
 
   ------------------------------------------------------------------------------
@@ -746,18 +746,18 @@ begin
   gen_tse_clk_buf: if g_tse_clk_buf = true generate
     -- Separate clkbuf for the 1GbE tse_clk:
     u_tse_clk_buf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => i_xo_ethclk,
-      outclk => i_tse_clk
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => i_xo_ethclk,
+        outclk => i_tse_clk
+      );
   end generate;
 
   gen_tse_no_clk_buf: if g_tse_clk_buf = false generate
-      i_tse_clk <= i_xo_ethclk;
+    i_tse_clk <= i_xo_ethclk;
   end generate;
 
 
@@ -784,61 +784,61 @@ begin
     eth1g_st_rst <= dp_rst_in when g_udp_offload = true else eth1g_mm_rst;
 
     u_eth : entity eth_lib.eth
+      generic map (
+        g_technology         => g_technology,
+        g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
+        g_cross_clock_domain => g_udp_offload,
+        g_frm_discard_en     => true,
+        g_sim                => g_sim,
+        g_sim_level          => g_sim_level  -- 0 -- 0 = use IP; 1 = use fast serdes model;
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
+        mm_clk            => i_mm_clk,  -- use mm_clk direct
+        eth_clk           => i_tse_clk,  -- 125 MHz clock
+        st_rst            => eth1g_st_rst,
+        st_clk            => eth1g_st_clk,
+
+        -- UDP transmit interface
+        udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
+        udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
+        -- UDP receive interface
+        udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
+        udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves
+        tse_sla_in        => eth1g_tse_mosi,
+        tse_sla_out       => eth1g_tse_miso,
+        reg_sla_in        => eth1g_reg_mosi,
+        reg_sla_out       => eth1g_reg_miso,
+        reg_sla_interrupt => eth1g_reg_interrupt,
+        ram_sla_in        => eth1g_ram_mosi,
+        ram_sla_out       => eth1g_ram_miso,
+
+        -- PHY interface
+        eth_txp           => ETH_SGOUT(0),
+        eth_rxp           => ETH_SGIN(0),
+
+        -- LED interface
+        tse_led           => eth1g_led
+      );
+  end generate;
+
+  u_ram_scrap : entity common_lib.common_ram_r_w
     generic map (
-      g_technology         => g_technology,
-      g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
-      g_cross_clock_domain => g_udp_offload,
-      g_frm_discard_en     => true,
-      g_sim                => g_sim,
-      g_sim_level          => g_sim_level  -- 0 -- 0 = use IP; 1 = use fast serdes model;
+      g_ram => c_ram_scrap
     )
     port map (
-      -- Clocks and reset
-      mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
-      mm_clk            => i_mm_clk,  -- use mm_clk direct
-      eth_clk           => i_tse_clk,  -- 125 MHz clock
-      st_rst            => eth1g_st_rst,
-      st_clk            => eth1g_st_clk,
-
-      -- UDP transmit interface
-      udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
-      udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
-      -- UDP receive interface
-      udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
-      udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves
-      tse_sla_in        => eth1g_tse_mosi,
-      tse_sla_out       => eth1g_tse_miso,
-      reg_sla_in        => eth1g_reg_mosi,
-      reg_sla_out       => eth1g_reg_miso,
-      reg_sla_interrupt => eth1g_reg_interrupt,
-      ram_sla_in        => eth1g_ram_mosi,
-      ram_sla_out       => eth1g_ram_miso,
-
-      -- PHY interface
-      eth_txp           => ETH_SGOUT(0),
-      eth_rxp           => ETH_SGIN(0),
-
-      -- LED interface
-      tse_led           => eth1g_led
+      rst    => i_mm_rst,
+      clk    => i_mm_clk,
+      wr_en  => ram_scrap_mosi.wr,
+      wr_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
+      wr_dat => ram_scrap_mosi.wrdata(c_ram_scrap.dat_w - 1 downto 0),
+      rd_en  => ram_scrap_mosi.rd,
+      rd_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
+      rd_dat => ram_scrap_miso.rddata(c_ram_scrap.dat_w - 1 downto 0),
+      rd_val => ram_scrap_miso.rdval
     );
-  end generate;
-
-  u_ram_scrap : entity common_lib.common_ram_r_w
-  generic map (
-    g_ram => c_ram_scrap
-  )
-  port map (
-    rst    => i_mm_rst,
-    clk    => i_mm_clk,
-    wr_en  => ram_scrap_mosi.wr,
-    wr_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
-    wr_dat => ram_scrap_mosi.wrdata(c_ram_scrap.dat_w - 1 downto 0),
-    rd_en  => ram_scrap_mosi.rd,
-    rd_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
-    rd_dat => ram_scrap_miso.rddata(c_ram_scrap.dat_w - 1 downto 0),
-    rd_val => ram_scrap_miso.rdval
-  );
 
 end str;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_sens.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_sens.vhd
index ca49243913..d1ec7e88c9 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_sens.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_sens.vhd
@@ -23,10 +23,10 @@
 -- Description: See unb2b_board_sens.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity mms_unb2b_board_sens is
@@ -70,48 +70,48 @@ architecture str of mms_unb2b_board_sens is
 begin
 
   u_unb2b_board_sens_reg : entity work.unb2b_board_sens_reg
-  generic map (
-    g_sens_nof_result => g_sens_nof_result,
-    g_temp_high       => g_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in       => reg_mosi,
-    sla_out      => reg_miso,
-
-    -- MM registers
-    sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
-    sens_data    => sens_data,
-
-    -- Max temp threshold
-    temp_high    => temp_high
-  );
+    generic map (
+      g_sens_nof_result => g_sens_nof_result,
+      g_temp_high       => g_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in       => reg_mosi,
+      sla_out      => reg_miso,
+
+      -- MM registers
+      sens_err     => sens_err,  -- using same protocol list for both node2 and all nodes implies that sens_err is only valid for node2.
+      sens_data    => sens_data,
+
+      -- Max temp threshold
+      temp_high    => temp_high
+    );
 
   u_unb2b_board_sens : entity work.unb2b_board_sens
-  generic map (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => g_i2c_peripheral,
-    g_clk_freq        => g_clk_freq,
-    g_temp_high       => g_temp_high,
-    g_sens_nof_result => g_sens_nof_result,
-    g_comma_w         => g_comma_w
-  )
-  port map (
-    clk          => mm_clk,
-    rst          => mm_rst,
-    start        => mm_start,
-    -- i2c bus
-    scl          => scl,
-    sda          => sda,
-    -- read results
-    sens_evt     => OPEN,
-    sens_err     => sens_err,
-    sens_data    => sens_data
-  );
+    generic map (
+      g_sim             => g_sim,
+      g_i2c_peripheral  => g_i2c_peripheral,
+      g_clk_freq        => g_clk_freq,
+      g_temp_high       => g_temp_high,
+      g_sens_nof_result => g_sens_nof_result,
+      g_comma_w         => g_comma_w
+    )
+    port map (
+      clk          => mm_clk,
+      rst          => mm_rst,
+      start        => mm_start,
+      -- i2c bus
+      scl          => scl,
+      sda          => sda,
+      -- read results
+      sens_evt     => OPEN,
+      sens_err     => sens_err,
+      sens_data    => sens_data
+    );
 
   -- Temperature: 7 bits (1 bit per degree) plus sign. A faulty readout (never pulled down = all ones)
   -- would produce -1 degrees so does not trigger a temperature alarm.
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_system_info.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_system_info.vhd
index 4bf6770a4b..638ed92120 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_system_info.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_board_system_info.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2b_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2b_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity mms_unb2b_board_system_info is
   generic (
@@ -58,7 +58,7 @@ entity mms_unb2b_board_system_info is
 
     -- Info output still supported for older designs
     info            : out std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end mms_unb2b_board_system_info;
 
 
@@ -70,72 +70,74 @@ architecture str of mms_unb2b_board_system_info is
   constant c_modelsim_path_prefix : string := "$UNB/Firmware/designs/" & g_design_name & "/build/synth/quartus/";
   constant c_path_prefix          : string := sel_a_b(g_sim, c_modelsim_path_prefix, c_quartus_path_prefix);
 
--- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
---  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
+  -- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
+  --  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
   constant c_mif_name    : string :=  sel_a_b(g_sim, "UNUSED", sel_a_b((g_design_name = "UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif"));
 
   constant c_rom_addr_w  : natural := 13;  -- 2^13 = 8192 addresses * 32 bits = 32 kiB
 
-  constant c_mm_rom      : t_c_mem := (latency  => 1,
-                                      adr_w    => c_rom_addr_w,
-                                      dat_w    => c_word_w,
-                                      nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
-                                      init_sl  => '0');
+  constant c_mm_rom      : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_rom_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
 
   signal i_info          : std_logic_vector(c_word_w - 1 downto 0);
 
 begin
 
- info <= i_info;
+  info <= i_info;
 
   u_unb2b_board_system_info: entity work.unb2b_board_system_info
-  generic map (
-    g_sim        => g_sim,
-    g_fw_version => g_fw_version,
-    g_rom_version => g_rom_version,
-    g_technology  => g_technology
-  )
-  port map (
-    clk        => mm_clk,
-    hw_version => hw_version,
-    id         => id,
-    info       => i_info,
-    chip_id    => chip_id,
-    bck_id     => bck_id
-   );
+    generic map (
+      g_sim        => g_sim,
+      g_fw_version => g_fw_version,
+      g_rom_version => g_rom_version,
+      g_technology  => g_technology
+    )
+    port map (
+      clk        => mm_clk,
+      hw_version => hw_version,
+      id         => id,
+      info       => i_info,
+      chip_id    => chip_id,
+      bck_id     => bck_id
+    );
 
   u_unb2b_board_system_info_reg: entity work.unb2b_board_system_info_reg
-  generic map (
-    g_design_name => g_design_name,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id,
-    g_design_note => g_design_note
-  )
-  port map (
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
-
-    sla_in    => reg_mosi,
-    sla_out   => reg_miso,
-
-    info      => i_info
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id,
+      g_design_note => g_design_note
+    )
+    port map (
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+
+      sla_in    => reg_mosi,
+      sla_out   => reg_miso,
+
+      info      => i_info
+    );
 
   u_common_rom : entity common_lib.common_rom
-  generic map (
-    g_technology => g_technology,
-    g_ram       => c_mm_rom,
-    g_init_file => c_mif_name
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => mm_clk,
-    rd_en   => rom_mosi.rd,
-    rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
-    rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
-    rd_val  => rom_miso.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram       => c_mm_rom,
+      g_init_file => c_mif_name
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => mm_clk,
+      rd_en   => rom_mosi.rd,
+      rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
+      rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
+      rd_val  => rom_miso.rdval
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_fpga_sens.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_fpga_sens.vhd
index 6087787053..54f17deaf2 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_fpga_sens.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/mms_unb2b_fpga_sens.vhd
@@ -23,11 +23,11 @@
 -- Description: See unb2b_fpga_sens.vhd
 
 library IEEE, technology_lib, common_lib, fpga_sense_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity mms_unb2b_fpga_sens is
@@ -59,24 +59,24 @@ architecture str of mms_unb2b_fpga_sens is
 begin
 
   u_fpga_sense: entity fpga_sense_lib.fpga_sense
-  generic map (
-    g_technology => g_technology,
-    g_sim        => g_sim,
-    g_temp_high  => g_temp_high
-  )
-  port map (
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
+    generic map (
+      g_technology => g_technology,
+      g_sim        => g_sim,
+      g_temp_high  => g_temp_high
+    )
+    port map (
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
 
-    start_sense => mm_start,
-    temp_alarm  => temp_alarm,
+      start_sense => mm_start,
+      temp_alarm  => temp_alarm,
 
-    reg_temp_mosi    => reg_temp_mosi,
-    reg_temp_miso    => reg_temp_miso,
+      reg_temp_mosi    => reg_temp_mosi,
+      reg_temp_miso    => reg_temp_miso,
 
-    reg_voltage_store_mosi    => reg_voltage_mosi,
-    reg_voltage_store_miso    => reg_voltage_miso
-  );
+      reg_voltage_store_mosi    => reg_voltage_mosi,
+      reg_voltage_store_miso    => reg_voltage_miso
+    );
 
 end str;
 
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_back_io.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_back_io.vhd
index b4e3a66d5b..e8f4217186 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_back_io.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_back_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2b_board_pkg.all;
 
 
 entity unb2b_board_back_io is
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk125_pll.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk125_pll.vhd
index 4d5d867723..afc7f4059a 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk125_pll.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk125_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 125 MHz
 -- Description:
@@ -64,47 +64,47 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk125,
-      outclk => clk125buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk125,
+        outclk => clk125buf
+      );
   end generate;
 
 
   gen_pll : if g_use_fpll = false generate
     u_pll : entity tech_pll_lib.tech_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
   gen_fractional_pll : if g_use_fpll = true generate
     u_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
 end arria10;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk200_pll.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk200_pll.vhd
index f2e6b39a75..cdf67c5f64 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk200_pll.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk200_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 200 MHz
 -- Description:
@@ -140,83 +140,83 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk200,
-      outclk => clk200buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk200,
+        outclk => clk200buf
+      );
   end generate;
 
   gen_st_pll : if g_use_fpll = false generate
     u_st_pll : entity tech_pll_lib.tech_pll_clk200
-    generic map (
-      g_technology       => g_technology,
-      g_operation_mode   => g_operation_mode,
-      g_clk0_phase_shift => g_clk200_phase_shift,
-      g_clk1_phase_shift => g_clk200p_phase_shift
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,
-      c0      => i_st_clk200,
-      c1      => i_st_clk200p,
-      c2      => i_st_clk400,
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_operation_mode   => g_operation_mode,
+        g_clk0_phase_shift => g_clk200_phase_shift,
+        g_clk1_phase_shift => g_clk200p_phase_shift
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,
+        c0      => i_st_clk200,
+        c1      => i_st_clk200p,
+        c2      => i_st_clk400,
+        locked  => st_locked
+      );
   end generate;
 
   gen_st_fractional_pll : if g_use_fpll = true generate
     u_st_fractional_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk200
-    generic map (
-      g_technology       => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,  -- 200 MHz
-      c0      => i_st_clk200,  -- 200 MHz
-      c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
-      c2      => i_st_clk400,  -- 400 MHz
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,  -- 200 MHz
+        c0      => i_st_clk200,  -- 200 MHz
+        c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
+        c2      => i_st_clk400,  -- 400 MHz
+        locked  => st_locked
+      );
   end generate;
 
   -- Release clock domain resets after some clock cycles when the PLL has locked
   st_locked_n <= not st_locked;
 
   u_rst200 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200,
-    out_rst   => i_st_rst200
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200,
+      out_rst   => i_st_rst200
+    );
 
   u_rst200p : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200p,
-    out_rst   => st_rst200p
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200p,
+      out_rst   => st_rst200p
+    );
 
   u_rst400 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk400,
-    out_rst   => st_rst400
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk400,
+      out_rst   => st_rst400
+    );
 
 end arria10;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk25_pll.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk25_pll.vhd
index cd0e38e1e6..d0d3cd7a00 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk25_pll.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk25_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 25 MHz
 -- Description:
@@ -54,16 +54,16 @@ architecture arria10 of unb2b_board_clk25_pll is
 begin
 
   u_pll : entity tech_pll_lib.tech_pll_clk25
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    areset  => arst,
-    inclk0  => clk25,
-    c0      => c0_clk20,
-    c1      => c1_clk50,
-    c2      => c2_clk100,
-    c3      => c3_clk125,
-    locked  => pll_locked
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      areset  => arst,
+      inclk0  => clk25,
+      c0      => c0_clk20,
+      c1      => c1_clk50,
+      c2      => c2_clk100,
+      c3      => c3_clk125,
+      locked  => pll_locked
+    );
 end arria10;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk_rst.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk_rst.vhd
index 32eb4b1ad5..6a93741853 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk_rst.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_clk_rst.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   1) initial power up xo_rst_n that can be used to reset a SOPC system (via
@@ -59,28 +59,28 @@ begin
   xo_rst_n <= not xo_rst;
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => xo_clk,
-    out_rst   => xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => xo_clk,
+      out_rst   => xo_rst
+    );
 
   -- System clock from SOPC system PLL and system reset
   sys_locked_n <= not sys_locked;
 
   u_common_areset_sys : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => sys_clk,
-    out_rst   => sys_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => sys_clk,
+      out_rst   => sys_rst
+    );
 
 end str;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_front_io.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_front_io.vhd
index ad046d5128..db435b17b6 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_front_io.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_front_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2b_board_pkg.all;
 
 
 entity unb2b_board_front_io is
@@ -69,8 +69,8 @@ begin
   gen_wire_bus : for i in 0 to g_nof_qsfp_bus - 1 generate
     gen_wire_signals : for j in 0 to c_unb2b_board_tr_qsfp.bus_w - 1 generate
 
-        si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2b_board_tr_qsfp.bus_w + j);
-        serial_rx_arr(i * c_unb2b_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
+      si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2b_board_tr_qsfp.bus_w + j);
+      serial_rx_arr(i * c_unb2b_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
 
     end generate;
   end generate;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_hmc_ctrl.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_hmc_ctrl.vhd
index c0081cd7df..ef5bbe5e5a 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_hmc_ctrl.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_hmc_ctrl.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2b_board_hmc_ctrl is
@@ -59,37 +59,89 @@ architecture rtl of unb2b_board_hmc_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- RX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- TX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- RX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- TX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_node_ctrl.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_node_ctrl.vhd
index bf6f0a7669..038c322333 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_node_ctrl.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Provide the basic node clock control (resets, pulses, WDI)
 -- Description:
@@ -71,44 +71,44 @@ begin
   mm_locked_n <= not mm_locked;
 
   u_common_areset_mm : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => mm_clk,
-    out_rst   => i_mm_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => mm_clk,
+      out_rst   => i_mm_rst
+    );
 
   -- Create 1 pulse per us, per ms and per s
   mm_pulse_ms <= i_mm_pulse_ms;
 
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,
-    g_pulse_ms  => g_pulse_ms,
-    g_pulse_s   => g_pulse_s
-  )
-  port map (
-    rst         => i_mm_rst,
-    clk         => mm_clk,
-    pulse_us    => mm_pulse_us,
-    pulse_ms    => i_mm_pulse_ms,
-    pulse_s     => mm_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,
+      g_pulse_ms  => g_pulse_ms,
+      g_pulse_s   => g_pulse_s
+    )
+    port map (
+      rst         => i_mm_rst,
+      clk         => mm_clk,
+      pulse_us    => mm_pulse_us,
+      pulse_ms    => i_mm_pulse_ms,
+      pulse_s     => mm_pulse_s
+    );
 
   -- Toggle the WDI every 1 ms
   u_unb2b_board_wdi_extend : entity work.unb2b_board_wdi_extend
-  generic map (
-    g_extend_w => g_wdi_extend_w
-  )
-  port map (
-    rst        => i_mm_rst,
-    clk        => mm_clk,
-    pulse_ms   => i_mm_pulse_ms,
-    wdi_in     => mm_wdi_in,
-    wdi_out    => mm_wdi_out
-  );
+    generic map (
+      g_extend_w => g_wdi_extend_w
+    )
+    port map (
+      rst        => i_mm_rst,
+      clk        => mm_clk,
+      pulse_ms   => i_mm_pulse_ms,
+      wdi_in     => mm_wdi_in,
+      wdi_out    => mm_wdi_out
+    );
 
 end str;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_peripherals_pkg.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_peripherals_pkg.vhd
index 315c4812d9..179d146e14 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_peripherals_pkg.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_peripherals_pkg.vhd
@@ -39,7 +39,7 @@
 --   these widths need to be defined locally in that design.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package unb2b_board_peripherals_pkg is
 
@@ -76,10 +76,10 @@ package unb2b_board_peripherals_pkg is
 
     -- pi_dp_ram_from_mm
     reg_dp_ram_from_mm_adr_w   : natural;  -- = 1   -- fixed, see dp_ram_from_mm.vhd
- -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
+    -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
 
     -- pi_dp_ram_to_mm
---  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
+    --  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
 
     -- pi_epcs (uses DP-MM read and write FIFOs for data access)
     reg_epcs_adr_w             : natural;  -- = 3   -- fixed, from c_mm_reg in epcs_reg
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pkg.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pkg.vhd
index 3d07f2e6e0..1c9c8b609b 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pkg.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pkg.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package unb2b_board_pkg is
 
@@ -149,23 +149,25 @@ package unb2b_board_pkg is
   type t_c_unb2b_board_system_info is record
     version  : natural;  -- UniBoard board HW version (2 bit value)
     id       : natural;  -- UniBoard FPGA node id (8 bit value)
-                         -- Derived ID info:
+    -- Derived ID info:
     bck_id   : natural;  -- = id[7:2], ID part from back plane
     chip_id  : natural;  -- = id[1:0], ID part from UniBoard
     node_id  : natural;  -- = id[1:0], node ID: 0, 1, 2 or 3
     is_node2 : natural;  -- 1 for Node 2, else 0.
   end record;
 
-  function func_unb2b_board_system_info(VERSION : in std_logic_vector(c_unb2b_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2b_board_aux.id_w - 1 downto 0)) return t_c_unb2b_board_system_info;
+  function func_unb2b_board_system_info (
+    VERSION : in std_logic_vector(c_unb2b_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2b_board_aux.id_w - 1 downto 0)) return t_c_unb2b_board_system_info;
 
 end unb2b_board_pkg;
 
 
 package body unb2b_board_pkg is
 
-  function func_unb2b_board_system_info(VERSION : in std_logic_vector(c_unb2b_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2b_board_aux.id_w - 1 downto 0)) return t_c_unb2b_board_system_info is
+  function func_unb2b_board_system_info (
+    VERSION : in std_logic_vector(c_unb2b_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2b_board_aux.id_w - 1 downto 0)) return t_c_unb2b_board_system_info is
     variable v_system_info : t_c_unb2b_board_system_info;
   begin
     v_system_info.version := to_integer(unsigned(VERSION));
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pmbus_ctrl.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pmbus_ctrl.vhd
index f88c8c517d..e5c88b475a 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pmbus_ctrl.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_pmbus_ctrl.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2b_board_pmbus_ctrl is
@@ -59,37 +59,89 @@ architecture rtl of unb2b_board_pmbus_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- RX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,  -- TX supply
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- RX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,  -- TX supply
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_qsfp_leds.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_qsfp_leds.vhd
index 56768d4086..b20509eae1 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_qsfp_leds.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_qsfp_leds.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Provide visual activity information via the UniBoard2 front panel QSFP LEDs.
 -- Description:
@@ -111,43 +111,43 @@ begin
 
   -- Also output the pulses, because they could be useful for other purposes in the clk clock domain as well
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
-    g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
-    g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    pulse_us    => pulse_us,
-    pulse_ms    => i_pulse_ms,
-    pulse_s     => i_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
+      g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
+      g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      pulse_us    => pulse_us,
+      pulse_ms    => i_pulse_ms,
+      pulse_s     => i_pulse_s
+    );
 
   u_common_toggle_s : entity common_lib.common_toggle
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_dat      => i_pulse_s,
-    out_dat     => toggle_s
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_dat      => i_pulse_s,
+      out_dat     => toggle_s
+    );
 
   gen_factory_image : if g_factory_image = true generate
     green_led_arr <= (others => '0');
 
     gen_red_led_arr : for I in g_nof_qsfp - 1 downto 0 generate
       u_red_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        -- led control
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => red_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          -- led control
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => red_led_arr(I)
+        );
     end generate;
   end generate;
 
@@ -166,20 +166,20 @@ begin
       qsfp_evt_arr(I) <= orv(green_evt_arr((I + 1) * c_quad - 1 downto + I * c_quad));
 
       u_green_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        pulse_ms      => i_pulse_ms,
-        -- led control
-        ctrl_on       => qsfp_on_arr(I),
-        ctrl_evt      => qsfp_evt_arr(I),
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => green_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          pulse_ms      => i_pulse_ms,
+          -- led control
+          ctrl_on       => qsfp_on_arr(I),
+          ctrl_evt      => qsfp_evt_arr(I),
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => green_led_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_ring_io.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_ring_io.vhd
index 6c2bc52569..5fb028a5ce 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_ring_io.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_ring_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2b_board_pkg.all;
 
 
 entity unb2b_board_ring_io is
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens.vhd
index 8af6487703..da8d970985 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use work.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use work.unb2b_board_pkg.all;
 
 entity unb2b_board_sens is
   generic (
@@ -54,18 +54,18 @@ architecture str of unb2b_board_sens is
   -- I2C clock rate settings
   constant c_sens_clk_cnt      : natural := sel_a_b(g_sim, 1, func_i2c_calculate_clk_cnt(g_clk_freq / 10**6));  -- define I2C clock rate
   --CONSTANT c_sens_comma_w      : NATURAL := 13;  -- 2**c_i2c_comma_w * system clock period comma time after I2C start and after each octet
-                                                -- 0 = no comma time
+  -- 0 = no comma time
 
--- octave:4> t=1/50e6
--- t =  2.0000e-08
--- octave:5> delay=2^13 * t
--- delay =  1.6384e-04
--- octave:6> delay/t
--- ans =  8192
--- octave:7> log2(ans)
--- ans =  13
--- octave:8> log2(delay/t)
--- ans =  13
+  -- octave:4> t=1/50e6
+  -- t =  2.0000e-08
+  -- octave:5> delay=2^13 * t
+  -- delay =  1.6384e-04
+  -- octave:6> delay/t
+  -- ans =  8192
+  -- octave:7> log2(ans)
+  -- ans =  13
+  -- octave:8> log2(delay/t)
+  -- ans =  13
 
 
   --CONSTANT c_sens_phy          : t_c_i2c_phy := (c_sens_clk_cnt, c_sens_comma_w);
@@ -83,94 +83,94 @@ begin
 
   gen_unb2b_board_sens_ctrl : if g_i2c_peripheral = c_i2c_peripheral_sens generate
     u_unb2b_board_sens_ctrl : entity work.unb2b_board_sens_ctrl
-    generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
-    );
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
   end generate;
 
   gen_unb2b_board_pmbus_ctrl : if g_i2c_peripheral = c_i2c_peripheral_pmbus generate
     u_unb2b_board_pmbus_ctrl : entity work.unb2b_board_pmbus_ctrl
-    generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
-    );
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
   end generate;
 
   gen_unb2b_board_hmc_ctrl : if g_i2c_peripheral = c_i2c_peripheral_hmc generate
     u_unb2b_board_hmc_ctrl : entity work.unb2b_board_hmc_ctrl
+      generic map (
+        g_sim        => g_sim,
+        g_nof_result => g_sens_nof_result,
+        g_temp_high  => g_temp_high
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        start       => start,
+        in_dat      => smbus_out_dat,
+        in_val      => smbus_out_val,
+        in_err      => smbus_out_err,
+        in_ack      => smbus_out_ack,
+        in_end      => smbus_out_end,
+        out_dat     => smbus_in_dat,
+        out_val     => smbus_in_val,
+        result_val  => sens_evt,
+        result_err  => sens_err,
+        result_dat  => sens_data
+      );
+  end generate;
+
+  u_i2c_smbus : entity i2c_lib.i2c_smbus
     generic map (
-      g_sim        => g_sim,
-      g_nof_result => g_sens_nof_result,
-      g_temp_high  => g_temp_high
+      g_i2c_phy                 => c_sens_phy,
+      g_clock_stretch_sense_scl => true
     )
     port map (
+      gs_sim      => g_sim,
       clk         => clk,
       rst         => rst,
-      start       => start,
-      in_dat      => smbus_out_dat,
-      in_val      => smbus_out_val,
-      in_err      => smbus_out_err,
-      in_ack      => smbus_out_ack,
-      in_end      => smbus_out_end,
-      out_dat     => smbus_in_dat,
-      out_val     => smbus_in_val,
-      result_val  => sens_evt,
-      result_err  => sens_err,
-      result_dat  => sens_data
+      in_dat      => smbus_in_dat,
+      in_req      => smbus_in_val,
+      out_dat     => smbus_out_dat,
+      out_val     => smbus_out_val,
+      out_err     => smbus_out_err,
+      out_ack     => smbus_out_ack,
+      st_end      => smbus_out_end,
+      scl         => scl,
+      sda         => sda
     );
-  end generate;
-
-  u_i2c_smbus : entity i2c_lib.i2c_smbus
-  generic map (
-    g_i2c_phy                 => c_sens_phy,
-    g_clock_stretch_sense_scl => true
-  )
-  port map (
-    gs_sim      => g_sim,
-    clk         => clk,
-    rst         => rst,
-    in_dat      => smbus_in_dat,
-    in_req      => smbus_in_val,
-    out_dat     => smbus_out_dat,
-    out_val     => smbus_out_val,
-    out_err     => smbus_out_err,
-    out_ack     => smbus_out_ack,
-    st_end      => smbus_out_end,
-    scl         => scl,
-    sda         => sda
-  );
 
 end architecture;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_ctrl.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_ctrl.vhd
index cdc5cd4fdd..9631da8eb9 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_ctrl.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_ctrl.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_max1617_pkg.all;
-use i2c_lib.i2c_dev_ltc4260_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_max1617_pkg.all;
+  use i2c_lib.i2c_dev_ltc4260_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity unb2b_board_sens_ctrl is
@@ -73,39 +73,93 @@ architecture rtl of unb2b_board_sens_ctrl is
   constant c_timeout_sda : natural := sel_a_b(g_sim, 0, 16);  -- wait 16 * 256 = 4096 clk periods
 
   constant c_SEQ : t_SEQUENCE := (
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_EEPROM_CAT24C02_ADR, CAT24C02_ADR_00,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_LOC_HI,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_LOC_LO,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_REM_HI,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_TMP451_ADR, TMP451_REM_LO,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_VOUT_MODE,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_WORD , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_TEMP,
-
-    SMBUS_C_SAMPLE_SDA, 0, c_timeout_sda, 0, 0,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_EEPROM_CAT24C02_ADR,
+    CAT24C02_ADR_00,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_LOC_HI,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_LOC_LO,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_REM_HI,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_TMP451_ADR,
+    TMP451_REM_LO,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_VOUT_MODE,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_WORD ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+
+    SMBUS_C_SAMPLE_SDA,
+    0,
+    c_timeout_sda,
+    0,
+    0,
     SMBUS_C_END,
     SMBUS_C_NOP
   );
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_reg.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_reg.vhd
index 6c5be4d3ec..762b6fed6a 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_reg.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_sens_reg.vhd
@@ -60,10 +60,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2b_board_sens_reg is
   generic (
@@ -94,13 +94,15 @@ architecture rtl of unb2b_board_sens_reg is
 
   -- Define the actual size of the MM slave register
   constant c_mm_nof_dat : natural := g_sens_nof_result + 1 + 1;  -- +1 to fit user set temp_high one additional address
-                                                             -- +1 to fit sens_err in the last address
-
-  constant c_mm_reg     : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(c_mm_nof_dat),
-                                      dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                      nof_dat  => c_mm_nof_dat,
-                                      init_sl  => '0');
+  -- +1 to fit sens_err in the last address
+
+  constant c_mm_reg     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_mm_nof_dat),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_mm_nof_dat,
+    init_sl  => '0'
+  );
 
   signal i_temp_high    : std_logic_vector(6 downto 0);
 
@@ -134,11 +136,11 @@ begin
       -- Write access: set register value
       if sla_in.wr = '1' then
         if vA = g_sens_nof_result + 1 then
-            -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
-            -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
-            if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
-              i_temp_high <= sla_in.wrdata(6 downto 0);
-            end if;
+          -- Only change temp_high if user writes a max. 7-bit value. This prevents accidentally
+          -- setting a negative temp as temp_high, e.g. 128 which becomes -128.
+          if unsigned(sla_in.wrdata(c_word_w - 1 downto 7)) = 0 then
+            i_temp_high <= sla_in.wrdata(6 downto 0);
+          end if;
         end if;
 
       -- Read access: get register value
@@ -154,7 +156,7 @@ begin
         else
           sla_out.rddata(6 downto 0) <= i_temp_high;
         end if;
-        -- else unused addresses read zero
+      -- else unused addresses read zero
       end if;
     end if;
   end process;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info.vhd
index e99056b991..f55100b5eb 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.unb2b_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.unb2b_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Keep the UniBoard system info knowledge in this HDL entity and in the
 -- corresponding software functions in unb_common.c,h. This avoids having to
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info_reg.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info_reg.vhd
index a913aba7b0..dced0945b3 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info_reg.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_system_info_reg.vhd
@@ -44,11 +44,11 @@
 --  =============================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2b_board_pkg.all;
 
 entity unb2b_board_system_info_reg is
   generic (
@@ -68,7 +68,7 @@ entity unb2b_board_system_info_reg is
     sla_out     : out t_mem_miso;
 
     info        : in  std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end unb2b_board_system_info_reg;
 
 
@@ -88,11 +88,13 @@ architecture rtl of unb2b_board_system_info_reg is
   constant c_revision_id_offset   : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs;
   constant c_design_note_offset   : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_revision_id_regs;
   constant c_nof_regs             : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_revision_id_regs + c_nof_design_note_regs;  -- = 2+13+2+3+12 = 32
-  constant c_mm_reg               : t_c_mem := (latency  => 1,
-                                                adr_w    => ceil_log2(c_nof_regs),
-                                                dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                                nof_dat  => c_nof_regs,
-                                                init_sl  => '0');
+  constant c_mm_reg               : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   constant c_use_phy_w     : natural := 8;
   constant c_use_phy       : std_logic_vector(c_use_phy_w - 1 downto 0) := (others => '0');  -- Unused but keep for compatibillity
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_extend.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_extend.vhd
index 92df366e56..4bed342c91 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_extend.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_extend.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Extend the input WDI that is controlled in SW (as it should be) to avoid
@@ -72,27 +72,27 @@ begin
   nxt_wdi_out <= not i_wdi_out when wdi_cnt_en = '1' else i_wdi_out;
 
   u_common_evt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "BOTH",
-    g_out_reg  => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => wdi_in,
-    out_evt  => wdi_evt
-  );
+    generic map (
+      g_evt_type => "BOTH",
+      g_out_reg  => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => wdi_in,
+      out_evt  => wdi_evt
+    );
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width   => g_extend_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => wdi_evt,
-    cnt_en  => wdi_cnt_en,
-    count   => wdi_cnt
-  );
+    generic map (
+      g_width   => g_extend_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => wdi_evt,
+      cnt_en  => wdi_cnt_en,
+      count   => wdi_cnt
+    );
 
 end str;
diff --git a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_reg.vhd b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_reg.vhd
index c8ca0c9595..cf56367668 100644
--- a/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_reg.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/src/vhdl/unb2b_board_wdi_reg.vhd
@@ -24,9 +24,9 @@
 --   Write 0xB007FAC7 to address 0x0.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2b_board_wdi_reg is
   port (
@@ -40,18 +40,20 @@ entity unb2b_board_wdi_reg is
 
     -- MM registers in st_clk domain
     wdi_override      : out std_logic
- );
+  );
 end unb2b_board_wdi_reg;
 
 
 architecture rtl of unb2b_board_wdi_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(1),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- For safety, WDI override requires the following word to be written:
   constant c_cmd_reconfigure : std_logic_vector(c_word_w - 1 downto 0 ) := x"B007FAC7";  -- "Boot factory"
@@ -64,7 +66,7 @@ begin
       -- Read access
       sla_out   <= c_mem_miso_rst;
       -- Write access, register values
-        wdi_override <= '0';
+      wdi_override <= '0';
     elsif rising_edge(mm_clk) then
       -- Read access defaults: unused
       sla_out   <= c_mem_miso_rst;
diff --git a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_mms_unb2b_board_sens.vhd b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_mms_unb2b_board_sens.vhd
index 390acfb09a..287994a853 100644
--- a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_mms_unb2b_board_sens.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_mms_unb2b_board_sens.vhd
@@ -32,12 +32,12 @@ entity tb_mms_unb2b_board_sens is
 end tb_mms_unb2b_board_sens;
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.unb2b_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.unb2b_board_pkg.all;
 
 architecture tb of tb_mms_unb2b_board_sens is
 
@@ -153,63 +153,63 @@ begin
 
   -- I2C sensors master
   u_mms_unb2b_board_sens : entity work.mms_unb2b_board_sens
-  generic map (
-    g_sim             => c_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_sens,
-    g_sens_nof_result => 40,
-    g_clk_freq        => c_clk_freq,
-    g_temp_high       => c_temp_high,
-    g_comma_w         => 13
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => rst,
-    mm_clk    => clk,
-    mm_start  => start,
-
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_mosi,
-    reg_miso  => reg_miso,
-
-    -- i2c bus
-    scl       => scl,
-    sda       => sda
-  );
+    generic map (
+      g_sim             => c_sim,
+      g_i2c_peripheral  => c_i2c_peripheral_sens,
+      g_sens_nof_result => 40,
+      g_clk_freq        => c_clk_freq,
+      g_temp_high       => c_temp_high,
+      g_comma_w         => 13
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => rst,
+      mm_clk    => clk,
+      mm_start  => start,
+
+      -- Memory-mapped clock domain
+      reg_mosi  => reg_mosi,
+      reg_miso  => reg_miso,
+
+      -- i2c bus
+      scl       => scl,
+      sda       => sda
+    );
 
   -- I2C slaves that are available for each FPGA
   u_fpga_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_fpga_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_fpga_temp
-  );
+    generic map (
+      g_address => c_fpga_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_fpga_temp
+    );
 
   -- I2C slaves that are available only via FPGA node 3
   u_eth_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_eth_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_eth_temp
-  );
+    generic map (
+      g_address => c_eth_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_eth_temp
+    );
 
   u_power : entity i2c_lib.dev_ltc4260
-  generic map (
-    g_address => c_hot_swap_address,
-    g_R_sense => c_hot_swap_R_sense
-  )
-  port map (
-    scl               => scl,
-    sda               => sda,
-    ana_current_sense => c_uniboard_current,
-    ana_volt_source   => c_uniboard_supply,
-    ana_volt_adin     => c_uniboard_adin
-  );
+    generic map (
+      g_address => c_hot_swap_address,
+      g_R_sense => c_hot_swap_R_sense
+    )
+    port map (
+      scl               => scl,
+      sda               => sda,
+      ana_current_sense => c_uniboard_current,
+      ana_volt_source   => c_uniboard_supply,
+      ana_volt_adin     => c_uniboard_adin
+    );
 
 end tb;
 
diff --git a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk125_pll.vhd b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk125_pll.vhd
index ee3b10a99b..6c15e87d63 100644
--- a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk125_pll.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk125_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2b_board_clk125_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2b_board_clk125_pll
-  port map (
-    arst      => ext_rst,
-    clk125    => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk125    => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk200_pll.vhd b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk200_pll.vhd
index 4f4044773d..edaf8924c7 100644
--- a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk200_pll.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk200_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2b_board_clk200_pll is
@@ -72,45 +72,45 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2b_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_0,
-    st_rst200  => st_rst200_0,
-    st_clk200p => st_clk200p0,
-    st_rst200p => st_rst200p0,
-    st_clk400  => st_clk400,
-    st_rst400  => st_rst400
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_0,
+      st_rst200  => st_rst200_0,
+      st_clk200p => st_clk200p0,
+      st_rst200p => st_rst200p0,
+      st_clk400  => st_clk400,
+      st_rst400  => st_rst400
+    );
 
   dut_45 : entity work.unb2b_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "625",
-    g_clk200p_phase_shift => "625"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_45,
-    st_rst200  => st_rst200_45,
-    st_clk200p => st_clk200p45,
-    st_rst200p => st_rst200p45,
-    st_clk400  => OPEN,
-    st_rst400  => open
-  );
+    generic map (
+      g_clk200_phase_shift  => "625",
+      g_clk200p_phase_shift => "625"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_45,
+      st_rst200  => st_rst200_45,
+      st_clk200p => st_clk200p45,
+      st_rst200p => st_rst200p45,
+      st_clk400  => OPEN,
+      st_rst400  => open
+    );
 
   dut_p6 : entity work.unb2b_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => dp_clk200,
-    st_rst200  => dp_rst200
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => dp_clk200,
+      st_rst200  => dp_rst200
+    );
 
 end tb;
diff --git a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk25_pll.vhd b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk25_pll.vhd
index 69037930d6..a90f0b82de 100644
--- a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk25_pll.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_clk25_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2b_board_clk25_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2b_board_clk25_pll
-  port map (
-    arst      => ext_rst,
-    clk25     => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk25     => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_node_ctrl.vhd b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_node_ctrl.vhd
index 1d150935cf..d9ecd6710c 100644
--- a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_node_ctrl.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2b_board_node_ctrl is
@@ -76,24 +76,24 @@ begin
   wdi_in <= wdi and sw;  -- sw wdi only when sw is active, during sw inactive the wdi_out will be extended
 
   dut : entity work.unb2b_board_node_ctrl
-  generic map (
-    g_pulse_us     => c_pulse_us,
-    g_pulse_ms     => c_pulse_ms,
-    g_pulse_s      => c_pulse_s,
-    g_wdi_extend_w => c_wdi_extend_w
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => mm_rst,
-    -- WDI extend
-    mm_wdi_in   => wdi_in,
-    mm_wdi_out  => wdi_out,
-    -- Pulses
-    mm_pulse_us => pulse_us,
-    mm_pulse_ms => pulse_ms,
-    mm_pulse_s  => pulse_s
-  );
+    generic map (
+      g_pulse_us     => c_pulse_us,
+      g_pulse_ms     => c_pulse_ms,
+      g_pulse_s      => c_pulse_s,
+      g_wdi_extend_w => c_wdi_extend_w
+    )
+    port map (
+      -- MM clock domain reset
+      mm_clk      => mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => mm_rst,
+      -- WDI extend
+      mm_wdi_in   => wdi_in,
+      mm_wdi_out  => wdi_out,
+      -- Pulses
+      mm_pulse_us => pulse_us,
+      mm_pulse_ms => pulse_ms,
+      mm_pulse_s  => pulse_s
+    );
 
 end tb;
diff --git a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_qsfp_leds.vhd b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_qsfp_leds.vhd
index 8e3a7c84de..b20152a2d9 100644
--- a/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_qsfp_leds.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board/tb/vhdl/tb_unb2b_board_qsfp_leds.vhd
@@ -37,10 +37,10 @@
 --   > run -a
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_unb2b_board_qsfp_leds is
 end tb_unb2b_board_qsfp_leds;
@@ -142,49 +142,49 @@ begin
   end process;
 
   u_unb2b_factory_qsfp_leds : entity work.unb2b_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => true,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => factory_green_led_arr,
-    red_led_arr       => factory_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => true,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => factory_green_led_arr,
+      red_led_arr       => factory_red_led_arr
+    );
 
   u_unb2b_user_qsfp_leds : entity work.unb2b_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => false,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => user_green_led_arr,
-    red_led_arr       => user_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => false,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => user_green_led_arr,
+      red_led_arr       => user_red_led_arr
+    );
 
 end tb;
diff --git a/boards/uniboard2b/libraries/unb2b_board_10gbe/src/vhdl/unb2b_board_10gbe.vhd b/boards/uniboard2b/libraries/unb2b_board_10gbe/src/vhdl/unb2b_board_10gbe.vhd
index fd76808239..d5f1099152 100644
--- a/boards/uniboard2b/libraries/unb2b_board_10gbe/src/vhdl/unb2b_board_10gbe.vhd
+++ b/boards/uniboard2b/libraries/unb2b_board_10gbe/src/vhdl/unb2b_board_10gbe.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2b_board_10gbe is
@@ -81,17 +81,17 @@ architecture str of unb2b_board_10gbe is
 
 begin
   u_unb2b_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    refclk_644 => tr_ref_clk,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      refclk_644 => tr_ref_clk,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
diff --git a/boards/uniboard2c/designs/unb2c_led/src/vhdl/unb2c_led.vhd b/boards/uniboard2c/designs/unb2c_led/src/vhdl/unb2c_led.vhd
index 05ad57e1f9..8c0c8d4ce2 100644
--- a/boards/uniboard2c/designs/unb2c_led/src/vhdl/unb2c_led.vhd
+++ b/boards/uniboard2c/designs/unb2c_led/src/vhdl/unb2c_led.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
 
 entity unb2c_led is
   generic (
@@ -118,61 +118,61 @@ begin
   -- by using the fpll, the CLKUSR is used for calibration. So in case fpll does not work, check CLKUSR
 
   u_unb2c_board_clk200_pll : entity unb2c_board_lib.unb2c_board_clk200_pll
-  generic map (
-    g_use_fpll   => true,  -- FALSE, -- switch fpll or fixedpll
-    g_technology => g_technology
-  )
-  port map (
-    arst       => xo_rst,
-    clk200     => CLK,
-    st_clk200  => clk200
-  );
+    generic map (
+      g_use_fpll   => true,  -- FALSE, -- switch fpll or fixedpll
+      g_technology => g_technology
+    )
+    port map (
+      arst       => xo_rst,
+      clk200     => CLK,
+      st_clk200  => clk200
+    );
 
 
 
   xo_ethclk <= ETH_CLK(0);  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => xo_ethclk,
-    out_rst   => xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => xo_ethclk,
+      out_rst   => xo_rst
+    );
 
 
 
   u_unb2c_board_clk125_pll : entity unb2c_board_lib.unb2c_board_clk125_pll
-  generic map (
-    g_use_fpll   => true,  -- FALSE, -- switch fpll or fixedpll
-    g_technology => g_technology
-  )
-  port map (
-    arst       => xo_rst,
-    clk125     => xo_ethclk,
-    c1_clk50   => clk50,
-    pll_locked => mm_locked
-  );
+    generic map (
+      g_use_fpll   => true,  -- FALSE, -- switch fpll or fixedpll
+      g_technology => g_technology
+    )
+    port map (
+      arst       => xo_rst,
+      clk125     => xo_ethclk,
+      c1_clk50   => clk50,
+      pll_locked => mm_locked
+    );
 
   u_unb2c_board_node_ctrl : entity unb2c_board_lib.unb2c_board_node_ctrl
-  generic map (
-    g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => clk50,
-    mm_locked   => mm_locked,
-    mm_rst      => mm_rst,
-    -- WDI extend
-    mm_wdi_in   => mm_pulse_s,
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
+    generic map (
+      g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
+    )
+    port map (
+      -- MM clock domain reset
+      mm_clk      => clk50,
+      mm_locked   => mm_locked,
+      mm_rst      => mm_rst,
+      -- WDI extend
+      mm_wdi_in   => mm_pulse_s,
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
+    );
 
   ------------------------------------------------------------------------------
   -- Toggle red LED when unb2c_minimal is running, green LED for other designs.
@@ -182,15 +182,15 @@ begin
 
 
   u_extend : entity common_lib.common_pulse_extend
-  generic map (
-    g_extend_w => 22  -- (2^22) / 50e6 = 0.083886 th of 1 sec
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => clk50,
-    p_in    => mm_pulse_s,
-    ep_out  => led_flash
-  );
+    generic map (
+      g_extend_w => 22  -- (2^22) / 50e6 = 0.083886 th of 1 sec
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => clk50,
+      p_in    => mm_pulse_s,
+      ep_out  => led_flash
+    );
 
 
 
@@ -204,36 +204,36 @@ begin
 
 
   u_common_pulser_10Hz : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => 100,
-    g_pulse_phase  => 100 - 1
-  )
-  port map (
-    rst            => mm_rst,
-    clk            => clk50,
-    clken          => '1',
-    pulse_en       => mm_pulse_ms,
-    pulse_out      => pulse_10Hz
-  );
+    generic map (
+      g_pulse_period => 100,
+      g_pulse_phase  => 100 - 1
+    )
+    port map (
+      rst            => mm_rst,
+      clk            => clk50,
+      clken          => '1',
+      pulse_en       => mm_pulse_ms,
+      pulse_out      => pulse_10Hz
+    );
 
   u_extend_10Hz : entity common_lib.common_pulse_extend
-  generic map (
-    g_extend_w => 21  -- (2^21) / 50e6 = 0.041943 th of 1 sec
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => clk50,
-    p_in    => pulse_10Hz,
-    ep_out  => pulse_10Hz_extended
-  );
+    generic map (
+      g_extend_w => 21  -- (2^21) / 50e6 = 0.041943 th of 1 sec
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => clk50,
+      p_in    => pulse_10Hz,
+      ep_out  => pulse_10Hz_extended
+    );
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst         => mm_rst,
-    clk         => clk50,
-    in_dat      => mm_pulse_s,
-    out_dat     => led_toggle
-  );
+    port map (
+      rst         => mm_rst,
+      clk         => clk50,
+      in_dat      => mm_pulse_s,
+      out_dat     => led_toggle
+    );
 
   QSFP_LED(2)  <= pulse_10Hz_extended;
 
diff --git a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/mmm_unb2c_minimal.vhd b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/mmm_unb2c_minimal.vhd
index a8d6353638..4a5e291a13 100644
--- a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/mmm_unb2c_minimal.vhd
+++ b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/mmm_unb2c_minimal.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use work.qsys_unb2c_minimal_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use work.qsys_unb2c_minimal_pkg.all;
 
 
 entity mmm_unb2c_minimal is
@@ -113,29 +113,29 @@ begin
   gen_mm_file_io : if g_sim = true generate
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_ram_scrap           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -152,137 +152,137 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2c_minimal
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth1g_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth1g_reg_interrupt,
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth1g_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth1g_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth1g_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth1g_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth1g_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth1g_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth1g_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth1g_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth1g_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth1g_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth1g_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth1g_reg_interrupt,
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
       );
   end generate;
 
diff --git a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/qsys_unb2c_minimal_pkg.vhd b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/qsys_unb2c_minimal_pkg.vhd
index 7e84ec8054..bb1825c678 100644
--- a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/qsys_unb2c_minimal_pkg.vhd
+++ b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/qsys_unb2c_minimal_pkg.vhd
@@ -20,130 +20,130 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2c_minimal_pkg is
 
-    ----------------------------------------------------------------------
-    -- this component declaration is copy-pasted from Quartus QSYS builder
-    ----------------------------------------------------------------------
+  ----------------------------------------------------------------------
+  -- this component declaration is copy-pasted from Quartus QSYS builder
+  ----------------------------------------------------------------------
 
-    component qsys_unb2c_minimal is
-        port (
-            avs_eth_0_reset_export                 : out std_logic;  -- export
-            avs_eth_0_clk_export                   : out std_logic;  -- export
-            avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_write_export             : out std_logic;  -- export
-            avs_eth_0_tse_read_export              : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
-            avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_write_export             : out std_logic;  -- export
-            avs_eth_0_reg_read_export              : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_write_export             : out std_logic;  -- export
-            avs_eth_0_ram_read_export              : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
-            clk_clk                                : in  std_logic                     := 'X';  -- clk
-            reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
-            pio_pps_reset_export                   : out std_logic;  -- export
-            pio_pps_clk_export                     : out std_logic;  -- export
-            pio_pps_address_export                 : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_write_export                   : out std_logic;  -- export
-            pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_read_export                    : out std_logic;  -- export
-            pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export           : out std_logic;  -- export
-            pio_system_info_clk_export             : out std_logic;  -- export
-            pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_write_export           : out std_logic;  -- export
-            pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_read_export            : out std_logic;  -- export
-            pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_wdi_external_connection_export     : out std_logic;  -- export
-            ram_scrap_reset_export                 : out std_logic;  -- export
-            ram_scrap_clk_export                   : out std_logic;  -- export
-            ram_scrap_address_export               : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_write_export                 : out std_logic;  -- export
-            ram_scrap_writedata_export             : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_read_export                  : out std_logic;  -- export
-            ram_scrap_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_write_export             : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_read_export              : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export             : out std_logic;  -- export
-            reg_dpmm_data_clk_export               : out std_logic;  -- export
-            reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_write_export             : out std_logic;  -- export
-            reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_read_export              : out std_logic;  -- export
-            reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                  : out std_logic;  -- export
-            reg_epcs_clk_export                    : out std_logic;  -- export
-            reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_write_export                  : out std_logic;  -- export
-            reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_read_export                   : out std_logic;  -- export
-            reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
-            reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_write_export        : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_read_export         : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
-            reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
-            reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_write_export             : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_read_export              : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export             : out std_logic;  -- export
-            reg_mmdp_data_clk_export               : out std_logic;  -- export
-            reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_write_export             : out std_logic;  -- export
-            reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_read_export              : out std_logic;  -- export
-            reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                  : out std_logic;  -- export
-            reg_remu_clk_export                    : out std_logic;  -- export
-            reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_write_export                  : out std_logic;  -- export
-            reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_read_export                   : out std_logic;  -- export
-            reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_wdi_reset_export                   : out std_logic;  -- export
-            reg_wdi_clk_export                     : out std_logic;  -- export
-            reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_write_export                   : out std_logic;  -- export
-            reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_read_export                    : out std_logic;  -- export
-            reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export           : out std_logic;  -- export
-            rom_system_info_clk_export             : out std_logic;  -- export
-            rom_system_info_address_export         : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_write_export           : out std_logic;  -- export
-            rom_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            rom_system_info_read_export            : out std_logic;  -- export
-            rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_unb2c_minimal;
+  component qsys_unb2c_minimal is
+    port (
+      avs_eth_0_reset_export                 : out std_logic;  -- export
+      avs_eth_0_clk_export                   : out std_logic;  -- export
+      avs_eth_0_tse_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_write_export             : out std_logic;  -- export
+      avs_eth_0_tse_read_export              : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_tse_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export       : in  std_logic                     := 'X';  -- export
+      avs_eth_0_reg_address_export           : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_write_export             : out std_logic;  -- export
+      avs_eth_0_reg_read_export              : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_address_export           : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_write_export             : out std_logic;  -- export
+      avs_eth_0_ram_read_export              : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_ram_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_irq_export                   : in  std_logic                     := 'X';  -- export
+      clk_clk                                : in  std_logic                     := 'X';  -- clk
+      reset_reset_n                          : in  std_logic                     := 'X';  -- reset_n
+      pio_pps_reset_export                   : out std_logic;  -- export
+      pio_pps_clk_export                     : out std_logic;  -- export
+      pio_pps_address_export                 : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_write_export                   : out std_logic;  -- export
+      pio_pps_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_read_export                    : out std_logic;  -- export
+      pio_pps_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export           : out std_logic;  -- export
+      pio_system_info_clk_export             : out std_logic;  -- export
+      pio_system_info_address_export         : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_write_export           : out std_logic;  -- export
+      pio_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_read_export            : out std_logic;  -- export
+      pio_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_wdi_external_connection_export     : out std_logic;  -- export
+      ram_scrap_reset_export                 : out std_logic;  -- export
+      ram_scrap_clk_export                   : out std_logic;  -- export
+      ram_scrap_address_export               : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_write_export                 : out std_logic;  -- export
+      ram_scrap_writedata_export             : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_read_export                  : out std_logic;  -- export
+      ram_scrap_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_clk_export               : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_write_export             : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_read_export              : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export             : out std_logic;  -- export
+      reg_dpmm_data_clk_export               : out std_logic;  -- export
+      reg_dpmm_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_write_export             : out std_logic;  -- export
+      reg_dpmm_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_read_export              : out std_logic;  -- export
+      reg_dpmm_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                  : out std_logic;  -- export
+      reg_epcs_clk_export                    : out std_logic;  -- export
+      reg_epcs_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_write_export                  : out std_logic;  -- export
+      reg_epcs_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_read_export                   : out std_logic;  -- export
+      reg_epcs_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_clk_export          : out std_logic;  -- export
+      reg_fpga_temp_sens_address_export      : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_write_export        : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export    : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_read_export         : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_clk_export       : out std_logic;  -- export
+      reg_fpga_voltage_sens_address_export   : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_write_export     : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_read_export      : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_clk_export               : out std_logic;  -- export
+      reg_mmdp_ctrl_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_write_export             : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_read_export              : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export             : out std_logic;  -- export
+      reg_mmdp_data_clk_export               : out std_logic;  -- export
+      reg_mmdp_data_address_export           : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_write_export             : out std_logic;  -- export
+      reg_mmdp_data_writedata_export         : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_read_export              : out std_logic;  -- export
+      reg_mmdp_data_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                  : out std_logic;  -- export
+      reg_remu_clk_export                    : out std_logic;  -- export
+      reg_remu_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_write_export                  : out std_logic;  -- export
+      reg_remu_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_read_export                   : out std_logic;  -- export
+      reg_remu_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_wdi_reset_export                   : out std_logic;  -- export
+      reg_wdi_clk_export                     : out std_logic;  -- export
+      reg_wdi_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_write_export                   : out std_logic;  -- export
+      reg_wdi_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_read_export                    : out std_logic;  -- export
+      reg_wdi_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export           : out std_logic;  -- export
+      rom_system_info_clk_export             : out std_logic;  -- export
+      rom_system_info_address_export         : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_write_export           : out std_logic;  -- export
+      rom_system_info_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      rom_system_info_read_export            : out std_logic;  -- export
+      rom_system_info_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_unb2c_minimal;
 
 end qsys_unb2c_minimal_pkg;
diff --git a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd
index 811b44df06..12d20e85d4 100644
--- a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd
+++ b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
 
 entity unb2c_minimal is
   generic (
@@ -150,211 +150,211 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2c_board_lib.ctrl_unb2c_board
-  generic map (
-    g_sim                => g_sim,
-    g_technology         => g_technology,
-    g_design_name        => g_design_name,
-    g_design_note        => g_design_note,
-    g_stamp_date         => g_stamp_date,
-    g_stamp_time         => g_stamp_time,
-    g_revision_id        => g_revision_id,
-    g_fw_version         => c_fw_version,
-    g_mm_clk_freq        => c_mm_clk_freq,
-    g_eth_clk_freq       => c_unb2c_board_eth_clk_freq_125M,
-    g_aux                => c_unb2c_board_aux,
-    g_factory_image      => g_factory_image,
-    g_protect_addr_range => g_protect_addr_range
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => st_rst,
-    dp_clk                   => st_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => st_rst,
-    dp_clk_in                => st_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- scrap ram
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-
-    -- . 1GbE Control Interface
---    ETH_clk                  => ETH_CLK(0),
---    ETH_SGIN                 => ETH_SGIN(0),
---    ETH_SGOUT                => ETH_SGOUT(0)
-
-    ETH_clk                  => ETH_CLK(1),
-    ETH_SGIN                 => ETH_SGIN(1),
-    ETH_SGOUT                => ETH_SGOUT(1)
-  );
+    generic map (
+      g_sim                => g_sim,
+      g_technology         => g_technology,
+      g_design_name        => g_design_name,
+      g_design_note        => g_design_note,
+      g_stamp_date         => g_stamp_date,
+      g_stamp_time         => g_stamp_time,
+      g_revision_id        => g_revision_id,
+      g_fw_version         => c_fw_version,
+      g_mm_clk_freq        => c_mm_clk_freq,
+      g_eth_clk_freq       => c_unb2c_board_eth_clk_freq_125M,
+      g_aux                => c_unb2c_board_aux,
+      g_factory_image      => g_factory_image,
+      g_protect_addr_range => g_protect_addr_range
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => st_rst,
+      dp_clk                   => st_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => st_rst,
+      dp_clk_in                => st_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- scrap ram
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+
+      -- . 1GbE Control Interface
+      --    ETH_clk                  => ETH_CLK(0),
+      --    ETH_SGIN                 => ETH_SGIN(0),
+      --    ETH_SGOUT                => ETH_SGOUT(0)
+
+      ETH_clk                  => ETH_CLK(1),
+      ETH_SGIN                 => ETH_SGIN(1),
+      ETH_SGOUT                => ETH_SGOUT(1)
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2c_minimal
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- Scrap RAM
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- Scrap RAM
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso
+    );
 
   u_front_led : entity unb2c_board_lib.unb2c_board_qsfp_leds
-  generic map (
-    g_sim           => g_sim,
-    g_factory_image => g_factory_image,
-    g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
-    g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-  )
-  port map (
-    rst             => mm_rst,
-    clk             => mm_clk,
-    green_led_arr   => qsfp_green_led_arr,
-    red_led_arr     => qsfp_red_led_arr
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_factory_image => g_factory_image,
+      g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
+      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+    )
+    port map (
+      rst             => mm_rst,
+      clk             => mm_clk,
+      green_led_arr   => qsfp_green_led_arr,
+      red_led_arr     => qsfp_red_led_arr
+    );
 
   u_front_io : entity unb2c_board_lib.unb2c_board_front_io
-  generic map (
-    g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
-  )
-  port map (
-    green_led_arr => qsfp_green_led_arr,
-    red_led_arr   => qsfp_red_led_arr,
-    QSFP_LED      => QSFP_LED
-  );
+    generic map (
+      g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
+    )
+    port map (
+      green_led_arr => qsfp_green_led_arr,
+      red_led_arr   => qsfp_red_led_arr,
+      QSFP_LED      => QSFP_LED
+    );
 
 end str;
 
diff --git a/boards/uniboard2c/designs/unb2c_minimal/tb/vhdl/tb_unb2c_minimal.vhd b/boards/uniboard2c/designs/unb2c_minimal/tb/vhdl/tb_unb2c_minimal.vhd
index 40b4f29907..cea63067d4 100644
--- a/boards/uniboard2c/designs/unb2c_minimal/tb/vhdl/tb_unb2c_minimal.vhd
+++ b/boards/uniboard2c/designs/unb2c_minimal/tb/vhdl/tb_unb2c_minimal.vhd
@@ -42,20 +42,20 @@
 --
 
 library IEEE, common_lib, unb2c_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use i2c_lib.i2c_dev_unb2_pkg.all;
-use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use i2c_lib.i2c_dev_unb2_pkg.all;
+  use i2c_lib.i2c_commander_unb2_pmbus_pkg.all;
 
 entity tb_unb2c_minimal is
-    generic (
-      g_design_name : string  := "unb2c_minimal";
-      g_sim_unb_nr  : natural := 0;  -- UniBoard 0
-      g_sim_node_nr : natural := 3  -- Node 3
-    );
+  generic (
+    g_design_name : string  := "unb2c_minimal";
+    g_sim_unb_nr  : natural := 0;  -- UniBoard 0
+    g_sim_node_nr : natural := 3  -- Node 3
+  );
 end tb_unb2c_minimal;
 
 architecture tb of tb_unb2c_minimal is
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/tb_unb2c_test_10GbE.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/tb_unb2c_test_10GbE.vhd
index a530afa748..eb86d66863 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/tb_unb2c_test_10GbE.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/tb_unb2c_test_10GbE.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2c_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_10GbE is
@@ -31,8 +31,8 @@ end tb_unb2c_test_10GbE;
 architecture tb of tb_unb2c_test_10GbE is
 begin
   u_tb_unb2c_test : entity unb2c_test_lib.tb_unb2c_test
-  generic map (
-    g_design_name => "unb2c_test_10GbE"
-  );
+    generic map (
+      g_design_name => "unb2c_test_10GbE"
+    );
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd
index e3fba92d2d..98fd46db05 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_test_10GbE is
@@ -96,64 +96,64 @@ architecture str of unb2c_test_10GbE is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- Transceiver clocks
-    SA_CLK       => SA_CLK,
-    SB_CLK       => SB_CLK,
-    BCK_REF_CLK  => BCK_REF_CLK,
-
-    -- back transceivers
-    --BCK_RX       => BCK_RX,
-    --BCK_TX       => BCK_TX,
-
-    -- ring transceivers
-    RING_0_RX    => RING_0_RX,
-    RING_0_TX    => RING_0_TX,
-    RING_1_RX    => RING_1_RX,
-    RING_1_TX    => RING_1_TX,
-
-    -- front transceivers
-    QSFP_0_RX    => QSFP_0_RX,
-    QSFP_0_TX    => QSFP_0_TX,
-    QSFP_1_RX    => QSFP_1_RX,
-    QSFP_1_TX    => QSFP_1_TX,
-    QSFP_2_RX    => QSFP_2_RX,
-    QSFP_2_TX    => QSFP_2_TX,
-    QSFP_3_RX    => QSFP_3_RX,
-    QSFP_3_TX    => QSFP_3_TX,
-    QSFP_4_RX    => QSFP_4_RX,
-    QSFP_4_TX    => QSFP_4_TX,
-    QSFP_5_RX    => QSFP_5_RX,
-    QSFP_5_TX    => QSFP_5_TX,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- Transceiver clocks
+      SA_CLK       => SA_CLK,
+      SB_CLK       => SB_CLK,
+      BCK_REF_CLK  => BCK_REF_CLK,
+
+      -- back transceivers
+      --BCK_RX       => BCK_RX,
+      --BCK_TX       => BCK_TX,
+
+      -- ring transceivers
+      RING_0_RX    => RING_0_RX,
+      RING_0_TX    => RING_0_TX,
+      RING_1_RX    => RING_1_RX,
+      RING_1_TX    => RING_1_TX,
+
+      -- front transceivers
+      QSFP_0_RX    => QSFP_0_RX,
+      QSFP_0_TX    => QSFP_0_TX,
+      QSFP_1_RX    => QSFP_1_RX,
+      QSFP_1_TX    => QSFP_1_TX,
+      QSFP_2_RX    => QSFP_2_RX,
+      QSFP_2_TX    => QSFP_2_TX,
+      QSFP_3_RX    => QSFP_3_RX,
+      QSFP_3_TX    => QSFP_3_TX,
+      QSFP_4_RX    => QSFP_4_RX,
+      QSFP_4_TX    => QSFP_4_TX,
+      QSFP_5_RX    => QSFP_5_RX,
+      QSFP_5_TX    => QSFP_5_TX,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd
index 4e3e8e0939..7cf1737c37 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd
@@ -43,7 +43,7 @@
 -- > tc_unb2_test_eth.py --gn2 0 --stream 0 --dest loopback -r 10000 --sizes 1000 --interval 100 --scheme tx_rx --sim
 -- stop simulation.
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_1GbE_I is
@@ -71,29 +71,29 @@ begin
   eth_sgin <= eth_sgout;  -- loopback eth0 and eth1
 
   u_unb2c_test_1GbE_I : entity work.unb2c_test_1GbE_I
-  generic map (
-    g_sim        => true
-  )
-  port map (
-    -- GENERAL
-    CLK          => clk,
-    PPS          => pps,
-    WDI          => wdi,
-    INTA         => OPEN,
-    INTB         => OPEN,
-
-    -- Others
-    VERSION      => "00",
-    ID           => "00000000",
-    TESTIO       => OPEN,
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_sgin,
-    ETH_SGOUT    => eth_sgout,
-
-    QSFP_LED     => open
-  );
+    generic map (
+      g_sim        => true
+    )
+    port map (
+      -- GENERAL
+      CLK          => clk,
+      PPS          => pps,
+      WDI          => wdi,
+      INTA         => OPEN,
+      INTB         => OPEN,
+
+      -- Others
+      VERSION      => "00",
+      ID           => "00000000",
+      TESTIO       => OPEN,
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_sgin,
+      ETH_SGOUT    => eth_sgout,
+
+      QSFP_LED     => open
+    );
 
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd
index 9bf4c129f9..4328fd0f8d 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd
@@ -25,11 +25,11 @@
 -- Description:
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_test_1GbE_I is
@@ -71,35 +71,35 @@ architecture str of unb2c_test_1GbE_I is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
 
-    QSFP_LED     => QSFP_LED
-  );
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd
index 6332e970cd..48c5067a2f 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd
@@ -45,7 +45,7 @@
 --   for faster sim.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_1GbE_II is
@@ -73,30 +73,30 @@ begin
   eth_sgin <= eth_sgout;  -- loopback eth0 and eth1
 
   u_unb2c_test_1GbE_II : entity work.unb2c_test_1GbE_II
-  generic map (
-    g_sim        => true
-  )
-  port map (
-    -- GENERAL
-    CLK          => clk,
-    PPS          => pps,
-    WDI          => wdi,
-    INTA         => OPEN,
-    INTB         => OPEN,
-
-    -- Others
-    VERSION      => "00",
-    ID           => "00000000",
-    TESTIO       => OPEN,
-
-
-    -- 1GbE Control Interface
-    ETH_CLK      => eth_clk,
-    ETH_SGIN     => eth_sgin,
-    ETH_SGOUT    => eth_sgout,
-
-    QSFP_LED     => open
-  );
+    generic map (
+      g_sim        => true
+    )
+    port map (
+      -- GENERAL
+      CLK          => clk,
+      PPS          => pps,
+      WDI          => wdi,
+      INTA         => OPEN,
+      INTB         => OPEN,
+
+      -- Others
+      VERSION      => "00",
+      ID           => "00000000",
+      TESTIO       => OPEN,
+
+
+      -- 1GbE Control Interface
+      ETH_CLK      => eth_clk,
+      ETH_SGIN     => eth_sgin,
+      ETH_SGOUT    => eth_sgout,
+
+      QSFP_LED     => open
+    );
 
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd
index 262eef85b9..0163c1438b 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd
@@ -25,11 +25,11 @@
 -- Description:
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_test_1GbE_II is
@@ -71,35 +71,35 @@ architecture str of unb2c_test_1GbE_II is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
 
-    QSFP_LED     => QSFP_LED
-  );
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/tb_unb2c_test_ddr.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/tb_unb2c_test_ddr.vhd
index 0527b55ab7..c1f151cd47 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/tb_unb2c_test_ddr.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/tb_unb2c_test_ddr.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2c_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_ddr is
@@ -31,8 +31,8 @@ end tb_unb2c_test_ddr;
 architecture tb of tb_unb2c_test_ddr is
 begin
   u_tb_unb2c_test : entity unb2c_test_lib.tb_unb2c_test
-  generic map (
-    g_design_name => "unb2c_test_ddr"
-  );
+    generic map (
+      g_design_name => "unb2c_test_ddr"
+    );
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd
index 193ad5ca22..3d00fd98dc 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2c_test_ddr is
@@ -82,49 +82,49 @@ architecture str of unb2c_test_ddr is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/tb_unb2c_test_ddr_16G.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/tb_unb2c_test_ddr_16G.vhd
index 64ff992ffd..700d18b758 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/tb_unb2c_test_ddr_16G.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/tb_unb2c_test_ddr_16G.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2c_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_ddr_16G is
@@ -31,8 +31,8 @@ end tb_unb2c_test_ddr_16G;
 architecture tb of tb_unb2c_test_ddr_16G is
 begin
   u_tb_unb2c_test : entity unb2c_test_lib.tb_unb2c_test
-  generic map (
-    g_design_name => "unb2c_test_ddr_16G"
-  );
+    generic map (
+      g_design_name => "unb2c_test_ddr_16G"
+    );
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/unb2c_test_ddr_16G.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/unb2c_test_ddr_16G.vhd
index 831cbf1f67..92d1b263ca 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/unb2c_test_ddr_16G.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr_16G/unb2c_test_ddr_16G.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 
 entity unb2c_test_ddr_16G is
@@ -82,49 +82,49 @@ architecture str of unb2c_test_ddr_16G is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
-
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
-
-
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => MB_I_REF_CLK,
-    MB_II_REF_CLK => MB_II_REF_CLK,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN      => MB_I_IN,
-    MB_I_IO      => MB_I_IO,
-    MB_I_OU      => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN     => MB_II_IN,
-    MB_II_IO     => MB_II_IO,
-    MB_II_OU     => MB_II_OU,
-
-    QSFP_LED     => QSFP_LED
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
+
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
+
+
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => MB_I_REF_CLK,
+      MB_II_REF_CLK => MB_II_REF_CLK,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN      => MB_I_IN,
+      MB_I_IO      => MB_I_IO,
+      MB_I_OU      => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN     => MB_II_IN,
+      MB_II_IO     => MB_II_IO,
+      MB_II_OU     => MB_II_OU,
+
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/tb_unb2c_test_heater.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/tb_unb2c_test_heater.vhd
index 8cf3854e05..79b43bcfc2 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/tb_unb2c_test_heater.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/tb_unb2c_test_heater.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2c_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_heater is
@@ -31,8 +31,8 @@ end tb_unb2c_test_heater;
 architecture tb of tb_unb2c_test_heater is
 begin
   u_tb_unb2c_test : entity unb2c_test_lib.tb_unb2c_test
-  generic map (
-    g_design_name => "unb2c_test_heater"
-  );
+    generic map (
+      g_design_name => "unb2c_test_heater"
+    );
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd
index 8b2b85ed9f..7d7ac666e1 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_test_heater is
@@ -67,34 +67,34 @@ architecture str of unb2c_test_heater is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
 
-    QSFP_LED     => QSFP_LED
-  );
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/tb_unb2c_test_jesd204b.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/tb_unb2c_test_jesd204b.vhd
index 3ca7e706a7..61bf397018 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/tb_unb2c_test_jesd204b.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/tb_unb2c_test_jesd204b.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, unb2c_test_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_unb2c_test_jesd204b is
@@ -31,8 +31,8 @@ end tb_unb2c_test_jesd204b;
 architecture tb of tb_unb2c_test_jesd204b is
 begin
   u_tb_unb2c_test : entity unb2c_test_lib.tb_unb2c_test
-  generic map (
-    g_design_name => "unb2c_test_jesd204b"
-  );
+    generic map (
+      g_design_name => "unb2c_test_jesd204b"
+    );
 end tb;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd
index f8cadf1c29..76007a54f0 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_test_jesd204b is
@@ -72,41 +72,41 @@ architecture str of unb2c_test_jesd204b is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
 
-    -- jesd204b
-    BCK_REF_CLK  => BCK_REF_CLK,
-    BCK_RX       => BCK_RX,
-    JESD204B_SYSREF => JESD204B_SYSREF,
-    JESD204B_SYNC   => JESD204B_SYNC,
+      -- jesd204b
+      BCK_REF_CLK  => BCK_REF_CLK,
+      BCK_RX       => BCK_RX,
+      JESD204B_SYSREF => JESD204B_SYSREF,
+      JESD204B_SYNC   => JESD204B_SYNC,
 
-    QSFP_LED     => QSFP_LED
-  );
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd
index b0fec68285..166b031f19 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_test_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_test_minimal is
@@ -67,35 +67,35 @@ architecture str of unb2c_test_minimal is
 
 begin
   u_revision : entity unb2c_test_lib.unb2c_test
-  generic map (
-    g_design_name => g_design_name,
-    g_design_note => g_design_note,
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id
-  )
-  port map (
-    -- GENERAL
-    CLK          => CLK,
-    PPS          => PPS,
-    WDI          => WDI,
-    INTA         => INTA,
-    INTB         => INTB,
+    generic map (
+      g_design_name => g_design_name,
+      g_design_note => g_design_note,
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id
+    )
+    port map (
+      -- GENERAL
+      CLK          => CLK,
+      PPS          => PPS,
+      WDI          => WDI,
+      INTA         => INTA,
+      INTB         => INTB,
 
-    -- Others
-    VERSION      => VERSION,
-    ID           => ID,
-    TESTIO       => TESTIO,
+      -- Others
+      VERSION      => VERSION,
+      ID           => ID,
+      TESTIO       => TESTIO,
 
 
-    -- 1GbE Control Interface
-    ETH_clk      => ETH_clk,
-    ETH_SGIN     => ETH_SGIN,
-    ETH_SGOUT    => ETH_SGOUT,
+      -- 1GbE Control Interface
+      ETH_clk      => ETH_clk,
+      ETH_SGIN     => ETH_SGIN,
+      ETH_SGOUT    => ETH_SGOUT,
 
-    QSFP_LED     => QSFP_LED
-  );
+      QSFP_LED     => QSFP_LED
+    );
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd
index 433eec002f..d02663173a 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd
@@ -20,25 +20,25 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, tech_mac_10g_lib, io_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use work.qsys_unb2c_test_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.unb2c_test_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use work.qsys_unb2c_test_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.unb2c_test_pkg.all;
 
 
 entity mmm_unb2c_test is
@@ -287,114 +287,114 @@ begin
     eth_1_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                 port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                 port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_fpga_temp_sens  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso );
 
     u_mm_file_reg_fpga_voltage_sens :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS")
-                                               port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
+      port map(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso );
 
     u_mm_file_reg_ppsh              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                                 port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     u_mm_file_reg_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso);
     u_mm_file_ram_diag_bg_10GbE     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_10GBE")
-                                                 port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso);
     u_mm_file_reg_diag_tx_seq_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_10GBE")
-                                                 port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso);
 
     u_mm_file_reg_bsn_monitor_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso);
 
     u_mm_file_reg_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso);
     u_mm_file_ram_diag_data_buffer_10GbE : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_10GBE")
-                                                      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso);
     u_mm_file_reg_diag_rx_seq_10GbE      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_10GBE")
-                                                      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso);
 
     u_mm_file_reg_io_ddr_MB_I                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_I        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_I   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_I")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso);
 
     u_mm_file_reg_io_ddr_MB_II                : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso);
     u_mm_file_reg_diag_tx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_rx_seq_ddr_MB_II       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso);
     u_mm_file_reg_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso);
     u_mm_file_ram_diag_data_buffer_ddr_MB_II  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_II")
-                                                           port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
+      port map(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso);
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     -- . 1GbE_I with TSE setup by NiosII
     u_mm_file_reg_eth_0_tse       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_TSE")
-                                               port map(mm_rst, mm_clk, eth_0_tse_mosi, eth_0_tse_miso);
+      port map(mm_rst, mm_clk, eth_0_tse_mosi, eth_0_tse_miso);
     u_mm_file_reg_eth_0_reg       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_REG")
-                                               port map(mm_rst, mm_clk, i_eth_0_reg_mosi, eth_0_reg_miso);
+      port map(mm_rst, mm_clk, i_eth_0_reg_mosi, eth_0_reg_miso);
     u_mm_file_reg_eth_0_ram       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_RAM")
-                                               port map(mm_rst, mm_clk, eth_0_ram_mosi, eth_0_ram_miso);
+      port map(mm_rst, mm_clk, eth_0_ram_mosi, eth_0_ram_miso);
     -- . 1GbE_II with TSE setup in VHDL
     u_mm_file_reg_eth_1_tse       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_TSE")
-                                               port map(mm_rst, mm_clk, eth_1_tse_mosi, eth_1_tse_miso);
+      port map(mm_rst, mm_clk, eth_1_tse_mosi, eth_1_tse_miso);
 
     u_mm_file_reg_tr_10GbE_qsfp_ring : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
     u_mm_file_reg_tr_10GbE_back0     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
+      port map(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso);
 
     u_mm_file_reg_eth10g_qsfp_ring   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_QSFP_RING")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso);
     u_mm_file_reg_eth10g_back0       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK0")
-                                                  port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
+      port map(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso);
 
     u_mm_file_reg_heater          : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HEATER")
-                                               port map(mm_rst, mm_clk, reg_heater_mosi, reg_heater_miso );
+      port map(mm_rst, mm_clk, reg_heater_mosi, reg_heater_miso );
     u_mm_file_ram_scrap           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
-                                               port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
+      port map(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
 
     u_mm_file_reg_reg_diag_bg_eth_0             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_ETH_0")
-                                                             port map(mm_rst, mm_clk, reg_diag_bg_eth_0_copi, reg_diag_bg_eth_0_cipo );
+      port map(mm_rst, mm_clk, reg_diag_bg_eth_0_copi, reg_diag_bg_eth_0_cipo );
     u_mm_file_reg_hdr_dat_eth_0                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT_ETH_0")
-                                                             port map(mm_rst, mm_clk, reg_hdr_dat_eth_0_copi, reg_hdr_dat_eth_0_cipo );
+      port map(mm_rst, mm_clk, reg_hdr_dat_eth_0_copi, reg_hdr_dat_eth_0_cipo );
     u_mm_file_reg_bsn_monitor_v2_tx_eth_0       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_TX_ETH_0")
-                                                             port map(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_eth_0_copi, reg_bsn_monitor_v2_tx_eth_0_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_eth_0_copi, reg_bsn_monitor_v2_tx_eth_0_cipo );
     u_mm_file_reg_strobe_total_count_tx_eth_0   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_TX_ETH_0")
-                                                             port map(mm_rst, mm_clk, reg_strobe_total_count_tx_eth_0_copi, reg_strobe_total_count_tx_eth_0_cipo );
+      port map(mm_rst, mm_clk, reg_strobe_total_count_tx_eth_0_copi, reg_strobe_total_count_tx_eth_0_cipo );
     u_mm_file_reg_bsn_monitor_v2_rx_eth_0       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ETH_0")
-                                                             port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_eth_0_copi, reg_bsn_monitor_v2_rx_eth_0_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_eth_0_copi, reg_bsn_monitor_v2_rx_eth_0_cipo );
     u_mm_file_reg_strobe_total_count_rx_eth_0   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_RX_ETH_0")
-                                                             port map(mm_rst, mm_clk, reg_strobe_total_count_rx_eth_0_copi, reg_strobe_total_count_rx_eth_0_cipo );
+      port map(mm_rst, mm_clk, reg_strobe_total_count_rx_eth_0_copi, reg_strobe_total_count_rx_eth_0_cipo );
 
     u_mm_file_reg_reg_diag_bg_eth_1             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_ETH_1")
-                                                             port map(mm_rst, mm_clk, reg_diag_bg_eth_1_copi, reg_diag_bg_eth_1_cipo );
+      port map(mm_rst, mm_clk, reg_diag_bg_eth_1_copi, reg_diag_bg_eth_1_cipo );
     u_mm_file_reg_hdr_dat_eth_1                 : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT_ETH_1")
-                                                             port map(mm_rst, mm_clk, reg_hdr_dat_eth_1_copi, reg_hdr_dat_eth_1_cipo );
+      port map(mm_rst, mm_clk, reg_hdr_dat_eth_1_copi, reg_hdr_dat_eth_1_cipo );
     u_mm_file_reg_bsn_monitor_v2_tx_eth_1       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_TX_ETH_1")
-                                                             port map(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_eth_1_copi, reg_bsn_monitor_v2_tx_eth_1_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_eth_1_copi, reg_bsn_monitor_v2_tx_eth_1_cipo );
     u_mm_file_reg_strobe_total_count_tx_eth_1   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_TX_ETH_1")
-                                                             port map(mm_rst, mm_clk, reg_strobe_total_count_tx_eth_1_copi, reg_strobe_total_count_tx_eth_1_cipo );
+      port map(mm_rst, mm_clk, reg_strobe_total_count_tx_eth_1_copi, reg_strobe_total_count_tx_eth_1_cipo );
     u_mm_file_reg_bsn_monitor_v2_rx_eth_1       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ETH_1")
-                                                             port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_eth_1_copi, reg_bsn_monitor_v2_rx_eth_1_cipo );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_eth_1_copi, reg_bsn_monitor_v2_rx_eth_1_cipo );
     u_mm_file_reg_strobe_total_count_rx_eth_1   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_RX_ETH_1")
-                                                             port map(mm_rst, mm_clk, reg_strobe_total_count_rx_eth_1_copi, reg_strobe_total_count_rx_eth_1_cipo );
+      port map(mm_rst, mm_clk, reg_strobe_total_count_rx_eth_1_copi, reg_strobe_total_count_rx_eth_1_cipo );
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -419,10 +419,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth_0_reg_mosi, i_eth_0_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth_0_reg_mosi <= sim_eth_0_reg_mosi;
-        else
-          eth_0_reg_mosi <= i_eth_0_reg_mosi;
-        end if;
+        eth_0_reg_mosi <= sim_eth_0_reg_mosi;
+      else
+        eth_0_reg_mosi <= i_eth_0_reg_mosi;
+      end if;
     end process;
 
 
@@ -441,464 +441,464 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys : if g_sim = false generate
     u_qsys : qsys_unb2c_test
-    port map (
-
-      clk_clk                                   => mm_clk,
-      reset_reset_n                             => i_reset_n,
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
-      pio_wdi_external_connection_export        => pout_wdi,
-
-      avs_eth_0_reset_export                    => eth_0_mm_rst,
-      avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth_0_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_0_tse_write_export                => eth_0_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth_0_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth_0_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_readdata_export             => eth_0_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_tse_waitrequest_export          => eth_0_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth_0_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_0_reg_write_export                => eth_0_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth_0_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth_0_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_reg_readdata_export             => eth_0_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_address_export              => eth_0_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_0_ram_write_export                => eth_0_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth_0_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth_0_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_0_ram_readdata_export             => eth_0_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_0_irq_export                      => eth_0_reg_interrupt,
-
-      avs_eth_1_reset_export               => eth_1_mm_rst,
-      avs_eth_1_clk_export                 => OPEN,
-      avs_eth_1_tse_address_export         => eth_1_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      avs_eth_1_tse_write_export           => eth_1_tse_mosi.wr,
-      avs_eth_1_tse_read_export            => eth_1_tse_mosi.rd,
-      avs_eth_1_tse_writedata_export       => eth_1_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_readdata_export        => eth_1_tse_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_tse_waitrequest_export     => eth_1_tse_miso.waitrequest,
-      avs_eth_1_reg_address_export         => eth_1_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      avs_eth_1_reg_write_export           => eth_1_reg_mosi.wr,
-      avs_eth_1_reg_read_export            => eth_1_reg_mosi.rd,
-      avs_eth_1_reg_writedata_export       => eth_1_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_reg_readdata_export        => eth_1_reg_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_address_export         => eth_1_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      avs_eth_1_ram_write_export           => eth_1_ram_mosi.wr,
-      avs_eth_1_ram_read_export            => eth_1_ram_mosi.rd,
-      avs_eth_1_ram_writedata_export       => eth_1_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      avs_eth_1_ram_readdata_export        => eth_1_ram_miso.rddata(c_word_w - 1 downto 0),
-      avs_eth_1_irq_export                 => eth_1_reg_interrupt,
-
-      reg_fpga_temp_sens_reset_export           => OPEN,
-      reg_fpga_temp_sens_clk_export             => OPEN,
-      reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
-      reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
-      reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
-      reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_fpga_voltage_sens_reset_export        => OPEN,
-      reg_fpga_voltage_sens_clk_export          => OPEN,
-      reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
-      reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
-      reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
-      reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
-
-      rom_system_info_reset_export              => OPEN,
-      rom_system_info_clk_export                => OPEN,
-      rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_system_info_reset_export              => OPEN,
-      pio_system_info_clk_export                => OPEN,
-      pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_pps_reset_export                      => OPEN,
-      pio_pps_clk_export                        => OPEN,
-      pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
-      pio_pps_write_export                      => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_pps_read_export                       => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_wdi_reset_export                      => OPEN,
-      reg_wdi_clk_export                        => OPEN,
-      reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
-      reg_wdi_write_export                      => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_read_export                       => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_remu_reset_export                     => OPEN,
-      reg_remu_clk_export                       => OPEN,
-      reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
-      reg_remu_write_export                     => reg_remu_mosi.wr,
-      reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_remu_read_export                      => reg_remu_mosi.rd,
-      reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_epcs_reset_export                     => OPEN,
-      reg_epcs_clk_export                       => OPEN,
-      reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
-      reg_epcs_write_export                     => reg_epcs_mosi.wr,
-      reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_epcs_read_export                      => reg_epcs_mosi.rd,
-      reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_ctrl_reset_export                => OPEN,
-      reg_dpmm_ctrl_clk_export                  => OPEN,
-      reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
-      reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
-      reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
-      reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_mmdp_data_reset_export                => OPEN,
-      reg_mmdp_data_clk_export                  => OPEN,
-      reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
-      reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
-      reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
-      reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_dpmm_data_reset_export                => OPEN,
-      reg_dpmm_data_clk_export                  => OPEN,
-      reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
-      reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
-      reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
-      reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
-      reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_mmdp_ctrl_reset_export                => OPEN,
-      reg_mmdp_ctrl_clk_export                  => OPEN,
-      reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
-      reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
-      reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
-      reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
-      reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
-      reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
-      reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
-      reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
-      reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
-
-      reg_tr_10gbe_back0_reset_export           => OPEN,
-      reg_tr_10gbe_back0_clk_export             => OPEN,
-      reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
-      reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
-      reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
-      reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
-
-      reg_eth10g_qsfp_ring_reset_export         => OPEN,
-      reg_eth10g_qsfp_ring_clk_export           => OPEN,
-      reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
-      reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
-      reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_eth10g_back0_reset_export             => OPEN,
-      reg_eth10g_back0_clk_export               => OPEN,
-      reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
-      reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
-      reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
-      reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_10gbe_reset_export        => OPEN,
-      reg_bsn_monitor_10gbe_clk_export          => OPEN,
-      reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
-      reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
-      reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_10gbe_reset_export     => OPEN,
-      reg_diag_data_buffer_10gbe_clk_export       => OPEN,
-      reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(5 downto 0),
-      reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
-      reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
-      reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_10gbe_clk_export       => OPEN,
-      ram_diag_data_buffer_10gbe_reset_export     => OPEN,
-      ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
-      ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
-      ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_10GbE_reset_export              => OPEN,
-      reg_diag_bg_10GbE_clk_export                => OPEN,
-      reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
-      reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
-      reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_bg_10GbE_reset_export              => OPEN,
-      ram_diag_bg_10GbE_clk_export                => OPEN,
-      ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
-      ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
-      ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
-      ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_I_clk_export                      => OPEN,
-      reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
-      reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_I_reset_export                    => OPEN,
-      reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
-      reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
-      reg_io_ddr_MB_II_clk_export                     => OPEN,
-      reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
-      reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-      reg_io_ddr_MB_II_reset_export                   => OPEN,
-      reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
-      reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
-      reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
-      reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
-      reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
-      reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
-      reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
-      reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
-      reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
-      reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
-      reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
-      reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
-      ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
-      ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
-      ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
-      ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_eth_0_reset_export                    => OPEN,
-      reg_diag_bg_eth_0_clk_export                      => OPEN,
-      reg_diag_bg_eth_0_address_export                  => reg_diag_bg_eth_0_copi.address(4 downto 0),
-      reg_diag_bg_eth_0_write_export                    => reg_diag_bg_eth_0_copi.wr,
-      reg_diag_bg_eth_0_writedata_export                => reg_diag_bg_eth_0_copi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_eth_0_read_export                     => reg_diag_bg_eth_0_copi.rd,
-      reg_diag_bg_eth_0_readdata_export                 => reg_diag_bg_eth_0_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_hdr_dat_eth_0_reset_export                    => OPEN,
-      reg_hdr_dat_eth_0_clk_export                      => OPEN,
-      reg_hdr_dat_eth_0_address_export                  => reg_hdr_dat_eth_0_copi.address(6 downto 0),
-      reg_hdr_dat_eth_0_write_export                    => reg_hdr_dat_eth_0_copi.wr,
-      reg_hdr_dat_eth_0_writedata_export                => reg_hdr_dat_eth_0_copi.wrdata(c_word_w - 1 downto 0),
-      reg_hdr_dat_eth_0_read_export                     => reg_hdr_dat_eth_0_copi.rd,
-      reg_hdr_dat_eth_0_readdata_export                 => reg_hdr_dat_eth_0_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_tx_eth_0_reset_export          => OPEN,
-      reg_bsn_monitor_v2_tx_eth_0_clk_export            => OPEN,
-      reg_bsn_monitor_v2_tx_eth_0_address_export        => reg_bsn_monitor_v2_tx_eth_0_copi.address(4 downto 0),
-      reg_bsn_monitor_v2_tx_eth_0_write_export          => reg_bsn_monitor_v2_tx_eth_0_copi.wr,
-      reg_bsn_monitor_v2_tx_eth_0_writedata_export      => reg_bsn_monitor_v2_tx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_tx_eth_0_read_export           => reg_bsn_monitor_v2_tx_eth_0_copi.rd,
-      reg_bsn_monitor_v2_tx_eth_0_readdata_export       => reg_bsn_monitor_v2_tx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_strobe_total_count_tx_eth_0_reset_export      => OPEN,
-      reg_strobe_total_count_tx_eth_0_clk_export        => OPEN,
-      reg_strobe_total_count_tx_eth_0_address_export    => reg_strobe_total_count_tx_eth_0_copi.address(6 downto 0),
-      reg_strobe_total_count_tx_eth_0_write_export      => reg_strobe_total_count_tx_eth_0_copi.wr,
-      reg_strobe_total_count_tx_eth_0_writedata_export  => reg_strobe_total_count_tx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
-      reg_strobe_total_count_tx_eth_0_read_export       => reg_strobe_total_count_tx_eth_0_copi.rd,
-      reg_strobe_total_count_tx_eth_0_readdata_export   => reg_strobe_total_count_tx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_eth_0_reset_export          => OPEN,
-      reg_bsn_monitor_v2_rx_eth_0_clk_export            => OPEN,
-      reg_bsn_monitor_v2_rx_eth_0_address_export        => reg_bsn_monitor_v2_rx_eth_0_copi.address(4 downto 0),
-      reg_bsn_monitor_v2_rx_eth_0_write_export          => reg_bsn_monitor_v2_rx_eth_0_copi.wr,
-      reg_bsn_monitor_v2_rx_eth_0_writedata_export      => reg_bsn_monitor_v2_rx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_eth_0_read_export           => reg_bsn_monitor_v2_rx_eth_0_copi.rd,
-      reg_bsn_monitor_v2_rx_eth_0_readdata_export       => reg_bsn_monitor_v2_rx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_strobe_total_count_rx_eth_0_reset_export      => OPEN,
-      reg_strobe_total_count_rx_eth_0_clk_export        => OPEN,
-      reg_strobe_total_count_rx_eth_0_address_export    => reg_strobe_total_count_rx_eth_0_copi.address(6 downto 0),
-      reg_strobe_total_count_rx_eth_0_write_export      => reg_strobe_total_count_rx_eth_0_copi.wr,
-      reg_strobe_total_count_rx_eth_0_writedata_export  => reg_strobe_total_count_rx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
-      reg_strobe_total_count_rx_eth_0_read_export       => reg_strobe_total_count_rx_eth_0_copi.rd,
-      reg_strobe_total_count_rx_eth_0_readdata_export   => reg_strobe_total_count_rx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_bg_eth_1_reset_export                   => OPEN,
-      reg_diag_bg_eth_1_clk_export                     => OPEN,
-      reg_diag_bg_eth_1_address_export                 => reg_diag_bg_eth_1_copi.address(2 downto 0),
-      reg_diag_bg_eth_1_write_export                   => reg_diag_bg_eth_1_copi.wr,
-      reg_diag_bg_eth_1_writedata_export               => reg_diag_bg_eth_1_copi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_eth_1_read_export                    => reg_diag_bg_eth_1_copi.rd,
-      reg_diag_bg_eth_1_readdata_export                => reg_diag_bg_eth_1_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_hdr_dat_eth_1_reset_export                   => OPEN,
-      reg_hdr_dat_eth_1_clk_export                     => OPEN,
-      reg_hdr_dat_eth_1_address_export                 => reg_hdr_dat_eth_1_copi.address(4 downto 0),
-      reg_hdr_dat_eth_1_write_export                   => reg_hdr_dat_eth_1_copi.wr,
-      reg_hdr_dat_eth_1_writedata_export               => reg_hdr_dat_eth_1_copi.wrdata(c_word_w - 1 downto 0),
-      reg_hdr_dat_eth_1_read_export                    => reg_hdr_dat_eth_1_copi.rd,
-      reg_hdr_dat_eth_1_readdata_export                => reg_hdr_dat_eth_1_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_tx_eth_1_reset_export         => OPEN,
-      reg_bsn_monitor_v2_tx_eth_1_clk_export           => OPEN,
-      reg_bsn_monitor_v2_tx_eth_1_address_export       => reg_bsn_monitor_v2_tx_eth_1_copi.address(2 downto 0),
-      reg_bsn_monitor_v2_tx_eth_1_write_export         => reg_bsn_monitor_v2_tx_eth_1_copi.wr,
-      reg_bsn_monitor_v2_tx_eth_1_writedata_export     => reg_bsn_monitor_v2_tx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_tx_eth_1_read_export          => reg_bsn_monitor_v2_tx_eth_1_copi.rd,
-      reg_bsn_monitor_v2_tx_eth_1_readdata_export      => reg_bsn_monitor_v2_tx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_strobe_total_count_tx_eth_1_reset_export     => OPEN,
-      reg_strobe_total_count_tx_eth_1_clk_export       => OPEN,
-      reg_strobe_total_count_tx_eth_1_address_export   => reg_strobe_total_count_tx_eth_1_copi.address(4 downto 0),
-      reg_strobe_total_count_tx_eth_1_write_export     => reg_strobe_total_count_tx_eth_1_copi.wr,
-      reg_strobe_total_count_tx_eth_1_writedata_export => reg_strobe_total_count_tx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
-      reg_strobe_total_count_tx_eth_1_read_export      => reg_strobe_total_count_tx_eth_1_copi.rd,
-      reg_strobe_total_count_tx_eth_1_readdata_export  => reg_strobe_total_count_tx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_v2_rx_eth_1_reset_export         => OPEN,
-      reg_bsn_monitor_v2_rx_eth_1_clk_export           => OPEN,
-      reg_bsn_monitor_v2_rx_eth_1_address_export       => reg_bsn_monitor_v2_rx_eth_1_copi.address(2 downto 0),
-      reg_bsn_monitor_v2_rx_eth_1_write_export         => reg_bsn_monitor_v2_rx_eth_1_copi.wr,
-      reg_bsn_monitor_v2_rx_eth_1_writedata_export     => reg_bsn_monitor_v2_rx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_v2_rx_eth_1_read_export          => reg_bsn_monitor_v2_rx_eth_1_copi.rd,
-      reg_bsn_monitor_v2_rx_eth_1_readdata_export      => reg_bsn_monitor_v2_rx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_strobe_total_count_rx_eth_1_reset_export     => OPEN,
-      reg_strobe_total_count_rx_eth_1_clk_export       => OPEN,
-      reg_strobe_total_count_rx_eth_1_address_export   => reg_strobe_total_count_rx_eth_1_copi.address(4 downto 0),
-      reg_strobe_total_count_rx_eth_1_write_export     => reg_strobe_total_count_rx_eth_1_copi.wr,
-      reg_strobe_total_count_rx_eth_1_writedata_export => reg_strobe_total_count_rx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
-      reg_strobe_total_count_rx_eth_1_read_export      => reg_strobe_total_count_rx_eth_1_copi.rd,
-      reg_strobe_total_count_rx_eth_1_readdata_export  => reg_strobe_total_count_rx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
-
-      reg_heater_reset_export                   => OPEN,
-      reg_heater_clk_export                     => OPEN,
-      reg_heater_address_export                 => reg_heater_mosi.address(4 downto 0),
-      reg_heater_read_export                    => reg_heater_mosi.rd,
-      reg_heater_readdata_export                => reg_heater_miso.rddata(c_word_w - 1 downto 0),
-      reg_heater_write_export                   => reg_heater_mosi.wr,
-      reg_heater_writedata_export               => reg_heater_mosi.wrdata(c_word_w - 1 downto 0),
-
-      jesd204b_reset_export                     => OPEN,
-      jesd204b_clk_export                       => OPEN,
-      jesd204b_address_export                   => jesd204b_mosi.address(11 downto 0),
-      jesd204b_write_export                     => jesd204b_mosi.wr,
-      jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
-      jesd204b_read_export                      => jesd204b_mosi.rd,
-      jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
-
-      pio_jesd_ctrl_reset_export               => OPEN,
-      pio_jesd_ctrl_clk_export                 => OPEN,
-      pio_jesd_ctrl_address_export             => jesd_ctrl_mosi.address(0 downto 0),
-      pio_jesd_ctrl_write_export               => jesd_ctrl_mosi.wr,
-      pio_jesd_ctrl_writedata_export           => jesd_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_jesd_ctrl_read_export                => jesd_ctrl_mosi.rd,
-      pio_jesd_ctrl_readdata_export            => jesd_ctrl_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(7 downto 0),
-      reg_bsn_monitor_input_clk_export          => OPEN,
-      reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
-      reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_input_reset_export        => OPEN,
-      reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
-      reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
-
-      reg_bsn_source_clk_export                 => OPEN,
-      reg_bsn_source_reset_export               => OPEN,
-      reg_bsn_source_address_export             => reg_bsn_source_mosi.address(1 downto 0),
-      reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
-      reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
-      reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buffer_bsn_clk_export          => OPEN,
-      ram_diag_data_buffer_bsn_reset_export        => OPEN,
-      ram_diag_data_buffer_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(21 - 1 downto 0),  -- 22 = ceil_log2(12  * 256k), so maximum possible data buffer size is 256 kSamples
-      ram_diag_data_buffer_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
-      ram_diag_data_buffer_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
-      ram_diag_data_buffer_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      reg_diag_data_buffer_bsn_reset_export        => OPEN,
-      reg_diag_data_buffer_bsn_clk_export          => OPEN,
-      reg_diag_data_buffer_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(12 - 1 downto 0),
-      reg_diag_data_buffer_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
-      reg_diag_data_buffer_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
-      reg_diag_data_buffer_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
-
-      ram_scrap_reset_export                    => OPEN,
-      ram_scrap_clk_export                      => OPEN,
-      ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
-      ram_scrap_write_export                    => ram_scrap_mosi.wr,
-      ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_scrap_read_export                     => ram_scrap_mosi.rd,
-      ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
-    );
+      port map (
+
+        clk_clk                                   => mm_clk,
+        reset_reset_n                             => i_reset_n,
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
+        pio_wdi_external_connection_export        => pout_wdi,
+
+        avs_eth_0_reset_export                    => eth_0_mm_rst,
+        avs_eth_0_clk_export                      => OPEN,
+        avs_eth_0_tse_address_export              => eth_0_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_0_tse_write_export                => eth_0_tse_mosi.wr,
+        avs_eth_0_tse_read_export                 => eth_0_tse_mosi.rd,
+        avs_eth_0_tse_writedata_export            => eth_0_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_readdata_export             => eth_0_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_tse_waitrequest_export          => eth_0_tse_miso.waitrequest,
+        avs_eth_0_reg_address_export              => eth_0_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_0_reg_write_export                => eth_0_reg_mosi.wr,
+        avs_eth_0_reg_read_export                 => eth_0_reg_mosi.rd,
+        avs_eth_0_reg_writedata_export            => eth_0_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_reg_readdata_export             => eth_0_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_address_export              => eth_0_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_0_ram_write_export                => eth_0_ram_mosi.wr,
+        avs_eth_0_ram_read_export                 => eth_0_ram_mosi.rd,
+        avs_eth_0_ram_writedata_export            => eth_0_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_0_ram_readdata_export             => eth_0_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_0_irq_export                      => eth_0_reg_interrupt,
+
+        avs_eth_1_reset_export               => eth_1_mm_rst,
+        avs_eth_1_clk_export                 => OPEN,
+        avs_eth_1_tse_address_export         => eth_1_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        avs_eth_1_tse_write_export           => eth_1_tse_mosi.wr,
+        avs_eth_1_tse_read_export            => eth_1_tse_mosi.rd,
+        avs_eth_1_tse_writedata_export       => eth_1_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_readdata_export        => eth_1_tse_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_tse_waitrequest_export     => eth_1_tse_miso.waitrequest,
+        avs_eth_1_reg_address_export         => eth_1_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        avs_eth_1_reg_write_export           => eth_1_reg_mosi.wr,
+        avs_eth_1_reg_read_export            => eth_1_reg_mosi.rd,
+        avs_eth_1_reg_writedata_export       => eth_1_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_reg_readdata_export        => eth_1_reg_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_address_export         => eth_1_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        avs_eth_1_ram_write_export           => eth_1_ram_mosi.wr,
+        avs_eth_1_ram_read_export            => eth_1_ram_mosi.rd,
+        avs_eth_1_ram_writedata_export       => eth_1_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        avs_eth_1_ram_readdata_export        => eth_1_ram_miso.rddata(c_word_w - 1 downto 0),
+        avs_eth_1_irq_export                 => eth_1_reg_interrupt,
+
+        reg_fpga_temp_sens_reset_export           => OPEN,
+        reg_fpga_temp_sens_clk_export             => OPEN,
+        reg_fpga_temp_sens_address_export         => reg_fpga_temp_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w - 1 downto 0),
+        reg_fpga_temp_sens_write_export           => reg_fpga_temp_sens_mosi.wr,
+        reg_fpga_temp_sens_writedata_export       => reg_fpga_temp_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_temp_sens_read_export            => reg_fpga_temp_sens_mosi.rd,
+        reg_fpga_temp_sens_readdata_export        => reg_fpga_temp_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_fpga_voltage_sens_reset_export        => OPEN,
+        reg_fpga_voltage_sens_clk_export          => OPEN,
+        reg_fpga_voltage_sens_address_export      => reg_fpga_voltage_sens_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w - 1 downto 0),
+        reg_fpga_voltage_sens_write_export        => reg_fpga_voltage_sens_mosi.wr,
+        reg_fpga_voltage_sens_writedata_export    => reg_fpga_voltage_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_fpga_voltage_sens_read_export         => reg_fpga_voltage_sens_mosi.rd,
+        reg_fpga_voltage_sens_readdata_export     => reg_fpga_voltage_sens_miso.rddata(c_word_w - 1 downto 0),
+
+        rom_system_info_reset_export              => OPEN,
+        rom_system_info_clk_export                => OPEN,
+        rom_system_info_address_export            => rom_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        rom_system_info_write_export              => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export          => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        rom_system_info_read_export               => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export           => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_system_info_reset_export              => OPEN,
+        pio_system_info_clk_export                => OPEN,
+        pio_system_info_address_export            => reg_unb_system_info_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        pio_system_info_write_export              => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export          => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_read_export               => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export           => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_pps_reset_export                      => OPEN,
+        pio_pps_clk_export                        => OPEN,
+        pio_pps_address_export                    => reg_ppsh_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1 downto 0),
+        pio_pps_write_export                      => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                  => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_pps_read_export                       => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                   => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_wdi_reset_export                      => OPEN,
+        reg_wdi_clk_export                        => OPEN,
+        reg_wdi_address_export                    => reg_wdi_mosi.address(0 downto 0),
+        reg_wdi_write_export                      => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                  => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_read_export                       => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                   => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_remu_reset_export                     => OPEN,
+        reg_remu_clk_export                       => OPEN,
+        reg_remu_address_export                   => reg_remu_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_remu_adr_w - 1 downto 0),
+        reg_remu_write_export                     => reg_remu_mosi.wr,
+        reg_remu_writedata_export                 => reg_remu_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_remu_read_export                      => reg_remu_mosi.rd,
+        reg_remu_readdata_export                  => reg_remu_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_epcs_reset_export                     => OPEN,
+        reg_epcs_clk_export                       => OPEN,
+        reg_epcs_address_export                   => reg_epcs_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_epcs_adr_w - 1 downto 0),
+        reg_epcs_write_export                     => reg_epcs_mosi.wr,
+        reg_epcs_writedata_export                 => reg_epcs_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_epcs_read_export                      => reg_epcs_mosi.rd,
+        reg_epcs_readdata_export                  => reg_epcs_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_ctrl_reset_export                => OPEN,
+        reg_dpmm_ctrl_clk_export                  => OPEN,
+        reg_dpmm_ctrl_address_export              => reg_dpmm_ctrl_mosi.address(0 downto 0),
+        reg_dpmm_ctrl_write_export                => reg_dpmm_ctrl_mosi.wr,
+        reg_dpmm_ctrl_writedata_export            => reg_dpmm_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dpmm_ctrl_read_export                 => reg_dpmm_ctrl_mosi.rd,
+        reg_dpmm_ctrl_readdata_export             => reg_dpmm_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_mmdp_data_reset_export                => OPEN,
+        reg_mmdp_data_clk_export                  => OPEN,
+        reg_mmdp_data_address_export              => reg_mmdp_data_mosi.address(0 downto 0),
+        reg_mmdp_data_write_export                => reg_mmdp_data_mosi.wr,
+        reg_mmdp_data_writedata_export            => reg_mmdp_data_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mmdp_data_read_export                 => reg_mmdp_data_mosi.rd,
+        reg_mmdp_data_readdata_export             => reg_mmdp_data_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_dpmm_data_reset_export                => OPEN,
+        reg_dpmm_data_clk_export                  => OPEN,
+        reg_dpmm_data_address_export              => reg_dpmm_data_mosi.address(0 downto 0),
+        reg_dpmm_data_read_export                 => reg_dpmm_data_mosi.rd,
+        reg_dpmm_data_readdata_export             => reg_dpmm_data_miso.rddata(c_word_w - 1 downto 0),
+        reg_dpmm_data_write_export                => reg_dpmm_data_mosi.wr,
+        reg_dpmm_data_writedata_export            => reg_dpmm_data_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_mmdp_ctrl_reset_export                => OPEN,
+        reg_mmdp_ctrl_clk_export                  => OPEN,
+        reg_mmdp_ctrl_address_export              => reg_mmdp_ctrl_mosi.address(0 downto 0),
+        reg_mmdp_ctrl_read_export                 => reg_mmdp_ctrl_mosi.rd,
+        reg_mmdp_ctrl_readdata_export             => reg_mmdp_ctrl_miso.rddata(c_word_w - 1 downto 0),
+        reg_mmdp_ctrl_write_export                => reg_mmdp_ctrl_mosi.wr,
+        reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_tr_10gbe_qsfp_ring_reset_export       => OPEN,
+        reg_tr_10gbe_qsfp_ring_clk_export         => OPEN,
+        reg_tr_10gbe_qsfp_ring_address_export     => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_write_export       => reg_tr_10GbE_qsfp_ring_mosi.wr,
+        reg_tr_10gbe_qsfp_ring_writedata_export   => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_read_export        => reg_tr_10GbE_qsfp_ring_mosi.rd,
+        reg_tr_10gbe_qsfp_ring_readdata_export    => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest,
+
+        reg_tr_10gbe_back0_reset_export           => OPEN,
+        reg_tr_10gbe_back0_clk_export             => OPEN,
+        reg_tr_10gbe_back0_address_export         => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w - 1 downto 0),
+        reg_tr_10gbe_back0_write_export           => reg_tr_10GbE_back0_mosi.wr,
+        reg_tr_10gbe_back0_writedata_export       => reg_tr_10GbE_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_read_export            => reg_tr_10GbE_back0_mosi.rd,
+        reg_tr_10gbe_back0_readdata_export        => reg_tr_10GbE_back0_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_back0_waitrequest_export     => reg_tr_10GbE_back0_miso.waitrequest,
+
+        reg_eth10g_qsfp_ring_reset_export         => OPEN,
+        reg_eth10g_qsfp_ring_clk_export           => OPEN,
+        reg_eth10g_qsfp_ring_address_export       => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_write_export         => reg_eth10g_qsfp_ring_mosi.wr,
+        reg_eth10g_qsfp_ring_writedata_export     => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_qsfp_ring_read_export          => reg_eth10g_qsfp_ring_mosi.rd,
+        reg_eth10g_qsfp_ring_readdata_export      => reg_eth10g_qsfp_ring_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_eth10g_back0_reset_export             => OPEN,
+        reg_eth10g_back0_clk_export               => OPEN,
+        reg_eth10g_back0_address_export           => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w - 1 downto 0),
+        reg_eth10g_back0_write_export             => reg_eth10g_back0_mosi.wr,
+        reg_eth10g_back0_writedata_export         => reg_eth10g_back0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_eth10g_back0_read_export              => reg_eth10g_back0_mosi.rd,
+        reg_eth10g_back0_readdata_export          => reg_eth10g_back0_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_10gbe_reset_export        => OPEN,
+        reg_bsn_monitor_10gbe_clk_export          => OPEN,
+        reg_bsn_monitor_10gbe_address_export      => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_write_export        => reg_bsn_monitor_10GbE_mosi.wr,
+        reg_bsn_monitor_10gbe_writedata_export    => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_10gbe_read_export         => reg_bsn_monitor_10GbE_mosi.rd,
+        reg_bsn_monitor_10gbe_readdata_export     => reg_bsn_monitor_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_10gbe_reset_export     => OPEN,
+        reg_diag_data_buffer_10gbe_clk_export       => OPEN,
+        reg_diag_data_buffer_10gbe_address_export   => reg_diag_data_buf_10gbe_mosi.address(5 downto 0),
+        reg_diag_data_buffer_10gbe_write_export     => reg_diag_data_buf_10gbe_mosi.wr,
+        reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_10gbe_read_export      => reg_diag_data_buf_10gbe_mosi.rd,
+        reg_diag_data_buffer_10gbe_readdata_export  => reg_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_10gbe_clk_export       => OPEN,
+        ram_diag_data_buffer_10gbe_reset_export     => OPEN,
+        ram_diag_data_buffer_10gbe_address_export   => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_write_export     => ram_diag_data_buf_10gbe_mosi.wr,
+        ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_10gbe_read_export      => ram_diag_data_buf_10gbe_mosi.rd,
+        ram_diag_data_buffer_10gbe_readdata_export  => ram_diag_data_buf_10gbe_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_10GbE_reset_export              => OPEN,
+        reg_diag_bg_10GbE_clk_export                => OPEN,
+        reg_diag_bg_10GbE_address_export            => reg_diag_bg_10GbE_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        reg_diag_bg_10GbE_write_export              => reg_diag_bg_10GbE_mosi.wr,
+        reg_diag_bg_10GbE_writedata_export          => reg_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_10GbE_read_export               => reg_diag_bg_10GbE_mosi.rd,
+        reg_diag_bg_10GbE_readdata_export           => reg_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_bg_10GbE_reset_export              => OPEN,
+        ram_diag_bg_10GbE_clk_export                => OPEN,
+        ram_diag_bg_10GbE_address_export            => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w - 1 downto 0),
+        ram_diag_bg_10GbE_write_export              => ram_diag_bg_10GbE_mosi.wr,
+        ram_diag_bg_10GbE_writedata_export          => ram_diag_bg_10GbE_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_10GbE_read_export               => ram_diag_bg_10GbE_mosi.rd,
+        ram_diag_bg_10GbE_readdata_export           => ram_diag_bg_10GbE_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_I_address_export                  => reg_io_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_I_clk_export                      => OPEN,
+        reg_io_ddr_MB_I_read_export                     => reg_io_ddr_MB_I_mosi.rd,
+        reg_io_ddr_MB_I_readdata_export                 => reg_io_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_I_reset_export                    => OPEN,
+        reg_io_ddr_MB_I_write_export                    => reg_io_ddr_MB_I_mosi.wr,
+        reg_io_ddr_MB_I_writedata_export                => reg_io_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_io_ddr_MB_II_address_export                 => reg_io_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_io_ddr_adr_w - 1 downto 0),
+        reg_io_ddr_MB_II_clk_export                     => OPEN,
+        reg_io_ddr_MB_II_read_export                    => reg_io_ddr_MB_II_mosi.rd,
+        reg_io_ddr_MB_II_readdata_export                => reg_io_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+        reg_io_ddr_MB_II_reset_export                   => OPEN,
+        reg_io_ddr_MB_II_write_export                   => reg_io_ddr_MB_II_mosi.wr,
+        reg_io_ddr_MB_II_writedata_export               => reg_io_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_tx_seq_ddr_MB_I_address_export         => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_write_export           => reg_diag_tx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_I_writedata_export       => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_I_read_export            => reg_diag_tx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_I_readdata_export        => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_tx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_tx_seq_ddr_MB_II_address_export        => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_tx_seq_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_write_export          => reg_diag_tx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_tx_seq_ddr_MB_II_writedata_export      => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_tx_seq_ddr_MB_II_read_export           => reg_diag_tx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_tx_seq_ddr_MB_II_readdata_export       => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_I_reset_export           => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_clk_export             => OPEN,
+        reg_diag_rx_seq_ddr_MB_I_address_export         => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_write_export           => reg_diag_rx_seq_ddr_MB_I_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_I_writedata_export       => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_I_read_export            => reg_diag_rx_seq_ddr_MB_I_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_I_readdata_export        => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_rx_seq_ddr_MB_II_reset_export          => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_clk_export            => OPEN,
+        reg_diag_rx_seq_ddr_MB_II_address_export        => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_rx_seq_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_write_export          => reg_diag_rx_seq_ddr_MB_II_mosi.wr,
+        reg_diag_rx_seq_ddr_MB_II_writedata_export      => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_rx_seq_ddr_MB_II_read_export           => reg_diag_rx_seq_ddr_MB_II_mosi.rd,
+        reg_diag_rx_seq_ddr_MB_II_readdata_export       => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        reg_diag_data_buffer_ddr_MB_I_address_export    => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_write_export      => reg_diag_data_buf_ddr_MB_I_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_I_writedata_export  => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_I_read_export       => reg_diag_data_buf_ddr_MB_I_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_I_readdata_export   => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        reg_diag_data_buffer_ddr_MB_II_address_export   => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_write_export     => reg_diag_data_buf_ddr_MB_II_mosi.wr,
+        reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_ddr_MB_II_read_export      => reg_diag_data_buf_ddr_MB_II_mosi.rd,
+        reg_diag_data_buffer_ddr_MB_II_readdata_export  => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_I_clk_export        => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_reset_export      => OPEN,
+        ram_diag_data_buffer_ddr_MB_I_address_export    => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_write_export      => ram_diag_data_buf_ddr_MB_I_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_I_writedata_export  => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_I_read_export       => ram_diag_data_buf_ddr_MB_I_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_I_readdata_export   => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_ddr_MB_II_clk_export       => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_reset_export     => OPEN,
+        ram_diag_data_buffer_ddr_MB_II_address_export   => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_write_export     => ram_diag_data_buf_ddr_MB_II_mosi.wr,
+        ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
+        ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_eth_0_reset_export                    => OPEN,
+        reg_diag_bg_eth_0_clk_export                      => OPEN,
+        reg_diag_bg_eth_0_address_export                  => reg_diag_bg_eth_0_copi.address(4 downto 0),
+        reg_diag_bg_eth_0_write_export                    => reg_diag_bg_eth_0_copi.wr,
+        reg_diag_bg_eth_0_writedata_export                => reg_diag_bg_eth_0_copi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_eth_0_read_export                     => reg_diag_bg_eth_0_copi.rd,
+        reg_diag_bg_eth_0_readdata_export                 => reg_diag_bg_eth_0_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_hdr_dat_eth_0_reset_export                    => OPEN,
+        reg_hdr_dat_eth_0_clk_export                      => OPEN,
+        reg_hdr_dat_eth_0_address_export                  => reg_hdr_dat_eth_0_copi.address(6 downto 0),
+        reg_hdr_dat_eth_0_write_export                    => reg_hdr_dat_eth_0_copi.wr,
+        reg_hdr_dat_eth_0_writedata_export                => reg_hdr_dat_eth_0_copi.wrdata(c_word_w - 1 downto 0),
+        reg_hdr_dat_eth_0_read_export                     => reg_hdr_dat_eth_0_copi.rd,
+        reg_hdr_dat_eth_0_readdata_export                 => reg_hdr_dat_eth_0_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_tx_eth_0_reset_export          => OPEN,
+        reg_bsn_monitor_v2_tx_eth_0_clk_export            => OPEN,
+        reg_bsn_monitor_v2_tx_eth_0_address_export        => reg_bsn_monitor_v2_tx_eth_0_copi.address(4 downto 0),
+        reg_bsn_monitor_v2_tx_eth_0_write_export          => reg_bsn_monitor_v2_tx_eth_0_copi.wr,
+        reg_bsn_monitor_v2_tx_eth_0_writedata_export      => reg_bsn_monitor_v2_tx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_tx_eth_0_read_export           => reg_bsn_monitor_v2_tx_eth_0_copi.rd,
+        reg_bsn_monitor_v2_tx_eth_0_readdata_export       => reg_bsn_monitor_v2_tx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_strobe_total_count_tx_eth_0_reset_export      => OPEN,
+        reg_strobe_total_count_tx_eth_0_clk_export        => OPEN,
+        reg_strobe_total_count_tx_eth_0_address_export    => reg_strobe_total_count_tx_eth_0_copi.address(6 downto 0),
+        reg_strobe_total_count_tx_eth_0_write_export      => reg_strobe_total_count_tx_eth_0_copi.wr,
+        reg_strobe_total_count_tx_eth_0_writedata_export  => reg_strobe_total_count_tx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
+        reg_strobe_total_count_tx_eth_0_read_export       => reg_strobe_total_count_tx_eth_0_copi.rd,
+        reg_strobe_total_count_tx_eth_0_readdata_export   => reg_strobe_total_count_tx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_eth_0_reset_export          => OPEN,
+        reg_bsn_monitor_v2_rx_eth_0_clk_export            => OPEN,
+        reg_bsn_monitor_v2_rx_eth_0_address_export        => reg_bsn_monitor_v2_rx_eth_0_copi.address(4 downto 0),
+        reg_bsn_monitor_v2_rx_eth_0_write_export          => reg_bsn_monitor_v2_rx_eth_0_copi.wr,
+        reg_bsn_monitor_v2_rx_eth_0_writedata_export      => reg_bsn_monitor_v2_rx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_eth_0_read_export           => reg_bsn_monitor_v2_rx_eth_0_copi.rd,
+        reg_bsn_monitor_v2_rx_eth_0_readdata_export       => reg_bsn_monitor_v2_rx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_strobe_total_count_rx_eth_0_reset_export      => OPEN,
+        reg_strobe_total_count_rx_eth_0_clk_export        => OPEN,
+        reg_strobe_total_count_rx_eth_0_address_export    => reg_strobe_total_count_rx_eth_0_copi.address(6 downto 0),
+        reg_strobe_total_count_rx_eth_0_write_export      => reg_strobe_total_count_rx_eth_0_copi.wr,
+        reg_strobe_total_count_rx_eth_0_writedata_export  => reg_strobe_total_count_rx_eth_0_copi.wrdata(c_word_w - 1 downto 0),
+        reg_strobe_total_count_rx_eth_0_read_export       => reg_strobe_total_count_rx_eth_0_copi.rd,
+        reg_strobe_total_count_rx_eth_0_readdata_export   => reg_strobe_total_count_rx_eth_0_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_bg_eth_1_reset_export                   => OPEN,
+        reg_diag_bg_eth_1_clk_export                     => OPEN,
+        reg_diag_bg_eth_1_address_export                 => reg_diag_bg_eth_1_copi.address(2 downto 0),
+        reg_diag_bg_eth_1_write_export                   => reg_diag_bg_eth_1_copi.wr,
+        reg_diag_bg_eth_1_writedata_export               => reg_diag_bg_eth_1_copi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_eth_1_read_export                    => reg_diag_bg_eth_1_copi.rd,
+        reg_diag_bg_eth_1_readdata_export                => reg_diag_bg_eth_1_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_hdr_dat_eth_1_reset_export                   => OPEN,
+        reg_hdr_dat_eth_1_clk_export                     => OPEN,
+        reg_hdr_dat_eth_1_address_export                 => reg_hdr_dat_eth_1_copi.address(4 downto 0),
+        reg_hdr_dat_eth_1_write_export                   => reg_hdr_dat_eth_1_copi.wr,
+        reg_hdr_dat_eth_1_writedata_export               => reg_hdr_dat_eth_1_copi.wrdata(c_word_w - 1 downto 0),
+        reg_hdr_dat_eth_1_read_export                    => reg_hdr_dat_eth_1_copi.rd,
+        reg_hdr_dat_eth_1_readdata_export                => reg_hdr_dat_eth_1_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_tx_eth_1_reset_export         => OPEN,
+        reg_bsn_monitor_v2_tx_eth_1_clk_export           => OPEN,
+        reg_bsn_monitor_v2_tx_eth_1_address_export       => reg_bsn_monitor_v2_tx_eth_1_copi.address(2 downto 0),
+        reg_bsn_monitor_v2_tx_eth_1_write_export         => reg_bsn_monitor_v2_tx_eth_1_copi.wr,
+        reg_bsn_monitor_v2_tx_eth_1_writedata_export     => reg_bsn_monitor_v2_tx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_tx_eth_1_read_export          => reg_bsn_monitor_v2_tx_eth_1_copi.rd,
+        reg_bsn_monitor_v2_tx_eth_1_readdata_export      => reg_bsn_monitor_v2_tx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_strobe_total_count_tx_eth_1_reset_export     => OPEN,
+        reg_strobe_total_count_tx_eth_1_clk_export       => OPEN,
+        reg_strobe_total_count_tx_eth_1_address_export   => reg_strobe_total_count_tx_eth_1_copi.address(4 downto 0),
+        reg_strobe_total_count_tx_eth_1_write_export     => reg_strobe_total_count_tx_eth_1_copi.wr,
+        reg_strobe_total_count_tx_eth_1_writedata_export => reg_strobe_total_count_tx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
+        reg_strobe_total_count_tx_eth_1_read_export      => reg_strobe_total_count_tx_eth_1_copi.rd,
+        reg_strobe_total_count_tx_eth_1_readdata_export  => reg_strobe_total_count_tx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_v2_rx_eth_1_reset_export         => OPEN,
+        reg_bsn_monitor_v2_rx_eth_1_clk_export           => OPEN,
+        reg_bsn_monitor_v2_rx_eth_1_address_export       => reg_bsn_monitor_v2_rx_eth_1_copi.address(2 downto 0),
+        reg_bsn_monitor_v2_rx_eth_1_write_export         => reg_bsn_monitor_v2_rx_eth_1_copi.wr,
+        reg_bsn_monitor_v2_rx_eth_1_writedata_export     => reg_bsn_monitor_v2_rx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_v2_rx_eth_1_read_export          => reg_bsn_monitor_v2_rx_eth_1_copi.rd,
+        reg_bsn_monitor_v2_rx_eth_1_readdata_export      => reg_bsn_monitor_v2_rx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_strobe_total_count_rx_eth_1_reset_export     => OPEN,
+        reg_strobe_total_count_rx_eth_1_clk_export       => OPEN,
+        reg_strobe_total_count_rx_eth_1_address_export   => reg_strobe_total_count_rx_eth_1_copi.address(4 downto 0),
+        reg_strobe_total_count_rx_eth_1_write_export     => reg_strobe_total_count_rx_eth_1_copi.wr,
+        reg_strobe_total_count_rx_eth_1_writedata_export => reg_strobe_total_count_rx_eth_1_copi.wrdata(c_word_w - 1 downto 0),
+        reg_strobe_total_count_rx_eth_1_read_export      => reg_strobe_total_count_rx_eth_1_copi.rd,
+        reg_strobe_total_count_rx_eth_1_readdata_export  => reg_strobe_total_count_rx_eth_1_cipo.rddata(c_word_w - 1 downto 0),
+
+        reg_heater_reset_export                   => OPEN,
+        reg_heater_clk_export                     => OPEN,
+        reg_heater_address_export                 => reg_heater_mosi.address(4 downto 0),
+        reg_heater_read_export                    => reg_heater_mosi.rd,
+        reg_heater_readdata_export                => reg_heater_miso.rddata(c_word_w - 1 downto 0),
+        reg_heater_write_export                   => reg_heater_mosi.wr,
+        reg_heater_writedata_export               => reg_heater_mosi.wrdata(c_word_w - 1 downto 0),
+
+        jesd204b_reset_export                     => OPEN,
+        jesd204b_clk_export                       => OPEN,
+        jesd204b_address_export                   => jesd204b_mosi.address(11 downto 0),
+        jesd204b_write_export                     => jesd204b_mosi.wr,
+        jesd204b_writedata_export                 => jesd204b_mosi.wrdata(c_word_w - 1 downto 0),
+        jesd204b_read_export                      => jesd204b_mosi.rd,
+        jesd204b_readdata_export                  => jesd204b_miso.rddata(c_word_w - 1 downto 0),
+
+        pio_jesd_ctrl_reset_export               => OPEN,
+        pio_jesd_ctrl_clk_export                 => OPEN,
+        pio_jesd_ctrl_address_export             => jesd_ctrl_mosi.address(0 downto 0),
+        pio_jesd_ctrl_write_export               => jesd_ctrl_mosi.wr,
+        pio_jesd_ctrl_writedata_export           => jesd_ctrl_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_jesd_ctrl_read_export                => jesd_ctrl_mosi.rd,
+        pio_jesd_ctrl_readdata_export            => jesd_ctrl_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_bsn_monitor_input_address_export      => reg_bsn_monitor_input_mosi.address(7 downto 0),
+        reg_bsn_monitor_input_clk_export          => OPEN,
+        reg_bsn_monitor_input_read_export         => reg_bsn_monitor_input_mosi.rd,
+        reg_bsn_monitor_input_readdata_export     => reg_bsn_monitor_input_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_input_reset_export        => OPEN,
+        reg_bsn_monitor_input_write_export        => reg_bsn_monitor_input_mosi.wr,
+        reg_bsn_monitor_input_writedata_export    => reg_bsn_monitor_input_mosi.wrdata(c_word_w - 1 downto 0),
+
+        reg_bsn_source_clk_export                 => OPEN,
+        reg_bsn_source_reset_export               => OPEN,
+        reg_bsn_source_address_export             => reg_bsn_source_mosi.address(1 downto 0),
+        reg_bsn_source_read_export                => reg_bsn_source_mosi.rd,
+        reg_bsn_source_readdata_export            => reg_bsn_source_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_source_write_export               => reg_bsn_source_mosi.wr,
+        reg_bsn_source_writedata_export           => reg_bsn_source_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buffer_bsn_clk_export          => OPEN,
+        ram_diag_data_buffer_bsn_reset_export        => OPEN,
+        ram_diag_data_buffer_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(21 - 1 downto 0),  -- 22 = ceil_log2(12  * 256k), so maximum possible data buffer size is 256 kSamples
+        ram_diag_data_buffer_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
+        ram_diag_data_buffer_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
+        ram_diag_data_buffer_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        reg_diag_data_buffer_bsn_reset_export        => OPEN,
+        reg_diag_data_buffer_bsn_clk_export          => OPEN,
+        reg_diag_data_buffer_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(12 - 1 downto 0),
+        reg_diag_data_buffer_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
+        reg_diag_data_buffer_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
+        reg_diag_data_buffer_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w - 1 downto 0),
+
+        ram_scrap_reset_export                    => OPEN,
+        ram_scrap_clk_export                      => OPEN,
+        ram_scrap_address_export                  => ram_scrap_mosi.address(8 downto 0),
+        ram_scrap_write_export                    => ram_scrap_mosi.wr,
+        ram_scrap_writedata_export                => ram_scrap_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_scrap_read_export                     => ram_scrap_mosi.rd,
+        ram_scrap_readdata_export                 => ram_scrap_miso.rddata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/node_adc_input_and_timing_nowg.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/node_adc_input_and_timing_nowg.vhd
index 3364ccf86d..f1ecdba410 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/node_adc_input_and_timing_nowg.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/node_adc_input_and_timing_nowg.vhd
@@ -27,15 +27,15 @@
 --   See https://support.astron.nl/confluence/display/STAT/L5+SDPFW+DD%3A+ADC+data+input+and+timestamp
 
 library IEEE, common_lib, unb2c_board_lib, technology_lib, diag_lib, dp_lib, tech_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use unb2c_board_lib.unb2c_board_peripherals_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity node_adc_input_and_timing_nowg is
   generic (
@@ -88,11 +88,13 @@ end node_adc_input_and_timing_nowg;
 
 architecture str of node_adc_input_and_timing_nowg is
 
-  constant c_mm_jesd_ctrl_reg       : t_c_mem := (latency  => 1,
-                                                  adr_w    => 1,
-                                                  dat_w    => c_word_w,
-                                                  nof_dat  => 1,
-                                                  init_sl  => '0');
+  constant c_mm_jesd_ctrl_reg       : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- Frame parameters TBC
   constant c_bs_bsn_w               : natural := 64;  -- 51;
@@ -136,62 +138,62 @@ begin
   -----------------------------------------------------------------------------
 
   u_jesd204b: entity tech_jesd204b_lib.tech_jesd204b
-  generic map(
-    g_sim                => g_sim,
-    g_technology         => g_technology,
-    g_nof_streams        => g_nof_streams,
-    g_nof_sync_n         => g_nof_sync_n,
-    g_jesd_freq          => g_jesd_freq
-  )
-  port map(
-    jesd204b_refclk      => jesd204b_refclk,
-    jesd204b_sysref      => jesd204b_sysref,
-    jesd204b_sync_n_arr  => jesd204b_sync_n,
-
-    rx_sosi_arr          => rx_sosi_arr,
-    rx_clk               => rx_clk,
-    rx_rst               => rx_rst,
-    rx_sysref            => rx_sysref,
-
-    jesd204b_disable_arr  => jesd204b_disable_arr,
-
-    -- MM
-    mm_clk               => mm_clk,
-    mm_rst               => mm_rst_internal,
-
-    jesd204b_mosi        => jesd204b_mosi,
-    jesd204b_miso        => jesd204b_miso,
-
-     -- Serial
-    serial_tx_arr        => open,
-    serial_rx_arr        => jesd204b_serial_data(g_nof_streams - 1 downto 0)
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_technology         => g_technology,
+      g_nof_streams        => g_nof_streams,
+      g_nof_sync_n         => g_nof_sync_n,
+      g_jesd_freq          => g_jesd_freq
+    )
+    port map(
+      jesd204b_refclk      => jesd204b_refclk,
+      jesd204b_sysref      => jesd204b_sysref,
+      jesd204b_sync_n_arr  => jesd204b_sync_n,
+
+      rx_sosi_arr          => rx_sosi_arr,
+      rx_clk               => rx_clk,
+      rx_rst               => rx_rst,
+      rx_sysref            => rx_sysref,
+
+      jesd204b_disable_arr  => jesd204b_disable_arr,
+
+      -- MM
+      mm_clk               => mm_clk,
+      mm_rst               => mm_rst_internal,
+
+      jesd204b_mosi        => jesd204b_mosi,
+      jesd204b_miso        => jesd204b_miso,
+
+      -- Serial
+      serial_tx_arr        => open,
+      serial_rx_arr        => jesd204b_serial_data(g_nof_streams - 1 downto 0)
+    );
 
   -----------------------------------------------------------------------------
   -- Timestamp
   -----------------------------------------------------------------------------
   u_bsn_source : entity dp_lib.mms_dp_bsn_source
-  generic map (
-    g_cross_clock_domain     => true,
-    g_block_size             => c_bs_block_size,
-    g_nof_block_per_sync     => c_bs_nof_block_per_sync,
-    g_bsn_w                  => c_bs_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst_internal,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-    dp_pps            => rx_sysref,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => reg_bsn_source_mosi,
-    reg_miso          => reg_bsn_source_miso,
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi
-  );
+    generic map (
+      g_cross_clock_domain     => true,
+      g_block_size             => c_bs_block_size,
+      g_nof_block_per_sync     => c_bs_nof_block_per_sync,
+      g_bsn_w                  => c_bs_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst_internal,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+      dp_pps            => rx_sysref,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => reg_bsn_source_mosi,
+      reg_miso          => reg_bsn_source_miso,
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi
+    );
 
   mux_sosi_arr  <= rx_sosi_arr when rising_edge(rx_clk);
 
@@ -220,74 +222,74 @@ begin
   -- BSN monitor (Block Checker)
   ---------------------------------------------------------------------------------------
   u_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => 1,  -- They're all the same
-    g_sync_timeout       => g_bsn_sync_timeout,
-    g_bsn_w              => c_bs_bsn_w,
-    g_log_first_bsn      => false
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst_internal,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_input_mosi,
-    reg_miso    => reg_bsn_monitor_input_miso,
-
-    -- Streaming clock domain
-    dp_rst      => rx_rst,
-    dp_clk      => rx_clk,
-    in_sosi_arr => st_sosi_arr(0 downto 0)
-  );
+    generic map (
+      g_nof_streams        => 1,  -- They're all the same
+      g_sync_timeout       => g_bsn_sync_timeout,
+      g_bsn_w              => c_bs_bsn_w,
+      g_log_first_bsn      => false
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst_internal,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_input_mosi,
+      reg_miso    => reg_bsn_monitor_input_miso,
+
+      -- Streaming clock domain
+      dp_rst      => rx_rst,
+      dp_clk      => rx_clk,
+      in_sosi_arr => st_sosi_arr(0 downto 0)
+    );
 
- -----------------------------------------------------------------------------
--- Diagnostic Data Buffer
+  -----------------------------------------------------------------------------
+  -- Diagnostic Data Buffer
   -----------------------------------------------------------------------------
 
   u_diag_data_buffer_bsn : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => c_data_w,
-    g_buf_nof_data => g_buf_nof_data,
-    g_buf_use_sync => true  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
-  )
-  port map (
-    mm_rst            => mm_rst_internal,
-    mm_clk            => mm_clk,
-    dp_rst            => rx_rst,
-    dp_clk            => rx_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_bsn_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_bsn_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_bsn_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_bsn_miso,
-
-    in_sosi_arr       => st_sosi_arr,
-    in_sync           => st_sosi_arr(0).sync
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => c_data_w,
+      g_buf_nof_data => g_buf_nof_data,
+      g_buf_use_sync => true  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
+    )
+    port map (
+      mm_rst            => mm_rst_internal,
+      mm_clk            => mm_clk,
+      dp_rst            => rx_rst,
+      dp_clk            => rx_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_bsn_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_bsn_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_bsn_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_bsn_miso,
+
+      in_sosi_arr       => st_sosi_arr,
+      in_sync           => st_sosi_arr(0).sync
+    );
 
   -----------------------------------------------------------------------------
   -- JESD Control register
   -----------------------------------------------------------------------------
   u_mm_jesd_ctrl_reg : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_mm_jesd_ctrl_reg,
-    g_init_reg  => (others => '0')
-  )
-  port map (
-    rst       => mm_rst,
-    clk       => mm_clk,
-    -- control side
-    wr_en     => jesd_ctrl_mosi.wr,
-    wr_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
-    wr_dat    => jesd_ctrl_mosi.wrdata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
-    rd_en     => jesd_ctrl_mosi.rd,
-    rd_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
-    rd_dat    => jesd_ctrl_miso.rddata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
-    rd_val    => OPEN,
-    -- data side
-    out_reg   => mm_jesd_ctrl_reg,
-    in_reg    => mm_jesd_ctrl_reg
-  );
+    generic map (
+      g_reg       => c_mm_jesd_ctrl_reg,
+      g_init_reg  => (others => '0')
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+      -- control side
+      wr_en     => jesd_ctrl_mosi.wr,
+      wr_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
+      wr_dat    => jesd_ctrl_mosi.wrdata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
+      rd_en     => jesd_ctrl_mosi.rd,
+      rd_adr    => jesd_ctrl_mosi.address(c_mm_jesd_ctrl_reg.adr_w - 1 downto 0),
+      rd_dat    => jesd_ctrl_miso.rddata(c_mm_jesd_ctrl_reg.dat_w - 1 downto 0),
+      rd_val    => OPEN,
+      -- data side
+      out_reg   => mm_jesd_ctrl_reg,
+      in_reg    => mm_jesd_ctrl_reg
+    );
 
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd
index e39ec207d0..6e076d3ead 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd
@@ -20,450 +20,450 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package qsys_unb2c_test_pkg is
 
-    component qsys_unb2c_test is
-        port (
-            avs_eth_0_reset_export                           : out std_logic;  -- export
-            avs_eth_0_clk_export                             : out std_logic;  -- export
-            avs_eth_0_tse_address_export                     : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_tse_write_export                       : out std_logic;  -- export
-            avs_eth_0_tse_read_export                        : out std_logic;  -- export
-            avs_eth_0_tse_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_tse_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_tse_waitrequest_export                 : in  std_logic                     := 'X';  -- export
-            avs_eth_0_reg_address_export                     : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_0_reg_write_export                       : out std_logic;  -- export
-            avs_eth_0_reg_read_export                        : out std_logic;  -- export
-            avs_eth_0_reg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_reg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_ram_address_export                     : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_0_ram_write_export                       : out std_logic;  -- export
-            avs_eth_0_ram_read_export                        : out std_logic;  -- export
-            avs_eth_0_ram_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_0_ram_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_0_irq_export                             : in  std_logic                     := 'X';  -- export
-            avs_eth_1_reset_export                           : out std_logic;  -- export
-            avs_eth_1_clk_export                             : out std_logic;  -- export
-            avs_eth_1_tse_address_export                     : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_1_tse_write_export                       : out std_logic;  -- export
-            avs_eth_1_tse_read_export                        : out std_logic;  -- export
-            avs_eth_1_tse_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_1_tse_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_1_tse_waitrequest_export                 : in  std_logic                     := 'X';  -- export
-            avs_eth_1_reg_address_export                     : out std_logic_vector(3 downto 0);  -- export
-            avs_eth_1_reg_write_export                       : out std_logic;  -- export
-            avs_eth_1_reg_read_export                        : out std_logic;  -- export
-            avs_eth_1_reg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_1_reg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_1_ram_address_export                     : out std_logic_vector(9 downto 0);  -- export
-            avs_eth_1_ram_write_export                       : out std_logic;  -- export
-            avs_eth_1_ram_read_export                        : out std_logic;  -- export
-            avs_eth_1_ram_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            avs_eth_1_ram_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            avs_eth_1_irq_export                             : in  std_logic                     := 'X';  -- export
-            clk_clk                                          : in  std_logic                     := 'X';  -- clk
-            reset_reset_n                                    : in  std_logic                     := 'X';  -- reset_n
-            jesd204b_reset_export                            : out std_logic;  -- export
-            jesd204b_clk_export                              : out std_logic;  -- export
-            jesd204b_address_export                          : out std_logic_vector(11 downto 0);  -- export
-            jesd204b_write_export                            : out std_logic;  -- export
-            jesd204b_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            jesd204b_read_export                             : out std_logic;  -- export
-            jesd204b_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_jesd_ctrl_reset_export                       : out std_logic;  -- export
-            pio_jesd_ctrl_clk_export                         : out std_logic;  -- export
-            pio_jesd_ctrl_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            pio_jesd_ctrl_write_export                       : out std_logic;  -- export
-            pio_jesd_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            pio_jesd_ctrl_read_export                        : out std_logic;  -- export
-            pio_jesd_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_pps_reset_export                             : out std_logic;  -- export
-            pio_pps_clk_export                               : out std_logic;  -- export
-            pio_pps_address_export                           : out std_logic_vector(1 downto 0);  -- export
-            pio_pps_write_export                             : out std_logic;  -- export
-            pio_pps_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            pio_pps_read_export                              : out std_logic;  -- export
-            pio_pps_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_system_info_reset_export                     : out std_logic;  -- export
-            pio_system_info_clk_export                       : out std_logic;  -- export
-            pio_system_info_address_export                   : out std_logic_vector(4 downto 0);  -- export
-            pio_system_info_write_export                     : out std_logic;  -- export
-            pio_system_info_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            pio_system_info_read_export                      : out std_logic;  -- export
-            pio_system_info_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            pio_wdi_external_connection_export               : out std_logic;  -- export
-            ram_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
-            ram_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
-            ram_diag_bg_10gbe_address_export                 : out std_logic_vector(16 downto 0);  -- export
-            ram_diag_bg_10gbe_write_export                   : out std_logic;  -- export
-            ram_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_bg_10gbe_read_export                    : out std_logic;  -- export
-            ram_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_address_export        : out std_logic_vector(16 downto 0);  -- export
-            ram_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
-            ram_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_bsn_reset_export            : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_clk_export              : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_address_export          : out std_logic_vector(20 downto 0);  -- export
-            ram_diag_data_buffer_bsn_write_export            : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_bsn_read_export             : out std_logic;  -- export
-            ram_diag_data_buffer_bsn_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(10 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            ram_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
-            ram_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            ram_scrap_reset_export                           : out std_logic;  -- export
-            ram_scrap_clk_export                             : out std_logic;  -- export
-            ram_scrap_address_export                         : out std_logic_vector(8 downto 0);  -- export
-            ram_scrap_write_export                           : out std_logic;  -- export
-            ram_scrap_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
-            ram_scrap_read_export                            : out std_logic;  -- export
-            ram_scrap_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_10gbe_reset_export               : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_clk_export                 : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_address_export             : out std_logic_vector(10 downto 0);  -- export
-            reg_bsn_monitor_10gbe_write_export               : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_10gbe_read_export                : out std_logic;  -- export
-            reg_bsn_monitor_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_input_reset_export               : out std_logic;  -- export
-            reg_bsn_monitor_input_clk_export                 : out std_logic;  -- export
-            reg_bsn_monitor_input_address_export             : out std_logic_vector(7 downto 0);  -- export
-            reg_bsn_monitor_input_write_export               : out std_logic;  -- export
-            reg_bsn_monitor_input_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_input_read_export                : out std_logic;  -- export
-            reg_bsn_monitor_input_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_scheduler_reset_export                   : out std_logic;  -- export
-            reg_bsn_scheduler_clk_export                     : out std_logic;  -- export
-            reg_bsn_scheduler_address_export                 : out std_logic_vector(0 downto 0);  -- export
-            reg_bsn_scheduler_write_export                   : out std_logic;  -- export
-            reg_bsn_scheduler_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_scheduler_read_export                    : out std_logic;  -- export
-            reg_bsn_scheduler_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_source_reset_export                      : out std_logic;  -- export
-            reg_bsn_source_clk_export                        : out std_logic;  -- export
-            reg_bsn_source_address_export                    : out std_logic_vector(1 downto 0);  -- export
-            reg_bsn_source_write_export                      : out std_logic;  -- export
-            reg_bsn_source_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_source_read_export                       : out std_logic;  -- export
-            reg_bsn_source_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
-            reg_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
-            reg_diag_bg_10gbe_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_10gbe_write_export                   : out std_logic;  -- export
-            reg_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_10gbe_read_export                    : out std_logic;  -- export
-            reg_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_eth_0_reset_export                   : out std_logic;  -- export
-            reg_diag_bg_eth_0_clk_export                     : out std_logic;  -- export
-            reg_diag_bg_eth_0_address_export                 : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_bg_eth_0_write_export                   : out std_logic;  -- export
-            reg_diag_bg_eth_0_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_eth_0_read_export                    : out std_logic;  -- export
-            reg_diag_bg_eth_0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_bg_eth_1_reset_export                   : out std_logic;  -- export
-            reg_diag_bg_eth_1_clk_export                     : out std_logic;  -- export
-            reg_diag_bg_eth_1_address_export                 : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_bg_eth_1_write_export                   : out std_logic;  -- export
-            reg_diag_bg_eth_1_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_bg_eth_1_read_export                    : out std_logic;  -- export
-            reg_diag_bg_eth_1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_address_export        : out std_logic_vector(5 downto 0);  -- export
-            reg_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
-            reg_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_bsn_reset_export            : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_clk_export              : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_address_export          : out std_logic_vector(11 downto 0);  -- export
-            reg_diag_data_buffer_bsn_write_export            : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_bsn_read_export             : out std_logic;  -- export
-            reg_diag_data_buffer_bsn_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
-            reg_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_10gbe_reset_export               : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_clk_export                 : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_address_export             : out std_logic_vector(4 downto 0);  -- export
-            reg_diag_rx_seq_10gbe_write_export               : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_10gbe_read_export                : out std_logic;  -- export
-            reg_diag_rx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_address_export          : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_rx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_address_export         : out std_logic_vector(2 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_rx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
-            reg_diag_rx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_10gbe_reset_export               : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_clk_export                 : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_diag_tx_seq_10gbe_write_export               : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_10gbe_read_export                : out std_logic;  -- export
-            reg_diag_tx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_address_export          : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_diag_tx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_address_export         : out std_logic_vector(1 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
-            reg_diag_tx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
-            reg_diag_tx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_ctrl_reset_export                       : out std_logic;  -- export
-            reg_dpmm_ctrl_clk_export                         : out std_logic;  -- export
-            reg_dpmm_ctrl_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_ctrl_write_export                       : out std_logic;  -- export
-            reg_dpmm_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_ctrl_read_export                        : out std_logic;  -- export
-            reg_dpmm_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_dpmm_data_reset_export                       : out std_logic;  -- export
-            reg_dpmm_data_clk_export                         : out std_logic;  -- export
-            reg_dpmm_data_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_dpmm_data_write_export                       : out std_logic;  -- export
-            reg_dpmm_data_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_dpmm_data_read_export                        : out std_logic;  -- export
-            reg_dpmm_data_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_epcs_reset_export                            : out std_logic;  -- export
-            reg_epcs_clk_export                              : out std_logic;  -- export
-            reg_epcs_address_export                          : out std_logic_vector(2 downto 0);  -- export
-            reg_epcs_write_export                            : out std_logic;  -- export
-            reg_epcs_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            reg_epcs_read_export                             : out std_logic;  -- export
-            reg_epcs_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_eth10g_back0_reset_export                    : out std_logic;  -- export
-            reg_eth10g_back0_clk_export                      : out std_logic;  -- export
-            reg_eth10g_back0_address_export                  : out std_logic_vector(5 downto 0);  -- export
-            reg_eth10g_back0_write_export                    : out std_logic;  -- export
-            reg_eth10g_back0_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_eth10g_back0_read_export                     : out std_logic;  -- export
-            reg_eth10g_back0_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_eth10g_back1_reset_export                    : out std_logic;  -- export
-            reg_eth10g_back1_clk_export                      : out std_logic;  -- export
-            reg_eth10g_back1_address_export                  : out std_logic_vector(5 downto 0);  -- export
-            reg_eth10g_back1_write_export                    : out std_logic;  -- export
-            reg_eth10g_back1_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_eth10g_back1_read_export                     : out std_logic;  -- export
-            reg_eth10g_back1_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_eth10g_qsfp_ring_reset_export                : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_clk_export                  : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_address_export              : out std_logic_vector(6 downto 0);  -- export
-            reg_eth10g_qsfp_ring_write_export                : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-            reg_eth10g_qsfp_ring_read_export                 : out std_logic;  -- export
-            reg_eth10g_qsfp_ring_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_rx_eth_1_reset_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_1_clk_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_1_address_export       : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_eth_1_write_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_1_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_eth_1_read_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_1_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_tx_eth_1_reset_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_1_clk_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_1_address_export       : out std_logic_vector(2 downto 0);  -- export
-            reg_bsn_monitor_v2_tx_eth_1_write_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_1_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_tx_eth_1_read_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_1_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_hdr_dat_eth_1_reset_export                   : out std_logic;  -- export
-            reg_hdr_dat_eth_1_clk_export                     : out std_logic;  -- export
-            reg_hdr_dat_eth_1_address_export                 : out std_logic_vector(4 downto 0);  -- export
-            reg_hdr_dat_eth_1_write_export                   : out std_logic;  -- export
-            reg_hdr_dat_eth_1_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_hdr_dat_eth_1_read_export                    : out std_logic;  -- export
-            reg_hdr_dat_eth_1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_strobe_total_count_rx_eth_1_reset_export     : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_1_clk_export       : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_1_address_export   : out std_logic_vector(4 downto 0);  -- export
-            reg_strobe_total_count_rx_eth_1_write_export     : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_1_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_strobe_total_count_rx_eth_1_read_export      : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_1_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_strobe_total_count_tx_eth_1_reset_export     : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_1_clk_export       : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_1_address_export   : out std_logic_vector(4 downto 0);  -- export
-            reg_strobe_total_count_tx_eth_1_write_export     : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_1_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_strobe_total_count_tx_eth_1_read_export      : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_1_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_rx_eth_0_reset_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_0_clk_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_0_address_export       : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_eth_0_write_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_0_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_rx_eth_0_read_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_rx_eth_0_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_bsn_monitor_v2_tx_eth_0_reset_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_0_clk_export           : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_0_address_export       : out std_logic_vector(4 downto 0);  -- export
-            reg_bsn_monitor_v2_tx_eth_0_write_export         : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_0_writedata_export     : out std_logic_vector(31 downto 0);  -- export
-            reg_bsn_monitor_v2_tx_eth_0_read_export          : out std_logic;  -- export
-            reg_bsn_monitor_v2_tx_eth_0_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_hdr_dat_eth_0_reset_export                   : out std_logic;  -- export
-            reg_hdr_dat_eth_0_clk_export                     : out std_logic;  -- export
-            reg_hdr_dat_eth_0_address_export                 : out std_logic_vector(6 downto 0);  -- export
-            reg_hdr_dat_eth_0_write_export                   : out std_logic;  -- export
-            reg_hdr_dat_eth_0_writedata_export               : out std_logic_vector(31 downto 0);  -- export
-            reg_hdr_dat_eth_0_read_export                    : out std_logic;  -- export
-            reg_hdr_dat_eth_0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_strobe_total_count_rx_eth_0_reset_export     : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_0_clk_export       : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_0_address_export   : out std_logic_vector(6 downto 0);  -- export
-            reg_strobe_total_count_rx_eth_0_write_export     : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_0_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_strobe_total_count_rx_eth_0_read_export      : out std_logic;  -- export
-            reg_strobe_total_count_rx_eth_0_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_strobe_total_count_tx_eth_0_reset_export     : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_0_clk_export       : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_0_address_export   : out std_logic_vector(6 downto 0);  -- export
-            reg_strobe_total_count_tx_eth_0_write_export     : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_0_writedata_export : out std_logic_vector(31 downto 0);  -- export
-            reg_strobe_total_count_tx_eth_0_read_export      : out std_logic;  -- export
-            reg_strobe_total_count_tx_eth_0_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_temp_sens_reset_export                  : out std_logic;  -- export
-            reg_fpga_temp_sens_clk_export                    : out std_logic;  -- export
-            reg_fpga_temp_sens_address_export                : out std_logic_vector(2 downto 0);  -- export
-            reg_fpga_temp_sens_write_export                  : out std_logic;  -- export
-            reg_fpga_temp_sens_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_temp_sens_read_export                   : out std_logic;  -- export
-            reg_fpga_temp_sens_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_fpga_voltage_sens_reset_export               : out std_logic;  -- export
-            reg_fpga_voltage_sens_clk_export                 : out std_logic;  -- export
-            reg_fpga_voltage_sens_address_export             : out std_logic_vector(3 downto 0);  -- export
-            reg_fpga_voltage_sens_write_export               : out std_logic;  -- export
-            reg_fpga_voltage_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
-            reg_fpga_voltage_sens_read_export                : out std_logic;  -- export
-            reg_fpga_voltage_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_heater_reset_export                          : out std_logic;  -- export
-            reg_heater_clk_export                            : out std_logic;  -- export
-            reg_heater_address_export                        : out std_logic_vector(4 downto 0);  -- export
-            reg_heater_write_export                          : out std_logic;  -- export
-            reg_heater_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
-            reg_heater_read_export                           : out std_logic;  -- export
-            reg_heater_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_io_ddr_mb_i_reset_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_i_clk_export                       : out std_logic;  -- export
-            reg_io_ddr_mb_i_address_export                   : out std_logic_vector(15 downto 0);  -- export
-            reg_io_ddr_mb_i_write_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_mb_i_read_export                      : out std_logic;  -- export
-            reg_io_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_io_ddr_mb_ii_reset_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_ii_clk_export                      : out std_logic;  -- export
-            reg_io_ddr_mb_ii_address_export                  : out std_logic_vector(15 downto 0);  -- export
-            reg_io_ddr_mb_ii_write_export                    : out std_logic;  -- export
-            reg_io_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- export
-            reg_io_ddr_mb_ii_read_export                     : out std_logic;  -- export
-            reg_io_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_ctrl_reset_export                       : out std_logic;  -- export
-            reg_mmdp_ctrl_clk_export                         : out std_logic;  -- export
-            reg_mmdp_ctrl_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_ctrl_write_export                       : out std_logic;  -- export
-            reg_mmdp_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_ctrl_read_export                        : out std_logic;  -- export
-            reg_mmdp_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_mmdp_data_reset_export                       : out std_logic;  -- export
-            reg_mmdp_data_clk_export                         : out std_logic;  -- export
-            reg_mmdp_data_address_export                     : out std_logic_vector(0 downto 0);  -- export
-            reg_mmdp_data_write_export                       : out std_logic;  -- export
-            reg_mmdp_data_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
-            reg_mmdp_data_read_export                        : out std_logic;  -- export
-            reg_mmdp_data_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_remu_reset_export                            : out std_logic;  -- export
-            reg_remu_clk_export                              : out std_logic;  -- export
-            reg_remu_address_export                          : out std_logic_vector(2 downto 0);  -- export
-            reg_remu_write_export                            : out std_logic;  -- export
-            reg_remu_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
-            reg_remu_read_export                             : out std_logic;  -- export
-            reg_remu_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_back0_reset_export                  : out std_logic;  -- export
-            reg_tr_10gbe_back0_clk_export                    : out std_logic;  -- export
-            reg_tr_10gbe_back0_address_export                : out std_logic_vector(17 downto 0);  -- export
-            reg_tr_10gbe_back0_write_export                  : out std_logic;  -- export
-            reg_tr_10gbe_back0_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_back0_read_export                   : out std_logic;  -- export
-            reg_tr_10gbe_back0_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_back0_waitrequest_export            : in  std_logic                     := 'X';  -- export
-            reg_tr_10gbe_back1_reset_export                  : out std_logic;  -- export
-            reg_tr_10gbe_back1_clk_export                    : out std_logic;  -- export
-            reg_tr_10gbe_back1_address_export                : out std_logic_vector(17 downto 0);  -- export
-            reg_tr_10gbe_back1_write_export                  : out std_logic;  -- export
-            reg_tr_10gbe_back1_writedata_export              : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_back1_read_export                   : out std_logic;  -- export
-            reg_tr_10gbe_back1_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_back1_waitrequest_export            : in  std_logic                     := 'X';  -- export
-            reg_tr_10gbe_qsfp_ring_reset_export              : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_clk_export                : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_address_export            : out std_logic_vector(18 downto 0);  -- export
-            reg_tr_10gbe_qsfp_ring_write_export              : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_writedata_export          : out std_logic_vector(31 downto 0);  -- export
-            reg_tr_10gbe_qsfp_ring_read_export               : out std_logic;  -- export
-            reg_tr_10gbe_qsfp_ring_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            reg_tr_10gbe_qsfp_ring_waitrequest_export        : in  std_logic                     := 'X';  -- export
-            reg_wdi_reset_export                             : out std_logic;  -- export
-            reg_wdi_clk_export                               : out std_logic;  -- export
-            reg_wdi_address_export                           : out std_logic_vector(0 downto 0);  -- export
-            reg_wdi_write_export                             : out std_logic;  -- export
-            reg_wdi_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
-            reg_wdi_read_export                              : out std_logic;  -- export
-            reg_wdi_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-            rom_system_info_reset_export                     : out std_logic;  -- export
-            rom_system_info_clk_export                       : out std_logic;  -- export
-            rom_system_info_address_export                   : out std_logic_vector(12 downto 0);  -- export
-            rom_system_info_write_export                     : out std_logic;  -- export
-            rom_system_info_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
-            rom_system_info_read_export                      : out std_logic;  -- export
-            rom_system_info_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
-        );
-    end component qsys_unb2c_test;
+  component qsys_unb2c_test is
+    port (
+      avs_eth_0_reset_export                           : out std_logic;  -- export
+      avs_eth_0_clk_export                             : out std_logic;  -- export
+      avs_eth_0_tse_address_export                     : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_tse_write_export                       : out std_logic;  -- export
+      avs_eth_0_tse_read_export                        : out std_logic;  -- export
+      avs_eth_0_tse_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_tse_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_tse_waitrequest_export                 : in  std_logic                     := 'X';  -- export
+      avs_eth_0_reg_address_export                     : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_0_reg_write_export                       : out std_logic;  -- export
+      avs_eth_0_reg_read_export                        : out std_logic;  -- export
+      avs_eth_0_reg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_reg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_ram_address_export                     : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_0_ram_write_export                       : out std_logic;  -- export
+      avs_eth_0_ram_read_export                        : out std_logic;  -- export
+      avs_eth_0_ram_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_0_ram_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_0_irq_export                             : in  std_logic                     := 'X';  -- export
+      avs_eth_1_reset_export                           : out std_logic;  -- export
+      avs_eth_1_clk_export                             : out std_logic;  -- export
+      avs_eth_1_tse_address_export                     : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_1_tse_write_export                       : out std_logic;  -- export
+      avs_eth_1_tse_read_export                        : out std_logic;  -- export
+      avs_eth_1_tse_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_1_tse_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_1_tse_waitrequest_export                 : in  std_logic                     := 'X';  -- export
+      avs_eth_1_reg_address_export                     : out std_logic_vector(3 downto 0);  -- export
+      avs_eth_1_reg_write_export                       : out std_logic;  -- export
+      avs_eth_1_reg_read_export                        : out std_logic;  -- export
+      avs_eth_1_reg_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_1_reg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_1_ram_address_export                     : out std_logic_vector(9 downto 0);  -- export
+      avs_eth_1_ram_write_export                       : out std_logic;  -- export
+      avs_eth_1_ram_read_export                        : out std_logic;  -- export
+      avs_eth_1_ram_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      avs_eth_1_ram_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      avs_eth_1_irq_export                             : in  std_logic                     := 'X';  -- export
+      clk_clk                                          : in  std_logic                     := 'X';  -- clk
+      reset_reset_n                                    : in  std_logic                     := 'X';  -- reset_n
+      jesd204b_reset_export                            : out std_logic;  -- export
+      jesd204b_clk_export                              : out std_logic;  -- export
+      jesd204b_address_export                          : out std_logic_vector(11 downto 0);  -- export
+      jesd204b_write_export                            : out std_logic;  -- export
+      jesd204b_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      jesd204b_read_export                             : out std_logic;  -- export
+      jesd204b_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_jesd_ctrl_reset_export                       : out std_logic;  -- export
+      pio_jesd_ctrl_clk_export                         : out std_logic;  -- export
+      pio_jesd_ctrl_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      pio_jesd_ctrl_write_export                       : out std_logic;  -- export
+      pio_jesd_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      pio_jesd_ctrl_read_export                        : out std_logic;  -- export
+      pio_jesd_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_pps_reset_export                             : out std_logic;  -- export
+      pio_pps_clk_export                               : out std_logic;  -- export
+      pio_pps_address_export                           : out std_logic_vector(1 downto 0);  -- export
+      pio_pps_write_export                             : out std_logic;  -- export
+      pio_pps_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      pio_pps_read_export                              : out std_logic;  -- export
+      pio_pps_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_system_info_reset_export                     : out std_logic;  -- export
+      pio_system_info_clk_export                       : out std_logic;  -- export
+      pio_system_info_address_export                   : out std_logic_vector(4 downto 0);  -- export
+      pio_system_info_write_export                     : out std_logic;  -- export
+      pio_system_info_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      pio_system_info_read_export                      : out std_logic;  -- export
+      pio_system_info_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      pio_wdi_external_connection_export               : out std_logic;  -- export
+      ram_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
+      ram_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
+      ram_diag_bg_10gbe_address_export                 : out std_logic_vector(16 downto 0);  -- export
+      ram_diag_bg_10gbe_write_export                   : out std_logic;  -- export
+      ram_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_bg_10gbe_read_export                    : out std_logic;  -- export
+      ram_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_address_export        : out std_logic_vector(16 downto 0);  -- export
+      ram_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
+      ram_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_bsn_reset_export            : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_clk_export              : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_address_export          : out std_logic_vector(20 downto 0);  -- export
+      ram_diag_data_buffer_bsn_write_export            : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_bsn_read_export             : out std_logic;  -- export
+      ram_diag_data_buffer_bsn_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(10 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
+      ram_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_scrap_reset_export                           : out std_logic;  -- export
+      ram_scrap_clk_export                             : out std_logic;  -- export
+      ram_scrap_address_export                         : out std_logic_vector(8 downto 0);  -- export
+      ram_scrap_write_export                           : out std_logic;  -- export
+      ram_scrap_writedata_export                       : out std_logic_vector(31 downto 0);  -- export
+      ram_scrap_read_export                            : out std_logic;  -- export
+      ram_scrap_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_10gbe_reset_export               : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_clk_export                 : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_address_export             : out std_logic_vector(10 downto 0);  -- export
+      reg_bsn_monitor_10gbe_write_export               : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_10gbe_read_export                : out std_logic;  -- export
+      reg_bsn_monitor_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_input_reset_export               : out std_logic;  -- export
+      reg_bsn_monitor_input_clk_export                 : out std_logic;  -- export
+      reg_bsn_monitor_input_address_export             : out std_logic_vector(7 downto 0);  -- export
+      reg_bsn_monitor_input_write_export               : out std_logic;  -- export
+      reg_bsn_monitor_input_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_input_read_export                : out std_logic;  -- export
+      reg_bsn_monitor_input_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_scheduler_reset_export                   : out std_logic;  -- export
+      reg_bsn_scheduler_clk_export                     : out std_logic;  -- export
+      reg_bsn_scheduler_address_export                 : out std_logic_vector(0 downto 0);  -- export
+      reg_bsn_scheduler_write_export                   : out std_logic;  -- export
+      reg_bsn_scheduler_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_scheduler_read_export                    : out std_logic;  -- export
+      reg_bsn_scheduler_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_source_reset_export                      : out std_logic;  -- export
+      reg_bsn_source_clk_export                        : out std_logic;  -- export
+      reg_bsn_source_address_export                    : out std_logic_vector(1 downto 0);  -- export
+      reg_bsn_source_write_export                      : out std_logic;  -- export
+      reg_bsn_source_writedata_export                  : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_source_read_export                       : out std_logic;  -- export
+      reg_bsn_source_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_10gbe_reset_export                   : out std_logic;  -- export
+      reg_diag_bg_10gbe_clk_export                     : out std_logic;  -- export
+      reg_diag_bg_10gbe_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_10gbe_write_export                   : out std_logic;  -- export
+      reg_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_10gbe_read_export                    : out std_logic;  -- export
+      reg_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_eth_0_reset_export                   : out std_logic;  -- export
+      reg_diag_bg_eth_0_clk_export                     : out std_logic;  -- export
+      reg_diag_bg_eth_0_address_export                 : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_bg_eth_0_write_export                   : out std_logic;  -- export
+      reg_diag_bg_eth_0_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_eth_0_read_export                    : out std_logic;  -- export
+      reg_diag_bg_eth_0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_bg_eth_1_reset_export                   : out std_logic;  -- export
+      reg_diag_bg_eth_1_clk_export                     : out std_logic;  -- export
+      reg_diag_bg_eth_1_address_export                 : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_bg_eth_1_write_export                   : out std_logic;  -- export
+      reg_diag_bg_eth_1_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_bg_eth_1_read_export                    : out std_logic;  -- export
+      reg_diag_bg_eth_1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_10gbe_reset_export          : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_clk_export            : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_address_export        : out std_logic_vector(5 downto 0);  -- export
+      reg_diag_data_buffer_10gbe_write_export          : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_10gbe_read_export           : out std_logic;  -- export
+      reg_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_bsn_reset_export            : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_clk_export              : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_address_export          : out std_logic_vector(11 downto 0);  -- export
+      reg_diag_data_buffer_bsn_write_export            : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_bsn_read_export             : out std_logic;  -- export
+      reg_diag_data_buffer_bsn_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;  -- export
+      reg_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_10gbe_reset_export               : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_clk_export                 : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_address_export             : out std_logic_vector(4 downto 0);  -- export
+      reg_diag_rx_seq_10gbe_write_export               : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_10gbe_read_export                : out std_logic;  -- export
+      reg_diag_rx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_address_export          : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_rx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_address_export         : out std_logic_vector(2 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_rx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
+      reg_diag_rx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_10gbe_reset_export               : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_clk_export                 : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_diag_tx_seq_10gbe_write_export               : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_10gbe_read_export                : out std_logic;  -- export
+      reg_diag_tx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_ddr_mb_i_reset_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_clk_export              : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_address_export          : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_i_write_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_i_read_export             : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_tx_seq_ddr_mb_ii_reset_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_clk_export             : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_address_export         : out std_logic_vector(1 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_ii_write_export           : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_tx_seq_ddr_mb_ii_read_export            : out std_logic;  -- export
+      reg_diag_tx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_ctrl_reset_export                       : out std_logic;  -- export
+      reg_dpmm_ctrl_clk_export                         : out std_logic;  -- export
+      reg_dpmm_ctrl_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_ctrl_write_export                       : out std_logic;  -- export
+      reg_dpmm_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_ctrl_read_export                        : out std_logic;  -- export
+      reg_dpmm_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_dpmm_data_reset_export                       : out std_logic;  -- export
+      reg_dpmm_data_clk_export                         : out std_logic;  -- export
+      reg_dpmm_data_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_dpmm_data_write_export                       : out std_logic;  -- export
+      reg_dpmm_data_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_dpmm_data_read_export                        : out std_logic;  -- export
+      reg_dpmm_data_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_epcs_reset_export                            : out std_logic;  -- export
+      reg_epcs_clk_export                              : out std_logic;  -- export
+      reg_epcs_address_export                          : out std_logic_vector(2 downto 0);  -- export
+      reg_epcs_write_export                            : out std_logic;  -- export
+      reg_epcs_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      reg_epcs_read_export                             : out std_logic;  -- export
+      reg_epcs_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_eth10g_back0_reset_export                    : out std_logic;  -- export
+      reg_eth10g_back0_clk_export                      : out std_logic;  -- export
+      reg_eth10g_back0_address_export                  : out std_logic_vector(5 downto 0);  -- export
+      reg_eth10g_back0_write_export                    : out std_logic;  -- export
+      reg_eth10g_back0_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_eth10g_back0_read_export                     : out std_logic;  -- export
+      reg_eth10g_back0_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_eth10g_back1_reset_export                    : out std_logic;  -- export
+      reg_eth10g_back1_clk_export                      : out std_logic;  -- export
+      reg_eth10g_back1_address_export                  : out std_logic_vector(5 downto 0);  -- export
+      reg_eth10g_back1_write_export                    : out std_logic;  -- export
+      reg_eth10g_back1_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_eth10g_back1_read_export                     : out std_logic;  -- export
+      reg_eth10g_back1_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_eth10g_qsfp_ring_reset_export                : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_clk_export                  : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_address_export              : out std_logic_vector(6 downto 0);  -- export
+      reg_eth10g_qsfp_ring_write_export                : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_eth10g_qsfp_ring_read_export                 : out std_logic;  -- export
+      reg_eth10g_qsfp_ring_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_rx_eth_1_reset_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_1_clk_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_1_address_export       : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_eth_1_write_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_1_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_eth_1_read_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_1_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_tx_eth_1_reset_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_1_clk_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_1_address_export       : out std_logic_vector(2 downto 0);  -- export
+      reg_bsn_monitor_v2_tx_eth_1_write_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_1_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_tx_eth_1_read_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_1_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_hdr_dat_eth_1_reset_export                   : out std_logic;  -- export
+      reg_hdr_dat_eth_1_clk_export                     : out std_logic;  -- export
+      reg_hdr_dat_eth_1_address_export                 : out std_logic_vector(4 downto 0);  -- export
+      reg_hdr_dat_eth_1_write_export                   : out std_logic;  -- export
+      reg_hdr_dat_eth_1_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_hdr_dat_eth_1_read_export                    : out std_logic;  -- export
+      reg_hdr_dat_eth_1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_strobe_total_count_rx_eth_1_reset_export     : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_1_clk_export       : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_1_address_export   : out std_logic_vector(4 downto 0);  -- export
+      reg_strobe_total_count_rx_eth_1_write_export     : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_1_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_strobe_total_count_rx_eth_1_read_export      : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_1_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_strobe_total_count_tx_eth_1_reset_export     : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_1_clk_export       : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_1_address_export   : out std_logic_vector(4 downto 0);  -- export
+      reg_strobe_total_count_tx_eth_1_write_export     : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_1_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_strobe_total_count_tx_eth_1_read_export      : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_1_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_rx_eth_0_reset_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_0_clk_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_0_address_export       : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_eth_0_write_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_0_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_rx_eth_0_read_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_rx_eth_0_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_bsn_monitor_v2_tx_eth_0_reset_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_0_clk_export           : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_0_address_export       : out std_logic_vector(4 downto 0);  -- export
+      reg_bsn_monitor_v2_tx_eth_0_write_export         : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_0_writedata_export     : out std_logic_vector(31 downto 0);  -- export
+      reg_bsn_monitor_v2_tx_eth_0_read_export          : out std_logic;  -- export
+      reg_bsn_monitor_v2_tx_eth_0_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_hdr_dat_eth_0_reset_export                   : out std_logic;  -- export
+      reg_hdr_dat_eth_0_clk_export                     : out std_logic;  -- export
+      reg_hdr_dat_eth_0_address_export                 : out std_logic_vector(6 downto 0);  -- export
+      reg_hdr_dat_eth_0_write_export                   : out std_logic;  -- export
+      reg_hdr_dat_eth_0_writedata_export               : out std_logic_vector(31 downto 0);  -- export
+      reg_hdr_dat_eth_0_read_export                    : out std_logic;  -- export
+      reg_hdr_dat_eth_0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_strobe_total_count_rx_eth_0_reset_export     : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_0_clk_export       : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_0_address_export   : out std_logic_vector(6 downto 0);  -- export
+      reg_strobe_total_count_rx_eth_0_write_export     : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_0_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_strobe_total_count_rx_eth_0_read_export      : out std_logic;  -- export
+      reg_strobe_total_count_rx_eth_0_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_strobe_total_count_tx_eth_0_reset_export     : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_0_clk_export       : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_0_address_export   : out std_logic_vector(6 downto 0);  -- export
+      reg_strobe_total_count_tx_eth_0_write_export     : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_0_writedata_export : out std_logic_vector(31 downto 0);  -- export
+      reg_strobe_total_count_tx_eth_0_read_export      : out std_logic;  -- export
+      reg_strobe_total_count_tx_eth_0_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_temp_sens_reset_export                  : out std_logic;  -- export
+      reg_fpga_temp_sens_clk_export                    : out std_logic;  -- export
+      reg_fpga_temp_sens_address_export                : out std_logic_vector(2 downto 0);  -- export
+      reg_fpga_temp_sens_write_export                  : out std_logic;  -- export
+      reg_fpga_temp_sens_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_temp_sens_read_export                   : out std_logic;  -- export
+      reg_fpga_temp_sens_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_fpga_voltage_sens_reset_export               : out std_logic;  -- export
+      reg_fpga_voltage_sens_clk_export                 : out std_logic;  -- export
+      reg_fpga_voltage_sens_address_export             : out std_logic_vector(3 downto 0);  -- export
+      reg_fpga_voltage_sens_write_export               : out std_logic;  -- export
+      reg_fpga_voltage_sens_writedata_export           : out std_logic_vector(31 downto 0);  -- export
+      reg_fpga_voltage_sens_read_export                : out std_logic;  -- export
+      reg_fpga_voltage_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_heater_reset_export                          : out std_logic;  -- export
+      reg_heater_clk_export                            : out std_logic;  -- export
+      reg_heater_address_export                        : out std_logic_vector(4 downto 0);  -- export
+      reg_heater_write_export                          : out std_logic;  -- export
+      reg_heater_writedata_export                      : out std_logic_vector(31 downto 0);  -- export
+      reg_heater_read_export                           : out std_logic;  -- export
+      reg_heater_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_io_ddr_mb_i_reset_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_i_clk_export                       : out std_logic;  -- export
+      reg_io_ddr_mb_i_address_export                   : out std_logic_vector(15 downto 0);  -- export
+      reg_io_ddr_mb_i_write_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_mb_i_read_export                      : out std_logic;  -- export
+      reg_io_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_io_ddr_mb_ii_reset_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_ii_clk_export                      : out std_logic;  -- export
+      reg_io_ddr_mb_ii_address_export                  : out std_logic_vector(15 downto 0);  -- export
+      reg_io_ddr_mb_ii_write_export                    : out std_logic;  -- export
+      reg_io_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);  -- export
+      reg_io_ddr_mb_ii_read_export                     : out std_logic;  -- export
+      reg_io_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_ctrl_reset_export                       : out std_logic;  -- export
+      reg_mmdp_ctrl_clk_export                         : out std_logic;  -- export
+      reg_mmdp_ctrl_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_ctrl_write_export                       : out std_logic;  -- export
+      reg_mmdp_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_ctrl_read_export                        : out std_logic;  -- export
+      reg_mmdp_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_mmdp_data_reset_export                       : out std_logic;  -- export
+      reg_mmdp_data_clk_export                         : out std_logic;  -- export
+      reg_mmdp_data_address_export                     : out std_logic_vector(0 downto 0);  -- export
+      reg_mmdp_data_write_export                       : out std_logic;  -- export
+      reg_mmdp_data_writedata_export                   : out std_logic_vector(31 downto 0);  -- export
+      reg_mmdp_data_read_export                        : out std_logic;  -- export
+      reg_mmdp_data_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_remu_reset_export                            : out std_logic;  -- export
+      reg_remu_clk_export                              : out std_logic;  -- export
+      reg_remu_address_export                          : out std_logic_vector(2 downto 0);  -- export
+      reg_remu_write_export                            : out std_logic;  -- export
+      reg_remu_writedata_export                        : out std_logic_vector(31 downto 0);  -- export
+      reg_remu_read_export                             : out std_logic;  -- export
+      reg_remu_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_back0_reset_export                  : out std_logic;  -- export
+      reg_tr_10gbe_back0_clk_export                    : out std_logic;  -- export
+      reg_tr_10gbe_back0_address_export                : out std_logic_vector(17 downto 0);  -- export
+      reg_tr_10gbe_back0_write_export                  : out std_logic;  -- export
+      reg_tr_10gbe_back0_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_back0_read_export                   : out std_logic;  -- export
+      reg_tr_10gbe_back0_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_back0_waitrequest_export            : in  std_logic                     := 'X';  -- export
+      reg_tr_10gbe_back1_reset_export                  : out std_logic;  -- export
+      reg_tr_10gbe_back1_clk_export                    : out std_logic;  -- export
+      reg_tr_10gbe_back1_address_export                : out std_logic_vector(17 downto 0);  -- export
+      reg_tr_10gbe_back1_write_export                  : out std_logic;  -- export
+      reg_tr_10gbe_back1_writedata_export              : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_back1_read_export                   : out std_logic;  -- export
+      reg_tr_10gbe_back1_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_back1_waitrequest_export            : in  std_logic                     := 'X';  -- export
+      reg_tr_10gbe_qsfp_ring_reset_export              : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_clk_export                : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_address_export            : out std_logic_vector(18 downto 0);  -- export
+      reg_tr_10gbe_qsfp_ring_write_export              : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_writedata_export          : out std_logic_vector(31 downto 0);  -- export
+      reg_tr_10gbe_qsfp_ring_read_export               : out std_logic;  -- export
+      reg_tr_10gbe_qsfp_ring_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_tr_10gbe_qsfp_ring_waitrequest_export        : in  std_logic                     := 'X';  -- export
+      reg_wdi_reset_export                             : out std_logic;  -- export
+      reg_wdi_clk_export                               : out std_logic;  -- export
+      reg_wdi_address_export                           : out std_logic_vector(0 downto 0);  -- export
+      reg_wdi_write_export                             : out std_logic;  -- export
+      reg_wdi_writedata_export                         : out std_logic_vector(31 downto 0);  -- export
+      reg_wdi_read_export                              : out std_logic;  -- export
+      reg_wdi_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      rom_system_info_reset_export                     : out std_logic;  -- export
+      rom_system_info_clk_export                       : out std_logic;  -- export
+      rom_system_info_address_export                   : out std_logic_vector(12 downto 0);  -- export
+      rom_system_info_write_export                     : out std_logic;  -- export
+      rom_system_info_writedata_export                 : out std_logic_vector(31 downto 0);  -- export
+      rom_system_info_read_export                      : out std_logic;  -- export
+      rom_system_info_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+    );
+  end component qsys_unb2c_test;
 
 end qsys_unb2c_test_pkg;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/udp_stream.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/udp_stream.vhd
index 369db0c801..d453adc0c0 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/udp_stream.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/udp_stream.vhd
@@ -21,19 +21,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, unb2c_board_lib, dp_lib, eth_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.unb2c_test_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.unb2c_test_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity udp_stream is
   generic (
@@ -105,14 +105,28 @@ end udp_stream;
 architecture str of udp_stream is
 
   -- Block generator
-  constant c_bg_ctrl                   : t_diag_block_gen := ('0',  -- enable (disabled by default)
-                                                              '0',  -- enable_sync
-                                                              TO_UVEC(     g_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(g_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        g_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   g_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                   : t_diag_block_gen := (
+    '0',  -- enable (disabled by default)
+    '0',  -- enable_sync
+    TO_UVEC(
+                  g_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     g_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                g_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
 
   constant c_nof_crc_words             : natural := 1;
@@ -157,54 +171,54 @@ begin
   -- TX: Block generator and DP fifo
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_technology         => g_technology,
-    g_nof_streams        => g_nof_streams,
-    g_buf_dat_w          => g_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_index_arr     => array_init(0, g_nof_streams),
-    g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
-    g_diag_block_gen_rst => c_bg_ctrl
---    g_use_tx_seq         => TRUE
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_diag_tx_seq_miso
-  );
-
-  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
-    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
     generic map (
-      g_technology => g_technology,
-      g_data_w    => g_data_w,
-      g_bsn_w     => 47,
-      g_use_bsn   => true,
-      g_use_sync  => true,
-      g_fifo_size => 50
+      g_technology         => g_technology,
+      g_nof_streams        => g_nof_streams,
+      g_buf_dat_w          => g_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_index_arr     => array_init(0, g_nof_streams),
+      g_file_name_prefix   => "hex/counter_data_" & natural'image(g_data_w),
+      g_diag_block_gen_rst => c_bg_ctrl
+    --    g_use_tx_seq         => TRUE
     )
     port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- ST sink (from BG)
-      snk_out     => block_gen_src_in_arr(i),
-      snk_in      => block_gen_src_out_arr(i),
-      -- ST source (to tx_offload)
-      src_in      => fifo_block_gen_src_in_arr(i),
-      src_out     => fifo_block_gen_src_out_arr(i)
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      reg_tx_seq_mosi  => reg_diag_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_diag_tx_seq_miso
     );
+
+  gen_dp_fifo_sc : for i in 0 to g_nof_streams - 1 generate  -- FIXME : Daniel: we also need this fifo to pass on the BSN (47b) and sync (1b); set generics accordingly
+    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
+      generic map (
+        g_technology => g_technology,
+        g_data_w    => g_data_w,
+        g_bsn_w     => 47,
+        g_use_bsn   => true,
+        g_use_sync  => true,
+        g_fifo_size => 50
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- ST sink (from BG)
+        snk_out     => block_gen_src_in_arr(i),
+        snk_in      => block_gen_src_out_arr(i),
+        -- ST source (to tx_offload)
+        src_in      => fifo_block_gen_src_in_arr(i),
+        src_out     => fifo_block_gen_src_out_arr(i)
+      );
   end generate;
 
 
@@ -212,74 +226,74 @@ begin
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_technology                => g_technology,
-    g_nof_streams               => g_nof_streams,
-    g_data_w                    => g_data_w,
-    g_use_complex               => false,
---    g_max_nof_words_per_block   => c_max_nof_words_per_block,
-    g_nof_words_per_block       => g_def_block_size,
---    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
-    g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    -- MM
-    --reg_mosi              => reg_dp_offload_tx_mosi,
-    --reg_miso              => reg_dp_offload_tx_miso,
-    --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    -- from blockgen-fifo
-    snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
-    snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
-
-    -- output to MAC
-    src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
-    src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
-
-    hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_technology                => g_technology,
+      g_nof_streams               => g_nof_streams,
+      g_data_w                    => g_data_w,
+      g_use_complex               => false,
+      --    g_max_nof_words_per_block   => c_max_nof_words_per_block,
+      g_nof_words_per_block       => g_def_block_size,
+      --    g_max_nof_blocks_per_packet => g_max_nof_blocks_per_packet,
+      g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      -- MM
+      --reg_mosi              => reg_dp_offload_tx_mosi,
+      --reg_miso              => reg_dp_offload_tx_miso,
+      --reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      -- from blockgen-fifo
+      snk_in_arr            => fifo_block_gen_src_out_arr(g_nof_streams - 1 downto 0),
+      snk_out_arr           => fifo_block_gen_src_in_arr(g_nof_streams - 1 downto 0),
+
+      -- output to MAC
+      src_out_arr           => dp_offload_tx_src_out_arr(g_nof_streams - 1 downto 0),
+      src_in_arr            => dp_offload_tx_src_in_arr(g_nof_streams - 1 downto 0),
+
+      hdr_fields_in_arr     => hdr_fields_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   -----------------------------------------------------------------------------
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => g_nof_streams,
-    g_data_w              => g_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => g_remove_crc,
-    g_crc_nof_words       => c_nof_crc_words
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+    generic map (
+      g_nof_streams         => g_nof_streams,
+      g_data_w              => g_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => g_remove_crc,
+      g_crc_nof_words       => c_nof_crc_words
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
 
-    -- from MAC
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
 
-    -- to databuffer
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
+      --reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      --reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
 
-    hdr_fields_out_arr    => hdr_fields_out_arr
-  );
+      -- from MAC
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      -- to databuffer
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
+    );
 
 
   gen_hdr_out_fields : for i in 0 to g_nof_streams - 1 generate
@@ -304,55 +318,55 @@ begin
 
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
-    in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => g_bg_blocks_per_sync * (g_bg_block_size + g_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(g_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(g_bg_blocks_per_sync * g_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      in_siso_arr => diag_data_buf_snk_out_arr(g_nof_streams - 1 downto 0),
+      in_sosi_arr => diag_data_buf_snk_in_arr(g_nof_streams - 1 downto 0)
+    );
 
 
   diag_data_buf_snk_out_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    g_nof_streams  => g_nof_streams,
-    g_data_w       => 32,  -- g_data_w, --FIXME
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false,  -- sync by reading last address of data buffer
-    g_use_rx_seq   => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_diag_rx_seq_miso,
-
-    in_sync           => diag_data_buf_snk_in_arr(0).sync,
-    in_sosi_arr       => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_streams  => g_nof_streams,
+      g_data_w       => 32,  -- g_data_w, --FIXME
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false,  -- sync by reading last address of data buffer
+      g_use_rx_seq   => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      reg_rx_seq_mosi   => reg_diag_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_diag_rx_seq_miso,
+
+      in_sync           => diag_data_buf_snk_in_arr(0).sync,
+      in_sosi_arr       => diag_data_buf_snk_in_arr
+    );
 
 end str;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
index 4e407950f5..697d7c255f 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
@@ -21,22 +21,22 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb2c_board_lib, unb2c_board_10gbe_lib, dp_lib, eth_lib, tr_10GbE_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib, tech_jesd204b_lib, util_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use eth_lib.eth_pkg.all;
-use eth_lib.eth_tester_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.unb2c_test_pkg.all;
-use util_lib.util_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use eth_lib.eth_tester_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.unb2c_test_pkg.all;
+  use util_lib.util_heater_pkg.all;
 
 
 entity unb2c_test is
@@ -340,7 +340,7 @@ architecture str of unb2c_test is
 
   signal serial_10G_tx_back_arr          : std_logic_vector(c_nof_streams_back0 - 1 downto 0) := (others => '0');
   signal serial_10G_rx_back_arr          : std_logic_vector(c_nof_streams_back0 - 1 downto 0);
---  SIGNAL serial_rx_jesd204b_back_arr     : STD_LOGIC_VECTOR(24-1 DOWNTO 0);
+  --  SIGNAL serial_rx_jesd204b_back_arr     : STD_LOGIC_VECTOR(24-1 DOWNTO 0);
 
   signal reg_10gbase_r_24_mosi           : t_mem_mosi;
   signal reg_10gbase_r_24_miso           : t_mem_miso;
@@ -476,327 +476,327 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb2c_board_lib.ctrl_unb2c_board
-  generic map (
-    g_sim                     => g_sim,
-    g_technology              => g_technology,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_revision_id             => g_revision_id,
-    g_fw_version              => c_fw_version,
-    g_mm_clk_freq             => c_mm_clk_freq,
-    g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
-    g_aux                     => c_unb2c_board_aux,
-    g_base_ip                 => c_base_ip,  -- = X"0A63" is base IP address used by unb_osy: 10.99.xx.yy
-    g_udp_offload             => c_use_eth_0_UDP,
-    g_udp_offload_nof_streams => c_nof_udp_streams_eth_0,
-    g_factory_image           => g_factory_image,
-    g_protect_addr_range      => g_protect_addr_range
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-
-    ext_clk200               => ext_clk200,
-    ext_rst200               => ext_rst200,
-
-    xo_ethclk                => xo_ethclk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    mb_I_ref_rst             => mb_I_ref_rst,
-    mb_II_ref_rst            => mb_II_ref_rst,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- REMU
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth1g_mm_rst             => eth_0_mm_rst,
-    eth1g_tse_mosi           => eth_0_tse_mosi,
-    eth1g_tse_miso           => eth_0_tse_miso,
-    eth1g_reg_mosi           => eth_0_reg_mosi,
-    eth1g_reg_miso           => eth_0_reg_miso,
-    eth1g_reg_interrupt      => eth_0_reg_interrupt,
-    eth1g_ram_mosi           => eth_0_ram_mosi,
-    eth1g_ram_miso           => eth_0_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  eth_0_udp_tx_sosi_arr,
-    udp_tx_siso_arr          =>  eth_0_udp_tx_siso_arr,
-    udp_rx_sosi_arr          =>  eth_0_udp_rx_sosi_arr,
-    udp_rx_siso_arr          =>  eth_0_udp_rx_siso_arr,
-
-    -- scrap ram
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-
-    -- . DDR reference clock domains reset creation
-    MB_I_REF_CLK             => MB_I_REF_CLK,
-    MB_II_REF_CLK            => MB_II_REF_CLK,
-    -- . 1GbE Control Interface
-    ETH_CLK                  => ETH_CLK(0),
-    ETH_SGIN                 => ETH_SGIN(0),
-    ETH_SGOUT                => ETH_SGOUT(0)
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_technology              => g_technology,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_revision_id             => g_revision_id,
+      g_fw_version              => c_fw_version,
+      g_mm_clk_freq             => c_mm_clk_freq,
+      g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
+      g_aux                     => c_unb2c_board_aux,
+      g_base_ip                 => c_base_ip,  -- = X"0A63" is base IP address used by unb_osy: 10.99.xx.yy
+      g_udp_offload             => c_use_eth_0_UDP,
+      g_udp_offload_nof_streams => c_nof_udp_streams_eth_0,
+      g_factory_image           => g_factory_image,
+      g_protect_addr_range      => g_protect_addr_range
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+
+      ext_clk200               => ext_clk200,
+      ext_rst200               => ext_rst200,
+
+      xo_ethclk                => xo_ethclk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      mb_I_ref_rst             => mb_I_ref_rst,
+      mb_II_ref_rst            => mb_II_ref_rst,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- REMU
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth1g_mm_rst             => eth_0_mm_rst,
+      eth1g_tse_mosi           => eth_0_tse_mosi,
+      eth1g_tse_miso           => eth_0_tse_miso,
+      eth1g_reg_mosi           => eth_0_reg_mosi,
+      eth1g_reg_miso           => eth_0_reg_miso,
+      eth1g_reg_interrupt      => eth_0_reg_interrupt,
+      eth1g_ram_mosi           => eth_0_ram_mosi,
+      eth1g_ram_miso           => eth_0_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  eth_0_udp_tx_sosi_arr,
+      udp_tx_siso_arr          =>  eth_0_udp_tx_siso_arr,
+      udp_rx_sosi_arr          =>  eth_0_udp_rx_sosi_arr,
+      udp_rx_siso_arr          =>  eth_0_udp_rx_siso_arr,
+
+      -- scrap ram
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+
+      -- . DDR reference clock domains reset creation
+      MB_I_REF_CLK             => MB_I_REF_CLK,
+      MB_II_REF_CLK            => MB_II_REF_CLK,
+      -- . 1GbE Control Interface
+      ETH_CLK                  => ETH_CLK(0),
+      ETH_SGIN                 => ETH_SGIN(0),
+      ETH_SGOUT                => ETH_SGOUT(0)
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb2c_test
-  generic map (
-    g_sim               => g_sim,
-    g_sim_unb_nr        => g_sim_unb_nr,
-    g_sim_node_nr       => g_sim_node_nr,
-    g_technology        => g_technology,
-    g_bg_block_size     => c_bg_block_size,
-    g_hdr_field_arr     => c_hdr_field_arr,
-    g_nof_streams_qsfp  => c_unb2c_board_tr_qsfp.nof_bus * c_unb2c_board_tr_qsfp.bus_w,
-    g_nof_streams_ring  => c_unb2c_board_tr_ring.nof_bus * c_unb2c_board_tr_ring.bus_w,
-    g_nof_streams_back0 => c_unb2c_board_tr_back.bus_w
-   )
-  port map(
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- FPGA sensors
-    reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
-    reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
-    reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g ch0
-    eth_0_mm_rst        => eth_0_mm_rst,
-    eth_0_tse_mosi      => eth_0_tse_mosi,
-    eth_0_tse_miso      => eth_0_tse_miso,
-    eth_0_reg_mosi      => eth_0_reg_mosi,
-    eth_0_reg_miso      => eth_0_reg_miso,
-    eth_0_reg_interrupt => eth_0_reg_interrupt,
-    eth_0_ram_mosi      => eth_0_ram_mosi,
-    eth_0_ram_miso      => eth_0_ram_miso,
-
-    reg_diag_bg_eth_0_copi                => reg_diag_bg_eth_0_copi,
-    reg_diag_bg_eth_0_cipo                => reg_diag_bg_eth_0_cipo,
-    reg_hdr_dat_eth_0_copi                => reg_hdr_dat_eth_0_copi,
-    reg_hdr_dat_eth_0_cipo                => reg_hdr_dat_eth_0_cipo,
-    reg_bsn_monitor_v2_tx_eth_0_copi      => reg_bsn_monitor_v2_tx_eth_0_copi,
-    reg_bsn_monitor_v2_tx_eth_0_cipo      => reg_bsn_monitor_v2_tx_eth_0_cipo,
-    reg_strobe_total_count_tx_eth_0_copi  => reg_strobe_total_count_tx_eth_0_copi,
-    reg_strobe_total_count_tx_eth_0_cipo  => reg_strobe_total_count_tx_eth_0_cipo,
-
-    reg_bsn_monitor_v2_rx_eth_0_copi      => reg_bsn_monitor_v2_rx_eth_0_copi,
-    reg_bsn_monitor_v2_rx_eth_0_cipo      => reg_bsn_monitor_v2_rx_eth_0_cipo,
-    reg_strobe_total_count_rx_eth_0_copi  => reg_strobe_total_count_rx_eth_0_copi,
-    reg_strobe_total_count_rx_eth_0_cipo  => reg_strobe_total_count_rx_eth_0_cipo,
-
-    -- eth1g ch1
-    eth_1_mm_rst        => eth_1_mm_rst,
-    eth_1_tse_mosi      => eth_1_tse_mosi,
-    eth_1_tse_miso      => eth_1_tse_miso,
-    eth_1_reg_mosi      => OPEN,
-    eth_1_reg_miso      => c_mem_cipo_rst,
-    eth_1_reg_interrupt => '0',
-    eth_1_ram_mosi      => OPEN,
-    eth_1_ram_miso      => c_mem_cipo_rst,
-
-    reg_diag_bg_eth_1_copi                => reg_diag_bg_eth_1_copi,
-    reg_diag_bg_eth_1_cipo                => reg_diag_bg_eth_1_cipo,
-    reg_hdr_dat_eth_1_copi                => reg_hdr_dat_eth_1_copi,
-    reg_hdr_dat_eth_1_cipo                => reg_hdr_dat_eth_1_cipo,
-    reg_bsn_monitor_v2_tx_eth_1_copi      => reg_bsn_monitor_v2_tx_eth_1_copi,
-    reg_bsn_monitor_v2_tx_eth_1_cipo      => reg_bsn_monitor_v2_tx_eth_1_cipo,
-    reg_strobe_total_count_tx_eth_1_copi  => reg_strobe_total_count_tx_eth_1_copi,
-    reg_strobe_total_count_tx_eth_1_cipo  => reg_strobe_total_count_tx_eth_1_cipo,
-
-    reg_bsn_monitor_v2_rx_eth_1_copi      => reg_bsn_monitor_v2_rx_eth_1_copi,
-    reg_bsn_monitor_v2_rx_eth_1_cipo      => reg_bsn_monitor_v2_rx_eth_1_cipo,
-    reg_strobe_total_count_rx_eth_1_copi  => reg_strobe_total_count_rx_eth_1_copi,
-    reg_strobe_total_count_rx_eth_1_cipo  => reg_strobe_total_count_rx_eth_1_cipo,
-
-    -- EPCS read
-    reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
-    reg_dpmm_data_miso       => reg_dpmm_data_miso,
-    reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
-    reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
-
-    -- EPCS write
-    reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
-    reg_mmdp_data_miso       => reg_mmdp_data_miso,
-    reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
-    reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
-
-    -- EPCS status/control
-    reg_epcs_mosi            => reg_epcs_mosi,
-    reg_epcs_miso            => reg_epcs_miso,
-
-    -- Remote Update
-    reg_remu_mosi            => reg_remu_mosi,
-    reg_remu_miso            => reg_remu_miso,
-
-    -- heater:
-    reg_heater_mosi          => reg_heater_mosi,
-    reg_heater_miso          => reg_heater_miso,
-
-    -- block gen
-    ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
-    ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
-    reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
-    reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
-    reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
-    reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
-
-    -- bsn
-    reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
-    reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
-
-    -- databuffer
-    ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
-    ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
-    reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
-    reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
-    reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
-    reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
-
-    -- 10GbE
-
-    --reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-    --reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
-
-    reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
-    reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
-
-    reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
-    reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
-
-    -- eth10g status
-    reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
-    reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
-
-    reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
-    reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
-
-    -- DDR4 : MB I
-    reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
-    reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
-    reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
-    reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
-    reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
-    reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
-    reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
-    reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
-    ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
-    ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
-
-    -- DDR4 : MB II
-    reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
-    reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
-    reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
-    reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
-    reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
-    reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
-    reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
-    reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
-    ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
-    ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso,
-
-    -- Jesd reset control
-    jesd_ctrl_mosi            => jesd_ctrl_mosi,
-    jesd_ctrl_miso            => jesd_ctrl_miso,
-
-    -- Jesd ip status/control
-    jesd204b_mosi               => jesd204b_mosi,
-    jesd204b_miso               => jesd204b_miso,
-    reg_bsn_source_mosi         => reg_bsn_source_mosi,
-    reg_bsn_source_miso         => reg_bsn_source_miso,
-    reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-    reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-    ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-    ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-    reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-    reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-
-    -- Scrap RAM
-    ram_scrap_mosi           => ram_scrap_mosi,
-    ram_scrap_miso           => ram_scrap_miso
-  );
+    generic map (
+      g_sim               => g_sim,
+      g_sim_unb_nr        => g_sim_unb_nr,
+      g_sim_node_nr       => g_sim_node_nr,
+      g_technology        => g_technology,
+      g_bg_block_size     => c_bg_block_size,
+      g_hdr_field_arr     => c_hdr_field_arr,
+      g_nof_streams_qsfp  => c_unb2c_board_tr_qsfp.nof_bus * c_unb2c_board_tr_qsfp.bus_w,
+      g_nof_streams_ring  => c_unb2c_board_tr_ring.nof_bus * c_unb2c_board_tr_ring.bus_w,
+      g_nof_streams_back0 => c_unb2c_board_tr_back.bus_w
+    )
+    port map(
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- FPGA sensors
+      reg_fpga_temp_sens_mosi  => reg_fpga_temp_sens_mosi,
+      reg_fpga_temp_sens_miso  => reg_fpga_temp_sens_miso,
+      reg_fpga_voltage_sens_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_fpga_voltage_sens_miso  => reg_fpga_voltage_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g ch0
+      eth_0_mm_rst        => eth_0_mm_rst,
+      eth_0_tse_mosi      => eth_0_tse_mosi,
+      eth_0_tse_miso      => eth_0_tse_miso,
+      eth_0_reg_mosi      => eth_0_reg_mosi,
+      eth_0_reg_miso      => eth_0_reg_miso,
+      eth_0_reg_interrupt => eth_0_reg_interrupt,
+      eth_0_ram_mosi      => eth_0_ram_mosi,
+      eth_0_ram_miso      => eth_0_ram_miso,
+
+      reg_diag_bg_eth_0_copi                => reg_diag_bg_eth_0_copi,
+      reg_diag_bg_eth_0_cipo                => reg_diag_bg_eth_0_cipo,
+      reg_hdr_dat_eth_0_copi                => reg_hdr_dat_eth_0_copi,
+      reg_hdr_dat_eth_0_cipo                => reg_hdr_dat_eth_0_cipo,
+      reg_bsn_monitor_v2_tx_eth_0_copi      => reg_bsn_monitor_v2_tx_eth_0_copi,
+      reg_bsn_monitor_v2_tx_eth_0_cipo      => reg_bsn_monitor_v2_tx_eth_0_cipo,
+      reg_strobe_total_count_tx_eth_0_copi  => reg_strobe_total_count_tx_eth_0_copi,
+      reg_strobe_total_count_tx_eth_0_cipo  => reg_strobe_total_count_tx_eth_0_cipo,
+
+      reg_bsn_monitor_v2_rx_eth_0_copi      => reg_bsn_monitor_v2_rx_eth_0_copi,
+      reg_bsn_monitor_v2_rx_eth_0_cipo      => reg_bsn_monitor_v2_rx_eth_0_cipo,
+      reg_strobe_total_count_rx_eth_0_copi  => reg_strobe_total_count_rx_eth_0_copi,
+      reg_strobe_total_count_rx_eth_0_cipo  => reg_strobe_total_count_rx_eth_0_cipo,
+
+      -- eth1g ch1
+      eth_1_mm_rst        => eth_1_mm_rst,
+      eth_1_tse_mosi      => eth_1_tse_mosi,
+      eth_1_tse_miso      => eth_1_tse_miso,
+      eth_1_reg_mosi      => OPEN,
+      eth_1_reg_miso      => c_mem_cipo_rst,
+      eth_1_reg_interrupt => '0',
+      eth_1_ram_mosi      => OPEN,
+      eth_1_ram_miso      => c_mem_cipo_rst,
+
+      reg_diag_bg_eth_1_copi                => reg_diag_bg_eth_1_copi,
+      reg_diag_bg_eth_1_cipo                => reg_diag_bg_eth_1_cipo,
+      reg_hdr_dat_eth_1_copi                => reg_hdr_dat_eth_1_copi,
+      reg_hdr_dat_eth_1_cipo                => reg_hdr_dat_eth_1_cipo,
+      reg_bsn_monitor_v2_tx_eth_1_copi      => reg_bsn_monitor_v2_tx_eth_1_copi,
+      reg_bsn_monitor_v2_tx_eth_1_cipo      => reg_bsn_monitor_v2_tx_eth_1_cipo,
+      reg_strobe_total_count_tx_eth_1_copi  => reg_strobe_total_count_tx_eth_1_copi,
+      reg_strobe_total_count_tx_eth_1_cipo  => reg_strobe_total_count_tx_eth_1_cipo,
+
+      reg_bsn_monitor_v2_rx_eth_1_copi      => reg_bsn_monitor_v2_rx_eth_1_copi,
+      reg_bsn_monitor_v2_rx_eth_1_cipo      => reg_bsn_monitor_v2_rx_eth_1_cipo,
+      reg_strobe_total_count_rx_eth_1_copi  => reg_strobe_total_count_rx_eth_1_copi,
+      reg_strobe_total_count_rx_eth_1_cipo  => reg_strobe_total_count_rx_eth_1_cipo,
+
+      -- EPCS read
+      reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
+      reg_dpmm_data_miso       => reg_dpmm_data_miso,
+      reg_dpmm_ctrl_mosi       => reg_dpmm_ctrl_mosi,
+      reg_dpmm_ctrl_miso       => reg_dpmm_ctrl_miso,
+
+      -- EPCS write
+      reg_mmdp_data_mosi       => reg_mmdp_data_mosi,
+      reg_mmdp_data_miso       => reg_mmdp_data_miso,
+      reg_mmdp_ctrl_mosi       => reg_mmdp_ctrl_mosi,
+      reg_mmdp_ctrl_miso       => reg_mmdp_ctrl_miso,
+
+      -- EPCS status/control
+      reg_epcs_mosi            => reg_epcs_mosi,
+      reg_epcs_miso            => reg_epcs_miso,
+
+      -- Remote Update
+      reg_remu_mosi            => reg_remu_mosi,
+      reg_remu_miso            => reg_remu_miso,
+
+      -- heater:
+      reg_heater_mosi          => reg_heater_mosi,
+      reg_heater_miso          => reg_heater_miso,
+
+      -- block gen
+      ram_diag_bg_10GbE_mosi         => ram_diag_bg_10GbE_mosi,
+      ram_diag_bg_10GbE_miso         => ram_diag_bg_10GbE_miso,
+      reg_diag_bg_10GbE_mosi         => reg_diag_bg_10GbE_mosi,
+      reg_diag_bg_10GbE_miso         => reg_diag_bg_10GbE_miso,
+      reg_diag_tx_seq_10GbE_mosi     => reg_diag_tx_seq_10GbE_mosi,
+      reg_diag_tx_seq_10GbE_miso     => reg_diag_tx_seq_10GbE_miso,
+
+      -- bsn
+      reg_bsn_monitor_10GbE_mosi     => reg_bsn_monitor_10GbE_mosi,
+      reg_bsn_monitor_10GbE_miso     => reg_bsn_monitor_10GbE_miso,
+
+      -- databuffer
+      ram_diag_data_buf_10GbE_mosi   => ram_diag_data_buf_10GbE_mosi,
+      ram_diag_data_buf_10GbE_miso   => ram_diag_data_buf_10GbE_miso,
+      reg_diag_data_buf_10GbE_mosi   => reg_diag_data_buf_10GbE_mosi,
+      reg_diag_data_buf_10GbE_miso   => reg_diag_data_buf_10GbE_miso,
+      reg_diag_rx_seq_10GbE_mosi     => reg_diag_rx_seq_10GbE_mosi,
+      reg_diag_rx_seq_10GbE_miso     => reg_diag_rx_seq_10GbE_miso,
+
+      -- 10GbE
+
+      --reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+      --reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+
+      reg_tr_10GbE_qsfp_ring_mosi    => reg_tr_10GbE_qsfp_ring_mosi,
+      reg_tr_10GbE_qsfp_ring_miso    => reg_tr_10GbE_qsfp_ring_miso,
+
+      reg_tr_10GbE_back0_mosi        => reg_tr_10GbE_back0_mosi,
+      reg_tr_10GbE_back0_miso        => reg_tr_10GbE_back0_miso,
+
+      -- eth10g status
+      reg_eth10g_qsfp_ring_mosi      => reg_eth10g_qsfp_ring_mosi,
+      reg_eth10g_qsfp_ring_miso      => reg_eth10g_qsfp_ring_miso,
+
+      reg_eth10g_back0_mosi          => reg_eth10g_back0_mosi,
+      reg_eth10g_back0_miso          => reg_eth10g_back0_miso,
+
+      -- DDR4 : MB I
+      reg_io_ddr_MB_I_mosi              => reg_io_ddr_MB_I_mosi,
+      reg_io_ddr_MB_I_miso              => reg_io_ddr_MB_I_miso,
+      reg_diag_tx_seq_ddr_MB_I_mosi     => reg_diag_tx_seq_ddr_MB_I_mosi,
+      reg_diag_tx_seq_ddr_MB_I_miso     => reg_diag_tx_seq_ddr_MB_I_miso,
+      reg_diag_rx_seq_ddr_MB_I_mosi     => reg_diag_rx_seq_ddr_MB_I_mosi,
+      reg_diag_rx_seq_ddr_MB_I_miso     => reg_diag_rx_seq_ddr_MB_I_miso,
+      reg_diag_data_buf_ddr_MB_I_mosi   => reg_diag_data_buf_ddr_MB_I_mosi,
+      reg_diag_data_buf_ddr_MB_I_miso   => reg_diag_data_buf_ddr_MB_I_miso,
+      ram_diag_data_buf_ddr_MB_I_mosi   => ram_diag_data_buf_ddr_MB_I_mosi,
+      ram_diag_data_buf_ddr_MB_I_miso   => ram_diag_data_buf_ddr_MB_I_miso,
+
+      -- DDR4 : MB II
+      reg_io_ddr_MB_II_mosi             => reg_io_ddr_MB_II_mosi,
+      reg_io_ddr_MB_II_miso             => reg_io_ddr_MB_II_miso,
+      reg_diag_tx_seq_ddr_MB_II_mosi    => reg_diag_tx_seq_ddr_MB_II_mosi,
+      reg_diag_tx_seq_ddr_MB_II_miso    => reg_diag_tx_seq_ddr_MB_II_miso,
+      reg_diag_rx_seq_ddr_MB_II_mosi    => reg_diag_rx_seq_ddr_MB_II_mosi,
+      reg_diag_rx_seq_ddr_MB_II_miso    => reg_diag_rx_seq_ddr_MB_II_miso,
+      reg_diag_data_buf_ddr_MB_II_mosi  => reg_diag_data_buf_ddr_MB_II_mosi,
+      reg_diag_data_buf_ddr_MB_II_miso  => reg_diag_data_buf_ddr_MB_II_miso,
+      ram_diag_data_buf_ddr_MB_II_mosi  => ram_diag_data_buf_ddr_MB_II_mosi,
+      ram_diag_data_buf_ddr_MB_II_miso  => ram_diag_data_buf_ddr_MB_II_miso,
+
+      -- Jesd reset control
+      jesd_ctrl_mosi            => jesd_ctrl_mosi,
+      jesd_ctrl_miso            => jesd_ctrl_miso,
+
+      -- Jesd ip status/control
+      jesd204b_mosi               => jesd204b_mosi,
+      jesd204b_miso               => jesd204b_miso,
+      reg_bsn_source_mosi         => reg_bsn_source_mosi,
+      reg_bsn_source_miso         => reg_bsn_source_miso,
+      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+
+      -- Scrap RAM
+      ram_scrap_mosi           => ram_scrap_mosi,
+      ram_scrap_miso           => ram_scrap_miso
+    );
 
 
   gen_eth_0_udp : if c_use_eth_0_UDP = true generate
@@ -807,51 +807,51 @@ begin
 
     -- Generate UDP Tx and monitor UDP Rx
     u_eth_tester_I : entity eth_lib.eth_tester
-    generic map (
-      g_nof_streams     => c_nof_udp_streams_eth_0,
-      g_bg_sync_timeout => c_eth_tester_sync_timeout,  -- BG sync interval < 11 s
-      g_remove_crc      => true  -- use TRUE when using TSE link interface
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      st_rst             => dp_rst,
-      st_clk             => dp_clk,
-      st_pps             => dp_pps,
-
-      -- UDP transmit interface
-      eth_src_mac        => gn_eth_src_mac_I,
-      ip_src_addr        => gn_ip_src_addr_I,
-      udp_src_port       => gn_udp_src_port_I,
-
-      tx_fifo_rd_emp_arr => OPEN,
-
-      tx_udp_sosi_arr    => eth_0_udp_tx_sosi_arr,
-      tx_udp_siso_arr    => eth_0_udp_tx_siso_arr,
-
-      -- UDP receive interface
-      rx_udp_sosi_arr    => eth_0_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves (one per stream)
-      -- . Tx
-      reg_bg_ctrl_copi               => reg_diag_bg_eth_0_copi,
-      reg_bg_ctrl_cipo               => reg_diag_bg_eth_0_cipo,
-      reg_hdr_dat_copi               => reg_hdr_dat_eth_0_copi,
-      reg_hdr_dat_cipo               => reg_hdr_dat_eth_0_cipo,
-      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_eth_0_copi,
-      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_eth_0_cipo,
-      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_eth_0_copi,
-      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_eth_0_cipo,
-      -- . Rx
-      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_eth_0_copi,
-      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_eth_0_cipo,
-      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_eth_0_copi,
-      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_eth_0_cipo
-    );
+      generic map (
+        g_nof_streams     => c_nof_udp_streams_eth_0,
+        g_bg_sync_timeout => c_eth_tester_sync_timeout,  -- BG sync interval < 11 s
+        g_remove_crc      => true  -- use TRUE when using TSE link interface
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        st_rst             => dp_rst,
+        st_clk             => dp_clk,
+        st_pps             => dp_pps,
+
+        -- UDP transmit interface
+        eth_src_mac        => gn_eth_src_mac_I,
+        ip_src_addr        => gn_ip_src_addr_I,
+        udp_src_port       => gn_udp_src_port_I,
+
+        tx_fifo_rd_emp_arr => OPEN,
+
+        tx_udp_sosi_arr    => eth_0_udp_tx_sosi_arr,
+        tx_udp_siso_arr    => eth_0_udp_tx_siso_arr,
+
+        -- UDP receive interface
+        rx_udp_sosi_arr    => eth_0_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves (one per stream)
+        -- . Tx
+        reg_bg_ctrl_copi               => reg_diag_bg_eth_0_copi,
+        reg_bg_ctrl_cipo               => reg_diag_bg_eth_0_cipo,
+        reg_hdr_dat_copi               => reg_hdr_dat_eth_0_copi,
+        reg_hdr_dat_cipo               => reg_hdr_dat_eth_0_cipo,
+        reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_eth_0_copi,
+        reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_eth_0_cipo,
+        reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_eth_0_copi,
+        reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_eth_0_cipo,
+        -- . Rx
+        reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_eth_0_copi,
+        reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_eth_0_cipo,
+        reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_eth_0_copi,
+        reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_eth_0_cipo
+      );
 
-    -- Uses eth.vhd with ETH/TSE interface with UDP streams in ctrl_unb2c_board
-    -- to stream UDP data via eth_0 = 1GbE-I.
+  -- Uses eth.vhd with ETH/TSE interface with UDP streams in ctrl_unb2c_board
+  -- to stream UDP data via eth_0 = 1GbE-I.
   end generate;
 
 
@@ -865,223 +865,223 @@ begin
 
     -- Generate UDP Tx and monitor UDP Rx
     u_eth_tester_II : entity eth_lib.eth_tester
-    generic map (
-      g_nof_streams     => c_nof_udp_streams_eth_1,
-      g_bg_sync_timeout => c_eth_tester_sync_timeout,  -- BG sync interval < 11 s
-      g_remove_crc      => true  -- use TRUE when using TSE link interface
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      st_rst             => dp_rst,
-      st_clk             => dp_clk,
-      st_pps             => dp_pps,
-
-      -- UDP transmit interface
-      eth_src_mac        => gn_eth_src_mac_II,
-      ip_src_addr        => gn_ip_src_addr_II,
-      udp_src_port       => gn_udp_src_port_II,
-
-      tx_fifo_rd_emp_arr => OPEN,
-
-      tx_udp_sosi_arr    => eth_1_udp_tx_sosi_arr,
-      tx_udp_siso_arr    => eth_1_udp_tx_siso_arr,
-
-      -- UDP receive interface
-      rx_udp_sosi_arr    => eth_1_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves (one per stream)
-      -- . Tx
-      reg_bg_ctrl_copi               => reg_diag_bg_eth_1_copi,
-      reg_bg_ctrl_cipo               => reg_diag_bg_eth_1_cipo,
-      reg_hdr_dat_copi               => reg_hdr_dat_eth_1_copi,
-      reg_hdr_dat_cipo               => reg_hdr_dat_eth_1_cipo,
-      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_eth_1_copi,
-      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_eth_1_cipo,
-      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_eth_1_copi,
-      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_eth_1_cipo,
-      -- . Rx
-      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_eth_1_copi,
-      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_eth_1_cipo,
-      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_eth_1_copi,
-      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_eth_1_cipo
-    );
+      generic map (
+        g_nof_streams     => c_nof_udp_streams_eth_1,
+        g_bg_sync_timeout => c_eth_tester_sync_timeout,  -- BG sync interval < 11 s
+        g_remove_crc      => true  -- use TRUE when using TSE link interface
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        st_rst             => dp_rst,
+        st_clk             => dp_clk,
+        st_pps             => dp_pps,
+
+        -- UDP transmit interface
+        eth_src_mac        => gn_eth_src_mac_II,
+        ip_src_addr        => gn_ip_src_addr_II,
+        udp_src_port       => gn_udp_src_port_II,
+
+        tx_fifo_rd_emp_arr => OPEN,
+
+        tx_udp_sosi_arr    => eth_1_udp_tx_sosi_arr,
+        tx_udp_siso_arr    => eth_1_udp_tx_siso_arr,
+
+        -- UDP receive interface
+        rx_udp_sosi_arr    => eth_1_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves (one per stream)
+        -- . Tx
+        reg_bg_ctrl_copi               => reg_diag_bg_eth_1_copi,
+        reg_bg_ctrl_cipo               => reg_diag_bg_eth_1_cipo,
+        reg_hdr_dat_copi               => reg_hdr_dat_eth_1_copi,
+        reg_hdr_dat_cipo               => reg_hdr_dat_eth_1_cipo,
+        reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_eth_1_copi,
+        reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_eth_1_cipo,
+        reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_eth_1_copi,
+        reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_eth_1_cipo,
+        -- . Rx
+        reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_eth_1_copi,
+        reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_eth_1_cipo,
+        reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_eth_1_copi,
+        reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_eth_1_cipo
+      );
 
     -- Use eth_stream with ETH/TSE interface for UDP port g_rx_udp_port to
     -- stream UDP data via eth_1 = 1GbE-II
     u_eth_stream : entity eth_lib.eth_stream
-    generic map (
-      g_technology   => g_technology,
-      g_rx_udp_port  => TO_UINT(c_eth_rx_udp_port),  -- = 0x1771 = 6001
-      g_jumbo_en     => true,
-      g_sim          => g_sim,
-      g_sim_level    => 1  -- 0 = use IP model (equivalent to g_sim = FALSE); 1 = use fast serdes model;
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst             => mm_rst,  -- eth_1_mm_rst
-      mm_clk             => mm_clk,
-      eth_clk            => ETH_CLK(1),
-      st_rst             => dp_rst,
-      st_clk             => dp_clk,
-
-      -- TSE setup
-      src_mac            => gn_eth_src_mac_II,
-      setup_done         => OPEN,
-
-      -- UDP transmit interface
-      udp_tx_snk_in      => eth_1_udp_tx_sosi_arr(0),
-      udp_tx_snk_out     => eth_1_udp_tx_siso_arr(0),
-
-      -- UDP receive interface
-      udp_rx_src_in      => c_dp_siso_rdy,
-      udp_rx_src_out     => eth_1_udp_rx_sosi_arr(0),
-
-      -- Memory Mapped Slaves
-      tse_ctlr_copi      => eth_1_tse_mosi,
-      tse_ctlr_cipo      => eth_1_tse_miso,
-
-      -- PHY interface
-      eth_txp            => ETH_SGOUT(1),
-      eth_rxp            => ETH_SGIN(1),
-
-      -- LED interface
-      tse_led            => open
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_rx_udp_port  => TO_UINT(c_eth_rx_udp_port),  -- = 0x1771 = 6001
+        g_jumbo_en     => true,
+        g_sim          => g_sim,
+        g_sim_level    => 1  -- 0 = use IP model (equivalent to g_sim = FALSE); 1 = use fast serdes model;
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst             => mm_rst,  -- eth_1_mm_rst
+        mm_clk             => mm_clk,
+        eth_clk            => ETH_CLK(1),
+        st_rst             => dp_rst,
+        st_clk             => dp_clk,
+
+        -- TSE setup
+        src_mac            => gn_eth_src_mac_II,
+        setup_done         => OPEN,
+
+        -- UDP transmit interface
+        udp_tx_snk_in      => eth_1_udp_tx_sosi_arr(0),
+        udp_tx_snk_out     => eth_1_udp_tx_siso_arr(0),
+
+        -- UDP receive interface
+        udp_rx_src_in      => c_dp_siso_rdy,
+        udp_rx_src_out     => eth_1_udp_rx_sosi_arr(0),
+
+        -- Memory Mapped Slaves
+        tse_ctlr_copi      => eth_1_tse_mosi,
+        tse_ctlr_cipo      => eth_1_tse_miso,
+
+        -- PHY interface
+        eth_txp            => ETH_SGOUT(1),
+        eth_rxp            => ETH_SGIN(1),
+
+        -- LED interface
+        tse_led            => open
+      );
   end generate;
 
 
   gen_udp_stream_10GbE : if c_use_10GbE = true and c_use_loopback = false generate
     u_udp_stream_10GbE : entity work.udp_stream
-    generic map (
-      g_sim                       => g_sim,
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0,
-      g_data_w                    => c_data_w_64,
-      g_bg_block_size             => c_bg_block_size,
-      g_bg_gapsize                => c_bg_gapsize_10GbE,
-      g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
-      g_def_block_size            => c_def_10GbE_block_size,
-      g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
-      g_remove_crc                => false
-    )
-    port map (
-      mm_rst                         => mm_rst,
-      mm_clk                         => mm_clk,
-      dp_rst                         => dp_rst,
-      dp_clk                         => dp_clk,
-      ID                             => ID,
-      -- blockgen MM
-      reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
-      reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
-      ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
-      ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
-      reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
-      reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
-
-
-      -- loopback:
-      --dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      --dp_offload_tx_src_in_arr       => (OTHERS=>c_dp_siso_rdy),
-      --dp_offload_rx_snk_in_arr       => dp_offload_tx_10GbE_src_out_arr,
-      --dp_offload_rx_snk_out_arr      => dp_offload_tx_10GbE_src_in_arr,
-
-      -- connect to dp_offload:
-      dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
-      dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
-      dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
-      dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
-
-
-      reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
-      reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
-
-      reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
-      reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
-      ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
-      ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
-      reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
-      reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
-    );
+      generic map (
+        g_sim                       => g_sim,
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_qsfp + c_nof_streams_ring + c_nof_streams_back0,
+        g_data_w                    => c_data_w_64,
+        g_bg_block_size             => c_bg_block_size,
+        g_bg_gapsize                => c_bg_gapsize_10GbE,
+        g_bg_blocks_per_sync        => c_bg_blocks_per_sync,
+        g_def_block_size            => c_def_10GbE_block_size,
+        g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet_10GbE,
+        g_remove_crc                => false
+      )
+      port map (
+        mm_rst                         => mm_rst,
+        mm_clk                         => mm_clk,
+        dp_rst                         => dp_rst,
+        dp_clk                         => dp_clk,
+        ID                             => ID,
+        -- blockgen MM
+        reg_diag_bg_mosi               => reg_diag_bg_10GbE_mosi,
+        reg_diag_bg_miso               => reg_diag_bg_10GbE_miso,
+        ram_diag_bg_mosi               => ram_diag_bg_10GbE_mosi,
+        ram_diag_bg_miso               => ram_diag_bg_10GbE_miso,
+        reg_diag_tx_seq_mosi           => reg_diag_tx_seq_10GbE_mosi,
+        reg_diag_tx_seq_miso           => reg_diag_tx_seq_10GbE_miso,
+
+
+        -- loopback:
+        --dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        --dp_offload_tx_src_in_arr       => (OTHERS=>c_dp_siso_rdy),
+        --dp_offload_rx_snk_in_arr       => dp_offload_tx_10GbE_src_out_arr,
+        --dp_offload_rx_snk_out_arr      => dp_offload_tx_10GbE_src_in_arr,
+
+        -- connect to dp_offload:
+        dp_offload_tx_src_out_arr      => dp_offload_tx_10GbE_src_out_arr,
+        dp_offload_tx_src_in_arr       => dp_offload_tx_10GbE_src_in_arr,
+        dp_offload_rx_snk_in_arr       => dp_offload_rx_10GbE_snk_in_arr,
+        dp_offload_rx_snk_out_arr      => dp_offload_rx_10GbE_snk_out_arr,
+
+
+        reg_bsn_monitor_mosi           => reg_bsn_monitor_10GbE_mosi,
+        reg_bsn_monitor_miso           => reg_bsn_monitor_10GbE_miso,
+
+        reg_diag_data_buf_mosi         => reg_diag_data_buf_10GbE_mosi,
+        reg_diag_data_buf_miso         => reg_diag_data_buf_10GbE_miso,
+        ram_diag_data_buf_mosi         => ram_diag_data_buf_10GbE_mosi,
+        ram_diag_data_buf_miso         => ram_diag_data_buf_10GbE_miso,
+        reg_diag_rx_seq_mosi           => reg_diag_rx_seq_10GbE_mosi,
+        reg_diag_rx_seq_miso           => reg_diag_rx_seq_10GbE_miso
+      );
   end generate;
 
 
   gen_jesd204b : if c_use_jesd204b = true generate
     u_jesd204b: entity work.node_adc_input_and_timing_nowg
-    generic map(
-      g_technology                => g_technology,
-      g_nof_streams               => c_nof_streams_jesd204b,
-      g_jesd_freq                 => "200MHz",
-      g_nof_sync_n                => c_unb2c_board_nof_sync_jesd204b,
-      g_sim                       => g_sim
-    )
-    port map(
-      -- clocks and resets
-      mm_clk                      => mm_clk,
-      mm_rst                      => mm_rst,
-      dp_clk                      => dp_clk,
-      dp_rst                      => dp_rst,
-
-      -- mm control buses
-      jesd204b_mosi               => jesd204b_mosi,
-      jesd204b_miso               => jesd204b_miso,
-      reg_bsn_source_mosi         => reg_bsn_source_mosi,
-      reg_bsn_source_miso         => reg_bsn_source_miso,
-      reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
-      reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
-      ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
-      ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
-      reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
-      reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
-      jesd_ctrl_mosi              => jesd_ctrl_mosi,
-      jesd_ctrl_miso              => jesd_ctrl_miso,
-
-       -- Jesd external IOs
-      jesd204b_serial_data       => BCK_RX(c_nof_streams_jesd204b - 1 downto 0),
-      jesd204b_refclk            => BCK_REF_CLK,
-      jesd204b_sysref            => JESD204B_SYSREF,
-      jesd204b_sync_n            => JESD204B_SYNC
-    );
+      generic map(
+        g_technology                => g_technology,
+        g_nof_streams               => c_nof_streams_jesd204b,
+        g_jesd_freq                 => "200MHz",
+        g_nof_sync_n                => c_unb2c_board_nof_sync_jesd204b,
+        g_sim                       => g_sim
+      )
+      port map(
+        -- clocks and resets
+        mm_clk                      => mm_clk,
+        mm_rst                      => mm_rst,
+        dp_clk                      => dp_clk,
+        dp_rst                      => dp_rst,
+
+        -- mm control buses
+        jesd204b_mosi               => jesd204b_mosi,
+        jesd204b_miso               => jesd204b_miso,
+        reg_bsn_source_mosi         => reg_bsn_source_mosi,
+        reg_bsn_source_miso         => reg_bsn_source_miso,
+        reg_bsn_monitor_input_mosi  => reg_bsn_monitor_input_mosi,
+        reg_bsn_monitor_input_miso  => reg_bsn_monitor_input_miso,
+        ram_diag_data_buf_bsn_mosi  => ram_diag_data_buf_bsn_mosi,
+        ram_diag_data_buf_bsn_miso  => ram_diag_data_buf_bsn_miso,
+        reg_diag_data_buf_bsn_mosi  => reg_diag_data_buf_bsn_mosi,
+        reg_diag_data_buf_bsn_miso  => reg_diag_data_buf_bsn_miso,
+        jesd_ctrl_mosi              => jesd_ctrl_mosi,
+        jesd_ctrl_miso              => jesd_ctrl_miso,
+
+        -- Jesd external IOs
+        jesd204b_serial_data       => BCK_RX(c_nof_streams_jesd204b - 1 downto 0),
+        jesd204b_refclk            => BCK_REF_CLK,
+        jesd204b_sysref            => JESD204B_SYSREF,
+        jesd204b_sync_n            => JESD204B_SYNC
+      );
   end generate;
 
 
   gen_front_10GbE : if c_use_10GbE = true generate
     u_tr_10GbE_qsfp_and_ring: entity unb2c_board_10gbe_lib.unb2c_board_10gbe  -- QSFP and Ring lines
-    generic map (
-      g_sim           => g_sim,
-      g_sim_level     => 1,
-      g_technology    => g_technology,
-      g_use_loopback  => c_use_loopback,
-      g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
-      g_tx_fifo_fill  => c_def_10GbE_block_size,
-      g_tx_fifo_size  => c_def_10GbE_block_size * 2
-    )
-    port map (
-      tr_ref_clk          => SA_CLK,
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
-      reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
-      reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
-      reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
-      --reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-      --reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+      generic map (
+        g_sim           => g_sim,
+        g_sim_level     => 1,
+        g_technology    => g_technology,
+        g_use_loopback  => c_use_loopback,
+        g_nof_macs      => c_nof_streams_qsfp + c_nof_streams_ring,
+        g_tx_fifo_fill  => c_def_10GbE_block_size,
+        g_tx_fifo_size  => c_def_10GbE_block_size * 2
+      )
+      port map (
+        tr_ref_clk          => SA_CLK,
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        reg_mac_mosi        => reg_tr_10GbE_qsfp_ring_mosi,
+        reg_mac_miso        => reg_tr_10GbE_qsfp_ring_miso,
+        reg_eth10g_mosi     => reg_eth10g_qsfp_ring_mosi,
+        reg_eth10g_miso     => reg_eth10g_qsfp_ring_miso,
+        --reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+        --reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
 
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
 
-      src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
-      snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
+        snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp + c_nof_streams_ring - 1 downto 0),
 
-      serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
-      serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
-    );
+        serial_tx_arr       => i_serial_10G_tx_qsfp_ring_arr,
+        serial_rx_arr       => i_serial_10G_rx_qsfp_ring_arr
+      );
 
     gen_qsfp_wires: for i in 0 to c_nof_streams_qsfp - 1 generate
-        serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
+      serial_10G_tx_qsfp_arr(i)      <= i_serial_10G_tx_qsfp_ring_arr(i);
       i_serial_10G_rx_qsfp_ring_arr(i) <=   serial_10G_rx_qsfp_arr(i);
     end generate;
 
@@ -1102,24 +1102,24 @@ begin
 
 
     u_front_io : entity unb2c_board_lib.unb2c_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_nof_qsfp_bus
-    )
-    port map (
-      serial_tx_arr => serial_10G_tx_qsfp_arr,
-      serial_rx_arr => serial_10G_rx_qsfp_arr,
+      generic map (
+        g_nof_qsfp_bus => c_nof_qsfp_bus
+      )
+      port map (
+        serial_tx_arr => serial_10G_tx_qsfp_arr,
+        serial_rx_arr => serial_10G_rx_qsfp_arr,
 
-      green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr   => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0),
 
-      QSFP_RX    => i_QSFP_RX,
-      QSFP_TX    => i_QSFP_TX,
+        QSFP_RX    => i_QSFP_RX,
+        QSFP_TX    => i_QSFP_TX,
 
-      QSFP_LED   => QSFP_LED
-    );
+        QSFP_LED   => QSFP_LED
+      );
 
     gen_ring_wires: for i in 0 to c_nof_streams_ring - 1 generate
-        serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i + c_nof_streams_qsfp);
+      serial_10G_tx_ring_arr(i) <= i_serial_10G_tx_qsfp_ring_arr(i + c_nof_streams_qsfp);
       i_serial_10G_rx_qsfp_ring_arr(i + c_nof_streams_qsfp) <= serial_10G_rx_ring_arr(i);
     end generate;
 
@@ -1129,46 +1129,46 @@ begin
     RING_1_TX <= i_RING_TX(1);
 
     u_ring_io : entity unb2c_board_lib.unb2c_board_ring_io
-    generic map (
-      g_nof_ring_bus => 2  -- c_nof_ring_bus
-    )
-    port map (
-      serial_tx_arr => serial_10G_tx_ring_arr,
-      serial_rx_arr => serial_10G_rx_ring_arr,
-      RING_RX => i_RING_RX,
-      RING_TX => i_RING_TX
-    );
-
-
-    gen_10gbe_back0 : if c_use_10GbE_back0 = true generate
-      u_tr_10GbE_back: entity unb2c_board_10gbe_lib.unb2c_board_10gbe  -- BACK lines (upper)
       generic map (
-        g_sim           => g_sim,
-        g_sim_level     => 1,
-        g_technology    => g_technology,
-        g_use_loopback  => c_use_loopback,
-        g_nof_macs      => c_nof_streams_back0,
-        g_tx_fifo_fill  => c_def_10GbE_block_size,
-        g_tx_fifo_size  => c_def_10GbE_block_size * 2
+        g_nof_ring_bus => 2  -- c_nof_ring_bus
       )
       port map (
-        tr_ref_clk          => SB_CLK,
-        mm_rst              => mm_rst,
-        mm_clk              => mm_clk,
-        reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
-        reg_mac_miso        => reg_tr_10GbE_back0_miso,
-        reg_eth10g_mosi     => reg_eth10g_back0_mosi,
-        reg_eth10g_miso     => reg_eth10g_back0_miso,
-        dp_rst              => dp_rst,
-        dp_clk              => dp_clk,
-
-        src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
-        src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
-        snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
-        snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
-        serial_tx_arr       => i_serial_10G_tx_back0_arr,
-        serial_rx_arr       => i_serial_10G_rx_back0_arr
+        serial_tx_arr => serial_10G_tx_ring_arr,
+        serial_rx_arr => serial_10G_rx_ring_arr,
+        RING_RX => i_RING_RX,
+        RING_TX => i_RING_TX
       );
+
+
+    gen_10gbe_back0 : if c_use_10GbE_back0 = true generate
+      u_tr_10GbE_back: entity unb2c_board_10gbe_lib.unb2c_board_10gbe  -- BACK lines (upper)
+        generic map (
+          g_sim           => g_sim,
+          g_sim_level     => 1,
+          g_technology    => g_technology,
+          g_use_loopback  => c_use_loopback,
+          g_nof_macs      => c_nof_streams_back0,
+          g_tx_fifo_fill  => c_def_10GbE_block_size,
+          g_tx_fifo_size  => c_def_10GbE_block_size * 2
+        )
+        port map (
+          tr_ref_clk          => SB_CLK,
+          mm_rst              => mm_rst,
+          mm_clk              => mm_clk,
+          reg_mac_mosi        => reg_tr_10GbE_back0_mosi,
+          reg_mac_miso        => reg_tr_10GbE_back0_miso,
+          reg_eth10g_mosi     => reg_eth10g_back0_mosi,
+          reg_eth10g_miso     => reg_eth10g_back0_miso,
+          dp_rst              => dp_rst,
+          dp_clk              => dp_clk,
+
+          src_out_arr         => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
+          src_in_arr          => dp_offload_rx_10GbE_snk_out_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
+          snk_out_arr         => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
+          snk_in_arr          => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0 + c_nof_streams_qsfp + c_nof_streams_ring - 1 downto c_nof_streams_qsfp + c_nof_streams_ring),
+          serial_tx_arr       => i_serial_10G_tx_back0_arr,
+          serial_rx_arr       => i_serial_10G_rx_back0_arr
+        );
     end generate;
 
 
@@ -1179,93 +1179,93 @@ begin
       end generate;
 
       u_back_io : entity unb2c_board_lib.unb2c_board_back_io
-      generic map (
-        g_nof_back_bus => c_nof_back_bus
-      )
-      port map (
-        serial_tx_arr => serial_10G_tx_back_arr,
-        serial_rx_arr => serial_10G_rx_back_arr,
-
-        -- Serial I/O
-        -- back transceivers
-        BCK_RX(0)  => BCK_RX(c_nof_streams_back0 - 1 downto 0),
-        BCK_TX(0)  => BCK_TX(c_nof_streams_back0 - 1 downto 0)
-      );
+        generic map (
+          g_nof_back_bus => c_nof_back_bus
+        )
+        port map (
+          serial_tx_arr => serial_10G_tx_back_arr,
+          serial_rx_arr => serial_10G_rx_back_arr,
+
+          -- Serial I/O
+          -- back transceivers
+          BCK_RX(0)  => BCK_RX(c_nof_streams_back0 - 1 downto 0),
+          BCK_TX(0)  => BCK_TX(c_nof_streams_back0 - 1 downto 0)
+        );
     end generate;
 
---    gen_jesd204b_wiring : IF c_use_jesd204b = TRUE GENERATE
---      gen_jesd204b_wires: FOR i IN 0 TO c_nof_streams_jesd204b-1 GENERATE
---        serial_rx_jesd204b_arr(i) <= serial_rx_jesd204b_back_arr(i);
---      END GENERATE;
---
---      u_back_io : ENTITY unb2c_board_lib.unb2c_board_back_io
---      GENERIC MAP (
---        g_nof_back_bus => 1
---      )
---      PORT MAP (
---        --serial_tx_arr => serial_10G_tx_back_arr,
---        serial_rx_arr => serial_rx_jesd204b_back_arr,
---
---        -- Serial I/O
---        -- back transceivers
---        BCK_RX(0)  => BCK_RX(c_nof_streams_jesd204b-1 downto 0),
---        BCK_TX(0)  => open
---      );
---    END GENERATE;
+    --    gen_jesd204b_wiring : IF c_use_jesd204b = TRUE GENERATE
+    --      gen_jesd204b_wires: FOR i IN 0 TO c_nof_streams_jesd204b-1 GENERATE
+    --        serial_rx_jesd204b_arr(i) <= serial_rx_jesd204b_back_arr(i);
+    --      END GENERATE;
+    --
+    --      u_back_io : ENTITY unb2c_board_lib.unb2c_board_back_io
+    --      GENERIC MAP (
+    --        g_nof_back_bus => 1
+    --      )
+    --      PORT MAP (
+    --        --serial_tx_arr => serial_10G_tx_back_arr,
+    --        serial_rx_arr => serial_rx_jesd204b_back_arr,
+    --
+    --        -- Serial I/O
+    --        -- back transceivers
+    --        BCK_RX(0)  => BCK_RX(c_nof_streams_jesd204b-1 downto 0),
+    --        BCK_TX(0)  => open
+    --      );
+    --    END GENERATE;
 
 
     u_front_led : entity unb2c_board_lib.unb2c_board_qsfp_leds
-    generic map (
-      g_sim             => g_sim,
-      g_factory_image   => g_factory_image,
-      g_nof_qsfp        => c_nof_qsfp_bus,
-      g_pulse_us        => 1000 / (10**9 / c_unb2c_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst               => dp_rst,
-      clk               => dp_clk,
+      generic map (
+        g_sim             => g_sim,
+        g_factory_image   => g_factory_image,
+        g_nof_qsfp        => c_nof_qsfp_bus,
+        g_pulse_us        => 1000 / (10**9 / c_unb2c_board_ext_clk_freq_200M)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst               => dp_rst,
+        clk               => dp_clk,
 
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_ring-1 DOWNTO 0),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_ring-1 DOWNTO 0),
 
-      --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
-      --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
+        --rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_back0+c_nof_streams_qsfp+c_nof_streams_ring-1 DOWNTO c_nof_streams_qsfp+c_nof_streams_ring),
 
-      tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
-      tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
-      rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
+        tx_siso_arr       => dp_offload_tx_10GbE_src_in_arr(c_nof_streams_qsfp - 1 downto 0),
+        tx_sosi_arr       => dp_offload_tx_10GbE_src_out_arr(c_nof_streams_qsfp - 1 downto 0),
+        rx_sosi_arr       => dp_offload_rx_10GbE_snk_in_arr(c_nof_streams_qsfp - 1 downto 0),
 
-      green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
-      red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
-    );
+        green_led_arr     => qsfp_green_led_arr(c_nof_qsfp_bus - 1 downto 0),
+        red_led_arr       => qsfp_red_led_arr(c_nof_qsfp_bus - 1 downto 0)
+      );
   end generate;
 
   gen_no_udp_stream_10GbE : if c_use_10GbE = false generate
     u_front_io : entity unb2c_board_lib.unb2c_board_front_io
-    generic map (
-      g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
-    )
-    port map (
-      green_led_arr => qsfp_green_led_arr,
-      red_led_arr   => qsfp_red_led_arr,
-      QSFP_LED      => QSFP_LED
-    );
+      generic map (
+        g_nof_qsfp_bus => c_unb2c_board_tr_qsfp.nof_bus
+      )
+      port map (
+        green_led_arr => qsfp_green_led_arr,
+        red_led_arr   => qsfp_red_led_arr,
+        QSFP_LED      => QSFP_LED
+      );
 
     u_front_led : entity unb2c_board_lib.unb2c_board_qsfp_leds
-    generic map (
-      g_sim           => g_sim,
-      g_factory_image => g_factory_image,
-      g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
-      g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
-    )
-    port map (
-      rst             => mm_rst,
-      clk             => mm_clk,
-      green_led_arr   => qsfp_green_led_arr,
-      red_led_arr     => qsfp_red_led_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_factory_image => g_factory_image,
+        g_nof_qsfp      => c_unb2c_board_tr_qsfp.nof_bus,
+        g_pulse_us      => 1000 / (10**9 / c_mm_clk_freq)  -- nof clk cycles to get us period
+      )
+      port map (
+        rst             => mm_rst,
+        clk             => mm_clk,
+        green_led_arr   => qsfp_green_led_arr,
+        red_led_arr     => qsfp_red_led_arr
+      );
   end generate;
 
 
@@ -1278,183 +1278,183 @@ begin
   gen_stream_MB_I : if c_use_MB_I = true generate
 
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr    => g_sim_model_ddr,
-      g_technology       => g_technology,
+      generic map (
+        -- System
+        g_sim_model_ddr    => g_sim_model_ddr,
+        g_technology       => g_technology,
 
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
 
-      -- IO_DDR
-      g_io_tech_ddr      => c_ddr_MB_I,
+        -- IO_DDR
+        g_io_tech_ddr      => c_ddr_MB_I,
 
-      -- DIAG data buffer
-      g_db_use_db        => false,
-      g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_I_REF_CLK,
-      ctlr_ref_rst        => mb_I_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_I_clk200,
-      ctlr_rst_out        => ddr_I_rst200,
-
-      ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_I_IN,
-      phy4_io             => MB_I_IO,
-      phy4_ou             => MB_I_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
-    );
+        -- DIAG data buffer
+        g_db_use_db        => false,
+        g_db_buf_nof_data  => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_I_REF_CLK,
+        ctlr_ref_rst        => mb_I_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_I_clk200,
+        ctlr_rst_out        => ddr_I_rst200,
+
+        ctlr_clk_in         => ddr_I_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_I_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_I_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_I_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_I_IN,
+        phy4_io             => MB_I_IO,
+        phy4_ou             => MB_I_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_I_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_I_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_I_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_I_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_I_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_I_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_I_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_I_miso
+      );
   end generate;
 
   gen_stream_MB_II : if c_use_MB_II = true generate
     u_mms_io_ddr_diag : entity io_ddr_lib.mms_io_ddr_diag
-    generic map (
-      -- System
-      g_sim_model_ddr   => g_sim_model_ddr,
-      g_technology      => g_technology,
+      generic map (
+        -- System
+        g_sim_model_ddr   => g_sim_model_ddr,
+        g_technology      => g_technology,
 
-      g_dp_data_w        => c_ddr_dp_data_w,
-      g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
-      g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
-      g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
+        g_dp_data_w        => c_ddr_dp_data_w,
+        g_dp_seq_dat_w     => c_ddr_dp_seq_dat_w,
+        g_dp_wr_fifo_depth => c_ddr_dp_wr_fifo_depth,
+        g_dp_rd_fifo_depth => c_ddr_dp_rd_fifo_depth,
 
-      -- IO_DDR
-      g_io_tech_ddr     => c_ddr_MB_II,
+        -- IO_DDR
+        g_io_tech_ddr     => c_ddr_MB_II,
 
-      -- DIAG data buffer
-      g_db_use_db       => false,
-      g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
-    )
-    port map (
-      ---------------------------------------------------------------------------
-      -- System
-      ---------------------------------------------------------------------------
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
-
-      ---------------------------------------------------------------------------
-      -- IO_DDR
-      ---------------------------------------------------------------------------
-      -- DDR reference clock
-      ctlr_ref_clk        => MB_II_REF_CLK,
-      ctlr_ref_rst        => mb_II_ref_rst,
-
-      -- DDR controller clock domain
-      ctlr_clk_out        => ddr_II_clk200,
-      ctlr_rst_out        => ddr_II_rst200,
-
-      ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-      ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
-
-      -- MM interface
-      reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
-      reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
-
-      -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
-      wr_fifo_usedw       => OPEN,
-      rd_fifo_usedw       => OPEN,
-
-      -- DDR4 PHY external interface
-      phy4_in             => MB_II_IN,
-      phy4_io             => MB_II_IO,
-      phy4_ou             => MB_II_OU,
-
-      ---------------------------------------------------------------------------
-      -- DIAG Tx seq
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
-      reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
-
-      ---------------------------------------------------------------------------
-      -- DIAG rx seq with optional data buffer
-      ---------------------------------------------------------------------------
-      -- MM interface
-      reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
-
-      ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
-
-      reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
-      reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
-    );
+        -- DIAG data buffer
+        g_db_use_db       => false,
+        g_db_buf_nof_data => c_ddr_db_buf_nof_data  -- nof words per data buffer
+      )
+      port map (
+        ---------------------------------------------------------------------------
+        -- System
+        ---------------------------------------------------------------------------
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,  -- use alternative external clock or externally connect to ctlr_clk_out
+
+        ---------------------------------------------------------------------------
+        -- IO_DDR
+        ---------------------------------------------------------------------------
+        -- DDR reference clock
+        ctlr_ref_clk        => MB_II_REF_CLK,
+        ctlr_ref_rst        => mb_II_ref_rst,
+
+        -- DDR controller clock domain
+        ctlr_clk_out        => ddr_II_clk200,
+        ctlr_rst_out        => ddr_II_rst200,
+
+        ctlr_clk_in         => ddr_II_clk200,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+        ctlr_rst_in         => ddr_II_rst200,  -- connect ctlr_rst_out to ctlr_rst_in at top level
+
+        -- MM interface
+        reg_io_ddr_mosi     => reg_io_ddr_MB_II_mosi,  -- register for DDR controller status info
+        reg_io_ddr_miso     => reg_io_ddr_MB_II_miso,
+
+        -- Write / read FIFO status for monitoring purposes (in dp_clk domain)
+        wr_fifo_usedw       => OPEN,
+        rd_fifo_usedw       => OPEN,
+
+        -- DDR4 PHY external interface
+        phy4_in             => MB_II_IN,
+        phy4_io             => MB_II_IO,
+        phy4_ou             => MB_II_OU,
+
+        ---------------------------------------------------------------------------
+        -- DIAG Tx seq
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_tx_seq_mosi   => reg_diag_tx_seq_ddr_MB_II_mosi,
+        reg_tx_seq_miso   => reg_diag_tx_seq_ddr_MB_II_miso,
+
+        ---------------------------------------------------------------------------
+        -- DIAG rx seq with optional data buffer
+        ---------------------------------------------------------------------------
+        -- MM interface
+        reg_data_buf_mosi => reg_diag_data_buf_ddr_MB_II_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_ddr_MB_II_miso,
+
+        ram_data_buf_mosi => ram_diag_data_buf_ddr_MB_II_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_ddr_MB_II_miso,
+
+        reg_rx_seq_mosi   => reg_diag_rx_seq_ddr_MB_II_mosi,
+        reg_rx_seq_miso   => reg_diag_rx_seq_ddr_MB_II_miso
+      );
   end generate;
 
   gen_heater : if c_use_heater = true generate
     u_heater : entity util_lib.util_heater
-    generic map (
-      g_technology  => g_technology,
-      --g_nof_mac4   => 315 -- on Arria10 using  630 of 1518 DSP blocks
-      --g_nof_mac4   => 630 --
+      generic map (
+        g_technology  => g_technology,
+        --g_nof_mac4   => 315 -- on Arria10 using  630 of 1518 DSP blocks
+        --g_nof_mac4   => 630 --
 
-      --g_nof_mac4   => 736, -- max 736, 23 registers * 32 *2 = 1472 of 1518 DSP blocks (97%)
-      g_nof_mac4   => 750,
+        --g_nof_mac4   => 736, -- max 736, 23 registers * 32 *2 = 1472 of 1518 DSP blocks (97%)
+        g_nof_mac4   => 750,
 
-      g_pipeline   => 72,  -- max 72
-      g_nof_ram    => 4,  -- max 4
+        g_pipeline   => 72,  -- max 72
+        g_nof_ram    => 4,  -- max 4
 
-      g_nof_logic  => 24  -- max 24
-    )
-    port map (
-      mm_rst  => mm_rst,
-      mm_clk  => mm_clk,
+        g_nof_logic  => 24  -- max 24
+      )
+      port map (
+        mm_rst  => mm_rst,
+        mm_clk  => mm_clk,
 
-      st_rst  => dp_rst,
-      st_clk  => dp_clk,
+        st_rst  => dp_rst,
+        st_clk  => dp_clk,
 
-      sla_in  => reg_heater_mosi,
-      sla_out => reg_heater_miso
-    );
+        sla_in  => reg_heater_mosi,
+        sla_out => reg_heater_miso
+      );
   end generate;
 
 end str;
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd
index 4ed6dd8e64..153caffb96 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd
@@ -22,11 +22,11 @@
 -- Purpose: Define selections for revisions of the unb2c_test design
 
 library IEEE, common_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 package unb2c_test_pkg is
 
@@ -34,27 +34,27 @@ package unb2c_test_pkg is
   --CONSTANT c_nof_hdr_fields : NATURAL := 1+3+12+4+2; -- Total header bits = 384 = 6 64b words
   constant c_nof_hdr_fields : natural := 3 + 12 + 4 + 2;  -- Total header bits = 384 = 6 64b words
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := (  -- ( field_name_pad("align"              ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
+    ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),  -- FIXME fill this in for non point-to-point use
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 47, field_default(0) ));
 
   --CONSTANT c_hdr_field_ovr_init         : STD_LOGIC_VECTOR(c_nof_hdr_fields-1 DOWNTO 0) := "1001"&"111111111100"&"0011"&"00";
   constant c_hdr_field_ovr_init         : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := "001" & "111111111100" & "0011" & "00";
@@ -89,14 +89,14 @@ package unb2c_test_pkg is
   constant c_test_jesd204b    : t_unb2c_test_config := (false,false,false,false,false,false, true,false,false,false,c_tech_ddr4_8g_1600m, c_tech_ddr4_8g_1600m);
 
   -- Function to select the revision configuration.
-  function func_sel_revision_rec(g_design_name : string) return t_unb2c_test_config;
+  function func_sel_revision_rec (g_design_name : string) return t_unb2c_test_config;
 
 end unb2c_test_pkg;
 
 
 package body unb2c_test_pkg is
 
-  function func_sel_revision_rec(g_design_name : string) return t_unb2c_test_config is
+  function func_sel_revision_rec (g_design_name : string) return t_unb2c_test_config is
   begin
     if    g_design_name = "unb2c_test_10GbE"            then return c_test_10GbE;
     elsif g_design_name = "unb2c_test_ddr"              then return c_test_ddr;
diff --git a/boards/uniboard2c/designs/unb2c_test/tb/vhdl/tb_unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/tb/vhdl/tb_unb2c_test.vhd
index 23c9cf1f61..45036cec04 100644
--- a/boards/uniboard2c/designs/unb2c_test/tb/vhdl/tb_unb2c_test.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/tb/vhdl/tb_unb2c_test.vhd
@@ -42,14 +42,14 @@
 --
 
 library IEEE, common_lib, unb2c_board_lib, i2c_lib, technology_lib, tech_pll_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb2c_board_lib.unb2c_board_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb2c_board_lib.unb2c_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_unb2c_test is
   generic (
@@ -164,98 +164,98 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_unb2c_test : entity work.unb2c_test
-  generic map (
-    g_design_name   => g_design_name,
-    g_sim           => c_sim,
-    g_sim_unb_nr    => c_unb_nr,
-    g_sim_node_nr   => c_node_nr,
-    g_sim_model_ddr => g_sim_model_ddr
-  )
-  port map (
-    -- GENERAL
-    CLK         => clk,
-    PPS         => pps,
-    WDI         => WDI,
-    INTA        => INTA,
-    INTB        => INTB,
-
-    -- Others
-    VERSION     => VERSION,
-    ID          => ID,
-    TESTIO      => TESTIO,
-
-    -- 1GbE Control Interface
-    ETH_CLK     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK      => sa_clk,
-    SB_CLK      => sb_clk,
-    BCK_REF_CLK => bck_ref_clk,
-
-    -- DDR reference clocks
-    MB_I_REF_CLK  => mb_I_ref_clk,
-    MB_II_REF_CLK => mb_II_ref_clk,
-
-    -- Serial I/O
---    QSFP_0_TX  => si_lpbk_0,
---    QSFP_0_RX  => si_lpbk_0,
---    QSFP_1_TX  => si_lpbk_1,
---    QSFP_1_RX  => si_lpbk_1,
---    QSFP_2_TX  => si_lpbk_2,
---    QSFP_2_RX  => si_lpbk_2,
---    QSFP_3_TX  => si_lpbk_3,
---    QSFP_3_RX  => si_lpbk_3,
---    QSFP_4_TX  => si_lpbk_4,
---    QSFP_4_RX  => si_lpbk_4,
---    QSFP_5_TX  => si_lpbk_5,
---    QSFP_5_RX  => si_lpbk_5,
---
---    RING_0_TX  => si_lpbk_6,
---    RING_0_RX  => si_lpbk_6,
---    RING_1_TX  => si_lpbk_7,
---    RING_1_RX  => si_lpbk_7,
---
---    BCK_TX     => si_lpbk_8,
---    BCK_RX     => si_lpbk_8,
-
-    -- SO-DIMM Memory Bank I
-    MB_I_IN    => MB_I_IN,
-    MB_I_IO    => MB_I_IO,
-    MB_I_OU    => MB_I_OU,
-
-    -- SO-DIMM Memory Bank II
-    MB_II_IN   => MB_II_IN,
-    MB_II_IO   => MB_II_IO,
-    MB_II_OU   => MB_II_OU,
-
-    -- Leds
-    QSFP_LED   => qsfp_led
-  );
+    generic map (
+      g_design_name   => g_design_name,
+      g_sim           => c_sim,
+      g_sim_unb_nr    => c_unb_nr,
+      g_sim_node_nr   => c_node_nr,
+      g_sim_model_ddr => g_sim_model_ddr
+    )
+    port map (
+      -- GENERAL
+      CLK         => clk,
+      PPS         => pps,
+      WDI         => WDI,
+      INTA        => INTA,
+      INTB        => INTB,
+
+      -- Others
+      VERSION     => VERSION,
+      ID          => ID,
+      TESTIO      => TESTIO,
+
+      -- 1GbE Control Interface
+      ETH_CLK     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK      => sa_clk,
+      SB_CLK      => sb_clk,
+      BCK_REF_CLK => bck_ref_clk,
+
+      -- DDR reference clocks
+      MB_I_REF_CLK  => mb_I_ref_clk,
+      MB_II_REF_CLK => mb_II_ref_clk,
+
+      -- Serial I/O
+      --    QSFP_0_TX  => si_lpbk_0,
+      --    QSFP_0_RX  => si_lpbk_0,
+      --    QSFP_1_TX  => si_lpbk_1,
+      --    QSFP_1_RX  => si_lpbk_1,
+      --    QSFP_2_TX  => si_lpbk_2,
+      --    QSFP_2_RX  => si_lpbk_2,
+      --    QSFP_3_TX  => si_lpbk_3,
+      --    QSFP_3_RX  => si_lpbk_3,
+      --    QSFP_4_TX  => si_lpbk_4,
+      --    QSFP_4_RX  => si_lpbk_4,
+      --    QSFP_5_TX  => si_lpbk_5,
+      --    QSFP_5_RX  => si_lpbk_5,
+      --
+      --    RING_0_TX  => si_lpbk_6,
+      --    RING_0_RX  => si_lpbk_6,
+      --    RING_1_TX  => si_lpbk_7,
+      --    RING_1_RX  => si_lpbk_7,
+      --
+      --    BCK_TX     => si_lpbk_8,
+      --    BCK_RX     => si_lpbk_8,
+
+      -- SO-DIMM Memory Bank I
+      MB_I_IN    => MB_I_IN,
+      MB_I_IO    => MB_I_IO,
+      MB_I_OU    => MB_I_OU,
+
+      -- SO-DIMM Memory Bank II
+      MB_II_IN   => MB_II_IN,
+      MB_II_IO   => MB_II_IO,
+      MB_II_OU   => MB_II_OU,
+
+      -- Leds
+      QSFP_LED   => qsfp_led
+    );
 
   ------------------------------------------------------------------------------
   -- UniBoard DDR4
   ------------------------------------------------------------------------------
 
   u_tech_ddr_memory_model_MB_I : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_I
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_I_OU,
-    mem4_io => MB_I_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_I
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_I_OU,
+      mem4_io => MB_I_IO
+    );
 
   u_tech_ddr_memory_model_MB_II : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_ddr_MB_II
-  )
-  port map (
-    -- DDR4 PHY interface
-    mem4_in => MB_II_OU,
-    mem4_io => MB_II_IO
-  );
+    generic map (
+      g_tech_ddr => c_ddr_MB_II
+    )
+    port map (
+      -- DDR4 PHY interface
+      mem4_in => MB_II_OU,
+      mem4_io => MB_II_IO
+    );
 
 end tb;
diff --git a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/bscan2_8port_top.vhd b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/bscan2_8port_top.vhd
index 8ae42abc8f..e152b61148 100644
--- a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/bscan2_8port_top.vhd
+++ b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/bscan2_8port_top.vhd
@@ -41,93 +41,93 @@
 -- --------------------------------------------------------------------
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_unsigned.all;
 
 entity bscan2 is
-   -- enter the number of BSCAN2 blocks to create.  This is the only place that
-   -- needs to be modified to control the number of local scan ports created.
-   generic ( bscan_ports :     positive := 2 );
-   port( TDI, TCK, TMS   : in  std_logic;
-         TRST            : in  std_logic;
-         -- Turn on slow slew in fitter for output signals
-         TDO             : out std_logic;
-         -- OE control for MSP ports (Active high)
-         ENABLE_MSP      : in  std_logic;
-         MSPTCK          : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTDI          : in  std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTDO          : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTMS          : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTRST         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         -- one set of addresses to check for device
-         IDN             : in  std_logic_vector(3 downto 0)
-         );
+  -- enter the number of BSCAN2 blocks to create.  This is the only place that
+  -- needs to be modified to control the number of local scan ports created.
+  generic ( bscan_ports :     positive := 2 );
+  port( TDI, TCK, TMS   : in  std_logic;
+    TRST            : in  std_logic;
+    -- Turn on slow slew in fitter for output signals
+    TDO             : out std_logic;
+    -- OE control for MSP ports (Active high)
+    ENABLE_MSP      : in  std_logic;
+    MSPTCK          : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+    MSPTDI          : in  std_logic_vector(4 * bscan_ports - 1 downto 0);
+    MSPTDO          : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+    MSPTMS          : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+    MSPTRST         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+    -- one set of addresses to check for device
+    IDN             : in  std_logic_vector(3 downto 0)
+  );
 end;
 
 architecture behave of bscan2 is
 
-   component top_linker is
-      -- do not use the generic map to prevent the synthesis tool from
-      -- appending the number of ports to the components name.
-      port(TDI, TCK, TMS : in  std_logic;
-           TRST          : in  std_logic;
-           -- enable logic for TDO pins.
-           TDO_enable    : out std_logic;
-           TDO           : out std_logic;
-           MSPCLK        : out std_logic_vector(4 * bscan_ports downto 1);
-           MSPTDI        : in  std_logic_vector(4 * bscan_ports downto 1);
-           MSPTDO        : out std_logic_vector(4 * bscan_ports downto 1);
-           MSPTMS        : out std_logic_vector(4 * bscan_ports downto 1);
-           MSPTRST       : out std_logic_vector(4 * bscan_ports downto 1);
-           -- one set of addresses to check for device
-           IDN           : in  std_logic_vector(4 downto 1)
-           );
-end component top_linker;
--- synthesis FILE="top_linker.ngo"
+  component top_linker is
+    -- do not use the generic map to prevent the synthesis tool from
+    -- appending the number of ports to the components name.
+    port(TDI, TCK, TMS : in  std_logic;
+      TRST          : in  std_logic;
+      -- enable logic for TDO pins.
+      TDO_enable    : out std_logic;
+      TDO           : out std_logic;
+      MSPCLK        : out std_logic_vector(4 * bscan_ports downto 1);
+      MSPTDI        : in  std_logic_vector(4 * bscan_ports downto 1);
+      MSPTDO        : out std_logic_vector(4 * bscan_ports downto 1);
+      MSPTMS        : out std_logic_vector(4 * bscan_ports downto 1);
+      MSPTRST       : out std_logic_vector(4 * bscan_ports downto 1);
+      -- one set of addresses to check for device
+      IDN           : in  std_logic_vector(4 downto 1)
+    );
+  end component top_linker;
+  -- synthesis FILE="top_linker.ngo"
 
--- logic to enable TDO pins
-signal ENABLE_TDO    : std_logic;
--- signal from tap controler that enables all TDOs.
-signal tdoENABLE     : std_logic;
--- logic to generate tdo_sp and tdo_hdr
-signal LSPTMS        : std_logic_vector(4 * bscan_ports - 1 downto 0);
-signal LSPTCK        : std_logic_vector(4 * bscan_ports - 1 downto 0);
-signal LSPTDO        : std_logic_vector(4 * bscan_ports - 1 downto 0);
-signal LSPTRST       : std_logic_vector(4 * bscan_ports - 1 downto 0);
--- output of Port Mux
-signal TDO_int       : std_logic;
+  -- logic to enable TDO pins
+  signal ENABLE_TDO    : std_logic;
+  -- signal from tap controler that enables all TDOs.
+  signal tdoENABLE     : std_logic;
+  -- logic to generate tdo_sp and tdo_hdr
+  signal LSPTMS        : std_logic_vector(4 * bscan_ports - 1 downto 0);
+  signal LSPTCK        : std_logic_vector(4 * bscan_ports - 1 downto 0);
+  signal LSPTDO        : std_logic_vector(4 * bscan_ports - 1 downto 0);
+  signal LSPTRST       : std_logic_vector(4 * bscan_ports - 1 downto 0);
+  -- output of Port Mux
+  signal TDO_int       : std_logic;
 
 begin
-   -- Wire up all of the tri-state controlled lines automatically
-   tri_state_lines : for lvar1 in 0 to (4 * bscan_ports - 1) generate
-      MSPTCK(lvar1)  <= LSPTCK(lvar1)  when ENABLE_MSP = '1' else 'Z';
-      MSPTMS(lvar1)  <= LSPTMS(lvar1)  when ENABLE_MSP = '1' else 'Z';
-      MSPTRST(lvar1) <= LSPTRST(lvar1) when ENABLE_MSP = '1' else 'Z';
-      -- enable MSPTDOs for 1149.1
-      MSPTDO(lvar1)  <= LSPTDO(lvar1)  when ENABLE_TDO = '1' else 'Z';
-   end generate tri_state_lines;
+  -- Wire up all of the tri-state controlled lines automatically
+  tri_state_lines : for lvar1 in 0 to (4 * bscan_ports - 1) generate
+    MSPTCK(lvar1)  <= LSPTCK(lvar1)  when ENABLE_MSP = '1' else 'Z';
+    MSPTMS(lvar1)  <= LSPTMS(lvar1)  when ENABLE_MSP = '1' else 'Z';
+    MSPTRST(lvar1) <= LSPTRST(lvar1) when ENABLE_MSP = '1' else 'Z';
+    -- enable MSPTDOs for 1149.1
+    MSPTDO(lvar1)  <= LSPTDO(lvar1)  when ENABLE_TDO = '1' else 'Z';
+  end generate tri_state_lines;
 
-   -- MSP Port enable controls
-   -- enable logic for all TDO pins
-   ENABLE_TDO <= ENABLE_MSP and tdoENABLE;
+  -- MSP Port enable controls
+  -- enable logic for all TDO pins
+  ENABLE_TDO <= ENABLE_MSP and tdoENABLE;
 
-   TDO  <= TDO_int when tdoENABLE  = '1' else 'Z';
+  TDO  <= TDO_int when tdoENABLE  = '1' else 'Z';
 
-   TopLinkerModule : component top_linker
-      port map(
-         TDO         => TDO_int,
-         TMS         => TMS,
-         TCK         => TCK,
-         TRST        => TRST,
-         TDI         => TDI,
-         TDO_enable  => tdoENABLE,
-         MSPTDI      => MSPTDI,
-         MSPTDO      => LSPTDO,
-         MSPTMS      => LSPTMS,
-         MSPCLK      => LSPTCK,
-         MSPTRST     => LSPTRST,
-         IDN         => IDN
-         );
+  TopLinkerModule : component top_linker
+    port map(
+      TDO         => TDO_int,
+      TMS         => TMS,
+      TCK         => TCK,
+      TRST        => TRST,
+      TDI         => TDI,
+      TDO_enable  => tdoENABLE,
+      MSPTDI      => MSPTDI,
+      MSPTDO      => LSPTDO,
+      MSPTMS      => LSPTMS,
+      MSPCLK      => LSPTCK,
+      MSPTRST     => LSPTRST,
+      IDN         => IDN
+    );
 
 end behave;
 
diff --git a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top(str).vhd b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top(str).vhd
index c29a1724c7..7b8eddd105 100644
--- a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top(str).vhd
+++ b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top(str).vhd
@@ -21,133 +21,133 @@
 -------------------------------------------------------------------------------
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_unsigned.all;
 
 
 architecture str of jtag_top is
 
-    component bscan2 is
+  component bscan2 is
     -- enter the number of BSCAN2 blocks to create.  This is the only place that
     -- needs to be modified to control the number of local scan ports created.
-      generic (
-		bscan_ports     :     positive := 2
-
-      );
-      port (
-        TDI, TCK, TMS   : in  std_logic;
-        TRST            : in  std_logic;
-        -- Turn on slow slew in fitter for output signals
-        TDO             : out std_logic;
-         -- OE control for MSP ports (Active high)
-         ENABLE_MSP     : in  std_logic;
-         MSPTCK         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTDI         : in  std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTDO         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTMS         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         MSPTRST        : out std_logic_vector(4 * bscan_ports - 1 downto 0);
-         -- one set of addresses to check for device
-         IDN            : in std_logic_vector(3 downto 0)
-      );
-    end component bscan2;
-
--- internal enable signal for tri-stating the scanbridge
-    constant jtag_chains    : natural := 5;
-    signal ENABLE_SB        : std_logic;
-    signal TDO_BSCAN        : std_logic;
-    signal TDA              : std_logic;
-    signal TDB              : std_logic;
-    signal TDC              : std_logic;
-    signal TDD              : std_logic;
-    signal MSPTDO_BSCAN     : std_logic_vector(jtag_chains - 1 downto 0);
-    signal MSPTCK_BSCAN     : std_logic_vector(jtag_chains - 1 downto 0);
-    signal MSPTMS_BSCAN     : std_logic_vector(jtag_chains - 1 downto 0);
-    signal MSPTRST_BSCAN    : std_logic_vector(jtag_chains - 1 downto 0);
-
-    begin
-      bscan : component bscan2
-        port map (
-           TDI                              => TDI,
-           TCK                              => TCK,
-           TMS                              => TMS,
-           TRST                             => TRST,
-           TDO                              => TDO_BSCAN,
-           ENABLE_MSP                       => ENABLE_SB,
-           MSPTCK(jtag_chains - 1 downto 0)   => MSPTCK_BSCAN,
-           MSPTDI(jtag_chains - 1 downto 0)   => MSPTDI,
-           MSPTDO(jtag_chains - 1 downto 0)   => MSPTDO_BSCAN,
-           MSPTMS(jtag_chains - 1 downto 0)   => MSPTMS_BSCAN,
-           MSPTRST(jtag_chains - 1 downto 0)  => MSPTRST_BSCAN,
-           IDN                              => "0000"
-        );
-
-
-      p_jtagselect:  process(TDI,MSPTDI(jtag_chains - 1 downto 0),TCK,TMS,TRST)
-      begin
-          ENABLE_SB  <= '0';
-          MSPTDO(jtag_chains - 1 downto 0) <= "ZZZZZ";
-          MSPTCK(jtag_chains - 1 downto 0) <= "ZZZZZ";
-          MSPTMS(jtag_chains - 1 downto 0) <= "ZZZZZ";
-          MSPTRST(jtag_chains - 1 downto 0) <= "ZZZZZ";
-
-          if CTRL(1) = '1' then
-            ENABLE_SB  <= '1';
-            MSPTDO     <= MSPTDO_BSCAN;
-            TDO        <= TDO_BSCAN;
-            MSPTCK     <= MSPTCK_BSCAN;
-            MSPTMS     <= MSPTMS_BSCAN;
-            MSPTRST    <= MSPTRST_BSCAN;
-          else
-            if LPSEL(0) = '0' then
-              MSPTDO(0)  <= TDI;
-              TDA        <= MSPTDI(0);
-              MSPTCK(0)  <= TCK;
-              MSPTMS(0)  <= TMS;
-              MSPTRST(0) <= TRST;
-            else
-              TDA        <= TDI;
-            end if;
-
-            if LPSEL(1) = '0' then
-              MSPTDO(1)  <= TDA;
-              TDB        <= MSPTDI(1);
-              MSPTCK(1)  <= TCK;
-              MSPTMS(1)  <= TMS;
-              MSPTRST(1) <= TRST;
-            else
-              TDB        <= TDA;
-            end if;
-
-            if LPSEL(2) = '0' then
-              MSPTDO(2)  <= TDB;
-              TDC        <= MSPTDI(2);
-              MSPTCK(2)  <= TCK;
-              MSPTMS(2)  <= TMS;
-              MSPTRST(2) <= TRST;
-            else
-              TDC        <= TDB;
-            end if;
-
-            if LPSEL(3) = '0' then
-              MSPTDO(3)  <= TDC;
-              TDD        <= MSPTDI(3);
-              MSPTCK(3)  <= TCK;
-              MSPTMS(3)  <= TMS;
-              MSPTRST(3) <= TRST;
-            else
-              TDD        <= TDC;
-            end if;
-
-            if LPSEL(4) = '0' then
-              MSPTDO(4)  <= TDD;
-              TDO        <= MSPTDI(4);
-              MSPTCK(4)  <= TCK;
-              MSPTMS(4)  <= TMS;
-              MSPTRST(4) <= TRST;
-            else
-              TDO        <= TDD;
-            end if;
-         end if;
+    generic (
+      bscan_ports     :     positive := 2
+
+    );
+    port (
+      TDI, TCK, TMS   : in  std_logic;
+      TRST            : in  std_logic;
+      -- Turn on slow slew in fitter for output signals
+      TDO             : out std_logic;
+      -- OE control for MSP ports (Active high)
+      ENABLE_MSP     : in  std_logic;
+      MSPTCK         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+      MSPTDI         : in  std_logic_vector(4 * bscan_ports - 1 downto 0);
+      MSPTDO         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+      MSPTMS         : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+      MSPTRST        : out std_logic_vector(4 * bscan_ports - 1 downto 0);
+      -- one set of addresses to check for device
+      IDN            : in std_logic_vector(3 downto 0)
+    );
+  end component bscan2;
+
+  -- internal enable signal for tri-stating the scanbridge
+  constant jtag_chains    : natural := 5;
+  signal ENABLE_SB        : std_logic;
+  signal TDO_BSCAN        : std_logic;
+  signal TDA              : std_logic;
+  signal TDB              : std_logic;
+  signal TDC              : std_logic;
+  signal TDD              : std_logic;
+  signal MSPTDO_BSCAN     : std_logic_vector(jtag_chains - 1 downto 0);
+  signal MSPTCK_BSCAN     : std_logic_vector(jtag_chains - 1 downto 0);
+  signal MSPTMS_BSCAN     : std_logic_vector(jtag_chains - 1 downto 0);
+  signal MSPTRST_BSCAN    : std_logic_vector(jtag_chains - 1 downto 0);
+
+begin
+  bscan : component bscan2
+    port map (
+      TDI                              => TDI,
+      TCK                              => TCK,
+      TMS                              => TMS,
+      TRST                             => TRST,
+      TDO                              => TDO_BSCAN,
+      ENABLE_MSP                       => ENABLE_SB,
+      MSPTCK(jtag_chains - 1 downto 0)   => MSPTCK_BSCAN,
+      MSPTDI(jtag_chains - 1 downto 0)   => MSPTDI,
+      MSPTDO(jtag_chains - 1 downto 0)   => MSPTDO_BSCAN,
+      MSPTMS(jtag_chains - 1 downto 0)   => MSPTMS_BSCAN,
+      MSPTRST(jtag_chains - 1 downto 0)  => MSPTRST_BSCAN,
+      IDN                              => "0000"
+    );
+
+
+  p_jtagselect:  process(TDI,MSPTDI(jtag_chains - 1 downto 0),TCK,TMS,TRST)
+  begin
+    ENABLE_SB  <= '0';
+    MSPTDO(jtag_chains - 1 downto 0) <= "ZZZZZ";
+    MSPTCK(jtag_chains - 1 downto 0) <= "ZZZZZ";
+    MSPTMS(jtag_chains - 1 downto 0) <= "ZZZZZ";
+    MSPTRST(jtag_chains - 1 downto 0) <= "ZZZZZ";
+
+    if CTRL(1) = '1' then
+      ENABLE_SB  <= '1';
+      MSPTDO     <= MSPTDO_BSCAN;
+      TDO        <= TDO_BSCAN;
+      MSPTCK     <= MSPTCK_BSCAN;
+      MSPTMS     <= MSPTMS_BSCAN;
+      MSPTRST    <= MSPTRST_BSCAN;
+    else
+      if LPSEL(0) = '0' then
+        MSPTDO(0)  <= TDI;
+        TDA        <= MSPTDI(0);
+        MSPTCK(0)  <= TCK;
+        MSPTMS(0)  <= TMS;
+        MSPTRST(0) <= TRST;
+      else
+        TDA        <= TDI;
+      end if;
+
+      if LPSEL(1) = '0' then
+        MSPTDO(1)  <= TDA;
+        TDB        <= MSPTDI(1);
+        MSPTCK(1)  <= TCK;
+        MSPTMS(1)  <= TMS;
+        MSPTRST(1) <= TRST;
+      else
+        TDB        <= TDA;
+      end if;
+
+      if LPSEL(2) = '0' then
+        MSPTDO(2)  <= TDB;
+        TDC        <= MSPTDI(2);
+        MSPTCK(2)  <= TCK;
+        MSPTMS(2)  <= TMS;
+        MSPTRST(2) <= TRST;
+      else
+        TDC        <= TDB;
+      end if;
+
+      if LPSEL(3) = '0' then
+        MSPTDO(3)  <= TDC;
+        TDD        <= MSPTDI(3);
+        MSPTCK(3)  <= TCK;
+        MSPTMS(3)  <= TMS;
+        MSPTRST(3) <= TRST;
+      else
+        TDD        <= TDC;
+      end if;
+
+      if LPSEL(4) = '0' then
+        MSPTDO(4)  <= TDD;
+        TDO        <= MSPTDI(4);
+        MSPTCK(4)  <= TCK;
+        MSPTMS(4)  <= TMS;
+        MSPTRST(4) <= TRST;
+      else
+        TDO        <= TDD;
+      end if;
+    end if;
 
   end process;
 end str;
diff --git a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top.vhd b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top.vhd
index 1968d26ac9..7aa11d383d 100644
--- a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top.vhd
+++ b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top.vhd
@@ -6,23 +6,23 @@
 ---------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity jtag_top is
-    port (
-        CTRL : in std_logic_vector(1 downto 0);
-        ENABLE_MSP : in std_logic;
-        IDN : in std_logic_vector(3 downto 0);
-        LPSEL : in std_logic_vector(4 downto 0);
-        MSPTCK : out std_logic_vector(4 downto 0);
-        MSPTDI : in std_logic_vector(4 downto 0);
-        MSPTDO : out std_logic_vector(4 downto 0);
-        MSPTMS : out std_logic_vector(4 downto 0);
-        MSPTRST : out std_logic_vector(4 downto 0);
-        TCK : in std_logic;
-        TDI : in std_logic;
-        TDO : out std_logic;
-        TMS : in std_logic;
-        TRST : in std_logic
-    );
+  port (
+    CTRL : in std_logic_vector(1 downto 0);
+    ENABLE_MSP : in std_logic;
+    IDN : in std_logic_vector(3 downto 0);
+    LPSEL : in std_logic_vector(4 downto 0);
+    MSPTCK : out std_logic_vector(4 downto 0);
+    MSPTDI : in std_logic_vector(4 downto 0);
+    MSPTDO : out std_logic_vector(4 downto 0);
+    MSPTMS : out std_logic_vector(4 downto 0);
+    MSPTRST : out std_logic_vector(4 downto 0);
+    TCK : in std_logic;
+    TDI : in std_logic;
+    TDO : out std_logic;
+    TMS : in std_logic;
+    TRST : in std_logic
+  );
 end jtag_top;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd
index 96ea994b4e..d98d6cd119 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd
@@ -25,16 +25,16 @@
 --   . ctrl_unb2c_board.vhd with e.g. 1GbE, PPS, I2C, Remu, EPCS
 
 library IEEE, common_lib, dp_lib, ppsh_lib, i2c_lib, technology_lib, tech_tse_lib, eth_lib, remu_lib, epcs_lib, tech_pll_lib, tech_clkbuf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.unb2c_board_pkg.all;
-use i2c_lib.i2c_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.unb2c_board_pkg.all;
+  use i2c_lib.i2c_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 entity ctrl_unb2c_board is
   generic (
@@ -322,15 +322,15 @@ begin
   i_ext_clk200 <= CLK;  -- use more special name for CLK pin signal to ease searching for it in editor, the external 200 MHz CLK as ext_clk200
 
   u_common_areset_ext : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_ext_clk200,
-    out_rst   => ext_rst200
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_ext_clk200,
+      out_rst   => ext_rst200
+    );
 
   -----------------------------------------------------------------------------
   -- xo_ethclk = ETH_CLK
@@ -339,15 +339,15 @@ begin
   i_xo_ethclk <= ETH_CLK;  -- use the ETH_CLK pin as xo_clk
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => i_xo_ethclk,
-    out_rst   => i_xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => i_xo_ethclk,
+      out_rst   => i_xo_rst
+    );
 
 
   -----------------------------------------------------------------------------
@@ -356,26 +356,26 @@ begin
   -----------------------------------------------------------------------------
 
   u_common_areset_mb_I : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_I_REF_CLK,
-    out_rst   => mb_I_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_I_REF_CLK,
+      out_rst   => mb_I_ref_rst
+    );
 
   u_common_areset_mb_II : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => MB_II_REF_CLK,
-    out_rst   => mb_II_ref_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => i_mm_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => MB_II_REF_CLK,
+      out_rst   => mb_II_ref_rst
+    );
 
   -----------------------------------------------------------------------------
   -- dp_clk + dp_rst generation
@@ -389,29 +389,29 @@ begin
 
   gen_pll: if g_sim = false and g_dp_clk_use_pll = true generate
     u_unb2c_board_clk200_pll : entity work.unb2c_board_clk200_pll
+      generic map (
+        g_technology          => g_technology,
+        g_use_fpll            => true,
+        g_clk200_phase_shift  => g_dp_clk_phase
+      )
+      port map (
+        arst       => i_mm_rst,
+        clk200     => i_ext_clk200,
+        st_clk200  => dp_clk,  -- = c0
+        st_rst200  => common_areset_in_rst
+      );
+  end generate;
+
+  u_common_areset_dp_rst : entity common_lib.common_areset
     generic map (
-      g_technology          => g_technology,
-      g_use_fpll            => true,
-      g_clk200_phase_shift  => g_dp_clk_phase
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
     )
     port map (
-      arst       => i_mm_rst,
-      clk200     => i_ext_clk200,
-      st_clk200  => dp_clk,  -- = c0
-      st_rst200  => common_areset_in_rst
+      in_rst    => common_areset_in_rst,  -- release reset some clock cycles after i_mm_rst went low
+      clk       => dp_clk_in,
+      out_rst   => dp_rst
     );
-  end generate;
-
-  u_common_areset_dp_rst : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => common_areset_in_rst,  -- release reset some clock cycles after i_mm_rst went low
-    clk       => dp_clk_in,
-    out_rst   => dp_rst
-  );
 
   -----------------------------------------------------------------------------
   -- mm_clk
@@ -426,48 +426,48 @@ begin
               clk50;  -- default
 
   gen_mm_clk_sim: if g_sim = true generate
-      epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
-      clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
-      clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
-      clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
-      sim_mm_clk  <= not sim_mm_clk after g_sim_mm_clk_period / 2;
-      mm_locked   <= '0', '1' after 70 ns;
+    epcs_clk    <= not epcs_clk after 25 ns;  -- 20 MHz, 50ns/2
+    clk50       <= not clk50 after 10 ns;  -- 50 MHz, 20ns/2
+    clk100      <= not clk100 after 5 ns;  -- 100 MHz, 10ns/2
+    clk125      <= not clk125 after 4 ns;  -- 125 MHz, 8ns/2
+    sim_mm_clk  <= not sim_mm_clk after g_sim_mm_clk_period / 2;
+    mm_locked   <= '0', '1' after 70 ns;
   end generate;
 
   gen_mm_clk_hardware: if g_sim = false generate
     u_unb2c_board_clk125_pll : entity work.unb2c_board_clk125_pll
+      generic map (
+        g_use_fpll   => true,
+        g_technology => g_technology
+      )
+      port map (
+        arst       => i_xo_rst,
+        clk125     => i_xo_ethclk,
+        c0_clk20   => epcs_clk,
+        c1_clk50   => clk50,
+        c2_clk100  => clk100,
+        c3_clk125  => clk125,
+        pll_locked => mm_locked
+      );
+  end generate;
+
+  u_unb2c_board_node_ctrl : entity work.unb2c_board_node_ctrl
     generic map (
-      g_use_fpll   => true,
-      g_technology => g_technology
+      g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
     )
     port map (
-      arst       => i_xo_rst,
-      clk125     => i_xo_ethclk,
-      c0_clk20   => epcs_clk,
-      c1_clk50   => clk50,
-      c2_clk100  => clk100,
-      c3_clk125  => clk125,
-      pll_locked => mm_locked
+      -- MM clock domain reset
+      mm_clk      => i_mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => i_mm_rst,
+      -- WDI extend
+      mm_wdi_in   => pout_wdi,
+      mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
+      -- Pulses
+      mm_pulse_us => OPEN,
+      mm_pulse_ms => mm_pulse_ms,
+      mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
     );
-  end generate;
-
-  u_unb2c_board_node_ctrl : entity work.unb2c_board_node_ctrl
-  generic map (
-    g_pulse_us => c_mm_clk_freq / (10**6)  -- nof system clock cycles to get us period, equal to system clock frequency / 10**6
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => i_mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => i_mm_rst,
-    -- WDI extend
-    mm_wdi_in   => pout_wdi,
-    mm_wdi_out  => mm_wdi,  -- actively toggle the WDI via pout_wdi from software with toggle extend to allow software reload
-    -- Pulses
-    mm_pulse_us => OPEN,
-    mm_pulse_ms => mm_pulse_ms,
-    mm_pulse_s  => mm_pulse_s  -- could be used to toggle a LED
-  );
 
   -----------------------------------------------------------------------------
   -- System info
@@ -475,33 +475,33 @@ begin
   cs_sim <= is_true(g_sim);
 
   u_mms_unb2c_board_system_info : entity work.mms_unb2c_board_system_info
-  generic map (
-    g_sim         => g_sim,
-    g_technology  => g_technology,
-    g_design_name => g_design_name,
-    g_fw_version  => g_fw_version,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id,
-    g_design_note => g_design_note,
-    g_rom_version => c_rom_version
-  )
-  port map (
-    mm_clk     => i_mm_clk,
-    mm_rst     => i_mm_rst,
-
-    hw_version => VERSION,
-    id         => ID,
-
-    reg_mosi   => reg_unb_system_info_mosi,
-    reg_miso   => reg_unb_system_info_miso,
-
-    rom_mosi   => rom_unb_system_info_mosi,
-    rom_miso   => rom_unb_system_info_miso,
-
-    chip_id    => this_chip_id,
-    bck_id     => this_bck_id
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_technology  => g_technology,
+      g_design_name => g_design_name,
+      g_fw_version  => g_fw_version,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id,
+      g_design_note => g_design_note,
+      g_rom_version => c_rom_version
+    )
+    port map (
+      mm_clk     => i_mm_clk,
+      mm_rst     => i_mm_rst,
+
+      hw_version => VERSION,
+      id         => ID,
+
+      reg_mosi   => reg_unb_system_info_mosi,
+      reg_miso   => reg_unb_system_info_miso,
+
+      rom_mosi   => rom_unb_system_info_mosi,
+      rom_miso   => rom_unb_system_info_miso,
+
+      chip_id    => this_chip_id,
+      bck_id     => this_bck_id
+    );
 
 
   -----------------------------------------------------------------------------
@@ -539,12 +539,12 @@ begin
   led_toggle_green <= sel_a_b(g_factory_image = false, led_toggle, '0');
 
   u_toggle : entity common_lib.common_toggle
-  port map (
-    rst     => i_mm_rst,
-    clk     => i_mm_clk,
-    in_dat  => mm_pulse_s,
-    out_dat => led_toggle
-  );
+    port map (
+      rst     => i_mm_rst,
+      clk     => i_mm_clk,
+      in_dat  => mm_pulse_s,
+      out_dat => led_toggle
+    );
 
 
   ------------------------------------------------------------------------------
@@ -556,15 +556,15 @@ begin
   WDI <= mm_wdi or temp_alarm or wdi_override;
 
   u_unb2c_board_wdi_reg : entity work.unb2c_board_wdi_reg
-  port map (
-    mm_rst       => i_mm_rst,
-    mm_clk       => i_mm_clk,
+    port map (
+      mm_rst       => i_mm_rst,
+      mm_clk       => i_mm_clk,
 
-    sla_in       => reg_wdi_mosi,
-    sla_out      => reg_wdi_miso,
+      sla_in       => reg_wdi_mosi,
+      sla_out      => reg_wdi_miso,
 
-    wdi_override => wdi_override
-  );
+      wdi_override => wdi_override
+    );
 
 
   ------------------------------------------------------------------------------
@@ -574,99 +574,99 @@ begin
   -- So there is full control over the memory mapped registers to set start address of the flash
   -- and reconfigure from that address.
   u_mms_remu: entity remu_lib.mms_remu
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    mm_rst       => i_mm_rst,
-    mm_clk       => i_mm_clk,
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      mm_rst       => i_mm_rst,
+      mm_clk       => i_mm_clk,
 
-    epcs_clk     => epcs_clk,
+      epcs_clk     => epcs_clk,
 
-    remu_mosi    => reg_remu_mosi,
-    remu_miso    => reg_remu_miso
-  );
+      remu_mosi    => reg_remu_mosi,
+      remu_miso    => reg_remu_miso
+    );
 
   -------------------------------------------------------------------------------
   ---- EPCS
   -------------------------------------------------------------------------------
   u_mms_epcs: entity epcs_lib.mms_epcs
-  generic map (
-    g_technology         => g_technology,
-    g_protect_addr_range => g_protect_addr_range,
-    g_protected_addr_lo  => g_protected_addr_lo,
-    g_protected_addr_hi  => g_protected_addr_hi
-  )
-  port map (
-    mm_rst             => i_mm_rst,
-    mm_clk             => i_mm_clk,
+    generic map (
+      g_technology         => g_technology,
+      g_protect_addr_range => g_protect_addr_range,
+      g_protected_addr_lo  => g_protected_addr_lo,
+      g_protected_addr_hi  => g_protected_addr_hi
+    )
+    port map (
+      mm_rst             => i_mm_rst,
+      mm_clk             => i_mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    epcs_mosi          => reg_epcs_mosi,
-    epcs_miso          => reg_epcs_miso,
+      epcs_mosi          => reg_epcs_mosi,
+      epcs_miso          => reg_epcs_miso,
 
-    dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
-    dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
+      dpmm_ctrl_mosi     => reg_dpmm_ctrl_mosi,
+      dpmm_ctrl_miso     => reg_dpmm_ctrl_miso,
 
-    dpmm_data_mosi     => reg_dpmm_data_mosi,
-    dpmm_data_miso     => reg_dpmm_data_miso,
+      dpmm_data_mosi     => reg_dpmm_data_mosi,
+      dpmm_data_miso     => reg_dpmm_data_miso,
 
-    mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
-    mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
+      mmdp_ctrl_mosi     => reg_mmdp_ctrl_mosi,
+      mmdp_ctrl_miso     => reg_mmdp_ctrl_miso,
 
-    mmdp_data_mosi     => reg_mmdp_data_mosi,
-    mmdp_data_miso     => reg_mmdp_data_miso
-  );
+      mmdp_data_mosi     => reg_mmdp_data_mosi,
+      mmdp_data_miso     => reg_mmdp_data_miso
+    );
 
   ------------------------------------------------------------------------------
   -- PPS input
   ------------------------------------------------------------------------------
 
   u_mms_ppsh : entity ppsh_lib.mms_ppsh
-  generic map (
-    g_technology      => g_technology,
-    g_st_clk_freq     => g_dp_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst           => i_mm_rst,
-    mm_clk           => i_mm_clk,
-    st_rst           => dp_rst_in,
-    st_clk           => dp_clk_in,
-    pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
-
-    -- Memory-mapped clock domain
-    reg_mosi         => reg_ppsh_mosi,
-    reg_miso         => reg_ppsh_miso,
-
-    -- Streaming clock domain
-    pps_sys          => dp_pps
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_st_clk_freq     => g_dp_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst           => i_mm_rst,
+      mm_clk           => i_mm_clk,
+      st_rst           => dp_rst_in,
+      st_clk           => dp_clk_in,
+      pps_ext          => ext_pps,  -- with unknown but constant phase to st_clk
+
+      -- Memory-mapped clock domain
+      reg_mosi         => reg_ppsh_mosi,
+      reg_miso         => reg_ppsh_miso,
+
+      -- Streaming clock domain
+      pps_sys          => dp_pps
+    );
 
 
   u_mms_unb2c_fpga_sens : entity work.mms_unb2c_fpga_sens
-  generic map (
-    g_sim        => g_sim,
-    g_technology => g_technology,
-    g_temp_high  => g_fpga_temp_high
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-
-    mm_start  => '1',
-
-    -- Memory-mapped clock domain
-    reg_temp_mosi  => reg_fpga_temp_sens_mosi,
-    reg_temp_miso  => reg_fpga_temp_sens_miso,
-    reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
-    reg_voltage_miso  => reg_fpga_voltage_sens_miso,
-
-    -- Temperature alarm
-    temp_alarm => temp_alarm
-  );
+    generic map (
+      g_sim        => g_sim,
+      g_technology => g_technology,
+      g_temp_high  => g_fpga_temp_high
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst    => i_mm_rst,
+      mm_clk    => i_mm_clk,
+
+      mm_start  => '1',
+
+      -- Memory-mapped clock domain
+      reg_temp_mosi  => reg_fpga_temp_sens_mosi,
+      reg_temp_miso  => reg_fpga_temp_sens_miso,
+      reg_voltage_mosi  => reg_fpga_voltage_sens_mosi,
+      reg_voltage_miso  => reg_fpga_voltage_sens_miso,
+
+      -- Temperature alarm
+      temp_alarm => temp_alarm
+    );
 
 
   ------------------------------------------------------------------------------
@@ -676,18 +676,18 @@ begin
   gen_tse_clk_buf: if g_tse_clk_buf = true generate
     -- Separate clkbuf for the 1GbE tse_clk:
     u_tse_clk_buf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => i_xo_ethclk,
-      outclk => i_tse_clk
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => i_xo_ethclk,
+        outclk => i_tse_clk
+      );
   end generate;
 
   gen_tse_no_clk_buf: if g_tse_clk_buf = false generate
-      i_tse_clk <= i_xo_ethclk;
+    i_tse_clk <= i_xo_ethclk;
   end generate;
 
 
@@ -714,61 +714,61 @@ begin
     eth1g_st_rst <= dp_rst_in when g_udp_offload = true else eth1g_mm_rst;
 
     u_eth : entity eth_lib.eth
+      generic map (
+        g_technology         => g_technology,
+        g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
+        g_cross_clock_domain => g_udp_offload,
+        g_frm_discard_en     => true,
+        g_sim                => g_sim,
+        g_sim_level          => g_sim_level  -- 0 -- 0 = use IP; 1 = use fast serdes model;
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
+        mm_clk            => i_mm_clk,  -- use mm_clk direct
+        eth_clk           => i_tse_clk,  -- 125 MHz clock
+        st_rst            => eth1g_st_rst,
+        st_clk            => eth1g_st_clk,
+
+        -- UDP transmit interface
+        udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
+        udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
+        -- UDP receive interface
+        udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
+        udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
+
+        -- Memory Mapped Slaves
+        tse_sla_in        => eth1g_tse_mosi,
+        tse_sla_out       => eth1g_tse_miso,
+        reg_sla_in        => eth1g_reg_mosi,
+        reg_sla_out       => eth1g_reg_miso,
+        reg_sla_interrupt => eth1g_reg_interrupt,
+        ram_sla_in        => eth1g_ram_mosi,
+        ram_sla_out       => eth1g_ram_miso,
+
+        -- PHY interface
+        eth_txp           => ETH_SGOUT,
+        eth_rxp           => ETH_SGIN,
+
+        -- LED interface
+        tse_led           => eth1g_led
+      );
+  end generate;
+
+  u_ram_scrap : entity common_lib.common_ram_r_w
     generic map (
-      g_technology         => g_technology,
-      g_init_ip_address    => g_base_ip & X"0000",  -- Last two bytes set by board/FPGA ID.
-      g_cross_clock_domain => g_udp_offload,
-      g_frm_discard_en     => true,
-      g_sim                => g_sim,
-      g_sim_level          => g_sim_level  -- 0 -- 0 = use IP; 1 = use fast serdes model;
+      g_ram => c_ram_scrap
     )
     port map (
-      -- Clocks and reset
-      mm_rst            => eth1g_mm_rst,  -- use reset from QSYS
-      mm_clk            => i_mm_clk,  -- use mm_clk direct
-      eth_clk           => i_tse_clk,  -- 125 MHz clock
-      st_rst            => eth1g_st_rst,
-      st_clk            => eth1g_st_clk,
-
-      -- UDP transmit interface
-      udp_tx_snk_in_arr  => eth1g_udp_tx_sosi_arr,
-      udp_tx_snk_out_arr => eth1g_udp_tx_siso_arr,
-      -- UDP receive interface
-      udp_rx_src_in_arr  => eth1g_udp_rx_siso_arr,
-      udp_rx_src_out_arr => eth1g_udp_rx_sosi_arr,
-
-      -- Memory Mapped Slaves
-      tse_sla_in        => eth1g_tse_mosi,
-      tse_sla_out       => eth1g_tse_miso,
-      reg_sla_in        => eth1g_reg_mosi,
-      reg_sla_out       => eth1g_reg_miso,
-      reg_sla_interrupt => eth1g_reg_interrupt,
-      ram_sla_in        => eth1g_ram_mosi,
-      ram_sla_out       => eth1g_ram_miso,
-
-      -- PHY interface
-      eth_txp           => ETH_SGOUT,
-      eth_rxp           => ETH_SGIN,
-
-      -- LED interface
-      tse_led           => eth1g_led
+      rst    => i_mm_rst,
+      clk    => i_mm_clk,
+      wr_en  => ram_scrap_mosi.wr,
+      wr_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
+      wr_dat => ram_scrap_mosi.wrdata(c_ram_scrap.dat_w - 1 downto 0),
+      rd_en  => ram_scrap_mosi.rd,
+      rd_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
+      rd_dat => ram_scrap_miso.rddata(c_ram_scrap.dat_w - 1 downto 0),
+      rd_val => ram_scrap_miso.rdval
     );
-  end generate;
-
-  u_ram_scrap : entity common_lib.common_ram_r_w
-  generic map (
-    g_ram => c_ram_scrap
-  )
-  port map (
-    rst    => i_mm_rst,
-    clk    => i_mm_clk,
-    wr_en  => ram_scrap_mosi.wr,
-    wr_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
-    wr_dat => ram_scrap_mosi.wrdata(c_ram_scrap.dat_w - 1 downto 0),
-    rd_en  => ram_scrap_mosi.rd,
-    rd_adr => ram_scrap_mosi.address(c_ram_scrap.adr_w - 1 downto 0),
-    rd_dat => ram_scrap_miso.rddata(c_ram_scrap.dat_w - 1 downto 0),
-    rd_val => ram_scrap_miso.rdval
-  );
 
 end str;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_board_system_info.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_board_system_info.vhd
index aed541eb2b..f0756a1b5a 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_board_system_info.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_board_system_info.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity mms_unb2c_board_system_info is
   generic (
@@ -58,7 +58,7 @@ entity mms_unb2c_board_system_info is
 
     -- Info output still supported for older designs
     info            : out std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end mms_unb2c_board_system_info;
 
 
@@ -70,72 +70,74 @@ architecture str of mms_unb2c_board_system_info is
   constant c_modelsim_path_prefix : string := "$UNB/Firmware/designs/" & g_design_name & "/build/synth/quartus/";  -- TODO: change path
   constant c_path_prefix          : string := sel_a_b(g_sim, c_modelsim_path_prefix, c_quartus_path_prefix);
 
--- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
---  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
+  -- No longer supporting MIF files in sim as non-$UNB (e.g. $AARTFAAC) designs will cause path error.
+  --  CONSTANT c_mif_name    : STRING := sel_a_b((g_design_name="UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif");
   constant c_mif_name    : string :=  sel_a_b(g_sim, "UNUSED", sel_a_b((g_design_name = "UNUSED"), g_design_name, c_path_prefix & g_design_name & ".mif"));
 
   constant c_rom_addr_w  : natural := 13;  -- 2^13 = 8192 addresses * 32 bits = 32 kiB
 
-  constant c_mm_rom      : t_c_mem := (latency  => 1,
-                                      adr_w    => c_rom_addr_w,
-                                      dat_w    => c_word_w,
-                                      nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
-                                      init_sl  => '0');
+  constant c_mm_rom      : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_rom_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => 2**c_rom_addr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
 
   signal i_info          : std_logic_vector(c_word_w - 1 downto 0);
 
 begin
 
- info <= i_info;
+  info <= i_info;
 
   u_unb2c_board_system_info: entity work.unb2c_board_system_info
-  generic map (
-    g_sim         => g_sim,
-    g_fw_version  => g_fw_version,
-    g_rom_version => g_rom_version,
-    g_technology  => g_technology
-  )
-  port map (
-    clk        => mm_clk,
-    hw_version => hw_version,
-    id         => id,
-    info       => i_info,
-    chip_id    => chip_id,
-    bck_id     => bck_id
-   );
+    generic map (
+      g_sim         => g_sim,
+      g_fw_version  => g_fw_version,
+      g_rom_version => g_rom_version,
+      g_technology  => g_technology
+    )
+    port map (
+      clk        => mm_clk,
+      hw_version => hw_version,
+      id         => id,
+      info       => i_info,
+      chip_id    => chip_id,
+      bck_id     => bck_id
+    );
 
   u_unb2c_board_system_info_reg: entity work.unb2c_board_system_info_reg
-  generic map (
-    g_design_name => g_design_name,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_revision_id => g_revision_id,
-    g_design_note => g_design_note
-  )
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-
-    sla_in  => reg_mosi,
-    sla_out => reg_miso,
-
-    info    => i_info
-  );
+    generic map (
+      g_design_name => g_design_name,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_revision_id => g_revision_id,
+      g_design_note => g_design_note
+    )
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+
+      sla_in  => reg_mosi,
+      sla_out => reg_miso,
+
+      info    => i_info
+    );
 
   u_common_rom : entity common_lib.common_rom
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_mm_rom,
-    g_init_file  => c_mif_name
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => mm_clk,
-    rd_en   => rom_mosi.rd,
-    rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
-    rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
-    rd_val  => rom_miso.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => c_mm_rom,
+      g_init_file  => c_mif_name
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => mm_clk,
+      rd_en   => rom_mosi.rd,
+      rd_adr  => rom_mosi.address(c_mm_rom.adr_w - 1 downto 0),
+      rd_dat  => rom_miso.rddata(c_mm_rom.dat_w - 1 downto 0),
+      rd_val  => rom_miso.rdval
+    );
 
 end str;
 
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_fpga_sens.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_fpga_sens.vhd
index 856ca0601b..4bc9fb9f93 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_fpga_sens.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/mms_unb2c_fpga_sens.vhd
@@ -23,11 +23,11 @@
 -- Description: See unb2c_fpga_sens.vhd
 
 library IEEE, technology_lib, common_lib, fpga_sense_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity mms_unb2c_fpga_sens is
@@ -59,24 +59,24 @@ architecture str of mms_unb2c_fpga_sens is
 begin
 
   u_fpga_sense: entity fpga_sense_lib.fpga_sense
-  generic map (
-    g_technology => g_technology,
-    g_sim        => g_sim,
-    g_temp_high  => g_temp_high
-  )
-  port map (
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
+    generic map (
+      g_technology => g_technology,
+      g_sim        => g_sim,
+      g_temp_high  => g_temp_high
+    )
+    port map (
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
 
-    start_sense => mm_start,
-    temp_alarm  => temp_alarm,
+      start_sense => mm_start,
+      temp_alarm  => temp_alarm,
 
-    reg_temp_mosi    => reg_temp_mosi,
-    reg_temp_miso    => reg_temp_miso,
+      reg_temp_mosi    => reg_temp_mosi,
+      reg_temp_miso    => reg_temp_miso,
 
-    reg_voltage_store_mosi    => reg_voltage_mosi,
-    reg_voltage_store_miso    => reg_voltage_miso
-  );
+      reg_voltage_store_mosi    => reg_voltage_mosi,
+      reg_voltage_store_miso    => reg_voltage_miso
+    );
 
 end str;
 
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_back_io.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_back_io.vhd
index 8a297498d4..8f64d3c2ac 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_back_io.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_back_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2c_board_pkg.all;
 
 
 entity unb2c_board_back_io is
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk125_pll.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk125_pll.vhd
index b49538091f..1d75f5de92 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk125_pll.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk125_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 125 MHz
 -- Description:
@@ -64,47 +64,47 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk125,
-      outclk => clk125buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk125,
+        outclk => clk125buf
+      );
   end generate;
 
 
   gen_pll : if g_use_fpll = false generate
     u_pll : entity tech_pll_lib.tech_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
   gen_fractional_pll : if g_use_fpll = true generate
     u_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk125
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk125buf,
-      c0      => c0_clk20,
-      c1      => c1_clk50,
-      c2      => c2_clk100,
-      c3      => c3_clk125,
-      locked  => pll_locked
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk125buf,
+        c0      => c0_clk20,
+        c1      => c1_clk50,
+        c2      => c2_clk100,
+        c3      => c3_clk125,
+        locked  => pll_locked
+      );
   end generate;
 
 end arria10;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk200_pll.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk200_pll.vhd
index 5f8d74ee97..4624b25922 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk200_pll.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk200_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_clkbuf_lib, tech_pll_lib, tech_fractional_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 200 MHz
 -- Description:
@@ -140,83 +140,83 @@ begin
 
   gen_clkbuf : if g_use_clkbuf = true generate
     u_clkbuf : entity tech_clkbuf_lib.tech_clkbuf
-    generic map (
-      g_technology   => g_technology,
-      g_clock_net    => "GLOBAL"
-    )
-    port map (
-      inclk  => clk200,
-      outclk => clk200buf
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_clock_net    => "GLOBAL"
+      )
+      port map (
+        inclk  => clk200,
+        outclk => clk200buf
+      );
   end generate;
 
   gen_st_pll : if g_use_fpll = false generate
     u_st_pll : entity tech_pll_lib.tech_pll_clk200
-    generic map (
-      g_technology       => g_technology,
-      g_operation_mode   => g_operation_mode,
-      g_clk0_phase_shift => g_clk200_phase_shift,
-      g_clk1_phase_shift => g_clk200p_phase_shift
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,
-      c0      => i_st_clk200,
-      c1      => i_st_clk200p,
-      c2      => i_st_clk400,
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_operation_mode   => g_operation_mode,
+        g_clk0_phase_shift => g_clk200_phase_shift,
+        g_clk1_phase_shift => g_clk200p_phase_shift
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,
+        c0      => i_st_clk200,
+        c1      => i_st_clk200p,
+        c2      => i_st_clk400,
+        locked  => st_locked
+      );
   end generate;
 
   gen_st_fractional_pll : if g_use_fpll = true generate
     u_st_fractional_pll : entity tech_fractional_pll_lib.tech_fractional_pll_clk200
-    generic map (
-      g_technology       => g_technology
-    )
-    port map (
-      areset  => arst,
-      inclk0  => clk200buf,  -- 200 MHz
-      c0      => i_st_clk200,  -- 200 MHz
-      c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
-      c2      => i_st_clk400,  -- 400 MHz
-      locked  => st_locked
-    );
+      generic map (
+        g_technology       => g_technology
+      )
+      port map (
+        areset  => arst,
+        inclk0  => clk200buf,  -- 200 MHz
+        c0      => i_st_clk200,  -- 200 MHz
+        c1      => i_st_clk200p,  -- 200 MHz shifted 90 degrees
+        c2      => i_st_clk400,  -- 400 MHz
+        locked  => st_locked
+      );
   end generate;
 
   -- Release clock domain resets after some clock cycles when the PLL has locked
   st_locked_n <= not st_locked;
 
   u_rst200 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200,
-    out_rst   => i_st_rst200
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200,
+      out_rst   => i_st_rst200
+    );
 
   u_rst200p : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk200p,
-    out_rst   => st_rst200p
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk200p,
+      out_rst   => st_rst200p
+    );
 
   u_rst400 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => st_locked_n,
-    clk       => i_st_clk400,
-    out_rst   => st_rst400
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => st_locked_n,
+      clk       => i_st_clk400,
+      out_rst   => st_rst400
+    );
 
 end arria10;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk25_pll.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk25_pll.vhd
index bbf90bac97..7086343dde 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk25_pll.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk25_pll.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Purpose: PLL for UniBoard node CLK input @ 25 MHz
 -- Description:
@@ -54,16 +54,16 @@ architecture arria10 of unb2c_board_clk25_pll is
 begin
 
   u_pll : entity tech_pll_lib.tech_pll_clk25
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    areset  => arst,
-    inclk0  => clk25,
-    c0      => c0_clk20,
-    c1      => c1_clk50,
-    c2      => c2_clk100,
-    c3      => c3_clk125,
-    locked  => pll_locked
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      areset  => arst,
+      inclk0  => clk25,
+      c0      => c0_clk20,
+      c1      => c1_clk50,
+      c2      => c2_clk100,
+      c3      => c3_clk125,
+      locked  => pll_locked
+    );
 end arria10;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk_rst.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk_rst.vhd
index 15196c296f..812bf277cd 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk_rst.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_clk_rst.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   1) initial power up xo_rst_n that can be used to reset a SOPC system (via
@@ -59,28 +59,28 @@ begin
   xo_rst_n <= not xo_rst;
 
   u_common_areset_xo : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => xo_clk,
-    out_rst   => xo_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => xo_clk,
+      out_rst   => xo_rst
+    );
 
   -- System clock from SOPC system PLL and system reset
   sys_locked_n <= not sys_locked;
 
   u_common_areset_sys : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => sys_clk,
-    out_rst   => sys_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => sys_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => sys_clk,
+      out_rst   => sys_rst
+    );
 
 end str;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_front_io.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_front_io.vhd
index 38feccfe05..0020d15e1d 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_front_io.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_front_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2c_board_pkg.all;
 
 
 entity unb2c_board_front_io is
@@ -67,8 +67,8 @@ begin
   gen_wire_bus : for i in 0 to g_nof_qsfp_bus - 1 generate
     gen_wire_signals : for j in 0 to c_unb2c_board_tr_qsfp.bus_w - 1 generate
 
-        si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2c_board_tr_qsfp.bus_w + j);
-        serial_rx_arr(i * c_unb2c_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
+      si_tx_2arr(i)(j) <= serial_tx_arr(i * c_unb2c_board_tr_qsfp.bus_w + j);
+      serial_rx_arr(i * c_unb2c_board_tr_qsfp.bus_w + j) <= si_rx_2arr(i)(j);
 
     end generate;
   end generate;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_node_ctrl.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_node_ctrl.vhd
index a2c00f0705..dbad2664f0 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_node_ctrl.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Provide the basic node clock control (resets, pulses, WDI)
 -- Description:
@@ -71,44 +71,44 @@ begin
   mm_locked_n <= not mm_locked;
 
   u_common_areset_mm : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
-    clk       => mm_clk,
-    out_rst   => i_mm_rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => mm_locked_n,  -- release reset after some clock cycles when the PLL has locked
+      clk       => mm_clk,
+      out_rst   => i_mm_rst
+    );
 
   -- Create 1 pulse per us, per ms and per s
   mm_pulse_ms <= i_mm_pulse_ms;
 
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,
-    g_pulse_ms  => g_pulse_ms,
-    g_pulse_s   => g_pulse_s
-  )
-  port map (
-    rst         => i_mm_rst,
-    clk         => mm_clk,
-    pulse_us    => mm_pulse_us,
-    pulse_ms    => i_mm_pulse_ms,
-    pulse_s     => mm_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,
+      g_pulse_ms  => g_pulse_ms,
+      g_pulse_s   => g_pulse_s
+    )
+    port map (
+      rst         => i_mm_rst,
+      clk         => mm_clk,
+      pulse_us    => mm_pulse_us,
+      pulse_ms    => i_mm_pulse_ms,
+      pulse_s     => mm_pulse_s
+    );
 
   -- Toggle the WDI every 1 ms
   u_unb2c_board_wdi_extend : entity work.unb2c_board_wdi_extend
-  generic map (
-    g_extend_w => g_wdi_extend_w
-  )
-  port map (
-    rst        => i_mm_rst,
-    clk        => mm_clk,
-    pulse_ms   => i_mm_pulse_ms,
-    wdi_in     => mm_wdi_in,
-    wdi_out    => mm_wdi_out
-  );
+    generic map (
+      g_extend_w => g_wdi_extend_w
+    )
+    port map (
+      rst        => i_mm_rst,
+      clk        => mm_clk,
+      pulse_ms   => i_mm_pulse_ms,
+      wdi_in     => mm_wdi_in,
+      wdi_out    => mm_wdi_out
+    );
 
 end str;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_peripherals_pkg.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_peripherals_pkg.vhd
index 3393b547e5..abc124a830 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_peripherals_pkg.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_peripherals_pkg.vhd
@@ -39,7 +39,7 @@
 --   these widths need to be defined locally in that design.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package unb2c_board_peripherals_pkg is
 
@@ -76,10 +76,10 @@ package unb2c_board_peripherals_pkg is
 
     -- pi_dp_ram_from_mm
     reg_dp_ram_from_mm_adr_w   : natural;  -- = 1   -- fixed, see dp_ram_from_mm.vhd
- -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
+    -- ram_dp_ram_from_mm_adr_w   : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_from_mm_reg.vhd
 
     -- pi_dp_ram_to_mm
---  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
+    --  ram_dp_ram_to_mm_adr_w     : NATURAL;  -- = VAR -- Variable, from c_mm_reg in dp_ram_to_mm_reg.vhd
 
     -- pi_epcs (uses DP-MM read and write FIFOs for data access)
     reg_epcs_adr_w             : natural;  -- = 3   -- fixed, from c_mm_reg in epcs_reg
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd
index e5f7366450..29b59ecf5c 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package unb2c_board_pkg is
 
@@ -127,23 +127,25 @@ package unb2c_board_pkg is
   type t_c_unb2c_board_system_info is record
     version  : natural;  -- UniBoard board HW version (2 bit value)
     id       : natural;  -- UniBoard FPGA node id (8 bit value)
-                         -- Derived ID info:
+    -- Derived ID info:
     bck_id   : natural;  -- = id[7:2], ID part from back plane
     chip_id  : natural;  -- = id[1:0], ID part from UniBoard
     node_id  : natural;  -- = id[1:0], node ID: 0, 1, 2 or 3
     is_node2 : natural;  -- 1 for Node 2, else 0.
   end record;
 
-  function func_unb2c_board_system_info(VERSION : in std_logic_vector(c_unb2c_board_aux.version_w - 1 downto 0);
-                                        ID      : in std_logic_vector(c_unb2c_board_aux.id_w - 1 downto 0)) return t_c_unb2c_board_system_info;
+  function func_unb2c_board_system_info (
+    VERSION : in std_logic_vector(c_unb2c_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2c_board_aux.id_w - 1 downto 0)) return t_c_unb2c_board_system_info;
 
 end unb2c_board_pkg;
 
 
 package body unb2c_board_pkg is
 
-  function func_unb2c_board_system_info(VERSION : in std_logic_vector(c_unb2c_board_aux.version_w - 1 downto 0);
-                                       ID      : in std_logic_vector(c_unb2c_board_aux.id_w - 1 downto 0)) return t_c_unb2c_board_system_info is
+  function func_unb2c_board_system_info (
+    VERSION : in std_logic_vector(c_unb2c_board_aux.version_w - 1 downto 0);
+    ID      : in std_logic_vector(c_unb2c_board_aux.id_w - 1 downto 0)) return t_c_unb2c_board_system_info is
     variable v_system_info : t_c_unb2c_board_system_info;
   begin
     v_system_info.version := to_integer(unsigned(VERSION));
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_qsfp_leds.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_qsfp_leds.vhd
index d5946ebcab..c31683ec0b 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_qsfp_leds.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_qsfp_leds.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Provide visual activity information via the UniBoard2 front panel QSFP LEDs.
 -- Description:
@@ -111,43 +111,43 @@ begin
 
   -- Also output the pulses, because they could be useful for other purposes in the clk clock domain as well
   u_common_pulser_us_ms_s : entity common_lib.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
-    g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
-    g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    pulse_us    => pulse_us,
-    pulse_ms    => i_pulse_ms,
-    pulse_s     => i_pulse_s
-  );
+    generic map (
+      g_pulse_us  => g_pulse_us,  -- nof clk cycles to get us period
+      g_pulse_ms  => sel_a_b(g_sim, 10, 1000),  -- nof pulse_us pulses to get ms period
+      g_pulse_s   => sel_a_b(g_sim, 10, 1000)  -- nof pulse_ms pulses to get s period
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      pulse_us    => pulse_us,
+      pulse_ms    => i_pulse_ms,
+      pulse_s     => i_pulse_s
+    );
 
   u_common_toggle_s : entity common_lib.common_toggle
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_dat      => i_pulse_s,
-    out_dat     => toggle_s
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_dat      => i_pulse_s,
+      out_dat     => toggle_s
+    );
 
   gen_factory_image : if g_factory_image = true generate
     green_led_arr <= (others => '0');
 
     gen_red_led_arr : for I in g_nof_qsfp - 1 downto 0 generate
       u_red_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        -- led control
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => red_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          -- led control
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => red_led_arr(I)
+        );
     end generate;
   end generate;
 
@@ -166,20 +166,20 @@ begin
       qsfp_evt_arr(I) <= orv(green_evt_arr((I + 1) * c_quad - 1 downto + I * c_quad));
 
       u_green_led_controller : entity common_lib.common_led_controller
-      generic map (
-        g_nof_ms      => c_nof_ms
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        pulse_ms      => i_pulse_ms,
-        -- led control
-        ctrl_on       => qsfp_on_arr(I),
-        ctrl_evt      => qsfp_evt_arr(I),
-        ctrl_input    => toggle_s,
-        -- led output
-        led           => green_led_arr(I)
-      );
+        generic map (
+          g_nof_ms      => c_nof_ms
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          pulse_ms      => i_pulse_ms,
+          -- led control
+          ctrl_on       => qsfp_on_arr(I),
+          ctrl_evt      => qsfp_evt_arr(I),
+          ctrl_input    => toggle_s,
+          -- led output
+          led           => green_led_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_ring_io.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_ring_io.vhd
index c0098cce09..bd4d8934cf 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_ring_io.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_ring_io.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.unb2c_board_pkg.all;
 
 
 entity unb2c_board_ring_io is
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info.vhd
index dbd91d248c..f26babbdd9 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.unb2c_board_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.unb2c_board_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 -- Keep the UniBoard system info knowledge in this HDL entity and in the
 -- corresponding software functions in unb_common.c,h. This avoids having to
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info_reg.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info_reg.vhd
index 9f6723e73f..80527627ca 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info_reg.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_system_info_reg.vhd
@@ -44,11 +44,11 @@
 --  =============================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.unb2c_board_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.unb2c_board_pkg.all;
 
 entity unb2c_board_system_info_reg is
   generic (
@@ -68,7 +68,7 @@ entity unb2c_board_system_info_reg is
     sla_out     : out t_mem_miso;
 
     info        : in  std_logic_vector(c_word_w - 1 downto 0)
-    );
+  );
 end unb2c_board_system_info_reg;
 
 
@@ -88,11 +88,13 @@ architecture rtl of unb2c_board_system_info_reg is
   constant c_revision_id_offset   : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs;
   constant c_design_note_offset   : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_revision_id_regs;
   constant c_nof_regs             : natural := c_nof_fixed_regs + c_nof_design_name_regs + c_nof_stamp_regs + c_nof_revision_id_regs + c_nof_design_note_regs;  -- = 2+13+2+3+12 = 32
-  constant c_mm_reg               : t_c_mem := (latency  => 1,
-                                                adr_w    => ceil_log2(c_nof_regs),
-                                                dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                                nof_dat  => c_nof_regs,
-                                                init_sl  => '0');
+  constant c_mm_reg               : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   constant c_use_phy_w     : natural := 8;
   constant c_use_phy       : std_logic_vector(c_use_phy_w - 1 downto 0) := (others => '0');  -- Unused but keep for compatibillity
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_extend.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_extend.vhd
index 53b672732f..ffa24fcdb7 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_extend.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_extend.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Extend the input WDI that is controlled in SW (as it should be) to avoid
@@ -72,27 +72,27 @@ begin
   nxt_wdi_out <= not i_wdi_out when wdi_cnt_en = '1' else i_wdi_out;
 
   u_common_evt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "BOTH",
-    g_out_reg  => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => wdi_in,
-    out_evt  => wdi_evt
-  );
+    generic map (
+      g_evt_type => "BOTH",
+      g_out_reg  => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => wdi_in,
+      out_evt  => wdi_evt
+    );
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width   => g_extend_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => wdi_evt,
-    cnt_en  => wdi_cnt_en,
-    count   => wdi_cnt
-  );
+    generic map (
+      g_width   => g_extend_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => wdi_evt,
+      cnt_en  => wdi_cnt_en,
+      count   => wdi_cnt
+    );
 
 end str;
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_reg.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_reg.vhd
index 4a492464e0..95efd78bd1 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_reg.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_wdi_reg.vhd
@@ -24,9 +24,9 @@
 --   Write 0xB007FAC7 to address 0x0.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity unb2c_board_wdi_reg is
   port (
@@ -40,18 +40,20 @@ entity unb2c_board_wdi_reg is
 
     -- MM registers in st_clk domain
     wdi_override      : out std_logic
- );
+  );
 end unb2c_board_wdi_reg;
 
 
 architecture rtl of unb2c_board_wdi_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(1),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   -- For safety, WDI override requires the following word to be written:
   constant c_cmd_reconfigure : std_logic_vector(c_word_w - 1 downto 0 ) := x"B007FAC7";  -- "Boot factory"
@@ -64,7 +66,7 @@ begin
       -- Read access
       sla_out   <= c_mem_miso_rst;
       -- Write access, register values
-        wdi_override <= '0';
+      wdi_override <= '0';
     elsif rising_edge(mm_clk) then
       -- Read access defaults: unused
       sla_out   <= c_mem_miso_rst;
diff --git a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk125_pll.vhd b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk125_pll.vhd
index d081a13807..d327491ad3 100644
--- a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk125_pll.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk125_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2c_board_clk125_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2c_board_clk125_pll
-  port map (
-    arst      => ext_rst,
-    clk125    => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk125    => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk200_pll.vhd b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk200_pll.vhd
index 3f1864bac7..da3cca1c55 100644
--- a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk200_pll.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk200_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2c_board_clk200_pll is
@@ -72,45 +72,45 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2c_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_0,
-    st_rst200  => st_rst200_0,
-    st_clk200p => st_clk200p0,
-    st_rst200p => st_rst200p0,
-    st_clk400  => st_clk400,
-    st_rst400  => st_rst400
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_0,
+      st_rst200  => st_rst200_0,
+      st_clk200p => st_clk200p0,
+      st_rst200p => st_rst200p0,
+      st_clk400  => st_clk400,
+      st_rst400  => st_rst400
+    );
 
   dut_45 : entity work.unb2c_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "625",
-    g_clk200p_phase_shift => "625"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => st_clk200_45,
-    st_rst200  => st_rst200_45,
-    st_clk200p => st_clk200p45,
-    st_rst200p => st_rst200p45,
-    st_clk400  => OPEN,
-    st_rst400  => open
-  );
+    generic map (
+      g_clk200_phase_shift  => "625",
+      g_clk200p_phase_shift => "625"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => st_clk200_45,
+      st_rst200  => st_rst200_45,
+      st_clk200p => st_clk200p45,
+      st_rst200p => st_rst200p45,
+      st_clk400  => OPEN,
+      st_rst400  => open
+    );
 
   dut_p6 : entity work.unb2c_board_clk200_pll
-  generic map (
-    g_clk200_phase_shift  => "0"
-  )
-  port map (
-    arst       => ext_rst,
-    clk200     => ext_clk,
-    st_clk200  => dp_clk200,
-    st_rst200  => dp_rst200
-  );
+    generic map (
+      g_clk200_phase_shift  => "0"
+    )
+    port map (
+      arst       => ext_rst,
+      clk200     => ext_clk,
+      st_clk200  => dp_clk200,
+      st_rst200  => dp_rst200
+    );
 
 end tb;
diff --git a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk25_pll.vhd b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk25_pll.vhd
index 0e6f2a8438..77b5f3efce 100644
--- a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk25_pll.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_clk25_pll.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2c_board_clk25_pll is
@@ -57,15 +57,15 @@ begin
   ext_rst <= '1', '0' after c_ext_clk_period * 7;
 
   dut_0 : entity work.unb2c_board_clk25_pll
-  port map (
-    arst      => ext_rst,
-    clk25     => ext_clk,
+    port map (
+      arst      => ext_rst,
+      clk25     => ext_clk,
 
-    c0_clk20  => c0_clk20,
-    c1_clk50  => c1_clk50,
-    c2_clk100  => c2_clk100,
-    c3_clk125  => c3_clk125,
+      c0_clk20  => c0_clk20,
+      c1_clk50  => c1_clk50,
+      c2_clk100  => c2_clk100,
+      c3_clk125  => c3_clk125,
 
-    pll_locked => pll_locked
-  );
+      pll_locked => pll_locked
+    );
 end tb;
diff --git a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_node_ctrl.vhd b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_node_ctrl.vhd
index d4965685a2..04a352423a 100644
--- a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_node_ctrl.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_node_ctrl.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_unb2c_board_node_ctrl is
@@ -76,24 +76,24 @@ begin
   wdi_in <= wdi and sw;  -- sw wdi only when sw is active, during sw inactive the wdi_out will be extended
 
   dut : entity work.unb2c_board_node_ctrl
-  generic map (
-    g_pulse_us     => c_pulse_us,
-    g_pulse_ms     => c_pulse_ms,
-    g_pulse_s      => c_pulse_s,
-    g_wdi_extend_w => c_wdi_extend_w
-  )
-  port map (
-    -- MM clock domain reset
-    mm_clk      => mm_clk,
-    mm_locked   => mm_locked,
-    mm_rst      => mm_rst,
-    -- WDI extend
-    mm_wdi_in   => wdi_in,
-    mm_wdi_out  => wdi_out,
-    -- Pulses
-    mm_pulse_us => pulse_us,
-    mm_pulse_ms => pulse_ms,
-    mm_pulse_s  => pulse_s
-  );
+    generic map (
+      g_pulse_us     => c_pulse_us,
+      g_pulse_ms     => c_pulse_ms,
+      g_pulse_s      => c_pulse_s,
+      g_wdi_extend_w => c_wdi_extend_w
+    )
+    port map (
+      -- MM clock domain reset
+      mm_clk      => mm_clk,
+      mm_locked   => mm_locked,
+      mm_rst      => mm_rst,
+      -- WDI extend
+      mm_wdi_in   => wdi_in,
+      mm_wdi_out  => wdi_out,
+      -- Pulses
+      mm_pulse_us => pulse_us,
+      mm_pulse_ms => pulse_ms,
+      mm_pulse_s  => pulse_s
+    );
 
 end tb;
diff --git a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_qsfp_leds.vhd b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_qsfp_leds.vhd
index ef1060ab69..7e36cef201 100644
--- a/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_qsfp_leds.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/tb/vhdl/tb_unb2c_board_qsfp_leds.vhd
@@ -37,10 +37,10 @@
 --   > run -a
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_unb2c_board_qsfp_leds is
 end tb_unb2c_board_qsfp_leds;
@@ -142,49 +142,49 @@ begin
   end process;
 
   u_unb2c_factory_qsfp_leds : entity work.unb2c_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => true,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => factory_green_led_arr,
-    red_led_arr       => factory_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => true,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => factory_green_led_arr,
+      red_led_arr       => factory_red_led_arr
+    );
 
   u_unb2c_user_qsfp_leds : entity work.unb2c_board_qsfp_leds
-  generic map (
-    g_sim             => true,  -- when true speed up led toggling in simulation
-    g_factory_image   => false,  -- distinguish factory image and user images
-    g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
-    g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- internal pulser outputs
-    pulse_us          => pulse_us,
-    pulse_ms          => pulse_ms,
-    pulse_s           => pulse_s,
-    -- lane status
-    tx_siso_arr       => tx_siso_arr,
-    tx_sosi_arr       => tx_sosi_arr,
-    rx_sosi_arr       => rx_sosi_arr,
-    -- leds
-    green_led_arr     => user_green_led_arr,
-    red_led_arr       => user_red_led_arr
-  );
+    generic map (
+      g_sim             => true,  -- when true speed up led toggling in simulation
+      g_factory_image   => false,  -- distinguish factory image and user images
+      g_nof_qsfp        => c_nof_qsfp,  -- number of QSFP cages each with one dual led that can light red or green (or amber = red + green)
+      g_pulse_us        => c_nof_clk_per_us  -- nof clk cycles to get us period
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- internal pulser outputs
+      pulse_us          => pulse_us,
+      pulse_ms          => pulse_ms,
+      pulse_s           => pulse_s,
+      -- lane status
+      tx_siso_arr       => tx_siso_arr,
+      tx_sosi_arr       => tx_sosi_arr,
+      rx_sosi_arr       => rx_sosi_arr,
+      -- leds
+      green_led_arr     => user_green_led_arr,
+      red_led_arr       => user_red_led_arr
+    );
 
 end tb;
diff --git a/boards/uniboard2c/libraries/unb2c_board_10gbe/src/vhdl/unb2c_board_10gbe.vhd b/boards/uniboard2c/libraries/unb2c_board_10gbe/src/vhdl/unb2c_board_10gbe.vhd
index 9ad00ea249..6028dcccae 100644
--- a/boards/uniboard2c/libraries/unb2c_board_10gbe/src/vhdl/unb2c_board_10gbe.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board_10gbe/src/vhdl/unb2c_board_10gbe.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_pll_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 
 entity unb2c_board_10gbe is
@@ -82,17 +82,17 @@ architecture str of unb2c_board_10gbe is
 
 begin
   u_unb2c_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    refclk_644 => tr_ref_clk,
-    rst_in     => mm_rst,
-    clk_156    => tr_ref_clk_156,
-    clk_312    => tr_ref_clk_312,
-    rst_156    => tr_ref_rst_156,
-    rst_312    => open
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      refclk_644 => tr_ref_clk,
+      rst_in     => mm_rst,
+      clk_156    => tr_ref_clk_156,
+      clk_312    => tr_ref_clk_312,
+      rst_156    => tr_ref_rst_156,
+      rst_312    => open
+    );
 
 
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
diff --git a/libraries/base/axi4/src/vhdl/axi4_lite_mm_bridge.vhd b/libraries/base/axi4/src/vhdl/axi4_lite_mm_bridge.vhd
index 39e964b31d..0410a1076e 100644
--- a/libraries/base/axi4/src/vhdl/axi4_lite_mm_bridge.vhd
+++ b/libraries/base/axi4/src/vhdl/axi4_lite_mm_bridge.vhd
@@ -35,10 +35,10 @@
 --     registers.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.axi4_lite_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.axi4_lite_pkg.all;
 
 entity axi4_lite_mm_bridge is
   generic (
@@ -112,7 +112,7 @@ begin
       d_bvalid <= '0';
     end if;
     if i_rst = '1' then
-     d_bvalid <= '0';
+      d_bvalid <= '0';
     end if;
   end process;
 end str;
diff --git a/libraries/base/axi4/src/vhdl/axi4_lite_pkg.vhd b/libraries/base/axi4/src/vhdl/axi4_lite_pkg.vhd
index 7010309e6f..d0db8c590f 100644
--- a/libraries/base/axi4/src/vhdl/axi4_lite_pkg.vhd
+++ b/libraries/base/axi4/src/vhdl/axi4_lite_pkg.vhd
@@ -28,12 +28,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use std.textio.all;
-use IEEE.std_logic_textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use std.textio.all;
+  use IEEE.std_logic_textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 package axi4_lite_pkg is
 
@@ -94,18 +94,18 @@ package axi4_lite_pkg is
   constant c_axi4_lite_resp_decerr : std_logic_vector(c_axi4_lite_resp_w - 1 downto 0) := "11";  -- decode error
 
   -- Functions to convert axi4-lite to MM.
-  function func_axi4_lite_to_mm_copi(axi4_copi : t_axi4_lite_copi) return t_mem_copi;
-  function func_axi4_lite_to_mm_cipo(axi4_cipo : t_axi4_lite_cipo) return t_mem_cipo;
+  function func_axi4_lite_to_mm_copi (axi4_copi : t_axi4_lite_copi) return t_mem_copi;
+  function func_axi4_lite_to_mm_cipo (axi4_cipo : t_axi4_lite_cipo) return t_mem_cipo;
 
   -- Functions to convert MM to axi4-lite.
-  function func_axi4_lite_from_mm_copi(mm_copi : t_mem_copi) return t_axi4_lite_copi;
-  function func_axi4_lite_from_mm_cipo(mm_cipo : t_mem_cipo; bvalid : std_logic) return t_axi4_lite_cipo;
+  function func_axi4_lite_from_mm_copi (mm_copi : t_mem_copi) return t_axi4_lite_copi;
+  function func_axi4_lite_from_mm_cipo (mm_cipo : t_mem_cipo; bvalid : std_logic) return t_axi4_lite_cipo;
 
 end axi4_lite_pkg;
 
 package body axi4_lite_pkg is
 
-  function func_axi4_lite_to_mm_copi(axi4_copi : t_axi4_lite_copi) return t_mem_copi is
+  function func_axi4_lite_to_mm_copi (axi4_copi : t_axi4_lite_copi) return t_mem_copi is
     variable v_mm_copi : t_mem_copi := c_mem_copi_rst;
   begin
     if axi4_copi.awvalid = '1' then
@@ -119,7 +119,7 @@ package body axi4_lite_pkg is
     return v_mm_copi;
   end;
 
-  function func_axi4_lite_to_mm_cipo(axi4_cipo : t_axi4_lite_cipo) return t_mem_cipo is
+  function func_axi4_lite_to_mm_cipo (axi4_cipo : t_axi4_lite_cipo) return t_mem_cipo is
     variable v_mm_cipo : t_mem_cipo := c_mem_cipo_rst;
   begin
     v_mm_cipo.rddata(c_axi4_lite_data_w - 1 downto 0) := axi4_cipo.rdata;
@@ -128,7 +128,7 @@ package body axi4_lite_pkg is
     return v_mm_cipo;
   end;
 
-  function func_axi4_lite_from_mm_copi(mm_copi : t_mem_copi) return t_axi4_lite_copi is
+  function func_axi4_lite_from_mm_copi (mm_copi : t_mem_copi) return t_axi4_lite_copi is
     variable v_axi4_copi : t_axi4_lite_copi := c_axi4_lite_copi_rst;
   begin
     v_axi4_copi.awaddr  := mm_copi.address(c_axi4_lite_address_w - 3 downto 0) & "00";  -- convert word addressed to byte addressed.
@@ -145,7 +145,7 @@ package body axi4_lite_pkg is
     return v_axi4_copi;
   end;
 
-  function func_axi4_lite_from_mm_cipo(mm_cipo : t_mem_cipo; bvalid : std_logic) return t_axi4_lite_cipo is
+  function func_axi4_lite_from_mm_cipo (mm_cipo : t_mem_cipo; bvalid : std_logic) return t_axi4_lite_cipo is
     variable v_axi4_cipo : t_axi4_lite_cipo := c_axi4_lite_cipo_rst;
   begin
     v_axi4_cipo.awready := not mm_cipo.waitrequest;
diff --git a/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd b/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd
index f554bfe7fe..12206c6687 100644
--- a/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd
+++ b/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd
@@ -48,10 +48,10 @@
 -- . AXI4 does not have a DP Xon or sync equivalent.
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.axi4_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.axi4_stream_pkg.all;
 
 entity axi4_stream_dp_bridge is
   generic (
@@ -114,20 +114,20 @@ begin
 
   -- Adapt Ready Latency
   u_dp_latency_adapter_dp_to_axi : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => g_dp_rl,
-    g_out_latency => g_axi4_rl
-  )
-  port map (
-    clk       => in_clk,
-    rst       => i_rst,
-
-    snk_in    => dp_in_sosi,
-    snk_out   => dp_in_siso,
-
-    src_out   => axi4_from_dp_sosi,
-    src_in    => axi4_from_dp_siso
-  );
+    generic map (
+      g_in_latency  => g_dp_rl,
+      g_out_latency => g_axi4_rl
+    )
+    port map (
+      clk       => in_clk,
+      rst       => i_rst,
+
+      snk_in    => dp_in_sosi,
+      snk_out   => dp_in_siso,
+
+      src_out   => axi4_from_dp_sosi,
+      src_in    => axi4_from_dp_siso
+    );
 
 
   ----------------------------
@@ -179,20 +179,20 @@ begin
 
   -- Adapt Ready Latency
   u_dp_latency_adapter_axi_to_dp : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => g_axi4_rl,
-    g_out_latency => g_dp_rl
-  )
-  port map (
-    clk       => in_clk,
-    rst       => i_rst,
-
-    snk_in    => dp_from_axi4_sosi,
-    snk_out   => dp_from_axi4_siso,
-
-    src_out   => dp_out_sosi,
-    src_in    => dp_out_siso
-  );
+    generic map (
+      g_in_latency  => g_axi4_rl,
+      g_out_latency => g_dp_rl
+    )
+    port map (
+      clk       => in_clk,
+      rst       => i_rst,
+
+      snk_in    => dp_from_axi4_sosi,
+      snk_out   => dp_from_axi4_siso,
+
+      src_out   => dp_out_sosi,
+      src_in    => dp_out_siso
+    );
 
 end str;
 
diff --git a/libraries/base/axi4/src/vhdl/axi4_stream_pkg.vhd b/libraries/base/axi4/src/vhdl/axi4_stream_pkg.vhd
index b1c8b44e73..0df77d9e0e 100644
--- a/libraries/base/axi4/src/vhdl/axi4_stream_pkg.vhd
+++ b/libraries/base/axi4/src/vhdl/axi4_stream_pkg.vhd
@@ -47,10 +47,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package axi4_stream_pkg is
 
@@ -104,92 +104,96 @@ package axi4_stream_pkg is
   type t_axi4_sosi_mat is array (integer range <>, integer range <>) of t_axi4_sosi;
 
   -- Check sosi.valid against siso.ready
-  procedure proc_axi4_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_axi4_sosi;
-                               signal   siso            : in    t_axi4_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_axi4_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_axi4_sosi;
+    signal   siso            : in    t_axi4_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Default RL=1
-  procedure proc_axi4_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_axi4_sosi;
-                               signal   siso            : in    t_axi4_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_axi4_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_axi4_sosi;
+    signal   siso            : in    t_axi4_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version
-  procedure proc_axi4_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_axi4_sosi_arr;
-                               signal   siso_arr        : in    t_axi4_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_axi4_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_axi4_sosi_arr;
+    signal   siso_arr        : in    t_axi4_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_axi4_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_axi4_sosi_arr;
-                               signal   siso_arr        : in    t_axi4_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_axi4_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_axi4_sosi_arr;
+    signal   siso_arr        : in    t_axi4_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
 
   -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
-  function func_axi4_data_shift_first(head_sosi, tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_axi4_sosi;
+  function func_axi4_data_shift_first (head_sosi, tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_axi4_sosi;
   -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
-  function func_axi4_data_shift(      prev_sosi, this_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_axi4_sosi;
+  function func_axi4_data_shift (      prev_sosi, this_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_axi4_sosi;
   -- Shift part of tail data and account for input empty
-  function func_axi4_data_shift_last(            tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_axi4_sosi;
+  function func_axi4_data_shift_last (            tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_axi4_sosi;
 
   -- Determine resulting empty if two streams are concatenated or split
-  function func_axi4_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
-  function func_axi4_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_axi4_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_axi4_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
 
   -- Multiplex the t_axi4_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_axi4_sosi_arr_mux(axi4 : t_axi4_sosi_arr) return t_axi4_sosi;
+  function func_axi4_sosi_arr_mux (axi4 : t_axi4_sosi_arr) return t_axi4_sosi;
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_axi4_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_axi4_stream_arr_and(axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_axi4_stream_arr_and(axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_axi4_stream_arr_and(axi4 : t_axi4_siso_arr;                          str : string) return std_logic;
-  function func_axi4_stream_arr_and(axi4 : t_axi4_sosi_arr;                          str : string) return std_logic;
-  function func_axi4_stream_arr_or( axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_axi4_stream_arr_or( axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_axi4_stream_arr_or( axi4 : t_axi4_siso_arr;                          str : string) return std_logic;
-  function func_axi4_stream_arr_or( axi4 : t_axi4_sosi_arr;                          str : string) return std_logic;
+  function func_axi4_stream_arr_and (axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_axi4_stream_arr_and (axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_axi4_stream_arr_and (axi4 : t_axi4_siso_arr;                          str : string) return std_logic;
+  function func_axi4_stream_arr_and (axi4 : t_axi4_sosi_arr;                          str : string) return std_logic;
+  function func_axi4_stream_arr_or ( axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_axi4_stream_arr_or ( axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_axi4_stream_arr_or ( axi4 : t_axi4_siso_arr;                          str : string) return std_logic;
+  function func_axi4_stream_arr_or ( axi4 : t_axi4_sosi_arr;                          str : string) return std_logic;
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_axi4_stream_arr_set(axi4 : t_axi4_siso_arr; slv : std_logic_vector; str : string) return t_axi4_siso_arr;
-  function func_axi4_stream_arr_set(axi4 : t_axi4_sosi_arr; slv : std_logic_vector; str : string) return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_set(axi4 : t_axi4_siso_arr; sl  : std_logic;        str : string) return t_axi4_siso_arr;
-  function func_axi4_stream_arr_set(axi4 : t_axi4_sosi_arr; sl  : std_logic;        str : string) return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_get(axi4 : t_axi4_siso_arr;                         str : string) return std_logic_vector;
-  function func_axi4_stream_arr_get(axi4 : t_axi4_sosi_arr;                         str : string) return std_logic_vector;
+  function func_axi4_stream_arr_set (axi4 : t_axi4_siso_arr; slv : std_logic_vector; str : string) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_set (axi4 : t_axi4_sosi_arr; slv : std_logic_vector; str : string) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_set (axi4 : t_axi4_siso_arr; sl  : std_logic;        str : string) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_set (axi4 : t_axi4_sosi_arr; sl  : std_logic;        str : string) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_get (axi4 : t_axi4_siso_arr;                         str : string) return std_logic_vector;
+  function func_axi4_stream_arr_get (axi4 : t_axi4_sosi_arr;                         str : string) return std_logic_vector;
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a,                 b : t_axi4_siso)     return t_axi4_siso_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a,                 b : t_axi4_sosi)     return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_siso_arr; b : t_axi4_siso)     return t_axi4_siso_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_sosi_arr; b : t_axi4_sosi)     return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_siso;     b : t_axi4_siso_arr) return t_axi4_siso_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_sosi;     b : t_axi4_sosi_arr) return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a,                 b : t_axi4_siso_arr) return t_axi4_siso_arr;
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a,                 b : t_axi4_sosi_arr) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a,                 b : t_axi4_siso) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a,                 b : t_axi4_sosi) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_siso_arr; b : t_axi4_siso) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_sosi_arr; b : t_axi4_sosi) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_siso;     b : t_axi4_siso_arr) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_sosi;     b : t_axi4_sosi_arr) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a,                 b : t_axi4_siso_arr) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a,                 b : t_axi4_sosi_arr) return t_axi4_sosi_arr;
 
   -- Fix reversed buses due to connecting TO to DOWNTO range arrays.
-  function func_axi4_stream_arr_reverse_range(in_arr : t_axi4_sosi_arr) return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_reverse_range(in_arr : t_axi4_siso_arr) return t_axi4_siso_arr;
+  function func_axi4_stream_arr_reverse_range (in_arr : t_axi4_sosi_arr) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_reverse_range (in_arr : t_axi4_siso_arr) return t_axi4_siso_arr;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_axi4_stream_arr_set_control(  axi4 : t_axi4_sosi_arr; ctrl : t_axi4_sosi) return t_axi4_sosi_arr;
-  function func_axi4_stream_arr_reset_control(axi4 : t_axi4_sosi_arr                  ) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_set_control (  axi4 : t_axi4_sosi_arr; ctrl : t_axi4_sosi) return t_axi4_sosi_arr;
+  function func_axi4_stream_arr_reset_control (axi4 : t_axi4_sosi_arr                  ) return t_axi4_sosi_arr;
 
   -- Functions to convert dp streaming to axi4 streaming
-  function func_axi4_stream_from_dp_sosi(dp_sosi : t_dp_sosi) return t_axi4_sosi;
-  function func_axi4_stream_from_dp_siso(dp_siso : t_dp_siso) return t_axi4_siso;
+  function func_axi4_stream_from_dp_sosi (dp_sosi : t_dp_sosi) return t_axi4_sosi;
+  function func_axi4_stream_from_dp_siso (dp_siso : t_dp_siso) return t_axi4_siso;
 
   -- Functions to convert dp streaming to axi4 streaming
-  function func_axi4_stream_to_dp_sosi(axi4_sosi : t_axi4_sosi) return t_dp_sosi;
-  function func_axi4_stream_to_dp_siso(axi4_siso : t_axi4_siso) return t_dp_siso;
+  function func_axi4_stream_to_dp_sosi (axi4_sosi : t_axi4_sosi) return t_dp_sosi;
+  function func_axi4_stream_to_dp_siso (axi4_siso : t_axi4_siso) return t_dp_siso;
 
   -- Function to derive DP empty from AXI4 tkeep by counting the 0s in TKEEP.
-  function func_axi4_stream_tkeep_to_dp_empty(tkeep : std_logic_vector) return std_logic_vector;
+  function func_axi4_stream_tkeep_to_dp_empty (tkeep : std_logic_vector) return std_logic_vector;
 
 end axi4_stream_pkg;
 
@@ -197,11 +201,12 @@ end axi4_stream_pkg;
 package body axi4_stream_pkg is
 
   -- Check sosi.valid against siso.ready
-  procedure proc_axi4_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_axi4_sosi;
-                               signal   siso            : in    t_axi4_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_axi4_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_axi4_sosi;
+    signal   siso            : in    t_axi4_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     ready_reg(0) <= siso.tready;
     -- Register siso.ready in c_ready_latency registers
@@ -215,20 +220,22 @@ package body axi4_stream_pkg is
   end proc_axi4_siso_alert;
 
   -- Default RL=1
-  procedure proc_axi4_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_axi4_sosi;
-                               signal   siso            : in    t_axi4_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_axi4_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_axi4_sosi;
+    signal   siso            : in    t_axi4_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_axi4_siso_alert(1, clk, sosi, siso, ready_reg);
   end proc_axi4_siso_alert;
 
   -- SOSI/SISO array version
-  procedure proc_axi4_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_axi4_sosi_arr;
-                               signal   siso_arr        : in    t_axi4_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_axi4_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_axi4_sosi_arr;
+    signal   siso_arr        : in    t_axi4_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     for i in 0 to sosi_arr'length - 1 loop
       ready_reg(i * (c_ready_latency + 1)) <= siso_arr(i).tready;  -- SLV is used as an array: nof_streams*(0..c_ready_latency)
@@ -246,16 +253,17 @@ package body axi4_stream_pkg is
   end proc_axi4_siso_alert;
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_axi4_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_axi4_sosi_arr;
-                               signal   siso_arr        : in    t_axi4_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_axi4_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_axi4_sosi_arr;
+    signal   siso_arr        : in    t_axi4_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_axi4_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
   end proc_axi4_siso_alert;
 
   -- Keep part of head data and combine part of tail data
-  function func_axi4_data_shift_first(head_sosi, tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_axi4_sosi is
+  function func_axi4_data_shift_first (head_sosi, tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_axi4_sosi is
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_axi4_sosi;
   begin
@@ -272,7 +280,7 @@ package body axi4_stream_pkg is
 
 
   -- Shift and combine part of previous data and this data,
-  function func_axi4_data_shift(prev_sosi, this_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_axi4_sosi is
+  function func_axi4_data_shift (prev_sosi, this_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_axi4_sosi is
     variable vK     : natural := nof_symbols_from_this;
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_axi4_sosi;
@@ -305,7 +313,7 @@ package body axi4_stream_pkg is
 
 
   -- Shift part of tail data and account for input empty
-  function func_axi4_data_shift_last(tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_axi4_sosi is
+  function func_axi4_data_shift_last (tail_sosi : t_axi4_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_axi4_sosi is
     variable vK     : natural := nof_symbols_from_tail;
     variable vL     : natural := input_empty;
     variable vN     : natural := nof_symbols_per_data;
@@ -335,7 +343,7 @@ package body axi4_stream_pkg is
 
   -- Determine resulting empty if two streams are concatenated
   -- . both empty must use the same nof symbols per data
-  function func_axi4_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_axi4_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a := TO_UINT(head_empty);
@@ -347,7 +355,7 @@ package body axi4_stream_pkg is
     return TO_UVEC(v_empty, head_empty'length);
   end func_axi4_empty_concat;
 
-  function func_axi4_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_axi4_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a   := TO_UINT(input_empty);
@@ -362,7 +370,7 @@ package body axi4_stream_pkg is
 
 
   -- Multiplex the t_axi4_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_axi4_sosi_arr_mux(axi4 : t_axi4_sosi_arr) return t_axi4_sosi is
+  function func_axi4_sosi_arr_mux (axi4 : t_axi4_sosi_arr) return t_axi4_sosi is
     variable v_sosi : t_axi4_sosi := c_axi4_sosi_rst;
   begin
     for I in axi4'range loop
@@ -376,7 +384,7 @@ package body axi4_stream_pkg is
 
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_axi4_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_axi4_stream_arr_and(axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_axi4_stream_arr_and (axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(axi4'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -397,7 +405,7 @@ package body axi4_stream_pkg is
     end if;
   end func_axi4_stream_arr_and;
 
-  function func_axi4_stream_arr_and(axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_axi4_stream_arr_and (axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(axi4'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -418,19 +426,19 @@ package body axi4_stream_pkg is
     end if;
   end func_axi4_stream_arr_and;
 
-  function func_axi4_stream_arr_and(axi4 : t_axi4_siso_arr; str : string) return std_logic is
+  function func_axi4_stream_arr_and (axi4 : t_axi4_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(axi4'range) := (others => '1');
   begin
     return func_axi4_stream_arr_and(axi4, c_mask, str);
   end func_axi4_stream_arr_and;
 
-  function func_axi4_stream_arr_and(axi4 : t_axi4_sosi_arr; str : string) return std_logic is
+  function func_axi4_stream_arr_and (axi4 : t_axi4_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(axi4'range) := (others => '1');
   begin
     return func_axi4_stream_arr_and(axi4, c_mask, str);
   end func_axi4_stream_arr_and;
 
-  function func_axi4_stream_arr_or(axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_axi4_stream_arr_or (axi4 : t_axi4_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(axi4'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -451,7 +459,7 @@ package body axi4_stream_pkg is
     end if;
   end func_axi4_stream_arr_or;
 
-  function func_axi4_stream_arr_or(axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_axi4_stream_arr_or (axi4 : t_axi4_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(axi4'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -472,13 +480,13 @@ package body axi4_stream_pkg is
     end if;
   end func_axi4_stream_arr_or;
 
-  function func_axi4_stream_arr_or(axi4 : t_axi4_siso_arr; str : string) return std_logic is
+  function func_axi4_stream_arr_or (axi4 : t_axi4_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(axi4'range) := (others => '1');
   begin
     return func_axi4_stream_arr_or(axi4, c_mask, str);
   end func_axi4_stream_arr_or;
 
-  function func_axi4_stream_arr_or(axi4 : t_axi4_sosi_arr; str : string) return std_logic is
+  function func_axi4_stream_arr_or (axi4 : t_axi4_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(axi4'range) := (others => '1');
   begin
     return func_axi4_stream_arr_or(axi4, c_mask, str);
@@ -486,7 +494,7 @@ package body axi4_stream_pkg is
 
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_axi4_stream_arr_set(axi4 : t_axi4_siso_arr; slv : std_logic_vector; str : string) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_set (axi4 : t_axi4_siso_arr; slv : std_logic_vector; str : string) return t_axi4_siso_arr is
     variable v_axi4  : t_axi4_siso_arr(axi4'range)    := axi4;  -- default
     variable v_slv : std_logic_vector(axi4'range) := slv;  -- map to ensure same range as for axi4
   begin
@@ -498,7 +506,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_set;
 
-  function func_axi4_stream_arr_set(axi4 : t_axi4_sosi_arr; slv : std_logic_vector; str : string) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_set (axi4 : t_axi4_sosi_arr; slv : std_logic_vector; str : string) return t_axi4_sosi_arr is
     variable v_axi4  : t_axi4_sosi_arr(axi4'range)    := axi4;  -- default
     variable v_slv : std_logic_vector(axi4'range) := slv;  -- map to ensure same range as for axi4
   begin
@@ -510,19 +518,19 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_set;
 
-  function func_axi4_stream_arr_set(axi4 : t_axi4_siso_arr; sl : std_logic; str : string) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_set (axi4 : t_axi4_siso_arr; sl : std_logic; str : string) return t_axi4_siso_arr is
     variable v_slv : std_logic_vector(axi4'range) := (others => sl);
   begin
     return func_axi4_stream_arr_set(axi4, v_slv, str);
   end func_axi4_stream_arr_set;
 
-  function func_axi4_stream_arr_set(axi4 : t_axi4_sosi_arr; sl : std_logic; str : string) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_set (axi4 : t_axi4_sosi_arr; sl : std_logic; str : string) return t_axi4_sosi_arr is
     variable v_slv : std_logic_vector(axi4'range) := (others => sl);
   begin
     return func_axi4_stream_arr_set(axi4, v_slv, str);
   end func_axi4_stream_arr_set;
 
-  function func_axi4_stream_arr_get(axi4 : t_axi4_siso_arr; str : string) return std_logic_vector is
+  function func_axi4_stream_arr_get (axi4 : t_axi4_siso_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(axi4'range);
   begin
     for I in axi4'range loop
@@ -533,7 +541,7 @@ package body axi4_stream_pkg is
     return v_ctrl;
   end func_axi4_stream_arr_get;
 
-  function func_axi4_stream_arr_get(axi4 : t_axi4_sosi_arr; str : string) return std_logic_vector is
+  function func_axi4_stream_arr_get (axi4 : t_axi4_sosi_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(axi4'range);
   begin
     for I in axi4'range loop
@@ -546,7 +554,7 @@ package body axi4_stream_pkg is
 
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a, b : t_axi4_siso) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a, b : t_axi4_siso) return t_axi4_siso_arr is
     variable v_axi4 : t_axi4_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -559,7 +567,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_siso_arr; b : t_axi4_siso) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_siso_arr; b : t_axi4_siso) return t_axi4_siso_arr is
     variable v_axi4 : t_axi4_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -572,7 +580,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_siso; b : t_axi4_siso_arr) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_siso; b : t_axi4_siso_arr) return t_axi4_siso_arr is
     variable v_axi4 : t_axi4_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -585,7 +593,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a, b : t_axi4_siso_arr) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a, b : t_axi4_siso_arr) return t_axi4_siso_arr is
     variable v_axi4 : t_axi4_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -598,7 +606,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a, b : t_axi4_sosi) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a, b : t_axi4_sosi) return t_axi4_sosi_arr is
     variable v_axi4 : t_axi4_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -611,7 +619,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_sosi_arr; b : t_axi4_sosi) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_sosi_arr; b : t_axi4_sosi) return t_axi4_sosi_arr is
     variable v_axi4 : t_axi4_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -624,7 +632,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a : t_axi4_sosi; b : t_axi4_sosi_arr) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a : t_axi4_sosi; b : t_axi4_sosi_arr) return t_axi4_sosi_arr is
     variable v_axi4 : t_axi4_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -637,7 +645,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_select(sel : std_logic_vector; a, b : t_axi4_sosi_arr) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_select (sel : std_logic_vector; a, b : t_axi4_sosi_arr) return t_axi4_sosi_arr is
     variable v_axi4 : t_axi4_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -650,7 +658,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_select;
 
-  function func_axi4_stream_arr_reverse_range(in_arr : t_axi4_siso_arr) return t_axi4_siso_arr is
+  function func_axi4_stream_arr_reverse_range (in_arr : t_axi4_siso_arr) return t_axi4_siso_arr is
     variable v_to_range : t_axi4_siso_arr(0 to in_arr'high);
     variable v_downto_range : t_axi4_siso_arr(in_arr'high downto 0);
   begin
@@ -667,7 +675,7 @@ package body axi4_stream_pkg is
     end if;
   end func_axi4_stream_arr_reverse_range;
 
-  function func_axi4_stream_arr_reverse_range(in_arr : t_axi4_sosi_arr) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_reverse_range (in_arr : t_axi4_sosi_arr) return t_axi4_sosi_arr is
     variable v_to_range : t_axi4_sosi_arr(0 to in_arr'high);
     variable v_downto_range : t_axi4_sosi_arr(in_arr'high downto 0);
   begin
@@ -685,7 +693,7 @@ package body axi4_stream_pkg is
   end func_axi4_stream_arr_reverse_range;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_axi4_stream_arr_set_control(axi4 : t_axi4_sosi_arr; ctrl : t_axi4_sosi) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_set_control (axi4 : t_axi4_sosi_arr; ctrl : t_axi4_sosi) return t_axi4_sosi_arr is
     variable v_axi4 : t_axi4_sosi_arr(axi4'range) := axi4;  -- hold sosi data
   begin
     for I in axi4'range loop  -- set sosi control
@@ -696,7 +704,7 @@ package body axi4_stream_pkg is
     return v_axi4;
   end func_axi4_stream_arr_set_control;
 
-  function func_axi4_stream_arr_reset_control(axi4 : t_axi4_sosi_arr) return t_axi4_sosi_arr is
+  function func_axi4_stream_arr_reset_control (axi4 : t_axi4_sosi_arr) return t_axi4_sosi_arr is
     variable v_axi4 : t_axi4_sosi_arr(axi4'range) := axi4;  -- hold sosi data
   begin
     for I in axi4'range loop  -- reset sosi control
@@ -706,7 +714,7 @@ package body axi4_stream_pkg is
   end func_axi4_stream_arr_reset_control;
 
   -- Functions to convert dp streaming to axi4 streaming
-  function func_axi4_stream_from_dp_sosi(dp_sosi : t_dp_sosi) return t_axi4_sosi is
+  function func_axi4_stream_from_dp_sosi (dp_sosi : t_dp_sosi) return t_axi4_sosi is
     constant c_max_empty_w : natural := ceil_log2(c_axi4_stream_keep_w);
     variable v_axi4_sosi   : t_axi4_sosi := c_axi4_sosi_rst;
     variable v_empty_int   : natural := 0;
@@ -730,7 +738,7 @@ package body axi4_stream_pkg is
     return v_axi4_sosi;
   end func_axi4_stream_from_dp_sosi;
 
-  function func_axi4_stream_from_dp_siso(dp_siso : t_dp_siso) return t_axi4_siso is
+  function func_axi4_stream_from_dp_siso (dp_siso : t_dp_siso) return t_axi4_siso is
     variable v_axi4_siso : t_axi4_siso := c_axi4_siso_rst;
   -- Note that dp_siso.xon is not used.
   begin
@@ -739,7 +747,7 @@ package body axi4_stream_pkg is
   end func_axi4_stream_from_dp_siso;
 
   -- Functions to convert dp streaming to axi4 streaming
-  function func_axi4_stream_to_dp_sosi(axi4_sosi : t_axi4_sosi) return t_dp_sosi is
+  function func_axi4_stream_to_dp_sosi (axi4_sosi : t_axi4_sosi) return t_dp_sosi is
     variable v_dp_sosi : t_dp_sosi := c_dp_sosi_rst;
   begin
     v_dp_sosi.data(c_axi4_stream_data_w - 1 downto 0) := axi4_sosi.tdata;
@@ -755,7 +763,7 @@ package body axi4_stream_pkg is
     return v_dp_sosi;
   end func_axi4_stream_to_dp_sosi;
 
-  function func_axi4_stream_to_dp_siso(axi4_siso : t_axi4_siso) return t_dp_siso is
+  function func_axi4_stream_to_dp_siso (axi4_siso : t_axi4_siso) return t_dp_siso is
     variable v_dp_siso : t_dp_siso := c_dp_siso_rdy;
   begin
     v_dp_siso.ready := axi4_siso.tready;
@@ -766,7 +774,7 @@ package body axi4_stream_pkg is
   -- Function to derive DP empty from AXI4 tkeep by counting the 0s in TKEEP.
   -- This function assumes that only the the last bytes in an AXI4 data element are set to be null by TKEEP.
   -- e.g. TKEEP = 11111000 will be valid but TKEEP = 11011111 is not.
-  function func_axi4_stream_tkeep_to_dp_empty(tkeep : std_logic_vector) return std_logic_vector is
+  function func_axi4_stream_tkeep_to_dp_empty (tkeep : std_logic_vector) return std_logic_vector is
     variable v_count : natural := 0;
   begin
     for I in tkeep'range loop
diff --git a/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd b/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd
index d2cdd4decc..234a664a09 100644
--- a/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd
+++ b/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd
@@ -43,16 +43,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_str_pkg.all;
-use work.axi4_lite_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use work.axi4_lite_pkg.all;
 
 entity tb_axi4_lite_mm_bridge is
 end tb_axi4_lite_mm_bridge;
@@ -62,11 +62,13 @@ architecture tb of tb_axi4_lite_mm_bridge is
   constant c_mm_clk_period   : time := 40 ns;
   constant c_reset_len       : natural := 4;
 
-  constant c_mm_usr_ram      : t_c_mem :=   (latency    => 1,
-                                             adr_w      => 5,
-                                             dat_w      => 8,
-                                             nof_dat    => 32,
-                                             init_sl    => '0');
+  constant c_mm_usr_ram      : t_c_mem :=   (
+    latency    => 1,
+    adr_w      => 5,
+    dat_w      => 8,
+    nof_dat    => 32,
+    init_sl    => '0'
+  );
   constant c_offset          : natural := 57;  -- Some value to offset the counter data written to ram.
 
   signal mm_rst              : std_logic;
@@ -90,50 +92,50 @@ begin
 
   -- DUT
   u_axi4_lite_mm_bridge : entity work.axi4_lite_mm_bridge
-  port map (
-     in_clk        => mm_clk,
-     in_rst        => mm_rst,
-
-     axi4_in_copi  => axi_copi,
-     axi4_in_cipo  => axi_cipo,
-     mm_out_copi   => mm_out_copi,
-     mm_out_cipo   => mm_out_cipo,
-     mm_in_copi    => mm_in_copi,
-     mm_in_cipo    => mm_in_cipo,
-     axi4_out_copi => axi_copi,
-     axi4_out_cipo => axi_cipo
-  );
+    port map (
+      in_clk        => mm_clk,
+      in_rst        => mm_rst,
+
+      axi4_in_copi  => axi_copi,
+      axi4_in_cipo  => axi_cipo,
+      mm_out_copi   => mm_out_copi,
+      mm_out_cipo   => mm_out_cipo,
+      mm_in_copi    => mm_in_copi,
+      mm_in_cipo    => mm_in_cipo,
+      axi4_out_copi => axi_copi,
+      axi4_out_cipo => axi_cipo
+    );
 
   -- Provide waitrequest stimuli to model a peripheral with MM flow control.
   u_waitrequest_model : entity mm_lib.mm_waitrequest_model
-  generic map (
-    g_waitrequest => true,
-    g_seed        => c_offset
-  )
-  port map (
-    mm_clk     => mm_clk,
-    bus_mosi   => mm_out_copi,
-    bus_miso   => mm_out_cipo,
-    slave_mosi => ram_copi,
-    slave_miso => ram_cipo
-  );
+    generic map (
+      g_waitrequest => true,
+      g_seed        => c_offset
+    )
+    port map (
+      mm_clk     => mm_clk,
+      bus_mosi   => mm_out_copi,
+      bus_miso   => mm_out_cipo,
+      slave_mosi => ram_copi,
+      slave_miso => ram_cipo
+    );
   -- Use common ram as a MM peripherpal.
   u_ram : entity common_lib.common_ram_r_w
-  generic map (
-    g_ram     => c_mm_usr_ram
-  )
-  port map (
-    rst       => mm_rst,
-    clk       => mm_clk,
-    clken     => '1',
-    wr_en     => ram_copi.wr,
-    wr_dat    => ram_copi.wrdata(c_mm_usr_ram.dat_w - 1 downto 0),
-    wr_adr    => ram_copi.address(c_mm_usr_ram.adr_w - 1 downto 0),
-    rd_en     => ram_copi.rd,
-    rd_adr    => ram_copi.address(c_mm_usr_ram.adr_w - 1 downto 0),
-    rd_dat    => ram_cipo.rddata(c_mm_usr_ram.dat_w - 1 downto 0),
-    rd_val    => ram_cipo.rdval
-  );
+    generic map (
+      g_ram     => c_mm_usr_ram
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+      clken     => '1',
+      wr_en     => ram_copi.wr,
+      wr_dat    => ram_copi.wrdata(c_mm_usr_ram.dat_w - 1 downto 0),
+      wr_adr    => ram_copi.address(c_mm_usr_ram.adr_w - 1 downto 0),
+      rd_en     => ram_copi.rd,
+      rd_adr    => ram_copi.address(c_mm_usr_ram.adr_w - 1 downto 0),
+      rd_dat    => ram_cipo.rddata(c_mm_usr_ram.dat_w - 1 downto 0),
+      rd_val    => ram_cipo.rdval
+    );
 
   -- Testbench writes/reads a number of words to/from memory through the axi4_lite_mm_bridge.
   -- This tests the interface MM <-> AXI4-Lite <-> MM.
diff --git a/libraries/base/axi4/tb/vhdl/tb_axi4_stream_dp_bridge.vhd b/libraries/base/axi4/tb/vhdl/tb_axi4_stream_dp_bridge.vhd
index 6f715815a1..ae45e65c8d 100644
--- a/libraries/base/axi4/tb/vhdl/tb_axi4_stream_dp_bridge.vhd
+++ b/libraries/base/axi4/tb/vhdl/tb_axi4_stream_dp_bridge.vhd
@@ -26,12 +26,12 @@
 -- DP stream. The resulting DP stream is verified.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.axi4_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.axi4_stream_pkg.all;
 
 entity tb_axi4_stream_dp_bridge is
   generic (
@@ -168,26 +168,26 @@ begin
   dut_sosi.eop                          <= in_eop;
 
   dut : entity work.axi4_stream_dp_bridge
-  generic map (
-    g_use_empty => true,
-    g_axi4_rl   => g_axi4_rl,
-    g_dp_rl     => g_dp_rl
-  )
-  port map (
-    in_rst    => rst,
-    in_clk    => clk,
-    -- ST sink
-    dp_in_siso => dut_siso,
-    dp_in_sosi => dut_sosi,
-    -- ST source
-    dp_out_siso => dut_out_siso,
-    dp_out_sosi => dut_out_sosi,
-    -- AXI4 Loopback
-    axi4_in_sosi => dut_axi4_sosi,
-    axi4_in_siso => dut_axi4_siso,
-    axi4_out_sosi => dut_axi4_sosi,
-    axi4_out_siso => dut_axi4_siso
-  );
+    generic map (
+      g_use_empty => true,
+      g_axi4_rl   => g_axi4_rl,
+      g_dp_rl     => g_dp_rl
+    )
+    port map (
+      in_rst    => rst,
+      in_clk    => clk,
+      -- ST sink
+      dp_in_siso => dut_siso,
+      dp_in_sosi => dut_sosi,
+      -- ST source
+      dp_out_siso => dut_out_siso,
+      dp_out_sosi => dut_out_sosi,
+      -- AXI4 Loopback
+      axi4_in_sosi => dut_axi4_sosi,
+      axi4_in_siso => dut_axi4_siso,
+      axi4_out_sosi => dut_axi4_sosi,
+      axi4_out_siso => dut_axi4_siso
+    );
 
   -- map record to sl, slv
   dut_out_siso.ready <= out_ready;  -- SISO
diff --git a/libraries/base/axi4/tb/vhdl/tb_tb_axi4_stream_dp_bridge.vhd b/libraries/base/axi4/tb/vhdl/tb_tb_axi4_stream_dp_bridge.vhd
index c1b95ec757..0404249490 100644
--- a/libraries/base/axi4/tb/vhdl/tb_tb_axi4_stream_dp_bridge.vhd
+++ b/libraries/base/axi4/tb/vhdl/tb_tb_axi4_stream_dp_bridge.vhd
@@ -25,7 +25,7 @@
 --   ready-latency configurations.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_axi4_stream_dp_bridge is
diff --git a/libraries/base/common/src/vhdl/avs_common_mm.vhd b/libraries/base/common/src/vhdl/avs_common_mm.vhd
index fb5f3f1a7e..a14f249c79 100644
--- a/libraries/base/common/src/vhdl/avs_common_mm.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_mm.vhd
@@ -30,7 +30,7 @@
 --   typically 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm is
   generic (
diff --git a/libraries/base/common/src/vhdl/avs_common_mm_irq.vhd b/libraries/base/common/src/vhdl/avs_common_mm_irq.vhd
index 297b27dc3a..12c17bb954 100644
--- a/libraries/base/common/src/vhdl/avs_common_mm_irq.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_mm_irq.vhd
@@ -27,7 +27,7 @@
 -- . The avs_common_mm_irq_hw.tcl determines the read latency, which is 1.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm_irq is
   generic (
diff --git a/libraries/base/common/src/vhdl/avs_common_mm_readlatency0.vhd b/libraries/base/common/src/vhdl/avs_common_mm_readlatency0.vhd
index de9a302e22..65d52f3ef2 100644
--- a/libraries/base/common/src/vhdl/avs_common_mm_readlatency0.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_mm_readlatency0.vhd
@@ -29,7 +29,7 @@
 --   Read latency 0 implies that the MM bus needs to use the waitrequest signal.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm_readlatency0 is
   generic (
diff --git a/libraries/base/common/src/vhdl/avs_common_mm_readlatency2.vhd b/libraries/base/common/src/vhdl/avs_common_mm_readlatency2.vhd
index f88458d859..54440bc614 100644
--- a/libraries/base/common/src/vhdl/avs_common_mm_readlatency2.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_mm_readlatency2.vhd
@@ -28,7 +28,7 @@
 --   avs_common_mm_hw.tcl.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm_readlatency2 is
   generic (
diff --git a/libraries/base/common/src/vhdl/avs_common_mm_readlatency4.vhd b/libraries/base/common/src/vhdl/avs_common_mm_readlatency4.vhd
index 3d6d8a6cb4..115ad78013 100644
--- a/libraries/base/common/src/vhdl/avs_common_mm_readlatency4.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_mm_readlatency4.vhd
@@ -28,7 +28,7 @@
 --   avs_common_mm_hw.tcl.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity avs_common_mm_readlatency4 is
   generic (
diff --git a/libraries/base/common/src/vhdl/avs_common_ram_crw_crw.vhd b/libraries/base/common/src/vhdl/avs_common_ram_crw_crw.vhd
index 72762cb6dc..fdfde32fce 100644
--- a/libraries/base/common/src/vhdl/avs_common_ram_crw_crw.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_ram_crw_crw.vhd
@@ -33,8 +33,8 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
 
 entity avs_common_ram_crw_crw is
   generic (  -- t_c_mem := (c_mem_ram_rd_latency, 10,  9, 2**10, 'X');  -- 1 M9K
@@ -76,28 +76,28 @@ begin
 
   u_common_ram_crw_crw : entity work.common_ram_crw_crw
     generic map(
-     g_ram       => c_avs_memrec,
-     g_init_file => g_init_file
+      g_ram       => c_avs_memrec,
+      g_init_file => g_init_file
     )
     port map(
-     rst_a     => csi_system_reset,
-     rst_b     => coe_rst_export,
-     clk_a     => csi_system_clk,
-     clk_b     => coe_clk_export,
-     clken_a   => '1',
-     clken_b   => '1',
-     wr_en_a   => avs_ram_write,
-     wr_en_b   => coe_wr_en_export,
-     wr_dat_a  => avs_ram_writedata,
-     wr_dat_b  => coe_wr_dat_export,
-     adr_a     => avs_ram_address,
-     adr_b     => coe_adr_export,
-     rd_en_a   => avs_ram_read,
-     rd_en_b   => coe_rd_en_export,
-     rd_dat_a  => avs_ram_readdata,
-     rd_dat_b  => coe_rd_dat_export,
-     rd_val_a  => OPEN,
-     rd_val_b  => coe_rd_val_export
+      rst_a     => csi_system_reset,
+      rst_b     => coe_rst_export,
+      clk_a     => csi_system_clk,
+      clk_b     => coe_clk_export,
+      clken_a   => '1',
+      clken_b   => '1',
+      wr_en_a   => avs_ram_write,
+      wr_en_b   => coe_wr_en_export,
+      wr_dat_a  => avs_ram_writedata,
+      wr_dat_b  => coe_wr_dat_export,
+      adr_a     => avs_ram_address,
+      adr_b     => coe_adr_export,
+      rd_en_a   => avs_ram_read,
+      rd_en_b   => coe_rd_en_export,
+      rd_dat_a  => avs_ram_readdata,
+      rd_dat_b  => coe_rd_dat_export,
+      rd_val_a  => OPEN,
+      rd_val_b  => coe_rd_val_export
     );
 
 end wrap;
diff --git a/libraries/base/common/src/vhdl/avs_common_reg_r_w.vhd b/libraries/base/common/src/vhdl/avs_common_reg_r_w.vhd
index 741bec4515..79728a9d83 100644
--- a/libraries/base/common/src/vhdl/avs_common_reg_r_w.vhd
+++ b/libraries/base/common/src/vhdl/avs_common_reg_r_w.vhd
@@ -33,9 +33,9 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 entity avs_common_reg_r_w is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_acapture.vhd b/libraries/base/common/src/vhdl/common_acapture.vhd
index ab60634803..c2eac15468 100644
--- a/libraries/base/common/src/vhdl/common_acapture.vhd
+++ b/libraries/base/common/src/vhdl/common_acapture.vhd
@@ -38,8 +38,8 @@
 --   = 1 could be used.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity common_acapture is
   generic (
@@ -68,29 +68,29 @@ begin
 
   -- pipeline input (all in input clock domain)
   u_async_in : entity work.common_async
-  generic map (
-    g_rst_level => g_rst_level,
-    g_delay_len => g_in_delay_len
-  )
-  port map (
-    rst  => in_rst,
-    clk  => in_clk,
-    din  => in_dat,
-    dout => i_in_cap
-  );
+    generic map (
+      g_rst_level => g_rst_level,
+      g_delay_len => g_in_delay_len
+    )
+    port map (
+      rst  => in_rst,
+      clk  => in_clk,
+      din  => in_dat,
+      dout => i_in_cap
+    );
 
   -- capture input into output clock domain with first FF, and
   -- additional pipeline output with extra FF when g_out_delay_len > 1 to combat potential meta-stability
   u_async_out : entity work.common_async
-  generic map (
-    g_rst_level => g_rst_level,
-    g_delay_len => g_out_delay_len
-  )
-  port map (
-    rst  => in_rst,
-    clk  => out_clk,
-    din  => i_in_cap,
-    dout => out_cap
-  );
+    generic map (
+      g_rst_level => g_rst_level,
+      g_delay_len => g_out_delay_len
+    )
+    port map (
+      rst  => in_rst,
+      clk  => out_clk,
+      din  => i_in_cap,
+      dout => out_cap
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_acapture_slv.vhd b/libraries/base/common/src/vhdl/common_acapture_slv.vhd
index c36ff80608..76c81ce786 100644
--- a/libraries/base/common/src/vhdl/common_acapture_slv.vhd
+++ b/libraries/base/common/src/vhdl/common_acapture_slv.vhd
@@ -27,8 +27,8 @@
 --   fit in 1 LAB if in_dat'LENGTH <= 10.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity common_acapture_slv is
   generic (
@@ -55,19 +55,19 @@ begin
 
   gen_slv: for I in in_dat'range generate
     u_acap : entity work.common_acapture
-    generic map (
-      g_rst_level     => g_rst_level,
-      g_in_delay_len  => g_in_delay_len,
-      g_out_delay_len => g_out_delay_len
-    )
-    port map (
-      in_rst  => in_rst,
-      in_clk  => in_clk,
-      in_dat  => in_dat(I),
-      in_cap  => in_cap(I),
-      out_clk => out_clk,
-      out_cap => out_cap(I)
-    );
+      generic map (
+        g_rst_level     => g_rst_level,
+        g_in_delay_len  => g_in_delay_len,
+        g_out_delay_len => g_out_delay_len
+      )
+      port map (
+        in_rst  => in_rst,
+        in_clk  => in_clk,
+        in_dat  => in_dat(I),
+        in_cap  => in_cap(I),
+        out_clk => out_clk,
+        out_cap => out_cap(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_accumulate.vhd b/libraries/base/common/src/vhdl/common_accumulate.vhd
index f3a2fb991f..6827504cd2 100644
--- a/libraries/base/common/src/vhdl/common_accumulate.vhd
+++ b/libraries/base/common/src/vhdl/common_accumulate.vhd
@@ -26,9 +26,9 @@
 --   active.
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use work.common_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use work.common_pkg.all;
 
 entity common_accumulate is
   generic (
@@ -48,9 +48,9 @@ end common_accumulate;
 
 architecture rtl of common_accumulate is
 
- constant c_acc_w : natural := out_dat'length;
+  constant c_acc_w : natural := out_dat'length;
 
- signal result : std_logic_vector(c_acc_w - 1 downto 0);
+  signal result : std_logic_vector(c_acc_w - 1 downto 0);
 
 begin
 
diff --git a/libraries/base/common/src/vhdl/common_add_sub.vhd b/libraries/base/common/src/vhdl/common_add_sub.vhd
index 88f16152e0..360d0dfe19 100644
--- a/libraries/base/common/src/vhdl/common_add_sub.vhd
+++ b/libraries/base/common/src/vhdl/common_add_sub.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_add_sub is
   generic (
@@ -84,17 +84,17 @@ begin
   end generate;
 
   u_output_pipe : entity work.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline_output,  -- 0 for wires, >0 for register stages
-    g_in_dat_w       => result'LENGTH,
-    g_out_dat_w      => result'length
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => result_p(result'range),
-    out_dat => result
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline_output,  -- 0 for wires, >0 for register stages
+      g_in_dat_w       => result'LENGTH,
+      g_out_dat_w      => result'length
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => result_p(result'range),
+      out_dat => result
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_add_symbol.vhd b/libraries/base/common/src/vhdl/common_add_symbol.vhd
index 9cc606cd2b..7aeedc6e55 100644
--- a/libraries/base/common/src/vhdl/common_add_symbol.vhd
+++ b/libraries/base/common/src/vhdl/common_add_symbol.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Per symbol add of the two input data stream
 -- Description:
@@ -83,50 +83,50 @@ begin
 
   -- pipeline data output
   u_out_data : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => g_nof_symbols * g_symbol_w,
-    g_out_dat_w => g_nof_symbols * g_symbol_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => sum_data,
-    out_dat => out_data
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => g_nof_symbols * g_symbol_w,
+      g_out_dat_w => g_nof_symbols * g_symbol_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => sum_data,
+      out_dat => out_data
+    );
 
   -- pipeline control output
   u_out_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => out_val
+    );
 
   u_out_sop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sop,
-    out_dat => out_sop
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sop,
+      out_dat => out_sop
+    );
 
   u_out_eop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_eop,
-    out_dat => out_eop
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_eop,
+      out_dat => out_eop
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_adder_staged.vhd b/libraries/base/common/src/vhdl/common_adder_staged.vhd
index a9ad2faf48..dea48a4bfe 100644
--- a/libraries/base/common/src/vhdl/common_adder_staged.vhd
+++ b/libraries/base/common/src/vhdl/common_adder_staged.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Status:
 -- . Compiles OK, but still needs to be functionally verified with a test bench.
@@ -96,34 +96,34 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipe_a : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => g_pipeline_input,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_dat_w,
-    g_out_dat_w      => g_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_dat_a,
-    out_dat => reg_dat_a
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => g_pipeline_input,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_dat_w,
+      g_out_dat_w      => g_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_dat_a,
+      out_dat => reg_dat_a
+    );
 
   u_pipe_b : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => g_pipeline_input,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_dat_w,
-    g_out_dat_w      => g_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_dat_b,
-    out_dat => reg_dat_b
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => g_pipeline_input,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_dat_w,
+      g_out_dat_w      => g_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_dat_b,
+      out_dat => reg_dat_b
+    );
 
   ------------------------------------------------------------------------------
   -- Multiple adder sections (g_adder_w < g_dat_w)
@@ -172,28 +172,6 @@ begin
       m_b(0, SECTION) <= vec_dat_b((SECTION + 1) * g_adder_w - 1 downto SECTION * g_adder_w);
 
       u_stage_add_input : entity common_lib.common_add_sub
-      generic map (
-        g_direction       => "ADD",
-        g_representation  => "UNSIGNED",  -- must treat the sections as unsigned
-        g_pipeline_input  => 0,
-        g_pipeline_output => 1,
-        g_in_dat_w        => g_adder_w,
-        g_out_dat_w       => g_adder_w + 1
-      )
-      port map (
-        clk     => clk,
-        clken   => clken,
-        in_a    => m_a(0, SECTION),
-        in_b    => m_b(0, SECTION),
-        result  => m_sum(0, SECTION)
-      );
-
-      gen_stage : for STAGE in 1 to c_nof_adder - 1 generate
-        m_a(STAGE, SECTION) <=             m_sum(STAGE-1, SECTION  )(g_adder_w - 1 downto 0);  -- sum from preceding stage
-        m_b(STAGE, SECTION) <= RESIZE_UVEC(m_sum(STAGE-1, SECTION - 1)(g_adder_w), g_adder_w);  -- carry from less significant section in preceding stage
-
-        -- Adder stages to add and propagate the carry for each section
-        u_add_carry : entity common_lib.common_add_sub
         generic map (
           g_direction       => "ADD",
           g_representation  => "UNSIGNED",  -- must treat the sections as unsigned
@@ -205,10 +183,32 @@ begin
         port map (
           clk     => clk,
           clken   => clken,
-          in_a    => m_a(STAGE, SECTION),
-          in_b    => m_b(STAGE, SECTION),  -- + carry 0 or 1 from the less significant adder section
-          result  => m_sum(STAGE, SECTION)
+          in_a    => m_a(0, SECTION),
+          in_b    => m_b(0, SECTION),
+          result  => m_sum(0, SECTION)
         );
+
+      gen_stage : for STAGE in 1 to c_nof_adder - 1 generate
+        m_a(STAGE, SECTION) <=             m_sum(STAGE-1, SECTION  )(g_adder_w - 1 downto 0);  -- sum from preceding stage
+        m_b(STAGE, SECTION) <= RESIZE_UVEC(m_sum(STAGE-1, SECTION - 1)(g_adder_w), g_adder_w);  -- carry from less significant section in preceding stage
+
+        -- Adder stages to add and propagate the carry for each section
+        u_add_carry : entity common_lib.common_add_sub
+          generic map (
+            g_direction       => "ADD",
+            g_representation  => "UNSIGNED",  -- must treat the sections as unsigned
+            g_pipeline_input  => 0,
+            g_pipeline_output => 1,
+            g_in_dat_w        => g_adder_w,
+            g_out_dat_w       => g_adder_w + 1
+          )
+          port map (
+            clk     => clk,
+            clken   => clken,
+            in_a    => m_a(STAGE, SECTION),
+            in_b    => m_b(STAGE, SECTION),  -- + carry 0 or 1 from the less significant adder section
+            result  => m_sum(STAGE, SECTION)
+          );
       end generate;
 
       -- map the adder sections from the last stage to the output to slv
@@ -217,19 +217,19 @@ begin
 
     -- Rest output pipeline
     u_out_val : entity common_lib.common_pipeline
-    generic map (
-      g_representation => "UNSIGNED",
-      g_pipeline       => g_pipeline_output - c_nof_adder,
-      g_reset_value    => 0,
-      g_in_dat_w       => g_dat_w,
-      g_out_dat_w      => g_dat_w
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => vec_add(g_dat_w - 1 downto 0),  -- resize length of multiple g_adder_w back to g_dat_w width
-      out_dat => out_dat
-    );
+      generic map (
+        g_representation => "UNSIGNED",
+        g_pipeline       => g_pipeline_output - c_nof_adder,
+        g_reset_value    => 0,
+        g_in_dat_w       => g_dat_w,
+        g_out_dat_w      => g_dat_w
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => vec_add(g_dat_w - 1 downto 0),  -- resize length of multiple g_adder_w back to g_dat_w width
+        out_dat => out_dat
+      );
   end generate;
 
 
@@ -238,19 +238,19 @@ begin
   ------------------------------------------------------------------------------
 
   u_out_val : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => 1,
-    g_out_dat_w      => 1
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_val_slv,
-    out_dat => out_val_slv
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => 1,
+      g_out_dat_w      => 1
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_val_slv,
+      out_dat => out_val_slv
+    );
 
   in_val_slv(0) <= in_val;
   out_val       <= out_val_slv(0);
diff --git a/libraries/base/common/src/vhdl/common_adder_tree.vhd b/libraries/base/common/src/vhdl/common_adder_tree.vhd
index 067ef08302..66940bc807 100644
--- a/libraries/base/common/src/vhdl/common_adder_tree.vhd
+++ b/libraries/base/common/src/vhdl/common_adder_tree.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose: Parallel adder tree.
 -- Description:
diff --git a/libraries/base/common/src/vhdl/common_adder_tree_a_recursive.vhd b/libraries/base/common/src/vhdl/common_adder_tree_a_recursive.vhd
index 71fe3fb65c..876d0d10ff 100644
--- a/libraries/base/common/src/vhdl/common_adder_tree_a_recursive.vhd
+++ b/libraries/base/common/src/vhdl/common_adder_tree_a_recursive.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 architecture recursive of common_adder_tree is
 
@@ -33,7 +33,7 @@ architecture recursive of common_adder_tree is
   constant c_nof_h2       : natural := g_nof_inputs - g_nof_inputs / 2;  -- upper half
 
   -- The h1 branch needs an extra dummy stage when c_nof_h1 is a power of 2 AND c_nof_h2=c_nof_h1+1
-  function func_stage_h1(h1, h2 : natural) return boolean is
+  function func_stage_h1 (h1, h2 : natural) return boolean is
     variable v_ret : boolean := false;
   begin
     if h1 > 1 then
@@ -80,69 +80,69 @@ begin
 
   leaf_pipe : if g_nof_inputs = 1 generate
     u_reg : entity work.common_pipeline
-    generic map (
-      g_representation => g_representation,
-      g_pipeline       => g_pipeline,
-      g_in_dat_w       => g_dat_w,
-      g_out_dat_w      => g_dat_w + 1
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => in_dat,
-      out_dat => result
-    );
+      generic map (
+        g_representation => g_representation,
+        g_pipeline       => g_pipeline,
+        g_in_dat_w       => g_dat_w,
+        g_out_dat_w      => g_dat_w + 1
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => in_dat,
+        out_dat => result
+      );
   end generate;
 
   leaf_add : if g_nof_inputs = 2 generate
     u_add : entity work.common_add_sub
-    generic map (
-      g_direction       => "ADD",
-      g_representation  => g_representation,
-      g_pipeline_input  => c_pipeline_in,
-      g_pipeline_output => c_pipeline_out,
-      g_in_dat_w        => g_dat_w,
-      g_out_dat_w       => c_sum_w
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_a    => in_dat(  g_dat_w - 1 downto 0      ),
-      in_b    => in_dat(2 * g_dat_w - 1 downto g_dat_w),
-      result  => result
-    );
+      generic map (
+        g_direction       => "ADD",
+        g_representation  => g_representation,
+        g_pipeline_input  => c_pipeline_in,
+        g_pipeline_output => c_pipeline_out,
+        g_in_dat_w        => g_dat_w,
+        g_out_dat_w       => c_sum_w
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_a    => in_dat(  g_dat_w - 1 downto 0      ),
+        in_b    => in_dat(2 * g_dat_w - 1 downto g_dat_w),
+        result  => result
+      );
   end generate;
 
   gen_tree : if g_nof_inputs > 2 generate
     u_h1 : common_adder_tree
-    generic map (
-      g_representation => g_representation,
-      g_pipeline       => g_pipeline,
-      g_nof_inputs     => c_nof_h1,
-      g_dat_w          => g_dat_w,
-      g_sum_w          => c_sum_h1_w
-    )
-    port map (
-      clk    => clk,
-      clken  => clken,
-      in_dat => in_dat(c_nof_h1 * g_dat_w - 1 downto 0),
-      sum    => sum_h1
-    );
+      generic map (
+        g_representation => g_representation,
+        g_pipeline       => g_pipeline,
+        g_nof_inputs     => c_nof_h1,
+        g_dat_w          => g_dat_w,
+        g_sum_w          => c_sum_h1_w
+      )
+      port map (
+        clk    => clk,
+        clken  => clken,
+        in_dat => in_dat(c_nof_h1 * g_dat_w - 1 downto 0),
+        sum    => sum_h1
+      );
 
     u_h2 : common_adder_tree
-    generic map (
-      g_representation => g_representation,
-      g_pipeline       => g_pipeline,
-      g_nof_inputs     => c_nof_h2,
-      g_dat_w          => g_dat_w,
-      g_sum_w          => c_sum_h2_w
-    )
-    port map (
-      clk    => clk,
-      clken  => clken,
-      in_dat => in_dat(g_nof_inputs * g_dat_w - 1 downto c_nof_h1 * g_dat_w),
-      sum    => sum_h2
-    );
+      generic map (
+        g_representation => g_representation,
+        g_pipeline       => g_pipeline,
+        g_nof_inputs     => c_nof_h2,
+        g_dat_w          => g_dat_w,
+        g_sum_w          => c_sum_h2_w
+      )
+      port map (
+        clk    => clk,
+        clken  => clken,
+        in_dat => in_dat(g_nof_inputs * g_dat_w - 1 downto c_nof_h1 * g_dat_w),
+        sum    => sum_h2
+      );
 
     no_reg_h1 : if c_stage_h1 = false generate
       sum_h1_reg <= sum_h1;
@@ -150,36 +150,36 @@ begin
 
     gen_reg_h1 : if c_stage_h1 = true generate
       u_reg_h1 : entity work.common_pipeline
+        generic map (
+          g_representation => g_representation,
+          g_pipeline       => g_pipeline,
+          g_in_dat_w       => c_sum_h1_w,
+          g_out_dat_w      => c_sum_h2_w
+        )
+        port map (
+          clk     => clk,
+          clken   => clken,
+          in_dat  => sum_h1,
+          out_dat => sum_h1_reg
+        );
+    end generate;
+
+    trunk_add : entity work.common_add_sub
       generic map (
-        g_representation => g_representation,
-        g_pipeline       => g_pipeline,
-        g_in_dat_w       => c_sum_h1_w,
-        g_out_dat_w      => c_sum_h2_w
+        g_direction       => "ADD",
+        g_representation  => g_representation,
+        g_pipeline_input  => c_pipeline_in,
+        g_pipeline_output => c_pipeline_out,
+        g_in_dat_w        => c_sum_h_w,
+        g_out_dat_w       => c_sum_w
       )
       port map (
         clk     => clk,
         clken   => clken,
-        in_dat  => sum_h1,
-        out_dat => sum_h1_reg
+        in_a    => sum_h1_reg,
+        in_b    => sum_h2,
+        result  => result
       );
-    end generate;
-
-    trunk_add : entity work.common_add_sub
-    generic map (
-      g_direction       => "ADD",
-      g_representation  => g_representation,
-      g_pipeline_input  => c_pipeline_in,
-      g_pipeline_output => c_pipeline_out,
-      g_in_dat_w        => c_sum_h_w,
-      g_out_dat_w       => c_sum_w
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_a    => sum_h1_reg,
-      in_b    => sum_h2,
-      result  => result
-    );
   end generate;
 
   sum <= RESIZE_SVEC(result, g_sum_w) when g_representation = "SIGNED" else RESIZE_UVEC(result, g_sum_w);
diff --git a/libraries/base/common/src/vhdl/common_adder_tree_a_str.vhd b/libraries/base/common/src/vhdl/common_adder_tree_a_str.vhd
index 3c98a1d554..c16b1bc070 100644
--- a/libraries/base/common/src/vhdl/common_adder_tree_a_str.vhd
+++ b/libraries/base/common/src/vhdl/common_adder_tree_a_str.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 architecture str of common_adder_tree is
 
@@ -94,39 +94,39 @@ begin
     gen_stage : for j in 0 to c_nof_stages - 1 generate
       gen_add : for i in 0 to (c_N + (2**j) - 1) / (2**(j + 1)) - 1 generate
         u_addj : entity work.common_add_sub
-        generic map (
-          g_direction       => "ADD",
-          g_representation  => g_representation,
-          g_pipeline_input  => c_pipeline_in,
-          g_pipeline_output => c_pipeline_out,
-          g_in_dat_w        => c_w + j,
-          g_out_dat_w       => c_w + j + 1
-        )
-        port map (
-          clk     => clk,
-          clken   => clken,
-          in_a    => adds(j - 1)((2 * i + 1) * (c_w + j) - 1 downto (2 * i + 0) * (c_w + j)),
-          in_b    => adds(j - 1)((2 * i + 2) * (c_w + j) - 1 downto (2 * i + 1) * (c_w + j)),
-          result  => adds(j)((i + 1) * (c_w + j + 1) - 1 downto i * (c_w + j + 1))
-        );
+          generic map (
+            g_direction       => "ADD",
+            g_representation  => g_representation,
+            g_pipeline_input  => c_pipeline_in,
+            g_pipeline_output => c_pipeline_out,
+            g_in_dat_w        => c_w + j,
+            g_out_dat_w       => c_w + j + 1
+          )
+          port map (
+            clk     => clk,
+            clken   => clken,
+            in_a    => adds(j - 1)((2 * i + 1) * (c_w + j) - 1 downto (2 * i + 0) * (c_w + j)),
+            in_b    => adds(j - 1)((2 * i + 2) * (c_w + j) - 1 downto (2 * i + 1) * (c_w + j)),
+            result  => adds(j)((i + 1) * (c_w + j + 1) - 1 downto i * (c_w + j + 1))
+          );
       end generate;
 
       gen_pipe : if ((c_N + (2**j) - 1) / (2**j)) mod 2 /= 0 generate
         u_pipej : entity work.common_pipeline
-        generic map (
-          g_representation => g_representation,
-          g_pipeline       => g_pipeline,
-          g_in_dat_w       => c_w + j,
-          g_out_dat_w      => c_w + j + 1
-        )
-        port map (
-          clk     => clk,
-          clken   => clken,
-          in_dat  => adds(j - 1)((2 * ((c_N + (2**j) - 1) / (2**(j + 1))) + 1) * (c_w + j) - 1 downto
+          generic map (
+            g_representation => g_representation,
+            g_pipeline       => g_pipeline,
+            g_in_dat_w       => c_w + j,
+            g_out_dat_w      => c_w + j + 1
+          )
+          port map (
+            clk     => clk,
+            clken   => clken,
+            in_dat  => adds(j - 1)((2 * ((c_N + (2**j) - 1) / (2**(j + 1))) + 1) * (c_w + j) - 1 downto
                                (2 * ((c_N + (2**j) - 1) / (2**(j + 1))) + 0) * (c_w + j)),
-          out_dat => adds(j)(((c_N + (2**j) - 1) / (2**(j + 1)) + 1) * (c_w + j + 1) - 1 downto
+            out_dat => adds(j)(((c_N + (2**j) - 1) / (2**(j + 1)) + 1) * (c_w + j + 1) - 1 downto
                              ((c_N + (2**j) - 1) / (2**(j + 1))  ) * (c_w + j + 1))
-        );
+          );
       end generate;
     end generate;
 
@@ -141,18 +141,18 @@ begin
     -- g_dat_w+1 also for g_nof_inputs = 1, because we assume an adder stage
     -- that adds 0 to the single in_dat.
     u_reg : entity work.common_pipeline
-    generic map (
-      g_representation => g_representation,
-      g_pipeline       => g_pipeline,
-      g_in_dat_w       => g_dat_w,
-      g_out_dat_w      => g_sum_w
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => in_dat,
-      out_dat => sum
-    );
+      generic map (
+        g_representation => g_representation,
+        g_pipeline       => g_pipeline,
+        g_in_dat_w       => g_dat_w,
+        g_out_dat_w      => g_sum_w
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => in_dat,
+        out_dat => sum
+      );
   end generate;  -- no_tree
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_areset.vhd b/libraries/base/common/src/vhdl/common_areset.vhd
index 2430164b99..96d8e995ec 100644
--- a/libraries/base/common/src/vhdl/common_areset.vhd
+++ b/libraries/base/common/src/vhdl/common_areset.vhd
@@ -33,14 +33,14 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_areset is
   generic (
     g_in_rst_level : std_logic := '1';  -- = in_rst level
     g_rst_level    : std_logic := '1';  -- = out_rst level (keep original generic
-                                        --   name for backward compatibility)
+    --   name for backward compatibility)
     g_delay_len    : natural   := c_meta_delay_len
   );
   port (
@@ -63,15 +63,15 @@ begin
   i_rst <= in_rst when g_in_rst_level = '1' else not in_rst;
 
   u_async : entity work.common_async
-  generic map (
-    g_rst_level => c_out_rst_level,
-    g_delay_len => g_delay_len
-  )
-  port map (
-    rst  => i_rst,
-    clk  => clk,
-    din  => c_out_rst_level_n,
-    dout => out_rst
-  );
+    generic map (
+      g_rst_level => c_out_rst_level,
+      g_delay_len => g_delay_len
+    )
+    port map (
+      rst  => i_rst,
+      clk  => clk,
+      din  => c_out_rst_level_n,
+      dout => out_rst
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_async.vhd b/libraries/base/common/src/vhdl/common_async.vhd
index bbfe66d110..69d804f110 100644
--- a/libraries/base/common/src/vhdl/common_async.vhd
+++ b/libraries/base/common/src/vhdl/common_async.vhd
@@ -24,8 +24,8 @@
 --   The delay line combats the potential meta-stability of clocked in data.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity common_async is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_async_slv.vhd b/libraries/base/common/src/vhdl/common_async_slv.vhd
index a43771f1d9..53d2b87373 100644
--- a/libraries/base/common/src/vhdl/common_async_slv.vhd
+++ b/libraries/base/common/src/vhdl/common_async_slv.vhd
@@ -25,8 +25,8 @@
 -- Remark:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_async_slv is
   generic (
@@ -46,16 +46,16 @@ begin
 
   gen_slv: for I in dout'range generate
     u_common_async : entity work.common_async
-    generic map (
-      g_rst_level => g_rst_level,
-      g_delay_len => g_delay_len
-    )
-    port map (
-      rst  => rst,
-      clk  => clk,
-      din  => din(I),
-      dout => dout(I)
-    );
+      generic map (
+        g_rst_level => g_rst_level,
+        g_delay_len => g_delay_len
+      )
+      port map (
+        rst  => rst,
+        clk  => clk,
+        din  => din(I),
+        dout => dout(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_bit_delay.vhd b/libraries/base/common/src/vhdl/common_bit_delay.vhd
index bb7cc3dc95..3d422662fb 100644
--- a/libraries/base/common/src/vhdl/common_bit_delay.vhd
+++ b/libraries/base/common/src/vhdl/common_bit_delay.vhd
@@ -34,7 +34,7 @@
 --     to remove in_clr or to not use shift_reg(0) combinatorially.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity common_bit_delay is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_blockreg.vhd b/libraries/base/common/src/vhdl/common_blockreg.vhd
index c850060bf0..e92eebaa2a 100755
--- a/libraries/base/common/src/vhdl/common_blockreg.vhd
+++ b/libraries/base/common/src/vhdl/common_blockreg.vhd
@@ -37,10 +37,10 @@
 --     valid-dependent like the rest).
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_blockreg is
   generic (
@@ -84,25 +84,25 @@ begin
     out_val <= i_out_val;
 
     u_fifo : entity work.common_fifo_sc
-    generic map (
-      g_technology  => g_technology,
-      g_note_is_ful => false,
-      g_dat_w       => g_dat_w,
-      g_nof_words   => g_block_size+1
-    )
-    port map (
-      clk    => clk,
-      rst    => rst,
-
-      wr_dat => in_dat,
-      wr_req => in_val,
-
-      usedw  => usedw,
-      rd_req => rd_req,
-
-      rd_dat => out_dat,
-      rd_val => i_out_val
-    );
+      generic map (
+        g_technology  => g_technology,
+        g_note_is_ful => false,
+        g_dat_w       => g_dat_w,
+        g_nof_words   => g_block_size+1
+      )
+      port map (
+        clk    => clk,
+        rst    => rst,
+
+        wr_dat => in_dat,
+        wr_req => in_val,
+
+        usedw  => usedw,
+        rd_req => rd_req,
+
+        rd_dat => out_dat,
+        rd_val => i_out_val
+      );
 
     -----------------------------------------------------------------------------
     -- Toggle rd_req to create output blocks of g_block_size
@@ -143,11 +143,11 @@ begin
     p_clk : process(rst, clk)
     begin
       if rst = '1' then
-         out_cnt     <= (others => '0');
-         prev_rd_req <= '0';
-       elsif rising_edge(clk) then
-         out_cnt     <= nxt_out_cnt;
-         prev_rd_req <= rd_req;
+        out_cnt     <= (others => '0');
+        prev_rd_req <= '0';
+      elsif rising_edge(clk) then
+        out_cnt     <= nxt_out_cnt;
+        prev_rd_req <= rd_req;
       end if;
     end process;
 
diff --git a/libraries/base/common/src/vhdl/common_clip.vhd b/libraries/base/common/src/vhdl/common_clip.vhd
index 67bff34b13..120eaf17f5 100644
--- a/libraries/base/common/src/vhdl/common_clip.vhd
+++ b/libraries/base/common/src/vhdl/common_clip.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Function:
 --   When enabled clip input, else pass input on unchanged. Report clippled
@@ -122,18 +122,18 @@ begin
   pipe_in <= clip_ovr & clip_dat;
 
   u_output_pipe : entity work.common_pipeline
-  generic map (
-    g_pipeline       => c_output_pipe,
-    g_in_dat_w       => c_dat_w + 1,
-    g_out_dat_w      => c_dat_w + 1
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_dat  => pipe_in,
-    out_dat => pipe_out
-  );
+    generic map (
+      g_pipeline       => c_output_pipe,
+      g_in_dat_w       => c_dat_w + 1,
+      g_out_dat_w      => c_dat_w + 1
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_dat  => pipe_in,
+      out_dat => pipe_out
+    );
 
   out_ovr <= pipe_out(pipe_out'high);
   out_dat <= pipe_out(pipe_out'high - 1 downto 0);
diff --git a/libraries/base/common/src/vhdl/common_clock_active_detector.vhd b/libraries/base/common/src/vhdl/common_clock_active_detector.vhd
index a95588c5d2..427456e288 100644
--- a/libraries/base/common/src/vhdl/common_clock_active_detector.vhd
+++ b/libraries/base/common/src/vhdl/common_clock_active_detector.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Detect 400 MHz in_clk active in the 200 MHz dp_clk domain
 -- Description:
@@ -78,55 +78,55 @@ architecture str of common_clock_active_detector is
 begin
 
   u_common_counter_in_clk : entity work.common_counter
-  generic map (
-    g_width => g_in_period_w
-  )
-  port map (
-    rst     => '0',
-    clk     => in_clk,
-    count   => in_clk_cnt
-  );
+    generic map (
+      g_width => g_in_period_w
+    )
+    port map (
+      rst     => '0',
+      clk     => in_clk,
+      count   => in_clk_cnt
+    );
 
   in_toggle <= in_clk_cnt(in_clk_cnt'high);
 
   u_common_async_dp_toggle : entity work.common_async
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_delay_len
-  )
-  port map (
-    rst  => dp_rst,
-    clk  => dp_clk,
-    din  => in_toggle,
-    dout => dp_toggle
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_delay_len
+    )
+    port map (
+      rst  => dp_rst,
+      clk  => dp_clk,
+      din  => in_toggle,
+      dout => dp_toggle
+    );
 
   u_common_evt : entity work.common_evt
-  generic map (
-    g_evt_type   => "RISING",
-    g_out_reg    => true
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    in_sig   => dp_toggle,
-    out_evt  => dp_toggle_revt
-  );
+    generic map (
+      g_evt_type   => "RISING",
+      g_out_reg    => true
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      in_sig   => dp_toggle,
+      out_evt  => dp_toggle_revt
+    );
 
   dp_clk_cnt_en  <= '1' when unsigned(dp_clk_cnt) < c_dp_clk_cnt_max else '0';
   dp_clk_cnt_clr <= dp_toggle_revt or not dp_clk_cnt_en;
 
   u_common_counter_dp_clk : entity work.common_counter
-  generic map (
-    g_width => c_dp_clk_cnt_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => dp_clk_cnt_clr,
-    cnt_en  => dp_clk_cnt_en,
-    count   => dp_clk_cnt
-  );
+    generic map (
+      g_width => c_dp_clk_cnt_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => dp_clk_cnt_clr,
+      cnt_en  => dp_clk_cnt_en,
+      count   => dp_clk_cnt
+    );
 
   nxt_dp_clk_interval <= INCR_UVEC(dp_clk_cnt, 1) when dp_clk_cnt_clr = '1' else dp_clk_interval;
 
@@ -146,13 +146,13 @@ begin
   dp_in_clk_detected <= i_dp_in_clk_detected;
 
   u_common_stable_monitor : entity work.common_stable_monitor
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- MM
-    r_in         => i_dp_in_clk_detected,
-    r_stable     => dp_in_clk_stable,
-    r_stable_ack => dp_in_clk_stable_ack
-  );
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- MM
+      r_in         => i_dp_in_clk_detected,
+      r_stable     => dp_in_clk_stable,
+      r_stable_ack => dp_in_clk_stable_ack
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_clock_phase_detector.vhd b/libraries/base/common/src/vhdl/common_clock_phase_detector.vhd
index 5b0ac7e4a9..ab2f8994d2 100644
--- a/libraries/base/common/src/vhdl/common_clock_phase_detector.vhd
+++ b/libraries/base/common/src/vhdl/common_clock_phase_detector.vhd
@@ -93,8 +93,8 @@
 --   pipeline stage.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_clock_phase_detector is
   generic (
@@ -133,17 +133,17 @@ begin
 
   -- Capture the in_clk in the clk domain
   u_async : entity work.common_async
-  generic map (
-    g_rising_edge => g_rising_edge,
-    g_rst_level   => g_phase_rst_level,
-    g_delay_len   => c_delay_len
-  )
-  port map (
-    rst  => rst,
-    clk  => clk,
-    din  => in_clk,
-    dout => in_phs_cap
-  );
+    generic map (
+      g_rising_edge => g_rising_edge,
+      g_rst_level   => g_phase_rst_level,
+      g_delay_len   => c_delay_len
+    )
+    port map (
+      rst  => rst,
+      clk  => clk,
+      din  => in_clk,
+      dout => in_phs_cap
+    );
 
   -- Process the registers in the rising edge clk domain
   gen_r_wire : if g_rising_edge = true generate
diff --git a/libraries/base/common/src/vhdl/common_complex_add_sub.vhd b/libraries/base/common/src/vhdl/common_complex_add_sub.vhd
index a2b8f79847..39570cab9e 100644
--- a/libraries/base/common/src/vhdl/common_complex_add_sub.vhd
+++ b/libraries/base/common/src/vhdl/common_complex_add_sub.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity common_complex_add_sub is
@@ -48,36 +48,36 @@ end common_complex_add_sub;
 architecture str of common_complex_add_sub is
 begin
   add_re : entity work.common_add_sub
-  generic map (
-    g_direction       => g_direction,
-    g_representation  => g_representation,
-    g_pipeline_input  => g_pipeline_input,
-    g_pipeline_output => g_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_a    => in_ar,
-    in_b    => in_br,
-    result  => out_re
-  );
+    generic map (
+      g_direction       => g_direction,
+      g_representation  => g_representation,
+      g_pipeline_input  => g_pipeline_input,
+      g_pipeline_output => g_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_a    => in_ar,
+      in_b    => in_br,
+      result  => out_re
+    );
 
   add_im : entity work.common_add_sub
-  generic map (
-    g_direction       => g_direction,
-    g_representation  => g_representation,
-    g_pipeline_input  => g_pipeline_input,
-    g_pipeline_output => g_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_a    => in_ai,
-    in_b    => in_bi,
-    result  => out_im
-  );
+    generic map (
+      g_direction       => g_direction,
+      g_representation  => g_representation,
+      g_pipeline_input  => g_pipeline_input,
+      g_pipeline_output => g_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_a    => in_ai,
+      in_b    => in_bi,
+      result  => out_im
+    );
 end str;
diff --git a/libraries/base/common/src/vhdl/common_complex_round.vhd b/libraries/base/common/src/vhdl/common_complex_round.vhd
index 43a764a4a5..9e4c025fe9 100644
--- a/libraries/base/common/src/vhdl/common_complex_round.vhd
+++ b/libraries/base/common/src/vhdl/common_complex_round.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity common_complex_round is
   generic (
@@ -47,36 +47,36 @@ architecture str of common_complex_round is
 begin
 
   re: entity work.common_round
-  generic map (
-    g_representation  => g_representation,
-    g_round           => g_round,
-    g_round_clip      => g_round_clip,
-    g_pipeline_input  => g_pipeline_input,
-    g_pipeline_output => g_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_re,
-    out_dat => out_re
-  );
+    generic map (
+      g_representation  => g_representation,
+      g_round           => g_round,
+      g_round_clip      => g_round_clip,
+      g_pipeline_input  => g_pipeline_input,
+      g_pipeline_output => g_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_re,
+      out_dat => out_re
+    );
 
   im: entity work.common_round
-  generic map (
-    g_representation  => g_representation,
-    g_round           => g_round,
-    g_round_clip      => g_round_clip,
-    g_pipeline_input  => g_pipeline_input,
-    g_pipeline_output => g_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_im,
-    out_dat => out_im
-  );
+    generic map (
+      g_representation  => g_representation,
+      g_round           => g_round,
+      g_round_clip      => g_round_clip,
+      g_pipeline_input  => g_pipeline_input,
+      g_pipeline_output => g_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_im,
+      out_dat => out_im
+    );
 end str;
diff --git a/libraries/base/common/src/vhdl/common_components_pkg.vhd b/libraries/base/common/src/vhdl/common_components_pkg.vhd
index dd4a3306c8..77c7f57de2 100644
--- a/libraries/base/common/src/vhdl/common_components_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_components_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 -- Purpose: Component declarations to check positional mapping
 -- Description:
@@ -31,22 +31,22 @@ use work.common_mem_pkg.all;
 package common_components_pkg is
 
   component common_pipeline is
-  generic (
-    g_representation : string  := "SIGNED";  -- or "UNSIGNED"
-    g_pipeline       : natural := 1;  -- 0 for wires, > 0 for registers,
-    g_reset_value    : integer := 0;
-    g_in_dat_w       : natural := 8;
-    g_out_dat_w      : natural := 9
-  );
-  port (
-    rst     : in  std_logic := '0';
-    clk     : in  std_logic;
-    clken   : in  std_logic := '1';
-    in_clr  : in  std_logic := '0';
-    in_en   : in  std_logic := '1';
-    in_dat  : in  std_logic_vector(g_in_dat_w - 1 downto 0);
-    out_dat : out std_logic_vector(g_out_dat_w - 1 downto 0)
-  );
+    generic (
+      g_representation : string  := "SIGNED";  -- or "UNSIGNED"
+      g_pipeline       : natural := 1;  -- 0 for wires, > 0 for registers,
+      g_reset_value    : integer := 0;
+      g_in_dat_w       : natural := 8;
+      g_out_dat_w      : natural := 9
+    );
+    port (
+      rst     : in  std_logic := '0';
+      clk     : in  std_logic;
+      clken   : in  std_logic := '1';
+      in_clr  : in  std_logic := '0';
+      in_en   : in  std_logic := '1';
+      in_dat  : in  std_logic_vector(g_in_dat_w - 1 downto 0);
+      out_dat : out std_logic_vector(g_out_dat_w - 1 downto 0)
+    );
   end component;
 
   component common_pipeline_sl is
diff --git a/libraries/base/common/src/vhdl/common_counter.vhd b/libraries/base/common/src/vhdl/common_counter.vhd
index 904c0c3f3b..226ce8c2d6 100644
--- a/libraries/base/common/src/vhdl/common_counter.vhd
+++ b/libraries/base/common/src/vhdl/common_counter.vhd
@@ -33,8 +33,8 @@
 --   via ceil_log2(g_max+1)>g_width and use this to init the cnt_max input.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 
 entity common_counter is
diff --git a/libraries/base/common/src/vhdl/common_create_strobes_from_valid.vhd b/libraries/base/common/src/vhdl/common_create_strobes_from_valid.vhd
index 8f5ba4e07e..7eb6f31ccc 100644
--- a/libraries/base/common/src/vhdl/common_create_strobes_from_valid.vhd
+++ b/libraries/base/common/src/vhdl/common_create_strobes_from_valid.vhd
@@ -50,8 +50,8 @@
 --   out_sop and other strobes.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_create_strobes_from_valid is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_ddio_in.vhd b/libraries/base/common/src/vhdl/common_ddio_in.vhd
index ce2db6d1e6..d9697ea93e 100644
--- a/libraries/base/common/src/vhdl/common_ddio_in.vhd
+++ b/libraries/base/common/src/vhdl/common_ddio_in.vhd
@@ -22,8 +22,8 @@
 -- Purpose: Capture double data rate FPGA input
 
 library IEEE, technology_lib, tech_iobuf_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ddio_in is
   generic(
@@ -45,17 +45,17 @@ architecture str of common_ddio_in is
 begin
 
   u_ddio_in : entity tech_iobuf_lib.tech_iobuf_ddio_in
-  generic map (
-    g_technology    => g_technology,
-    g_width         => g_width
-  )
-  port map (
-    in_dat     => in_dat,
-    in_clk     => in_clk,
-    in_clk_en  => in_clk_en,
-    rst        => rst,
-    out_dat_hi => out_dat_hi,
-    out_dat_lo => out_dat_lo
-  );
+    generic map (
+      g_technology    => g_technology,
+      g_width         => g_width
+    )
+    port map (
+      in_dat     => in_dat,
+      in_clk     => in_clk,
+      in_clk_en  => in_clk_en,
+      rst        => rst,
+      out_dat_hi => out_dat_hi,
+      out_dat_lo => out_dat_lo
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ddio_out.vhd b/libraries/base/common/src/vhdl/common_ddio_out.vhd
index e98e80cdea..21b79442c9 100644
--- a/libraries/base/common/src/vhdl/common_ddio_out.vhd
+++ b/libraries/base/common/src/vhdl/common_ddio_out.vhd
@@ -22,8 +22,8 @@
 -- Purpose: Double data rate FPGA output or register single data rate FPGA output
 
 library IEEE, technology_lib, tech_iobuf_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ddio_out is
   generic(
@@ -45,17 +45,17 @@ architecture str of common_ddio_out is
 begin
 
   u_ddio_out : entity tech_iobuf_lib.tech_iobuf_ddio_out
-  generic map (
-    g_technology => g_technology,
-    g_width      => g_width
-  )
-  port map (
-    rst       => rst,
-    in_clk    => in_clk,
-    in_clk_en => in_clk_en,
-    in_dat_hi => in_dat_hi,
-    in_dat_lo => in_dat_lo,
-    out_dat   => out_dat
-  );
+    generic map (
+      g_technology => g_technology,
+      g_width      => g_width
+    )
+    port map (
+      rst       => rst,
+      in_clk    => in_clk,
+      in_clk_en => in_clk_en,
+      in_dat_hi => in_dat_hi,
+      in_dat_lo => in_dat_lo,
+      out_dat   => out_dat
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ddreg.vhd b/libraries/base/common/src/vhdl/common_ddreg.vhd
index db5716fb75..da822cbfc8 100644
--- a/libraries/base/common/src/vhdl/common_ddreg.vhd
+++ b/libraries/base/common/src/vhdl/common_ddreg.vhd
@@ -70,8 +70,8 @@
 --------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_ddreg_r is
   generic (
@@ -97,29 +97,29 @@ architecture str of common_ddreg_r is
 begin
 
   u_in : entity work.common_async
-  generic map (
-    g_delay_len => g_in_delay_len
-  )
-  port map (
-    rst  => rst,
-    clk  => in_clk,
-    din  => in_dat,
-    dout => in_dat_r
-  );
+    generic map (
+      g_delay_len => g_in_delay_len
+    )
+    port map (
+      rst  => rst,
+      clk  => in_clk,
+      din  => in_dat,
+      dout => in_dat_r
+    );
 
   in_dat_d <= in_dat_r when g_tsetup_delay_hi = false else in_dat_r when rising_edge(out_clk);
 
   -- Output at rising edge
   u_out_hi : entity work.common_async
-  generic map (
-    g_delay_len => g_out_delay_len
-  )
-  port map (
-    rst  => rst,
-    clk  => out_clk,
-    din  => in_dat_d,
-    dout => out_dat_r
-  );
+    generic map (
+      g_delay_len => g_out_delay_len
+    )
+    port map (
+      rst  => rst,
+      clk  => out_clk,
+      din  => in_dat_d,
+      dout => out_dat_r
+    );
 end str;
 
 
@@ -128,8 +128,8 @@ end str;
 --------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_ddreg_f is
   generic (
@@ -155,30 +155,30 @@ architecture str of common_ddreg_f is
 begin
 
   u_in : entity work.common_async
-  generic map (
-    g_delay_len => g_in_delay_len
-  )
-  port map (
-    rst  => rst,
-    clk  => in_clk,
-    din  => in_dat,
-    dout => in_dat_r
-  );
+    generic map (
+      g_delay_len => g_in_delay_len
+    )
+    port map (
+      rst  => rst,
+      clk  => in_clk,
+      din  => in_dat,
+      dout => in_dat_r
+    );
 
   in_dat_d <= in_dat_r when g_tsetup_delay_lo = false else in_dat_r when falling_edge(out_clk);
 
   -- Capture input at falling edge
   u_fall : entity work.common_async
-  generic map (
-    g_rising_edge => false,
-    g_delay_len   => g_out_delay_len
-  )
-  port map (
-    rst  => rst,
-    clk  => out_clk,
-    din  => in_dat_d,
-    dout => out_dat_f
-  );
+    generic map (
+      g_rising_edge => false,
+      g_delay_len   => g_out_delay_len
+    )
+    port map (
+      rst  => rst,
+      clk  => out_clk,
+      din  => in_dat_d,
+      dout => out_dat_f
+    );
 
 end str;
 
@@ -188,8 +188,8 @@ end str;
 --------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_ddreg_fr is
   port (
@@ -218,8 +218,8 @@ end str;
 --------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_ddreg is
   generic (
@@ -247,39 +247,39 @@ begin
 
   -- out_dat_hi
   u_ddreg_hi : entity work.common_ddreg_r
-  generic map (
-    g_in_delay_len    => g_in_delay_len,
-    g_out_delay_len   => g_out_delay_len,
-    g_tsetup_delay_hi => g_tsetup_delay_hi
-  )
-  port map (
-    in_clk     => in_clk,
-    in_dat     => in_dat,
-    rst        => rst,
-    out_clk    => out_clk,
-    out_dat_r  => out_dat_hi
-  );
+    generic map (
+      g_in_delay_len    => g_in_delay_len,
+      g_out_delay_len   => g_out_delay_len,
+      g_tsetup_delay_hi => g_tsetup_delay_hi
+    )
+    port map (
+      in_clk     => in_clk,
+      in_dat     => in_dat,
+      rst        => rst,
+      out_clk    => out_clk,
+      out_dat_r  => out_dat_hi
+    );
 
   -- out_dat_lo
   u_ddreg_fall : entity work.common_ddreg_f
-  generic map (
-    g_in_delay_len    => g_in_delay_len,
-    g_out_delay_len   => g_out_delay_len - 1,
-    g_tsetup_delay_lo => g_tsetup_delay_lo
-  )
-  port map (
-    in_clk     => in_clk,
-    in_dat     => in_dat,
-    rst        => rst,
-    out_clk    => out_clk,
-    out_dat_f  => out_dat_f  -- clocked at falling edge of out_clk
-  );
+    generic map (
+      g_in_delay_len    => g_in_delay_len,
+      g_out_delay_len   => g_out_delay_len - 1,
+      g_tsetup_delay_lo => g_tsetup_delay_lo
+    )
+    port map (
+      in_clk     => in_clk,
+      in_dat     => in_dat,
+      rst        => rst,
+      out_clk    => out_clk,
+      out_dat_f  => out_dat_f  -- clocked at falling edge of out_clk
+    );
 
   u_ddreg_lo : entity work.common_ddreg_fr
-  port map (
-    rst         => rst,
-    clk         => out_clk,
-    in_dat_f    => out_dat_f,
-    out_dat_r   => out_dat_lo  -- clocked at rising edge of out_clk
-  );
+    port map (
+      rst         => rst,
+      clk         => out_clk,
+      in_dat_f    => out_dat_f,
+      out_dat_r   => out_dat_lo  -- clocked at rising edge of out_clk
+    );
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ddreg_slv.vhd b/libraries/base/common/src/vhdl/common_ddreg_slv.vhd
index abcff1433b..caa0a31e91 100644
--- a/libraries/base/common/src/vhdl/common_ddreg_slv.vhd
+++ b/libraries/base/common/src/vhdl/common_ddreg_slv.vhd
@@ -24,8 +24,8 @@
 -- Remark:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_ddreg_slv is
   generic (
@@ -48,18 +48,18 @@ begin
 
   gen_slv: for I in in_dat'range generate
     u_ddreg : entity work.common_ddreg
-    generic map (
-      g_in_delay_len  => g_in_delay_len,
-      g_out_delay_len => g_out_delay_len
-    )
-    port map (
-      in_clk      => in_clk,
-      in_dat      => in_dat(I),
-      rst         => rst,
-      out_clk     => out_clk,
-      out_dat_hi  => out_dat_hi(I),
-      out_dat_lo  => out_dat_lo(I)
-    );
+      generic map (
+        g_in_delay_len  => g_in_delay_len,
+        g_out_delay_len => g_out_delay_len
+      )
+      port map (
+        in_clk      => in_clk,
+        in_dat      => in_dat(I),
+        rst         => rst,
+        out_clk     => out_clk,
+        out_dat_hi  => out_dat_hi(I),
+        out_dat_lo  => out_dat_lo(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_debounce.vhd b/libraries/base/common/src/vhdl/common_debounce.vhd
index 3029172fcc..e3238f5e45 100644
--- a/libraries/base/common/src/vhdl/common_debounce.vhd
+++ b/libraries/base/common/src/vhdl/common_debounce.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose:
 --
@@ -32,8 +32,8 @@ use work.common_pkg.all;
 entity common_debounce is
   generic (
     g_type       : string := "BOTH";  -- "BOTH" = debounce g_latency clk cycles for both bgoing high when d_in='1' and for going low when d_in='0'
-                                      -- "HIGH" = debounce g_latency clk cycles for going high when d_in='1', go low  immediately when d_in='0'
-                                      -- "LOW"  = debounce g_latency clk cycles for going low  when d_in='0', go high immediately when d_in='1'
+    -- "HIGH" = debounce g_latency clk cycles for going high when d_in='1', go low  immediately when d_in='0'
+    -- "LOW"  = debounce g_latency clk cycles for going low  when d_in='0', go high immediately when d_in='1'
     g_delay_len  : natural := c_meta_delay_len;  -- = 3,  combat meta stability
     g_latency    : natural := 8;  -- >= 1, combat debounces over nof clk cycles
     g_init_level : std_logic := '1'
@@ -103,16 +103,16 @@ begin
   end generate;
 
   u_counter : entity work.common_counter
-  generic map (
-    g_width     => c_latency_w
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_width     => c_latency_w
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_deinterleave.vhd b/libraries/base/common/src/vhdl/common_deinterleave.vhd
index 5926f3e523..f16c7600c8 100644
--- a/libraries/base/common/src/vhdl/common_deinterleave.vhd
+++ b/libraries/base/common/src/vhdl/common_deinterleave.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Deinterleave input into g_nof_out output streams based on g_block_size.
 -- Description:
@@ -38,7 +38,7 @@ entity common_deinterleave is
     g_dat_w      : natural;
     g_block_size : natural;
     g_align_out  : boolean := false
- );
+  );
   port (
     clk         : in  std_logic;
     rst         : in  std_logic;
@@ -72,18 +72,18 @@ architecture rtl of common_deinterleave is
 begin
 
   u_demux : entity work.common_demultiplexer
-  generic map (
-    g_nof_out => g_nof_out,
-    g_dat_w   => g_dat_w
-  )
-  port map (
-    in_dat     => in_dat,
-    in_val     => in_val,
-
-    out_sel    => demux_out_sel,
-    out_dat    => demux_out_dat,
-    out_val    => demux_out_val
-  );
+    generic map (
+      g_nof_out => g_nof_out,
+      g_dat_w   => g_dat_w
+    )
+    port map (
+      in_dat     => in_dat,
+      in_val     => in_val,
+
+      out_sel    => demux_out_sel,
+      out_dat    => demux_out_dat,
+      out_val    => demux_out_val
+    );
 
   -----------------------------------------------------------------------------
   -- Demultiplexer output selection
@@ -134,21 +134,21 @@ begin
   gen_align_out: if g_align_out = true generate
     gen_inter: for i in 0 to g_nof_out - 1 generate
       u_shiftreg : entity work.common_shiftreg
-      generic map (
-        g_pipeline  => g_nof_out * g_block_size - (i + 1) * g_block_size,
-        g_nof_dat   => 1,
-        g_dat_w     => g_dat_w
-      )
-      port map (
-        rst          => rst,
-        clk          => clk,
-
-        in_dat       => demux_out_dat(i * g_dat_w + g_dat_w - 1 downto i * g_dat_w),
-        in_val       => demux_out_val(i),
-
-        out_dat      => out_dat(i * g_dat_w + g_dat_w - 1 downto i * g_dat_w),
-        out_val      => out_val(i)
-      );
+        generic map (
+          g_pipeline  => g_nof_out * g_block_size - (i + 1) * g_block_size,
+          g_nof_dat   => 1,
+          g_dat_w     => g_dat_w
+        )
+        port map (
+          rst          => rst,
+          clk          => clk,
+
+          in_dat       => demux_out_dat(i * g_dat_w + g_dat_w - 1 downto i * g_dat_w),
+          in_val       => demux_out_val(i),
+
+          out_dat      => out_dat(i * g_dat_w + g_dat_w - 1 downto i * g_dat_w),
+          out_val      => out_val(i)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/common/src/vhdl/common_delay.vhd b/libraries/base/common/src/vhdl/common_delay.vhd
index 20475a681d..9e6662a193 100644
--- a/libraries/base/common/src/vhdl/common_delay.vhd
+++ b/libraries/base/common/src/vhdl/common_delay.vhd
@@ -25,7 +25,7 @@
 --     indicates an active clock cycle.
 
 library ieee;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity common_delay is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_demultiplexer.vhd b/libraries/base/common/src/vhdl/common_demultiplexer.vhd
index 5de2f9c789..9054feb152 100644
--- a/libraries/base/common/src/vhdl/common_demultiplexer.vhd
+++ b/libraries/base/common/src/vhdl/common_demultiplexer.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_components_pkg.all;
 
 -- Purpose: Assign input to one of g_nof_out output streams based on out_sel input
 -- Description: The output streams are concatenated into one SLV.
@@ -35,7 +35,7 @@ entity common_demultiplexer is
     g_pipeline_out : natural := 0;
     g_nof_out      : natural;
     g_dat_w        : natural
- );
+  );
   port (
     rst         : in  std_logic := '0';
     clk         : in  std_logic := '0';  -- for g_pipeline_* = 0 no rst and clk are needed, because then the demultiplexer works combinatorialy
diff --git a/libraries/base/common/src/vhdl/common_duty_cycle.vhd b/libraries/base/common/src/vhdl/common_duty_cycle.vhd
index f5d7de3075..597ff3efe1 100644
--- a/libraries/base/common/src/vhdl/common_duty_cycle.vhd
+++ b/libraries/base/common/src/vhdl/common_duty_cycle.vhd
@@ -36,9 +36,9 @@
 --            s_assert
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use WORK.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use WORK.common_pkg.all;
 
 entity common_duty_cycle is
   generic (
@@ -90,21 +90,21 @@ begin
     case r.state is
 
       when s_idle     => v.state := s_idle;
-                         if TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_act_cnt or dc_act_cnt = TO_UVEC(0, c_cycle_cnt_w) then
-                           v.state := s_deassert;
-                         elsif TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_per_cnt or dc_act_cnt = dc_per_cnt then
-                           v.state := s_assert;
-                         end if;
+        if TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_act_cnt or dc_act_cnt = TO_UVEC(0, c_cycle_cnt_w) then
+          v.state := s_deassert;
+        elsif TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_per_cnt or dc_act_cnt = dc_per_cnt then
+          v.state := s_assert;
+        end if;
 
       when s_assert   => v.dc_pulse := g_act_lvl;
-                         if TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_act_cnt and dc_act_cnt < dc_per_cnt then
-                           v.state := s_deassert;
-                         end if;
+        if TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_act_cnt and dc_act_cnt < dc_per_cnt then
+          v.state := s_deassert;
+        end if;
 
       when s_deassert => v.dc_pulse := not(g_act_lvl);
-                         if TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_per_cnt and dc_act_cnt /= TO_UVEC(0, c_cycle_cnt_w) then
-                           v.state := s_assert;
-                         end if;
+        if TO_UVEC(r.cycle_cnt, c_cycle_cnt_w) = dc_per_cnt and dc_act_cnt /= TO_UVEC(0, c_cycle_cnt_w) then
+          v.state := s_assert;
+        end if;
     end case;
 
     if rst = '1' then
diff --git a/libraries/base/common/src/vhdl/common_evt.vhd b/libraries/base/common/src/vhdl/common_evt.vhd
index 69706e8b87..deba25b162 100644
--- a/libraries/base/common/src/vhdl/common_evt.vhd
+++ b/libraries/base/common/src/vhdl/common_evt.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_evt is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_fanout.vhd b/libraries/base/common/src/vhdl/common_fanout.vhd
index abe0e582cb..4e24a15754 100644
--- a/libraries/base/common/src/vhdl/common_fanout.vhd
+++ b/libraries/base/common/src/vhdl/common_fanout.vhd
@@ -28,8 +28,8 @@
 --   registers maintain their value.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_fanout is
   generic (
@@ -56,42 +56,42 @@ begin
 
   gen_fanout : for i in g_nof_output - 1 downto 0 generate
     u_pipe_en : entity work.common_pipeline_sl
-    generic map (
-      g_pipeline  => g_pipeline_arr(i)
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => in_en,
-      in_en   => '1',
-      out_dat => out_en_vec(i)
-    );
+      generic map (
+        g_pipeline  => g_pipeline_arr(i)
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => in_en,
+        in_en   => '1',
+        out_dat => out_en_vec(i)
+      );
 
     u_pipe_valid : entity work.common_pipeline_sl
-    generic map (
-      g_pipeline  => g_pipeline_arr(i)
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => in_val,
-      in_en   => in_en,
-      out_dat => out_val_vec(i)
-    );
+      generic map (
+        g_pipeline  => g_pipeline_arr(i)
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => in_val,
+        in_en   => in_en,
+        out_dat => out_val_vec(i)
+      );
 
     u_pipe_data : entity work.common_pipeline
-    generic map (
-      g_pipeline  => g_pipeline_arr(i),
-      g_in_dat_w  => g_dat_w,
-      g_out_dat_w => g_dat_w
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => in_dat,
-      in_en   => in_en,
-      out_dat => out_dat_vec((i + 1) * g_dat_w - 1 downto i * g_dat_w)
-    );
+      generic map (
+        g_pipeline  => g_pipeline_arr(i),
+        g_in_dat_w  => g_dat_w,
+        g_out_dat_w => g_dat_w
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => in_dat,
+        in_en   => in_en,
+        out_dat => out_dat_vec((i + 1) * g_dat_w - 1 downto i * g_dat_w)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_fanout_tree.vhd b/libraries/base/common/src/vhdl/common_fanout_tree.vhd
index 28fc5b496e..a5b222ec94 100644
--- a/libraries/base/common/src/vhdl/common_fanout_tree.vhd
+++ b/libraries/base/common/src/vhdl/common_fanout_tree.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Parallel fanout tree.
 -- Description:
@@ -126,21 +126,21 @@ begin
       gen_cell : for i in 0 to g_nof_output_per_cell**j - 1 generate
         -- output k =
         u_fanout : entity work.common_fanout
-        generic map (
-          g_nof_output   => g_nof_output_per_cell,
-          g_pipeline_arr => c_cell_pipeline_factor_arr(j) * c_cell_pipeline_arr,
-          g_dat_w        => g_dat_w
-        )
-        port map (
-          clk         => clk,
-          clken       => clken,
-          in_en       => stage_en_vec_arr( j - 1)(                       i),
-          in_val      => stage_val_vec_arr(j - 1)(                       i),
-          in_dat      => stage_dat_vec_arr(j - 1)((i + 1) * g_dat_w - 1 downto i * g_dat_w),
-          out_en_vec  => stage_en_vec_arr( j)((i + 1) * g_nof_output_per_cell        - 1 downto i * g_nof_output_per_cell),
-          out_val_vec => stage_val_vec_arr(j)((i + 1) * g_nof_output_per_cell        - 1 downto i * g_nof_output_per_cell),
-          out_dat_vec => stage_dat_vec_arr(j)((i + 1) * g_nof_output_per_cell * g_dat_w - 1 downto i * g_nof_output_per_cell * g_dat_w)
-        );
+          generic map (
+            g_nof_output   => g_nof_output_per_cell,
+            g_pipeline_arr => c_cell_pipeline_factor_arr(j) * c_cell_pipeline_arr,
+            g_dat_w        => g_dat_w
+          )
+          port map (
+            clk         => clk,
+            clken       => clken,
+            in_en       => stage_en_vec_arr( j - 1)(                       i),
+            in_val      => stage_val_vec_arr(j - 1)(                       i),
+            in_dat      => stage_dat_vec_arr(j - 1)((i + 1) * g_dat_w - 1 downto i * g_dat_w),
+            out_en_vec  => stage_en_vec_arr( j)((i + 1) * g_nof_output_per_cell        - 1 downto i * g_nof_output_per_cell),
+            out_val_vec => stage_val_vec_arr(j)((i + 1) * g_nof_output_per_cell        - 1 downto i * g_nof_output_per_cell),
+            out_dat_vec => stage_dat_vec_arr(j)((i + 1) * g_nof_output_per_cell * g_dat_w - 1 downto i * g_nof_output_per_cell * g_dat_w)
+          );
       end generate;
     end generate;
 
@@ -151,21 +151,21 @@ begin
 
   no_tree : if g_nof_output = 1 generate
     u_reg : entity work.common_fanout
-    generic map (
-      g_nof_output   => 1,
-      g_pipeline_arr => c_cell_pipeline_factor_arr(0) * c_cell_pipeline_arr,
-      g_dat_w        => g_dat_w
-    )
-    port map (
-      clk         => clk,
-      clken       => clken,
-      in_en       => in_en,
-      in_val      => in_val,
-      in_dat      => in_dat,
-      out_en_vec  => out_en_vec,
-      out_val_vec => out_val_vec,
-      out_dat_vec => out_dat_vec
-    );
+      generic map (
+        g_nof_output   => 1,
+        g_pipeline_arr => c_cell_pipeline_factor_arr(0) * c_cell_pipeline_arr,
+        g_dat_w        => g_dat_w
+      )
+      port map (
+        clk         => clk,
+        clken       => clken,
+        in_en       => in_en,
+        in_val      => in_val,
+        in_dat      => in_dat,
+        out_en_vec  => out_en_vec,
+        out_val_vec => out_val_vec,
+        out_dat_vec => out_dat_vec
+      );
   end generate;  -- no_tree
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_field_pkg.vhd b/libraries/base/common/src/vhdl/common_field_pkg.vhd
index bdf62486d9..fcf2bcb922 100644
--- a/libraries/base/common/src/vhdl/common_field_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_field_pkg.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_str_pkg.all;
 
 -- Purpose:
 -- . Dynamically map record-like field structures onto SLVs.
@@ -54,56 +54,56 @@ package common_field_pkg is
 
   type t_common_field_arr is array(integer range <>) of t_common_field;
 
-  function field_name_pad(name: string) return string;
+  function field_name_pad (name: string) return string;
 
-  function field_default(slv_in: std_logic_vector) return std_logic_vector;
-  function field_default(nat_in: natural) return std_logic_vector;
+  function field_default (slv_in: std_logic_vector) return std_logic_vector;
+  function field_default (nat_in: natural) return std_logic_vector;
 
-  function field_map_defaults(field_arr : t_common_field_arr) return std_logic_vector;  -- returns slv_out
+  function field_map_defaults (field_arr : t_common_field_arr) return std_logic_vector;  -- returns slv_out
 
-  function field_mode        (field_arr : t_common_field_arr; name: string     ) return string;
-  function field_size        (field_arr : t_common_field_arr; name: string     ) return natural;
-  function field_hi          (field_arr : t_common_field_arr; name: string     ) return integer;
-  function field_hi          (field_arr : t_common_field_arr; index: natural   ) return natural;
-  function field_lo          (field_arr : t_common_field_arr; name: string     ) return natural;
-  function field_lo          (field_arr : t_common_field_arr; index: natural   ) return natural;
-  function field_slv_len     (field_arr : t_common_field_arr                   ) return natural;
-  function field_slv_in_len  (field_arr : t_common_field_arr                   ) return natural;
+  function field_mode (field_arr : t_common_field_arr; name: string     ) return string;
+  function field_size (field_arr : t_common_field_arr; name: string     ) return natural;
+  function field_hi (field_arr : t_common_field_arr; name: string     ) return integer;
+  function field_hi (field_arr : t_common_field_arr; index: natural   ) return natural;
+  function field_lo (field_arr : t_common_field_arr; name: string     ) return natural;
+  function field_lo (field_arr : t_common_field_arr; index: natural   ) return natural;
+  function field_slv_len (field_arr : t_common_field_arr                   ) return natural;
+  function field_slv_in_len (field_arr : t_common_field_arr                   ) return natural;
   function field_slv_out_len (field_arr : t_common_field_arr                   ) return natural;
-  function field_nof_words   (field_arr : t_common_field_arr; word_w : natural ) return natural;
-  function field_map_in      (field_arr : t_common_field_arr; slv        : std_logic_vector; word_w : natural ; mode : string) return std_logic_vector;  -- returns word_arr
-  function field_map_out     (field_arr : t_common_field_arr; word_arr   : std_logic_vector; word_w : natural                ) return std_logic_vector;  -- returns slv_out
-  function field_map         (field_arr : t_common_field_arr; word_arr_in: std_logic_vector; word_arr_out: std_logic_vector; word_w : natural) return std_logic_vector;  -- returns word_arr
+  function field_nof_words (field_arr : t_common_field_arr; word_w : natural ) return natural;
+  function field_map_in (field_arr : t_common_field_arr; slv        : std_logic_vector; word_w : natural ; mode : string) return std_logic_vector;  -- returns word_arr
+  function field_map_out (field_arr : t_common_field_arr; word_arr   : std_logic_vector; word_w : natural                ) return std_logic_vector;  -- returns slv_out
+  function field_map (field_arr : t_common_field_arr; word_arr_in: std_logic_vector; word_arr_out: std_logic_vector; word_w : natural) return std_logic_vector;  -- returns word_arr
 
-  function field_ovr_arr(field_arr : t_common_field_arr; ovr_init: std_logic_vector) return t_common_field_arr;
+  function field_ovr_arr (field_arr : t_common_field_arr; ovr_init: std_logic_vector) return t_common_field_arr;
 
-  function field_exists(field_arr : t_common_field_arr; name: string) return boolean;
+  function field_exists (field_arr : t_common_field_arr; name: string) return boolean;
 
-  function field_arr_set_mode(field_arr : t_common_field_arr; mode : string) return t_common_field_arr;
+  function field_arr_set_mode (field_arr : t_common_field_arr; mode : string) return t_common_field_arr;
 
-  function sel_a_b(sel : boolean; a, b : t_common_field_arr ) return t_common_field_arr;
+  function sel_a_b (sel : boolean; a, b : t_common_field_arr ) return t_common_field_arr;
 
 end common_field_pkg;
 
 
 package body common_field_pkg is
 
-  function field_name_pad(name: string) return string is
+  function field_name_pad (name: string) return string is
   begin
     return pad(name, c_common_field_name_len, ' ');
   end field_name_pad;
 
-  function field_default(slv_in: std_logic_vector) return std_logic_vector is
+  function field_default (slv_in: std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(slv_in, c_common_field_default_len);
   end field_default;
 
-  function field_default(nat_in: natural) return std_logic_vector is
+  function field_default (nat_in: natural) return std_logic_vector is
   begin
     return TO_UVEC(nat_in, c_common_field_default_len);
   end field_default;
 
-  function field_map_defaults(field_arr : t_common_field_arr) return std_logic_vector is
+  function field_map_defaults (field_arr : t_common_field_arr) return std_logic_vector is
     variable v_slv_out : std_logic_vector(field_slv_out_len(field_arr) - 1 downto 0);
   begin
     for f in 0 to field_arr'high loop
@@ -114,7 +114,7 @@ package body common_field_pkg is
     return v_slv_out;
   end field_map_defaults;
 
-  function field_mode(field_arr : t_common_field_arr; name: string) return string is
+  function field_mode (field_arr : t_common_field_arr; name: string) return string is
   -- Returns the mode string of the passed (via name) field
   begin
     if field_exists(field_arr, name) then
@@ -128,7 +128,7 @@ package body common_field_pkg is
     end if;
   end field_mode;
 
-  function field_size(field_arr : t_common_field_arr; name: string) return natural is
+  function field_size (field_arr : t_common_field_arr; name: string) return natural is
   -- Returns the size of the passed (via name) field
   begin
     for i in 0 to field_arr'high loop
@@ -138,8 +138,8 @@ package body common_field_pkg is
     end loop;
   end field_size;
 
-  function field_hi(field_arr : t_common_field_arr; name: string) return integer is
-  -- Returns the high (=left) bit range index of the field within the field_arr interpreted as concatenated IN or OUT SLV
+  function field_hi (field_arr : t_common_field_arr; name: string) return integer is
+    -- Returns the high (=left) bit range index of the field within the field_arr interpreted as concatenated IN or OUT SLV
     variable v_acc_hi : natural := 0;
   begin
     if field_exists(field_arr, name) then
@@ -156,8 +156,8 @@ package body common_field_pkg is
     end if;
   end field_hi;
 
-  function field_hi(field_arr : t_common_field_arr; index : natural) return natural is
-  -- Returns the high (=left) bit range index of the field within the field_arr interpreted as concatenated SLV
+  function field_hi (field_arr : t_common_field_arr; index : natural) return natural is
+    -- Returns the high (=left) bit range index of the field within the field_arr interpreted as concatenated SLV
     variable v_acc_hi : natural := 0;
   begin
     for i in 0 to index loop
@@ -168,8 +168,8 @@ package body common_field_pkg is
     end loop;
   end field_hi;
 
-  function field_lo(field_arr : t_common_field_arr; name: string) return natural is
-  -- Returns the low (=right) bit range index of the field within the field_arr interpreted as concatenated IN or OUT SLV
+  function field_lo (field_arr : t_common_field_arr; name: string) return natural is
+    -- Returns the low (=right) bit range index of the field within the field_arr interpreted as concatenated IN or OUT SLV
     variable v_acc_hi : natural := 0;
   begin
     if field_exists(field_arr, name) then
@@ -186,8 +186,8 @@ package body common_field_pkg is
     end if;
   end field_lo;
 
-  function field_lo(field_arr : t_common_field_arr; index : natural) return natural is
-  -- Returns the low (=right) bit range index of the field within the field_arr interpreted as concatenated SLV
+  function field_lo (field_arr : t_common_field_arr; index : natural) return natural is
+    -- Returns the low (=right) bit range index of the field within the field_arr interpreted as concatenated SLV
     variable v_acc_hi : natural := 0;
   begin
     for i in 0 to index loop
@@ -198,8 +198,8 @@ package body common_field_pkg is
     end loop;
   end field_lo;
 
-  function field_slv_len(field_arr : t_common_field_arr) return natural is
-  -- Return the total length of all fields in field_arr
+  function field_slv_len (field_arr : t_common_field_arr) return natural is
+    -- Return the total length of all fields in field_arr
     variable v_len : natural := 0;
   begin
     for i in 0 to field_arr'high loop
@@ -208,8 +208,8 @@ package body common_field_pkg is
     return v_len;
   end field_slv_len;
 
-  function field_slv_in_len(field_arr : t_common_field_arr) return natural is
-  -- Return the total length of the input fields in field_arr (= all "RO")
+  function field_slv_in_len (field_arr : t_common_field_arr) return natural is
+    -- Return the total length of the input fields in field_arr (= all "RO")
     variable v_len : natural := 0;
   begin
     for f in 0 to field_arr'high loop
@@ -220,8 +220,8 @@ package body common_field_pkg is
     return v_len;
   end field_slv_in_len;
 
-  function field_slv_out_len(field_arr : t_common_field_arr) return natural is
-  -- Return the total length of the output fields in field_arr (= all "RW")
+  function field_slv_out_len (field_arr : t_common_field_arr) return natural is
+    -- Return the total length of the output fields in field_arr (= all "RW")
     variable v_len : natural := 0;
   begin
     for f in 0 to field_arr'high loop
@@ -232,8 +232,8 @@ package body common_field_pkg is
     return v_len;
   end field_slv_out_len;
 
-  function field_nof_words(field_arr : t_common_field_arr; word_w : natural) return natural is
-  -- Return the number of words (of width word_w) required to hold field_arr
+  function field_nof_words (field_arr : t_common_field_arr; word_w : natural) return natural is
+    -- Return the number of words (of width word_w) required to hold field_arr
     variable v_word_cnt      : natural := 0;
     variable v_nof_reg_words : natural;
   begin
@@ -247,13 +247,13 @@ package body common_field_pkg is
     return v_word_cnt;
   end field_nof_words;
 
-  function field_map_in(field_arr : t_common_field_arr; slv: std_logic_vector; word_w : natural; mode : string) return std_logic_vector is
-  -- Re-map a field SLV into a larger SLV, support mapping both the slv_in or the slv_out that dependents on mode; each field starting at a word boundary (word_w)
+  function field_map_in (field_arr : t_common_field_arr; slv: std_logic_vector; word_w : natural; mode : string) return std_logic_vector is
+    -- Re-map a field SLV into a larger SLV, support mapping both the slv_in or the slv_out that dependents on mode; each field starting at a word boundary (word_w)
     variable v_word_arr : std_logic_vector(field_nof_words(field_arr, word_w) * word_w - 1 downto 0) := (others => '0');
     variable v_word_cnt : natural := 0;
   begin
     for f in 0 to field_arr'high loop
-       -- Only extract the fields that are inputs
+      -- Only extract the fields that are inputs
       if field_arr(f).mode = mode then  -- if mode="RO" then slv = slv_in, else if mode="RW" then slv = slv_out
         -- Extract the field
         v_word_arr( v_word_cnt * word_w + field_arr(f).size-1 downto v_word_cnt * word_w) := slv( field_hi(field_arr, field_arr(f).name) downto field_lo(field_arr, field_arr(f).name) );
@@ -264,8 +264,8 @@ package body common_field_pkg is
     return v_word_arr;
   end field_map_in;
 
-  function field_map_out(field_arr : t_common_field_arr; word_arr: std_logic_vector; word_w : natural) return std_logic_vector is
-  -- Reverse of field_map_in
+  function field_map_out (field_arr : t_common_field_arr; word_arr: std_logic_vector; word_w : natural) return std_logic_vector is
+    -- Reverse of field_map_in
     variable v_slv_out  : std_logic_vector(field_slv_out_len(field_arr) - 1 downto 0) := (others => '0');
     variable v_word_cnt : natural := 0;
   begin
@@ -281,8 +281,8 @@ package body common_field_pkg is
     return v_slv_out;
   end field_map_out;
 
-  function field_map(field_arr : t_common_field_arr; word_arr_in: std_logic_vector; word_arr_out: std_logic_vector; word_w : natural) return std_logic_vector is
-  -- Create one SLV consisting of both read-only and output-readback fields, e.g. as input to an MM reg
+  function field_map (field_arr : t_common_field_arr; word_arr_in: std_logic_vector; word_arr_out: std_logic_vector; word_w : natural) return std_logic_vector is
+    -- Create one SLV consisting of both read-only and output-readback fields, e.g. as input to an MM reg
     variable v_word_arr : std_logic_vector(field_nof_words(field_arr, word_w) * word_w - 1 downto 0);
     variable v_word_cnt : natural := 0;
   begin
@@ -299,8 +299,8 @@ package body common_field_pkg is
     return v_word_arr;
   end field_map;
 
-  function field_ovr_arr(field_arr : t_common_field_arr; ovr_init: std_logic_vector) return t_common_field_arr is
-  -- Copy field_arr but change widths to 1 to create a 1-bit override field for each field in field_arr.
+  function field_ovr_arr (field_arr : t_common_field_arr; ovr_init: std_logic_vector) return t_common_field_arr is
+    -- Copy field_arr but change widths to 1 to create a 1-bit override field for each field in field_arr.
     variable v_ovr_field_arr : t_common_field_arr(field_arr'range);
   begin
     v_ovr_field_arr := field_arr;
@@ -311,17 +311,17 @@ package body common_field_pkg is
     return v_ovr_field_arr;
   end field_ovr_arr;
 
-  function field_exists(field_arr : t_common_field_arr; name: string) return boolean is
+  function field_exists (field_arr : t_common_field_arr; name: string) return boolean is
   begin
     for i in field_arr'range loop
       if field_arr(i).name = field_name_pad(name) then
         return true;
       end if;
     end loop;
-  return false;
+    return false;
   end field_exists;
 
-  function field_arr_set_mode(field_arr : t_common_field_arr; mode : string) return t_common_field_arr is
+  function field_arr_set_mode (field_arr : t_common_field_arr; mode : string) return t_common_field_arr is
     variable v_field_arr : t_common_field_arr(field_arr'range);
   begin
     v_field_arr := field_arr;
@@ -331,7 +331,7 @@ package body common_field_pkg is
     return v_field_arr;
   end field_arr_set_mode;
 
-  function sel_a_b(sel :boolean; a, b : t_common_field_arr) return t_common_field_arr is
+  function sel_a_b (sel :boolean; a, b : t_common_field_arr) return t_common_field_arr is
   begin
     if sel = true then
       return a;
diff --git a/libraries/base/common/src/vhdl/common_fifo_dc.vhd b/libraries/base/common/src/vhdl/common_fifo_dc.vhd
index 73e41b481f..8f2c75ff06 100644
--- a/libraries/base/common/src/vhdl/common_fifo_dc.vhd
+++ b/libraries/base/common/src/vhdl/common_fifo_dc.vhd
@@ -22,9 +22,9 @@
 -- Purpose: Dual clock FIFO
 
 library IEEE, technology_lib, tech_fifo_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_fifo_dc is
   generic (
@@ -77,27 +77,27 @@ begin
   -- . synchronize release of rst to wr_clk domain
   -- Using common_areset is equivalent to using common_async with same signal applied to rst and din.
   u_wr_rst : entity work.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => 3
-  )
-  port map (
-    in_rst    => rst,
-    clk       => wr_clk,
-    out_rst   => wr_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => 3
+    )
+    port map (
+      in_rst    => rst,
+      clk       => wr_clk,
+      out_rst   => wr_rst
+    );
 
   -- Delay wr_init to ensure that FIFO ful has gone low after reset release
   u_wr_init : entity work.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => 4
-  )
-  port map (
-    in_rst  => wr_rst,
-    clk     => wr_clk,
-    out_rst => wr_init  -- assume init has finished g_delay_len cycles after release of wr_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => 4
+    )
+    port map (
+      in_rst  => wr_rst,
+      clk     => wr_clk,
+      out_rst => wr_init  -- assume init has finished g_delay_len cycles after release of wr_rst
+    );
 
   wr_init_out <= wr_init;
 
@@ -119,24 +119,24 @@ begin
   end process;
 
   u_fifo : entity tech_fifo_lib.tech_fifo_dc
-  generic map (
-    g_technology => g_technology,
-    g_dat_w      => g_dat_w,
-    g_nof_words  => c_nof_words
-  )
-  port map (
-    aclr    => wr_rst,  -- MegaWizard fifo_dc seems to use aclr synchronous with wr_clk
-    data    => wr_dat,
-    rdclk   => rd_clk,
-    rdreq   => rd_en,
-    wrclk   => wr_clk,
-    wrreq   => wr_en,
-    q       => rd_dat,
-    rdempty => emp,
-    rdusedw => rdusedw,
-    wrfull  => ful,
-    wrusedw => wrusedw
-  );
+    generic map (
+      g_technology => g_technology,
+      g_dat_w      => g_dat_w,
+      g_nof_words  => c_nof_words
+    )
+    port map (
+      aclr    => wr_rst,  -- MegaWizard fifo_dc seems to use aclr synchronous with wr_clk
+      data    => wr_dat,
+      rdclk   => rd_clk,
+      rdreq   => rd_en,
+      wrclk   => wr_clk,
+      wrreq   => wr_en,
+      q       => rd_dat,
+      rdempty => emp,
+      rdusedw => rdusedw,
+      wrfull  => ful,
+      wrusedw => wrusedw
+    );
 
   proc_common_fifo_asserts("common_fifo_dc", g_note_is_ful, g_fail_rd_emp, wr_rst, wr_clk, ful, wr_en, rd_clk, emp, rd_en);
 
diff --git a/libraries/base/common/src/vhdl/common_fifo_dc_lock_control.vhd b/libraries/base/common/src/vhdl/common_fifo_dc_lock_control.vhd
index b98ceaf2bb..a8819453e4 100644
--- a/libraries/base/common/src/vhdl/common_fifo_dc_lock_control.vhd
+++ b/libraries/base/common/src/vhdl/common_fifo_dc_lock_control.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Control the FIFO fill level and report the dual clock lock status
 -- Description:
@@ -229,25 +229,25 @@ begin
   end process;
 
   u_cnt : entity common_lib.common_counter
-  generic map (
-    g_width  => c_cnt_w
-  )
-  port map (
-    rst     => rd_rst,
-    clk     => rd_clk,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_width  => c_cnt_w
+    )
+    port map (
+      rst     => rd_rst,
+      clk     => rd_clk,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
   u_dc_locked_monitor : entity work.common_stable_monitor
-  port map (
-    rst          => rd_rst,
-    clk          => rd_clk,
-    -- MM
-    r_in         => i_dc_locked,
-    r_stable     => dc_stable,
-    r_stable_ack => dc_stable_ack
-  );
+    port map (
+      rst          => rd_rst,
+      clk          => rd_clk,
+      -- MM
+      r_in         => i_dc_locked,
+      r_stable     => dc_stable,
+      r_stable_ack => dc_stable_ack
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_fifo_dc_mixed_widths.vhd b/libraries/base/common/src/vhdl/common_fifo_dc_mixed_widths.vhd
index 6290c8f0b5..3517c930f7 100644
--- a/libraries/base/common/src/vhdl/common_fifo_dc_mixed_widths.vhd
+++ b/libraries/base/common/src/vhdl/common_fifo_dc_mixed_widths.vhd
@@ -41,9 +41,9 @@
 --   been written to the FIFO the rdusedw will wrap and the output goes wrong.
 
 library IEEE, technology_lib, tech_fifo_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_fifo_dc_mixed_widths is
   generic (
@@ -96,27 +96,27 @@ begin
   -- . synchronize release of rst to wr_clk domain
   -- Using common_areset is equivalent to using common_async with same signal applied to rst and din.
   u_wr_rst : entity work.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => 3
-  )
-  port map (
-    in_rst    => rst,
-    clk       => wr_clk,
-    out_rst   => wr_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => 3
+    )
+    port map (
+      in_rst    => rst,
+      clk       => wr_clk,
+      out_rst   => wr_rst
+    );
 
   -- Delay wr_init to ensure that FIFO ful has gone low after reset release
   u_wr_init : entity work.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => 4
-  )
-  port map (
-    in_rst  => wr_rst,
-    clk     => wr_clk,
-    out_rst => wr_init  -- assume init has finished g_delay_len cycles after release of wr_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => 4
+    )
+    port map (
+      in_rst  => wr_rst,
+      clk     => wr_clk,
+      out_rst => wr_init  -- assume init has finished g_delay_len cycles after release of wr_rst
+    );
 
   -- The FIFO under read and over write protection are kept enabled in the MegaWizard
   wr_en <= wr_req and not wr_init;  -- check on NOT ful is not necessary according to fifo_generator_ug175.pdf
@@ -135,25 +135,25 @@ begin
   end process;
 
   u_fifo : entity tech_fifo_lib.tech_fifo_dc_mixed_widths
-  generic map (
-    g_technology => g_technology,
-    g_nof_words  => c_nof_words,
-    g_wrdat_w    => g_wr_dat_w,
-    g_rddat_w    => g_rd_dat_w
-  )
-  port map (
-    aclr    => wr_rst,  -- MegaWizard fifo_dc_mixed_widths seems to use aclr synchronous with wr_clk
-    data    => wr_dat,
-    rdclk   => rd_clk,
-    rdreq   => rd_en,
-    wrclk   => wr_clk,
-    wrreq   => wr_en,
-    q       => rd_dat,
-    rdempty => emp,
-    rdusedw => rdusedw,
-    wrfull  => ful,
-    wrusedw => wrusedw
-  );
+    generic map (
+      g_technology => g_technology,
+      g_nof_words  => c_nof_words,
+      g_wrdat_w    => g_wr_dat_w,
+      g_rddat_w    => g_rd_dat_w
+    )
+    port map (
+      aclr    => wr_rst,  -- MegaWizard fifo_dc_mixed_widths seems to use aclr synchronous with wr_clk
+      data    => wr_dat,
+      rdclk   => rd_clk,
+      rdreq   => rd_en,
+      wrclk   => wr_clk,
+      wrreq   => wr_en,
+      q       => rd_dat,
+      rdempty => emp,
+      rdusedw => rdusedw,
+      wrfull  => ful,
+      wrusedw => wrusedw
+    );
 
   proc_common_fifo_asserts("common_fifo_dc_mixed_widths", g_note_is_ful, g_fail_rd_emp, wr_rst, wr_clk, ful, wr_en, rd_clk, emp, rd_en);
 
diff --git a/libraries/base/common/src/vhdl/common_fifo_rd.vhd b/libraries/base/common/src/vhdl/common_fifo_rd.vhd
index b977e8e0e4..090e6bcc7c 100644
--- a/libraries/base/common/src/vhdl/common_fifo_rd.vhd
+++ b/libraries/base/common/src/vhdl/common_fifo_rd.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Adapt from ready latency 1 to 0 to make a look ahead FIFO
 -- Description: -
@@ -58,21 +58,21 @@ architecture wrap of common_fifo_rd is
 begin
 
   u_rl0 : entity work.common_rl_decrease
-  generic map (
-    g_adapt       => true,
-    g_dat_w       => g_dat_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST sink: RL = 1
-    snk_out_ready => fifo_req,
-    snk_in_dat    => fifo_dat,
-    snk_in_val    => fifo_val,
-    -- ST source: RL = 0
-    src_in_ready  => rd_req,
-    src_out_dat   => rd_dat,
-    src_out_val   => rd_val
-  );
+    generic map (
+      g_adapt       => true,
+      g_dat_w       => g_dat_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST sink: RL = 1
+      snk_out_ready => fifo_req,
+      snk_in_dat    => fifo_dat,
+      snk_in_val    => fifo_val,
+      -- ST source: RL = 0
+      src_in_ready  => rd_req,
+      src_out_dat   => rd_dat,
+      src_out_val   => rd_val
+    );
 
 end wrap;
diff --git a/libraries/base/common/src/vhdl/common_fifo_sc.vhd b/libraries/base/common/src/vhdl/common_fifo_sc.vhd
index fed8be82f9..cea627ba36 100644
--- a/libraries/base/common/src/vhdl/common_fifo_sc.vhd
+++ b/libraries/base/common/src/vhdl/common_fifo_sc.vhd
@@ -22,9 +22,9 @@
 -- Purpose: Single clock FIFO
 
 library IEEE, technology_lib, tech_fifo_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_fifo_sc is
   generic (
@@ -32,8 +32,8 @@ entity common_fifo_sc is
     g_note_is_ful : boolean := true;  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
     g_fail_rd_emp : boolean := false;  -- when TRUE report FAILURE when read from an empty FIFO
     g_use_lut     : boolean := false;  -- when TRUE then force using LUTs via Altera eab="OFF",
-                                       -- else use default eab="ON" and ram_block_type="AUTO", default ram_block_type="AUTO" is sufficient, because
-                                       --      there seems no need to force using RAM and there are two types of Stratix IV RAM (M9K and M144K)
+    -- else use default eab="ON" and ram_block_type="AUTO", default ram_block_type="AUTO" is sufficient, because
+    --      there seems no need to force using RAM and there are two types of Stratix IV RAM (M9K and M144K)
     g_reset       : boolean := false;  -- when TRUE release FIFO reset some cycles after rst release, else use rst directly
     g_init        : boolean := false;  -- when TRUE force wr_req inactive for some cycles after FIFO reset release, else use wr_req as is
     g_dat_w       : natural := 36;  -- 36 * 256 = 1 M9K
@@ -85,15 +85,15 @@ begin
     -- Make sure the reset lasts at least 3 cycles (see fifo_generator_ug175.pdf). This is necessary in case
     -- the FIFO reset is also used functionally to flush it, so not only after power up.
     u_fifo_rst : entity work.common_areset
-    generic map (
-      g_rst_level => '1',
-      g_delay_len => 4
-    )
-    port map (
-      in_rst    => rst,
-      clk       => clk,
-      out_rst   => fifo_rst
-    );
+      generic map (
+        g_rst_level => '1',
+        g_delay_len => 4
+      )
+      port map (
+        in_rst    => rst,
+        clk       => clk,
+        out_rst   => fifo_rst
+      );
   end generate;
   no_fifo_rst : if g_reset = false generate
     fifo_rst <= rst;
@@ -102,15 +102,15 @@ begin
   gen_init : if g_init = true generate
     -- Wait at least 3 cycles after reset release before allowing fifo_wr_en (see fifo_generator_ug175.pdf)
     u_fifo_init : entity work.common_areset
-    generic map (
-      g_rst_level => '1',
-      g_delay_len => 4
-    )
-    port map (
-      in_rst    => fifo_rst,
-      clk       => clk,
-      out_rst   => fifo_init
-    );
+      generic map (
+        g_rst_level => '1',
+        g_delay_len => 4
+      )
+      port map (
+        in_rst    => fifo_rst,
+        clk       => clk,
+        out_rst   => fifo_init
+      );
 
     p_init_reg : process(fifo_rst, clk)
     begin
@@ -154,23 +154,23 @@ begin
   -- 0 < some threshold < usedw          < g_nof_words can be used as FIFO almost_full
   -- 0 <          usedw < some threshold < g_nof_words can be used as FIFO almost_empty
   u_fifo : entity tech_fifo_lib.tech_fifo_sc
-  generic map (
-    g_technology => g_technology,
-    g_use_eab    => c_use_eab,
-    g_dat_w      => g_dat_w,
-    g_nof_words  => g_nof_words
-  )
-  port map (
-    aclr    => fifo_rst,
-    clock   => clk,
-    data    => fifo_wr_dat,
-    rdreq   => fifo_rd_en,
-    wrreq   => fifo_wr_en,
-    empty   => fifo_empty,
-    full    => fifo_full,
-    q       => rd_dat,
-    usedw   => fifo_usedw
-  );
+    generic map (
+      g_technology => g_technology,
+      g_use_eab    => c_use_eab,
+      g_dat_w      => g_dat_w,
+      g_nof_words  => g_nof_words
+    )
+    port map (
+      aclr    => fifo_rst,
+      clock   => clk,
+      data    => fifo_wr_dat,
+      rdreq   => fifo_rd_en,
+      wrreq   => fifo_wr_en,
+      empty   => fifo_empty,
+      full    => fifo_full,
+      q       => rd_dat,
+      usedw   => fifo_usedw
+    );
 
   proc_common_fifo_asserts("common_fifo_sc", g_note_is_ful, g_fail_rd_emp, fifo_rst, clk, fifo_full, fifo_wr_en, clk, fifo_empty, fifo_rd_en);
 
diff --git a/libraries/base/common/src/vhdl/common_flank_to_pulse.vhd b/libraries/base/common/src/vhdl/common_flank_to_pulse.vhd
index 8bc6d474dc..6c726e8f67 100644
--- a/libraries/base/common/src/vhdl/common_flank_to_pulse.vhd
+++ b/libraries/base/common/src/vhdl/common_flank_to_pulse.vhd
@@ -21,7 +21,7 @@
 --------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity common_flank_to_pulse is
   port (
@@ -39,7 +39,7 @@ architecture str of common_flank_to_pulse is
 
 begin
 
- p_in_dly : process(rst, clk)
+  p_in_dly : process(rst, clk)
   begin
     if rst = '1' then
       flank_in_dly  <= '0';
diff --git a/libraries/base/common/src/vhdl/common_frame_busy.vhd b/libraries/base/common/src/vhdl/common_frame_busy.vhd
index 00161c8b47..5585eff9e1 100644
--- a/libraries/base/common/src/vhdl/common_frame_busy.vhd
+++ b/libraries/base/common/src/vhdl/common_frame_busy.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose: Determine when there is an active frame
 -- Description:
diff --git a/libraries/base/common/src/vhdl/common_init.vhd b/libraries/base/common/src/vhdl/common_init.vhd
index 2028dcae21..7a471e8010 100644
--- a/libraries/base/common/src/vhdl/common_init.vhd
+++ b/libraries/base/common/src/vhdl/common_init.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose:
 --
@@ -88,15 +88,15 @@ begin
   nxt_init_reg <= '1' when cnt_en = '0' and prev_cnt_en = '1' else '0';
 
   u_counter : entity common_lib.common_counter
-  generic map (
-    g_width     => g_latency_w + 1
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => '0',
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_width     => g_latency_w + 1
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => '0',
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_inout.vhd b/libraries/base/common/src/vhdl/common_inout.vhd
index 7dd8551283..00ac9602c2 100644
--- a/libraries/base/common/src/vhdl/common_inout.vhd
+++ b/libraries/base/common/src/vhdl/common_inout.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Tristate buffer
 
diff --git a/libraries/base/common/src/vhdl/common_int2float.vhd b/libraries/base/common/src/vhdl/common_int2float.vhd
index 4f66730799..84097e744d 100644
--- a/libraries/base/common/src/vhdl/common_int2float.vhd
+++ b/libraries/base/common/src/vhdl/common_int2float.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose:
 --   Convert signed integer to semi-floating point number.
diff --git a/libraries/base/common/src/vhdl/common_interface_layers_pkg.vhd b/libraries/base/common/src/vhdl/common_interface_layers_pkg.vhd
index 00926e2bcd..1fe7d8805b 100644
--- a/libraries/base/common/src/vhdl/common_interface_layers_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_interface_layers_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use IEEE.numeric_std.all;
 
 package common_interface_layers_pkg is
 
@@ -55,15 +55,15 @@ package common_interface_layers_pkg is
   constant c_xgmii_c_start     : std_logic_vector(c_xgmii_nof_lanes - 1 downto 0) := x"01";  -- b'00000001' as byte 0 contains START word FB
   constant c_xgmii_c_term      : std_logic_vector(c_xgmii_nof_lanes - 1 downto 0) := x"F8";  -- b'11111000' as byte 3 contains TERMINATE word FD, bytes 7..4 are IDLE.
 
-  function func_xgmii_dc( data : in std_logic_vector(c_xgmii_data_w - 1 downto 0); ctrl : in std_logic_vector(c_xgmii_nof_lanes - 1 downto 0)) return std_logic_vector;
-  function func_xgmii_d( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector;
-  function func_xgmii_c( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector;
+  function func_xgmii_dc ( data : in std_logic_vector(c_xgmii_data_w - 1 downto 0); ctrl : in std_logic_vector(c_xgmii_nof_lanes - 1 downto 0)) return std_logic_vector;
+  function func_xgmii_d ( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector;
+  function func_xgmii_c ( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector;
 
   type t_xgmii_dc_arr is array(integer range <>) of std_logic_vector(c_xgmii_w - 1 downto 0);
   type t_xgmii_d_arr is array(integer range <>) of std_logic_vector(c_xgmii_data_w - 1 downto 0);
   type t_xgmii_c_arr is array(integer range <>) of std_logic_vector(c_xgmii_nof_lanes - 1 downto 0);
 
- end common_interface_layers_pkg;
+end common_interface_layers_pkg;
 
 package body common_interface_layers_pkg is
 
@@ -71,7 +71,7 @@ package body common_interface_layers_pkg is
   -- (November 2011) page 3-11: SDR XGMII Tx Interface for the proper mapping.
 
   -- Combine separate data and control bits into one XGMII SLV.
-  function func_xgmii_dc( data : in std_logic_vector(c_xgmii_data_w - 1 downto 0); ctrl : in std_logic_vector(c_xgmii_nof_lanes - 1 downto 0)) return std_logic_vector is
+  function func_xgmii_dc ( data : in std_logic_vector(c_xgmii_data_w - 1 downto 0); ctrl : in std_logic_vector(c_xgmii_nof_lanes - 1 downto 0)) return std_logic_vector is
     variable data_ctrl_out : std_logic_vector(c_xgmii_w - 1 downto 0);
   begin
     -- Lane 0:
@@ -103,7 +103,7 @@ package body common_interface_layers_pkg is
   end;
 
   -- Extract the data bits from combined data+ctrl XGMII SLV.
-  function func_xgmii_d( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector is
+  function func_xgmii_d ( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector is
     variable data_out : std_logic_vector(c_xgmii_data_w - 1 downto 0);
   begin
     -- Lane 0:
@@ -127,7 +127,7 @@ package body common_interface_layers_pkg is
   end;
 
   -- Extract the control bits from combined data+ctrl XGMII SLV.
-  function func_xgmii_c( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector is
+  function func_xgmii_c ( data_ctrl: in std_logic_vector(c_xgmii_w - 1 downto 0)) return std_logic_vector is
     variable ctrl_out : std_logic_vector(c_xgmii_nof_lanes - 1 downto 0);
   begin
     -- Lane 0:
diff --git a/libraries/base/common/src/vhdl/common_interleave.vhd b/libraries/base/common/src/vhdl/common_interleave.vhd
index 6b2cb0e6bd..b78af46c22 100644
--- a/libraries/base/common/src/vhdl/common_interleave.vhd
+++ b/libraries/base/common/src/vhdl/common_interleave.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Interleave g_nof_in inputs into one output stream based on g_block_size.
 -- Description:
@@ -40,7 +40,7 @@ entity common_interleave is
     g_nof_in     : natural;  -- >= 2
     g_dat_w      : natural;
     g_block_size : natural
- );
+  );
   port (
     clk         : in  std_logic;
     rst         : in  std_logic;
@@ -115,46 +115,46 @@ begin
   -----------------------------------------------------------------------------
   gen_blockregs: for i in 0 to g_nof_in - 1 generate
     u_blockreg : entity work.common_blockreg
-    generic map (
-      g_block_size => g_block_size,
-      g_dat_w     => g_dat_w
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-
-      in_dat       => bkr_in_dat_arr(i),
-      in_val       => in_val,
-
-      out_dat      => bkr_out_dat_arr(i),
-      out_val      => bkr_out_val_arr(i)
+      generic map (
+        g_block_size => g_block_size,
+        g_dat_w     => g_dat_w
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+
+        in_dat       => bkr_in_dat_arr(i),
+        in_val       => in_val,
+
+        out_dat      => bkr_out_dat_arr(i),
+        out_val      => bkr_out_val_arr(i)
       );
 
     u_dat_block_offset_pipe : entity work.common_pipeline
-    generic map (
-      g_pipeline  => i * g_block_size,
-      g_in_dat_w  => g_dat_w,
-      g_out_dat_w => g_dat_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => bkr_out_dat_arr(i),
-      out_dat => piped_bkr_out_dat_arr(i)
-    );
+      generic map (
+        g_pipeline  => i * g_block_size,
+        g_in_dat_w  => g_dat_w,
+        g_out_dat_w => g_dat_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => bkr_out_dat_arr(i),
+        out_dat => piped_bkr_out_dat_arr(i)
+      );
 
     u_val_block_offset_pipe : entity work.common_pipeline
-    generic map (
-      g_pipeline  => i * g_block_size,
-      g_in_dat_w  => 1,
-      g_out_dat_w => 1
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => slv(bkr_out_val_arr(i)),
-      sl(out_dat) => piped_bkr_out_val_arr(i)
-    );
+      generic map (
+        g_pipeline  => i * g_block_size,
+        g_in_dat_w  => 1,
+        g_out_dat_w => 1
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => slv(bkr_out_val_arr(i)),
+        sl(out_dat) => piped_bkr_out_val_arr(i)
+      );
 
   end generate;
 
@@ -169,21 +169,21 @@ begin
   -- The multiplexer
   -----------------------------------------------------------------------------
   u_mux : entity work.common_multiplexer
-  generic map (
-    g_nof_in => g_nof_in,
-    g_dat_w  => g_dat_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_sel     => mux_in_sel,
-    in_dat     => mux_in_concat_dat_arr,
-    in_val     => mux_in_val,
-
-    out_dat    => out_dat,
-    out_val    => out_val
-  );
+    generic map (
+      g_nof_in => g_nof_in,
+      g_dat_w  => g_dat_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_sel     => mux_in_sel,
+      in_dat     => mux_in_concat_dat_arr,
+      in_val     => mux_in_val,
+
+      out_dat    => out_dat,
+      out_val    => out_val
+    );
 
   -----------------------------------------------------------------------------
   -- Multiplexer input selection
diff --git a/libraries/base/common/src/vhdl/common_interval_monitor.vhd b/libraries/base/common/src/vhdl/common_interval_monitor.vhd
index be26be9693..cae299bec6 100644
--- a/libraries/base/common/src/vhdl/common_interval_monitor.vhd
+++ b/libraries/base/common/src/vhdl/common_interval_monitor.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Monitor the nof valid clock cycles between two in_evt pulses
 -- Description:
diff --git a/libraries/base/common/src/vhdl/common_iobuf_in.vhd b/libraries/base/common/src/vhdl/common_iobuf_in.vhd
index 688910ed0d..7cde91658e 100644
--- a/libraries/base/common/src/vhdl/common_iobuf_in.vhd
+++ b/libraries/base/common/src/vhdl/common_iobuf_in.vhd
@@ -22,8 +22,8 @@
 -- Purpose: Delay differential FPGA input
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity common_iobuf_in is
   generic(
diff --git a/libraries/base/common/src/vhdl/common_led_controller.vhd b/libraries/base/common/src/vhdl/common_led_controller.vhd
index 145a404713..42a87c504c 100644
--- a/libraries/base/common/src/vhdl/common_led_controller.vhd
+++ b/libraries/base/common/src/vhdl/common_led_controller.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Provide visual activity information via a LED.
 -- Description:
diff --git a/libraries/base/common/src/vhdl/common_lfsr_sequences_pkg.vhd b/libraries/base/common/src/vhdl/common_lfsr_sequences_pkg.vhd
index 6e8d384cd4..35b7ac1fd5 100644
--- a/libraries/base/common/src/vhdl/common_lfsr_sequences_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_lfsr_sequences_pkg.vhd
@@ -30,9 +30,9 @@
 -- . Based on Xilinx application note xapp052.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 package common_lfsr_sequences_pkg is
 
@@ -52,111 +52,547 @@ package common_lfsr_sequences_pkg is
   --   (0,0,0,0, 2, 1) yields repeat <0, 1, 2>
 
   -- XNOR feedbacks from outputs for n = 3 .. 72 from Xilinx xapp052.pdf (that lists feedbacks for in total 168 sequences)
-  constant c_common_lfsr_sequences : t_SEQUENCES := ((0,0,0,0,0,               1),  -- 1 : <0, 1>
-                                                     (0,0,0,0,              0, 2),  -- 2 : <0, 1, 3, 2>
-                                                     (0,0,0,0,              3, 2),  -- 3
-                                                     (0,0,0,0,              4, 3),  -- 4
-                                                     (0,0,0,0,              5, 3),  -- 5
-                                                     (0,0,0,0,              6, 5),  -- 6
-                                                     (0,0,0,0,              7, 6),  -- 7
-                                                     (0,0,            8, 6, 5, 4),  -- 8
-                                                     (0,0,0,0,              9, 5),  -- 9
-                                                     (0,0,0,0,             10, 7),  -- 10
-                                                     (0,0,0,0,             11, 9),  -- 11
-                                                     (0,0,           12, 6, 4, 1),  -- 12
-                                                     (0,0,           13, 4, 3, 1),  -- 13
-                                                     (0,0,           14, 5, 3, 1),  -- 14
-                                                     (0,0,0,0,       15,14      ),  -- 15
-                                                     (0,0,           16,15,13, 4),  -- 16
-                                                     (0,0,0,0,       17,14      ),  -- 17
-                                                     (0,0,0,0,       18,11      ),  -- 18
-                                                     (0,0,           19, 6, 2, 1),  -- 19
-                                                     (0,0,0,0,       20,17      ),  -- 20
-                                                     (0,0,0,0,       21,19      ),  -- 21
-                                                     (0,0,0,0,       22,21      ),  -- 22
-                                                     (0,0,0,0,       23,18      ),  -- 23
-                                                     (0,0,           24,23,22,17),  -- 24
-                                                     (0,0,0,0,       25,22      ),  -- 25
-                                                     (0,0,           26, 6, 2, 1),  -- 26
-                                                     (0,0,           27, 5, 2, 1),  -- 27
-                                                     (0,0,0,0,       28,25      ),  -- 28
-                                                     (0,0,0,0,       29,27      ),  -- 29
-                                                     (0,0,           30, 6, 4, 1),  -- 30
-                                                     (0,0,0,0,       31,28      ),  -- 31
-                                                     (0,0,           32,22, 2, 1),  -- 32
-                                                     (0,0,0,0,       33,20      ),  -- 33
-                                                     (0,0,           34,27, 2, 1),  -- 34
-                                                     (0,0,0,0,       35,33      ),  -- 35
-                                                     (0,0,0,0,       36,25      ),  -- 36
-                                                     (         37, 5, 4, 3, 2, 1),  -- 37
-                                                     (0,0,           38, 6, 5, 1),  -- 38
-                                                     (0,0,0,0,       39,35      ),  -- 39
-                                                     (0,0,           40,38,21,19),  -- 40
-                                                     (0,0,0,0,       41,38      ),  -- 41
-                                                     (0,0,           42,41,20,19),  -- 42
-                                                     (0,0,           43,42,38,37),  -- 43
-                                                     (0,0,           44,43,18,17),  -- 44
-                                                     (0,0,           45,44,42,41),  -- 45
-                                                     (0,0,           46,45,26,25),  -- 46
-                                                     (0,0,0,0,       47,42      ),  -- 47
-                                                     (0,0,           48,47,21,20),  -- 48
-                                                     (0,0,0,0,       49,40      ),  -- 49
-                                                     (0,0,           50,49,24,23),  -- 50
-                                                     (0,0,           51,50,36,35),  -- 51
-                                                     (0,0,0,0,       52,49      ),  -- 52
-                                                     (0,0,           53,52,38,37),  -- 53
-                                                     (0,0,           54,53,18,17),  -- 54
-                                                     (0,0,0,0,       55,31      ),  -- 55
-                                                     (0,0,           56,55,35,34),  -- 56
-                                                     (0,0,0,0,       57,50      ),  -- 57
-                                                     (0,0,0,0,       58,39      ),  -- 58
-                                                     (0,0,           59,58,38,37),  -- 59
-                                                     (0,0,0,0,       60,59      ),  -- 60
-                                                     (0,0,           61,60,46,45),  -- 61
-                                                     (0,0,           62,61, 6, 5),  -- 62
-                                                     (0,0,0,0,       63,62      ),  -- 63
-                                                     (0,0,           64,63,61,60),  -- 64
-                                                     (0,0,0,0,       65,47      ),  -- 65
-                                                     (0,0,           66,65,57,56),  -- 66
-                                                     (0,0,           67,66,58,57),  -- 67
-                                                     (0,0,0,0,       68,59      ),  -- 68
-                                                     (0,0,           69,67,42,40),  -- 69
-                                                     (0,0,           70,69,55,54),  -- 70
-                                                     (0,0,0,0,       71,65      ),  -- 71
-                                                     (0,0,           72,66,25,19));  -- 72
+  constant c_common_lfsr_sequences : t_SEQUENCES := (
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      1),  -- 1 : <0, 1>
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      2),  -- 2 : <0, 1, 3, 2>
+    (
+      0,
+      0,
+      0,
+      0,
+      3,
+      2),  -- 3
+    (
+      0,
+      0,
+      0,
+      0,
+      4,
+      3),  -- 4
+    (
+      0,
+      0,
+      0,
+      0,
+      5,
+      3),  -- 5
+    (
+      0,
+      0,
+      0,
+      0,
+      6,
+      5),  -- 6
+    (
+      0,
+      0,
+      0,
+      0,
+      7,
+      6),  -- 7
+    (
+      0,
+      0,
+      8,
+      6,
+      5,
+      4),  -- 8
+    (
+      0,
+      0,
+      0,
+      0,
+      9,
+      5),  -- 9
+    (
+      0,
+      0,
+      0,
+      0,
+      10,
+      7),  -- 10
+    (
+      0,
+      0,
+      0,
+      0,
+      11,
+      9),  -- 11
+    (
+      0,
+      0,
+      12,
+      6,
+      4,
+      1),  -- 12
+    (
+      0,
+      0,
+      13,
+      4,
+      3,
+      1),  -- 13
+    (
+      0,
+      0,
+      14,
+      5,
+      3,
+      1),  -- 14
+    (
+      0,
+      0,
+      0,
+      0,
+      15,
+      14      ),  -- 15
+    (
+      0,
+      0,
+      16,
+      15,
+      13,
+      4),  -- 16
+    (
+      0,
+      0,
+      0,
+      0,
+      17,
+      14      ),  -- 17
+    (
+      0,
+      0,
+      0,
+      0,
+      18,
+      11      ),  -- 18
+    (
+      0,
+      0,
+      19,
+      6,
+      2,
+      1),  -- 19
+    (
+      0,
+      0,
+      0,
+      0,
+      20,
+      17      ),  -- 20
+    (
+      0,
+      0,
+      0,
+      0,
+      21,
+      19      ),  -- 21
+    (
+      0,
+      0,
+      0,
+      0,
+      22,
+      21      ),  -- 22
+    (
+      0,
+      0,
+      0,
+      0,
+      23,
+      18      ),  -- 23
+    (
+      0,
+      0,
+      24,
+      23,
+      22,
+      17),  -- 24
+    (
+      0,
+      0,
+      0,
+      0,
+      25,
+      22      ),  -- 25
+    (
+      0,
+      0,
+      26,
+      6,
+      2,
+      1),  -- 26
+    (
+      0,
+      0,
+      27,
+      5,
+      2,
+      1),  -- 27
+    (
+      0,
+      0,
+      0,
+      0,
+      28,
+      25      ),  -- 28
+    (
+      0,
+      0,
+      0,
+      0,
+      29,
+      27      ),  -- 29
+    (
+      0,
+      0,
+      30,
+      6,
+      4,
+      1),  -- 30
+    (
+      0,
+      0,
+      0,
+      0,
+      31,
+      28      ),  -- 31
+    (
+      0,
+      0,
+      32,
+      22,
+      2,
+      1),  -- 32
+    (
+      0,
+      0,
+      0,
+      0,
+      33,
+      20      ),  -- 33
+    (
+      0,
+      0,
+      34,
+      27,
+      2,
+      1),  -- 34
+    (
+      0,
+      0,
+      0,
+      0,
+      35,
+      33      ),  -- 35
+    (
+      0,
+      0,
+      0,
+      0,
+      36,
+      25      ),  -- 36
+    (
+               37,
+      5,
+      4,
+      3,
+      2,
+      1),  -- 37
+    (
+      0,
+      0,
+      38,
+      6,
+      5,
+      1),  -- 38
+    (
+      0,
+      0,
+      0,
+      0,
+      39,
+      35      ),  -- 39
+    (
+      0,
+      0,
+      40,
+      38,
+      21,
+      19),  -- 40
+    (
+      0,
+      0,
+      0,
+      0,
+      41,
+      38      ),  -- 41
+    (
+      0,
+      0,
+      42,
+      41,
+      20,
+      19),  -- 42
+    (
+      0,
+      0,
+      43,
+      42,
+      38,
+      37),  -- 43
+    (
+      0,
+      0,
+      44,
+      43,
+      18,
+      17),  -- 44
+    (
+      0,
+      0,
+      45,
+      44,
+      42,
+      41),  -- 45
+    (
+      0,
+      0,
+      46,
+      45,
+      26,
+      25),  -- 46
+    (
+      0,
+      0,
+      0,
+      0,
+      47,
+      42      ),  -- 47
+    (
+      0,
+      0,
+      48,
+      47,
+      21,
+      20),  -- 48
+    (
+      0,
+      0,
+      0,
+      0,
+      49,
+      40      ),  -- 49
+    (
+      0,
+      0,
+      50,
+      49,
+      24,
+      23),  -- 50
+    (
+      0,
+      0,
+      51,
+      50,
+      36,
+      35),  -- 51
+    (
+      0,
+      0,
+      0,
+      0,
+      52,
+      49      ),  -- 52
+    (
+      0,
+      0,
+      53,
+      52,
+      38,
+      37),  -- 53
+    (
+      0,
+      0,
+      54,
+      53,
+      18,
+      17),  -- 54
+    (
+      0,
+      0,
+      0,
+      0,
+      55,
+      31      ),  -- 55
+    (
+      0,
+      0,
+      56,
+      55,
+      35,
+      34),  -- 56
+    (
+      0,
+      0,
+      0,
+      0,
+      57,
+      50      ),  -- 57
+    (
+      0,
+      0,
+      0,
+      0,
+      58,
+      39      ),  -- 58
+    (
+      0,
+      0,
+      59,
+      58,
+      38,
+      37),  -- 59
+    (
+      0,
+      0,
+      0,
+      0,
+      60,
+      59      ),  -- 60
+    (
+      0,
+      0,
+      61,
+      60,
+      46,
+      45),  -- 61
+    (
+      0,
+      0,
+      62,
+      61,
+      6,
+      5),  -- 62
+    (
+      0,
+      0,
+      0,
+      0,
+      63,
+      62      ),  -- 63
+    (
+      0,
+      0,
+      64,
+      63,
+      61,
+      60),  -- 64
+    (
+      0,
+      0,
+      0,
+      0,
+      65,
+      47      ),  -- 65
+    (
+      0,
+      0,
+      66,
+      65,
+      57,
+      56),  -- 66
+    (
+      0,
+      0,
+      67,
+      66,
+      58,
+      57),  -- 67
+    (
+      0,
+      0,
+      0,
+      0,
+      68,
+      59      ),  -- 68
+    (
+      0,
+      0,
+      69,
+      67,
+      42,
+      40),  -- 69
+    (
+      0,
+      0,
+      70,
+      69,
+      55,
+      54),  -- 70
+    (
+      0,
+      0,
+      0,
+      0,
+      71,
+      65      ),  -- 71
+    (
+      0,
+      0,
+      72,
+      66,
+      25,
+      19)
+  );  -- 72
 
 
   -- Procedure for calculating the next PSRG and COUNTER sequence value
-  procedure common_lfsr_nxt_seq(constant c_lfsr_nr : in  natural;
-                                constant g_incr    : in  integer;
-                                         in_en     : in  std_logic;
-                                         in_req    : in  std_logic;
-                                         in_dat    : in  std_logic_vector;
-                                         prsg      : in  std_logic_vector;
-                                         cntr      : in  std_logic_vector;
-                                signal   nxt_prsg  : out std_logic_vector;
-                                signal   nxt_cntr  : out std_logic_vector);
+  procedure common_lfsr_nxt_seq (
+    constant c_lfsr_nr : in  natural;
+    constant g_incr    : in  integer;
+    in_en     : in  std_logic;
+    in_req    : in  std_logic;
+    in_dat    : in  std_logic_vector;
+    prsg      : in  std_logic_vector;
+    cntr      : in  std_logic_vector;
+    signal   nxt_prsg  : out std_logic_vector;
+    signal   nxt_cntr  : out std_logic_vector);
 
   -- Use lfsr part of common_lfsr_nxt_seq to make a random bit generator function
   -- . width of lfsr selects the LFSR sequence
   -- . initialized lfsr with (OTHERS=>'0')
   -- . use lfsr(lfsr'HIGH) as random bit
-  function func_common_random(lfsr : std_logic_vector) return std_logic_vector;
+  function func_common_random (lfsr : std_logic_vector) return std_logic_vector;
 
 end common_lfsr_sequences_pkg;
 
 
 package body common_lfsr_sequences_pkg is
 
-  procedure common_lfsr_nxt_seq(constant c_lfsr_nr : in  natural;
-                                constant g_incr    : in  integer;
-                                         in_en     : in  std_logic;
-                                         in_req    : in  std_logic;
-                                         in_dat    : in  std_logic_vector;
-                                         prsg      : in  std_logic_vector;
-                                         cntr      : in  std_logic_vector;
-                                signal   nxt_prsg  : out std_logic_vector;
-                                signal   nxt_cntr  : out std_logic_vector) is
+  procedure common_lfsr_nxt_seq (
+    constant c_lfsr_nr : in  natural;
+    constant g_incr    : in  integer;
+    in_en     : in  std_logic;
+    in_req    : in  std_logic;
+    in_dat    : in  std_logic_vector;
+    prsg      : in  std_logic_vector;
+    cntr      : in  std_logic_vector;
+    signal   nxt_prsg  : out std_logic_vector;
+    signal   nxt_cntr  : out std_logic_vector) is
     variable v_feedback : std_logic;
   begin
     nxt_prsg <= prsg;
@@ -181,7 +617,7 @@ package body common_lfsr_sequences_pkg is
     end if;
   end common_lfsr_nxt_seq;
 
-  function func_common_random(lfsr : std_logic_vector) return std_logic_vector is
+  function func_common_random (lfsr : std_logic_vector) return std_logic_vector is
     constant c_lfsr_nr  : natural := lfsr'length - c_common_lfsr_first;
     variable v_nxt_lfsr : std_logic_vector(lfsr'range);
     variable v_feedback : std_logic;
diff --git a/libraries/base/common/src/vhdl/common_math_pkg.vhd b/libraries/base/common/src/vhdl/common_math_pkg.vhd
index 36c4660556..b25d4da636 100644
--- a/libraries/base/common/src/vhdl/common_math_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_math_pkg.vhd
@@ -33,10 +33,10 @@
 --   is reduced to within the [0:2pi> range inside SIN() or COS().
 --
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
 
 package common_math_pkg is
 
@@ -46,25 +46,25 @@ package common_math_pkg is
   -- . freq is the number of periods in N samples
   -- . phi is phase offset in radials
   -- . use common_math_create_look_up_table_phasor() to create a complex phasor look up table
-  function common_math_create_look_up_table_cos(N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr;
-  function common_math_create_look_up_table_sin(N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr;
+  function common_math_create_look_up_table_cos (N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr;
+  function common_math_create_look_up_table_sin (N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr;
 
   -- Function to create the cos/sin lookup table with one period and maximum amplitude
   -- . N is number of samples in the lookup table, [0:N-1] = [0:N> = [0:2pi>
   -- . ampl = 2**(w-1)-1, +ampl is maximum and -ampl is minimim integer value
   -- . freq = 1, fixed: one period in lookup table
   -- . phi  = 0, fixed: no phase offset
-  function common_math_create_look_up_table_cos(N : positive; W : positive) return t_nat_integer_arr;
-  function common_math_create_look_up_table_sin(N : positive; W : positive) return t_nat_integer_arr;
+  function common_math_create_look_up_table_cos (N : positive; W : positive) return t_nat_integer_arr;
+  function common_math_create_look_up_table_sin (N : positive; W : positive) return t_nat_integer_arr;
   -- . idem but with option to invert the waveform (phi = pi rad) or not (phi = 0 rad)
-  function common_math_create_look_up_table_cos(N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr;
-  function common_math_create_look_up_table_sin(N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr;
+  function common_math_create_look_up_table_cos (N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr;
+  function common_math_create_look_up_table_sin (N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr;
 
   -- Function to sum all values in the lookup table, to determine the DC value
-  function common_math_sum_look_up_table(table : t_nat_integer_arr) return integer;
+  function common_math_sum_look_up_table (table : t_nat_integer_arr) return integer;
 
   -- Function to concat Im & Re values in the lookup table, output = (hi << W) + lo
-  function common_math_concat_look_up_table(table_hi, table_lo : t_nat_integer_arr; W : positive) return t_nat_integer_arr;
+  function common_math_concat_look_up_table (table_hi, table_lo : t_nat_integer_arr; W : positive) return t_nat_integer_arr;
 
   -- Function to concat Im & Re values of phasor in the lookup table, output = (imag << W) + real
   -- . N is number of samples in the lookup table, [0:N-1] = [0:N> = [0:2pi*FREQ>
@@ -77,10 +77,10 @@ package common_math_pkg is
   -- Phasor: exp(j*angle) = cos(angle) + j*sin(angle)
   -- A complex FFT of N points has N bins or channels: ch = -N/2:0:N/2-1.
   -- To create an FFT input phasor with frequency in the middle of a channel use FREQ = ch.
-  function common_math_create_look_up_table_phasor(N, W : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr;
-  function common_math_create_look_up_table_phasor(N, W : positive; AMPL, FREQ, PHI : real) return t_slv_32_arr;  -- range 0 TO N-1
+  function common_math_create_look_up_table_phasor (N, W : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr;
+  function common_math_create_look_up_table_phasor (N, W : positive; AMPL, FREQ, PHI : real) return t_slv_32_arr;  -- range 0 TO N-1
 
-  function common_math_create_random_arr(N, W : positive; seed : natural) return t_integer_arr;
+  function common_math_create_random_arr (N, W : positive; seed : natural) return t_integer_arr;
 
 
 end common_math_pkg;
@@ -88,7 +88,7 @@ end common_math_pkg;
 
 package body common_math_pkg is
 
-  function common_math_create_look_up_table_cos(N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr is
+  function common_math_create_look_up_table_cos (N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr is
     variable v_table : t_nat_integer_arr(N - 1 downto 0);
     variable v_angle : real;
   begin
@@ -100,12 +100,12 @@ package body common_math_pkg is
     return v_table;
   end;
 
-  function common_math_create_look_up_table_cos(N : positive; W : positive) return t_nat_integer_arr is
+  function common_math_create_look_up_table_cos (N : positive; W : positive) return t_nat_integer_arr is
   begin
     return common_math_create_look_up_table_cos(N, real(2**(W - 1) - 1), 1.0, 0.0);
   end;
 
-  function common_math_create_look_up_table_cos(N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr is
+  function common_math_create_look_up_table_cos (N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr is
   begin
     if INVERT = false then
       return common_math_create_look_up_table_cos(N, real(2**(W - 1) - 1), 1.0, 0.0);
@@ -114,7 +114,7 @@ package body common_math_pkg is
     end if;
   end;
 
-  function common_math_create_look_up_table_sin(N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr is
+  function common_math_create_look_up_table_sin (N : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr is
     variable v_table : t_nat_integer_arr(N - 1 downto 0);
     variable v_angle : real;
   begin
@@ -126,13 +126,13 @@ package body common_math_pkg is
     return v_table;
   end;
 
-  function common_math_create_look_up_table_sin(N : positive; W : positive) return t_nat_integer_arr is
+  function common_math_create_look_up_table_sin (N : positive; W : positive) return t_nat_integer_arr is
     variable v_table : t_nat_integer_arr(N - 1 downto 0);
   begin
     return common_math_create_look_up_table_sin(N, real(2**(W - 1) - 1), 1.0, 0.0);
   end;
 
-  function common_math_create_look_up_table_sin(N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr is
+  function common_math_create_look_up_table_sin (N : positive; W : positive; INVERT : boolean) return t_nat_integer_arr is
   begin
     if INVERT = false then
       return common_math_create_look_up_table_sin(N, real(2**(W - 1) - 1), 1.0, 0.0);
@@ -141,7 +141,7 @@ package body common_math_pkg is
     end if;
   end;
 
-  function common_math_sum_look_up_table(table : t_nat_integer_arr) return integer is
+  function common_math_sum_look_up_table (table : t_nat_integer_arr) return integer is
     variable v_dc : integer := 0;
   begin
     for I in table'range loop
@@ -150,7 +150,7 @@ package body common_math_pkg is
     return v_dc;
   end;
 
-  function common_math_concat_look_up_table(table_hi, table_lo : t_nat_integer_arr; W : positive) return t_nat_integer_arr is
+  function common_math_concat_look_up_table (table_hi, table_lo : t_nat_integer_arr; W : positive) return t_nat_integer_arr is
     variable v_table : t_nat_integer_arr(table_hi'range);
     variable v_hi    : std_logic_vector(W - 1 downto 0);
     variable v_lo    : std_logic_vector(W - 1 downto 0);
@@ -164,7 +164,7 @@ package body common_math_pkg is
     return v_table;
   end;
 
-  function common_math_create_look_up_table_phasor(N, W : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr is
+  function common_math_create_look_up_table_phasor (N, W : positive; AMPL, FREQ, PHI : real) return t_nat_integer_arr is
     constant c_cos_arr : t_nat_integer_arr := common_math_create_look_up_table_cos(N, AMPL, FREQ, PHI);
     constant c_sin_arr : t_nat_integer_arr := common_math_create_look_up_table_sin(N, AMPL, FREQ, PHI);
     constant c_exp_arr : t_nat_integer_arr := common_math_concat_look_up_table(c_sin_arr, c_cos_arr, W);
@@ -172,7 +172,7 @@ package body common_math_pkg is
     return c_exp_arr;  -- Concatenated W bit sin imag part & W bit cos real part
   end;
 
-  function common_math_create_look_up_table_phasor(N, W : positive; AMPL, FREQ, PHI : real) return t_slv_32_arr is
+  function common_math_create_look_up_table_phasor (N, W : positive; AMPL, FREQ, PHI : real) return t_slv_32_arr is
     constant c_exp_arr : t_nat_integer_arr := common_math_create_look_up_table_phasor(N, W, AMPL, FREQ, PHI);
     variable v_exp_arr : t_slv_32_arr(0 to N - 1);
   begin
@@ -182,7 +182,7 @@ package body common_math_pkg is
     return v_exp_arr;
   end;
 
-  function common_math_create_random_arr(N, W : positive; seed : natural) return t_integer_arr is
+  function common_math_create_random_arr (N, W : positive; seed : natural) return t_integer_arr is
     variable v_rand_arr : t_integer_arr(0 to N - 1);
     variable v_random : std_logic_vector(W - 1 downto 0) := TO_UVEC(seed, W);
   begin
diff --git a/libraries/base/common/src/vhdl/common_mem_demux.vhd b/libraries/base/common/src/vhdl/common_mem_demux.vhd
index 200a1d5620..b516da80a4 100644
--- a/libraries/base/common/src/vhdl/common_mem_demux.vhd
+++ b/libraries/base/common/src/vhdl/common_mem_demux.vhd
@@ -51,9 +51,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity common_mem_demux is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_mem_mux.vhd b/libraries/base/common/src/vhdl/common_mem_mux.vhd
index 59b667360e..6544ed6cee 100644
--- a/libraries/base/common/src/vhdl/common_mem_mux.vhd
+++ b/libraries/base/common/src/vhdl/common_mem_mux.vhd
@@ -58,9 +58,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity common_mem_mux is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_mem_pkg.vhd b/libraries/base/common/src/vhdl/common_mem_pkg.vhd
index 728550b388..ff2c10ab88 100644
--- a/libraries/base/common/src/vhdl/common_mem_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_mem_pkg.vhd
@@ -48,9 +48,9 @@
 -- sufficient widths.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 package common_mem_pkg is
 
@@ -91,24 +91,24 @@ package common_mem_pkg is
   subtype t_mem_copi_arr is t_mem_mosi_arr;
 
   -- Reset only the control fields of the MM record
-  function RESET_MEM_MOSI_CTRL(mosi : t_mem_mosi) return t_mem_mosi;  -- deprecated, use RESET_MEM_COPI_CTRL() instead
-  function RESET_MEM_COPI_CTRL(copi : t_mem_copi) return t_mem_copi;
-  function RESET_MEM_COPI_CTRL(copi_arr : t_mem_copi_arr) return t_mem_copi_arr;
+  function RESET_MEM_MOSI_CTRL (mosi : t_mem_mosi) return t_mem_mosi;  -- deprecated, use RESET_MEM_COPI_CTRL() instead
+  function RESET_MEM_COPI_CTRL (copi : t_mem_copi) return t_mem_copi;
+  function RESET_MEM_COPI_CTRL (copi_arr : t_mem_copi_arr) return t_mem_copi_arr;
 
-  function RESET_MEM_MISO_CTRL(miso : t_mem_miso) return t_mem_miso;  -- deprecated, use RESET_MEM_CIPO_CTRL() instead
-  function RESET_MEM_CIPO_CTRL(cipo : t_mem_cipo) return t_mem_cipo;
-  function RESET_MEM_CIPO_CTRL(cipo_arr : t_mem_cipo_arr) return t_mem_cipo_arr;
+  function RESET_MEM_MISO_CTRL (miso : t_mem_miso) return t_mem_miso;  -- deprecated, use RESET_MEM_CIPO_CTRL() instead
+  function RESET_MEM_CIPO_CTRL (cipo : t_mem_cipo) return t_mem_cipo;
+  function RESET_MEM_CIPO_CTRL (cipo_arr : t_mem_cipo_arr) return t_mem_cipo_arr;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_mem_miso or t_mem_mosi field width
-  function TO_MEM_ADDRESS(n : integer) return std_logic_vector;  -- unsigned, use integer to support 32 bit range
-  function TO_MEM_DATA(   n : integer) return std_logic_vector;  -- unsigned, alias of TO_MEM_DATA()
-  function TO_MEM_UDATA(  n : integer) return std_logic_vector;  -- unsigned, use integer to support 32 bit range
-  function TO_MEM_SDATA(  n : integer) return std_logic_vector;  -- sign extended
-  function RESIZE_MEM_ADDRESS(vec : std_logic_vector) return std_logic_vector;  -- unsigned
-  function RESIZE_MEM_DATA(   vec : std_logic_vector) return std_logic_vector;  -- unsigned, alias of RESIZE_MEM_UDATA
-  function RESIZE_MEM_UDATA(  vec : std_logic_vector) return std_logic_vector;  -- unsigned
-  function RESIZE_MEM_SDATA(  vec : std_logic_vector) return std_logic_vector;  -- sign extended
-  function RESIZE_MEM_XDATA(  vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
+  function TO_MEM_ADDRESS (n : integer) return std_logic_vector;  -- unsigned, use integer to support 32 bit range
+  function TO_MEM_DATA (   n : integer) return std_logic_vector;  -- unsigned, alias of TO_MEM_DATA()
+  function TO_MEM_UDATA (  n : integer) return std_logic_vector;  -- unsigned, use integer to support 32 bit range
+  function TO_MEM_SDATA (  n : integer) return std_logic_vector;  -- sign extended
+  function RESIZE_MEM_ADDRESS (vec : std_logic_vector) return std_logic_vector;  -- unsigned
+  function RESIZE_MEM_DATA (   vec : std_logic_vector) return std_logic_vector;  -- unsigned, alias of RESIZE_MEM_UDATA
+  function RESIZE_MEM_UDATA (  vec : std_logic_vector) return std_logic_vector;  -- unsigned
+  function RESIZE_MEM_SDATA (  vec : std_logic_vector) return std_logic_vector;  -- sign extended
+  function RESIZE_MEM_XDATA (  vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
 
   ------------------------------------------------------------------------------
   -- Procedures to access MM bus
@@ -119,16 +119,19 @@ package common_mem_pkg is
   --   check and wait for mm_copi.waitrequest = '0' before removing the MM
   --   access.
   ------------------------------------------------------------------------------
-  procedure proc_mem_bus_wr(constant wr_addr : in  natural;
-                            constant wr_data : in  integer;
-                            signal   mm_copi : out t_mem_copi);
+  procedure proc_mem_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  integer;
+    signal   mm_copi : out t_mem_copi);
 
-  procedure proc_mem_bus_wr(constant wr_addr : in  natural;
-                            constant wr_data : in  std_logic_vector;
-                            signal   mm_copi : out t_mem_copi);
+  procedure proc_mem_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  std_logic_vector;
+    signal   mm_copi : out t_mem_copi);
 
-  procedure proc_mem_bus_rd(constant wr_addr : in  natural;
-                            signal   mm_copi : out t_mem_copi);
+  procedure proc_mem_bus_rd (
+    constant wr_addr : in  natural;
+    signal   mm_copi : out t_mem_copi);
 
   ------------------------------------------------------------------------------
   -- Burst memory access (for DDR access interface)
@@ -167,13 +170,13 @@ package common_mem_pkg is
 
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_mem_ctlr_miso or t_mem_ctlr_mosi field width
-  function TO_MEM_CTLR_ADDRESS(  n : integer) return std_logic_vector;  -- unsigned, use integer to support 32 bit range
-  function TO_MEM_CTLR_DATA(     n : integer) return std_logic_vector;  -- unsigned
-  function TO_MEM_CTLR_BURSTSIZE(n : integer) return std_logic_vector;  -- unsigned
+  function TO_MEM_CTLR_ADDRESS (  n : integer) return std_logic_vector;  -- unsigned, use integer to support 32 bit range
+  function TO_MEM_CTLR_DATA (     n : integer) return std_logic_vector;  -- unsigned
+  function TO_MEM_CTLR_BURSTSIZE (n : integer) return std_logic_vector;  -- unsigned
 
-  function RESIZE_MEM_CTLR_ADDRESS(  vec : std_logic_vector) return std_logic_vector;  -- unsigned
-  function RESIZE_MEM_CTLR_DATA(     vec : std_logic_vector) return std_logic_vector;  -- unsigned
-  function RESIZE_MEM_CTLR_BURSTSIZE(vec : std_logic_vector) return std_logic_vector;  -- unsigned
+  function RESIZE_MEM_CTLR_ADDRESS (  vec : std_logic_vector) return std_logic_vector;  -- unsigned
+  function RESIZE_MEM_CTLR_DATA (     vec : std_logic_vector) return std_logic_vector;  -- unsigned
+  function RESIZE_MEM_CTLR_BURSTSIZE (vec : std_logic_vector) return std_logic_vector;  -- unsigned
 
 
   ------------------------------------------------------------------------------
@@ -185,7 +188,7 @@ package common_mem_pkg is
     dat_w     : natural;
     nof_dat   : natural;  -- optional, nof dat words <= 2**adr_w
     init_sl   : std_logic;  -- optional, init all dat words to std_logic '0', '1' or 'X'
-    --init_file : STRING;     -- "UNUSED", unconstrained length can not be in record
+  --init_file : STRING;     -- "UNUSED", unconstrained length can not be in record
   end record;
 
   constant c_mem_ram_rd_latency : natural := 2;  -- note common_ram_crw_crw(stratix4) now also supports read latency 1
@@ -200,15 +203,15 @@ package common_mem_pkg is
   ------------------------------------------------------------------------------
   -- Functions to swap endianess
   ------------------------------------------------------------------------------
-  function func_mem_swap_endianess(mm : t_mem_miso; sz : natural) return t_mem_miso;
-  function func_mem_swap_endianess(mm : t_mem_mosi; sz : natural) return t_mem_mosi;
+  function func_mem_swap_endianess (mm : t_mem_miso; sz : natural) return t_mem_miso;
+  function func_mem_swap_endianess (mm : t_mem_mosi; sz : natural) return t_mem_mosi;
 
 end common_mem_pkg;
 
 package body common_mem_pkg is
 
   -- Reset only the control fields of the MM record
-  function RESET_MEM_MOSI_CTRL(mosi : t_mem_mosi) return t_mem_mosi is
+  function RESET_MEM_MOSI_CTRL (mosi : t_mem_mosi) return t_mem_mosi is
     variable v_mosi : t_mem_mosi := mosi;
   begin
     v_mosi.rd := '0';
@@ -216,12 +219,12 @@ package body common_mem_pkg is
     return v_mosi;
   end RESET_MEM_MOSI_CTRL;
 
-  function RESET_MEM_COPI_CTRL(copi : t_mem_copi) return t_mem_copi is
+  function RESET_MEM_COPI_CTRL (copi : t_mem_copi) return t_mem_copi is
   begin
     return RESET_MEM_MOSI_CTRL(copi);
   end;
 
-  function RESET_MEM_COPI_CTRL(copi_arr : t_mem_copi_arr) return t_mem_copi_arr is
+  function RESET_MEM_COPI_CTRL (copi_arr : t_mem_copi_arr) return t_mem_copi_arr is
     variable v_copi_arr : t_mem_copi_arr(copi_arr'range) := copi_arr;
   begin
     for I in copi_arr'range loop
@@ -230,7 +233,7 @@ package body common_mem_pkg is
     return v_copi_arr;
   end;
 
-  function RESET_MEM_MISO_CTRL(miso : t_mem_miso) return t_mem_miso is
+  function RESET_MEM_MISO_CTRL (miso : t_mem_miso) return t_mem_miso is
     variable v_miso : t_mem_miso := miso;
   begin
     v_miso.rdval       := '0';
@@ -238,12 +241,12 @@ package body common_mem_pkg is
     return v_miso;
   end RESET_MEM_MISO_CTRL;
 
-  function RESET_MEM_CIPO_CTRL(cipo : t_mem_cipo) return t_mem_cipo is
+  function RESET_MEM_CIPO_CTRL (cipo : t_mem_cipo) return t_mem_cipo is
   begin
     return RESET_MEM_MISO_CTRL(cipo);
   end RESET_MEM_CIPO_CTRL;
 
-  function RESET_MEM_CIPO_CTRL(cipo_arr : t_mem_cipo_arr) return t_mem_cipo_arr is
+  function RESET_MEM_CIPO_CTRL (cipo_arr : t_mem_cipo_arr) return t_mem_cipo_arr is
     variable v_cipo_arr : t_mem_cipo_arr(cipo_arr'range) := cipo_arr;
   begin
     for I in cipo_arr'range loop
@@ -253,47 +256,47 @@ package body common_mem_pkg is
   end RESET_MEM_CIPO_CTRL;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_mem_miso or t_mem_mosi field width
-  function TO_MEM_ADDRESS(n : integer) return std_logic_vector is
+  function TO_MEM_ADDRESS (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_mem_address_w);
   end TO_MEM_ADDRESS;
 
-  function TO_MEM_DATA(n : integer) return std_logic_vector is
+  function TO_MEM_DATA (n : integer) return std_logic_vector is
   begin
     return TO_MEM_UDATA(n);
   end TO_MEM_DATA;
 
-  function TO_MEM_UDATA(n : integer) return std_logic_vector is
+  function TO_MEM_UDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_mem_data_w);
   end TO_MEM_UDATA;
 
-  function TO_MEM_SDATA(n : integer) return std_logic_vector is
+  function TO_MEM_SDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_mem_data_w);
   end TO_MEM_SDATA;
 
-  function RESIZE_MEM_ADDRESS(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_ADDRESS (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_mem_address_w);
   end RESIZE_MEM_ADDRESS;
 
-  function RESIZE_MEM_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_MEM_UDATA(vec);
   end RESIZE_MEM_DATA;
 
-  function RESIZE_MEM_UDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_UDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_mem_data_w);
   end RESIZE_MEM_UDATA;
 
-  function RESIZE_MEM_SDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_SDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_mem_data_w);
   end RESIZE_MEM_SDATA;
 
-  function RESIZE_MEM_XDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_XDATA (vec : std_logic_vector) return std_logic_vector is
     variable v_vec : std_logic_vector(c_mem_data_w - 1 downto 0) := (others => 'X');
   begin
     v_vec(vec'length - 1 downto 0) := vec;
@@ -301,65 +304,68 @@ package body common_mem_pkg is
   end RESIZE_MEM_XDATA;
 
   -- Procedures to access MM bus
-  procedure proc_mem_bus_wr(constant wr_addr : in  natural;
-                            constant wr_data : in  integer;
-                            signal   mm_copi : out t_mem_copi) is
+  procedure proc_mem_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  integer;
+    signal   mm_copi : out t_mem_copi) is
   begin
     mm_copi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_copi.wrdata  <= TO_MEM_DATA(wr_data);
     mm_copi.wr      <= '1';
   end proc_mem_bus_wr;
 
-  procedure proc_mem_bus_wr(constant wr_addr : in  natural;
-                            constant wr_data : in  std_logic_vector;
-                            signal   mm_copi : out t_mem_copi) is
+  procedure proc_mem_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  std_logic_vector;
+    signal   mm_copi : out t_mem_copi) is
   begin
     mm_copi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_copi.wrdata  <= RESIZE_MEM_DATA(wr_data);
     mm_copi.wr      <= '1';
   end proc_mem_bus_wr;
 
-  procedure proc_mem_bus_rd(constant wr_addr : in  natural;
-                            signal   mm_copi : out t_mem_copi) is
+  procedure proc_mem_bus_rd (
+    constant wr_addr : in  natural;
+    signal   mm_copi : out t_mem_copi) is
   begin
     mm_copi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_copi.rd      <= '1';
   end proc_mem_bus_rd;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_mem_miso or t_mem_mosi field width
-  function TO_MEM_CTLR_ADDRESS(n : integer) return std_logic_vector is
+  function TO_MEM_CTLR_ADDRESS (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_mem_ctlr_address_w);
   end TO_MEM_CTLR_ADDRESS;
 
-  function TO_MEM_CTLR_DATA(n : integer) return std_logic_vector is
+  function TO_MEM_CTLR_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_mem_ctlr_data_w);
   end TO_MEM_CTLR_DATA;
 
-  function TO_MEM_CTLR_BURSTSIZE(n : integer) return std_logic_vector is
+  function TO_MEM_CTLR_BURSTSIZE (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_mem_ctlr_burstsize_w);
   end TO_MEM_CTLR_BURSTSIZE;
 
-  function RESIZE_MEM_CTLR_ADDRESS(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_CTLR_ADDRESS (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_mem_ctlr_address_w);
   end RESIZE_MEM_CTLR_ADDRESS;
 
-  function RESIZE_MEM_CTLR_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_CTLR_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_mem_ctlr_data_w);
   end RESIZE_MEM_CTLR_DATA;
 
-  function RESIZE_MEM_CTLR_BURSTSIZE(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_MEM_CTLR_BURSTSIZE (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_mem_ctlr_burstsize_w);
   end RESIZE_MEM_CTLR_BURSTSIZE;
 
 
   -- Functions to swap endianess
-  function func_mem_swap_endianess(mm : t_mem_miso; sz : natural) return t_mem_miso is
+  function func_mem_swap_endianess (mm : t_mem_miso; sz : natural) return t_mem_miso is
     variable v_mm : t_mem_miso;
   begin
     -- Master In Slave Out
@@ -367,7 +373,7 @@ package body common_mem_pkg is
     return v_mm;
   end func_mem_swap_endianess;
 
-  function func_mem_swap_endianess(mm : t_mem_mosi; sz : natural) return t_mem_mosi is
+  function func_mem_swap_endianess (mm : t_mem_mosi; sz : natural) return t_mem_mosi is
     variable v_mm : t_mem_mosi;
   begin
     -- Master Out Slave In
diff --git a/libraries/base/common/src/vhdl/common_multiplexer.vhd b/libraries/base/common/src/vhdl/common_multiplexer.vhd
index 5dd92bd24a..adb528945d 100644
--- a/libraries/base/common/src/vhdl/common_multiplexer.vhd
+++ b/libraries/base/common/src/vhdl/common_multiplexer.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Assign one of g_nof_in input streams to the output based on in_sel input
 -- Description: The input streams are concatenated into one SLV.
@@ -33,7 +33,7 @@ entity common_multiplexer is
     g_pipeline_out : natural := 0;
     g_nof_in       : natural;
     g_dat_w        : natural
- );
+  );
   port (
     clk         : in  std_logic;
     rst         : in  std_logic;
@@ -52,24 +52,24 @@ architecture str of common_multiplexer is
 begin
 
   u_select_symbol : entity work.common_select_symbol
-  generic map (
-    g_pipeline_in  => g_pipeline_in,
-    g_pipeline_out => g_pipeline_out,
-    g_nof_symbols  => g_nof_in,
-    g_symbol_w     => g_dat_w,
-    g_sel_w        => ceil_log2(g_nof_in)
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
+    generic map (
+      g_pipeline_in  => g_pipeline_in,
+      g_pipeline_out => g_pipeline_out,
+      g_nof_symbols  => g_nof_in,
+      g_symbol_w     => g_dat_w,
+      g_sel_w        => ceil_log2(g_nof_in)
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
 
-    in_data    => in_dat,
-    in_val     => in_val,
+      in_data    => in_dat,
+      in_val     => in_val,
 
-    in_sel     => in_sel,
+      in_sel     => in_sel,
 
-    out_symbol => out_dat,
-    out_val    => out_val
-  );
+      out_symbol => out_dat,
+      out_val    => out_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd b/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd
index 520d585be9..49ef37b8a4 100644
--- a/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd
@@ -23,10 +23,10 @@
 -- Purpose: Define the fields of network headers
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_field_pkg.all;
 
 package common_network_layers_pkg is
 
@@ -91,9 +91,11 @@ package common_network_layers_pkg is
     eth_type   : std_logic_vector(c_network_eth_type_w - 1 downto 0);
   end record;
 
-  constant c_network_eth_header_ones : t_network_eth_header := ("000000000000000000000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "0000000000000001");
+  constant c_network_eth_header_ones : t_network_eth_header := (
+    "000000000000000000000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- IPv4 Packet
@@ -140,13 +142,13 @@ package common_network_layers_pkg is
   constant c_network_ip_addr_len            : natural := 4;
   constant c_network_ip_addr_w              : natural := c_network_ip_addr_len * c_8;
 
-                                                      -- [0:7]                             [8:15]                      [16:31]
+  -- [0:7]                             [8:15]                      [16:31]
   constant c_network_ip_header_len          : natural := c_network_ip_version_header_len + c_network_ip_services_len + c_network_ip_total_length_len +
                                                          c_network_ip_identification_len +                             c_network_ip_flags_fragment_len +
                                                          c_network_ip_time_to_live_len   + c_network_ip_protocol_len + c_network_ip_header_checksum_len +
                                                          c_network_ip_addr_len +
                                                          c_network_ip_addr_len;
-                                                    -- = c_network_ip_header_length * c_word_sz = 20
+  -- = c_network_ip_header_length * c_word_sz = 20
   -- default field values
   constant c_network_ip_version             : natural := 4;  -- 4 = IPv4,
   constant c_network_ip_header_length       : natural := 5;  -- 5 = nof words in the header, no options field support
@@ -180,11 +182,20 @@ package common_network_layers_pkg is
     dst_ip_addr         : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet
   end record;
 
-  constant c_network_ip_header_ones : t_network_ip_header := ("0001", "0001", "00000001", "0000000000000001",
-                                                              "0000000000000001", "001", "0000000000001",
-                                                              "00000001", "00000001", "0000000000000001",
-                                                              "00000000000000000000000000000001",
-                                                              "00000000000000000000000000000001");
+  constant c_network_ip_header_ones : t_network_ip_header := (
+    "0001",
+    "0001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "001",
+    "0000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "00000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ARP Packet
@@ -221,12 +232,12 @@ package common_network_layers_pkg is
   constant c_network_arp_oper_len           : natural := 2;
   constant c_network_arp_oper_w             : natural := c_network_arp_oper_len * c_8;
 
-                                                      -- [0:15]                       [16:31]
+  -- [0:15]                       [16:31]
   constant c_network_arp_data_len           : natural := c_network_arp_htype_len    + c_network_arp_ptype_len +
                                                          c_network_arp_hlen_len     + c_network_arp_plen_len  + c_network_arp_oper_len +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len   +
                                                          c_network_eth_mac_addr_len + c_network_ip_addr_len;
-                                                      -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
+  -- [0:47]                       [0:31]                  = 8 + 2*(6+4) = 28
 
   -- default field values
   constant c_network_arp_htype              : natural := 1;  -- Hardware type, 1=ethernet
@@ -252,12 +263,17 @@ package common_network_layers_pkg is
     tpa     : std_logic_vector(c_network_ip_addr_w - 1 downto 0);  -- 4 octet, Target Protocol Address
   end record;
 
-  constant c_network_arp_packet_ones : t_network_arp_packet := ("0000000000000001", "0000000000000001",
-                                                                "00000001", "00000001", "0000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001",
-                                                                "000000000000000000000000000000000000000000000001",
-                                                                "00000000000000000000000000000001");
+  constant c_network_arp_packet_ones : t_network_arp_packet := (
+    "0000000000000001",
+    "0000000000000001",
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001",
+    "000000000000000000000000000000000000000000000001",
+    "00000000000000000000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- ICMP (for ping)
@@ -306,8 +322,13 @@ package common_network_layers_pkg is
     sequence   : std_logic_vector(c_network_icmp_sequence_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_icmp_header_ones : t_network_icmp_header := ("00000001", "00000001", "0000000000000001",
-                                                                  "0000000000000001", "0000000000000001");
+  constant c_network_icmp_header_ones : t_network_icmp_header := (
+    "00000001",
+    "00000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
   ------------------------------------------------------------------------------
   -- UDP Packet
@@ -332,7 +353,7 @@ package common_network_layers_pkg is
   constant c_network_udp_checksum_len       : natural := 2;
   constant c_network_udp_checksum_w         : natural := c_network_udp_checksum_len * c_8;
 
-                                                      -- [0:15]                           [16:31]
+  -- [0:15]                           [16:31]
   constant c_network_udp_header_len         : natural := c_network_udp_port_len         + c_network_udp_port_len +
                                                          c_network_udp_total_length_len + c_network_udp_checksum_len;  -- 8
 
@@ -353,10 +374,14 @@ package common_network_layers_pkg is
     checksum     : std_logic_vector(c_network_udp_checksum_w - 1 downto 0);  -- 2 octet
   end record;
 
-  constant c_network_udp_header_ones : t_network_udp_header := ("0000000000000001", "0000000000000001",
-                                                                "0000000000000001", "0000000000000001");
+  constant c_network_udp_header_ones : t_network_udp_header := (
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001",
+    "0000000000000001"
+  );
 
-  function func_network_ip_header_checksum(field_arr : t_common_field_arr; hdr_fields_slv : std_logic_vector) return std_logic_vector;
+  function func_network_ip_header_checksum (field_arr : t_common_field_arr; hdr_fields_slv : std_logic_vector) return std_logic_vector;
 
 
 end common_network_layers_pkg;
@@ -364,7 +389,7 @@ end common_network_layers_pkg;
 
 package body common_network_layers_pkg is
 
-  function func_network_ip_header_checksum(field_arr : t_common_field_arr; hdr_fields_slv : std_logic_vector) return std_logic_vector is
+  function func_network_ip_header_checksum (field_arr : t_common_field_arr; hdr_fields_slv : std_logic_vector) return std_logic_vector is
     -- function to calculate the ip header checksum based on a header field array.
     constant c_cin_w         : natural := 4; -- bit width of carry
     constant c_nof_halfword  : natural := (c_network_ip_header_len / c_halfword_sz) - 1; -- -1 as we exclude the checksum field itself for calculation.
@@ -374,15 +399,15 @@ package body common_network_layers_pkg is
     variable vec : std_logic_vector(c_halfword_w * c_nof_halfword - 1 downto 0);
   begin
     -- vec = whole ip header excluding ip_header_checksum.
-    vec := 
-        hdr_fields_slv(field_hi(field_arr, "ip_version" ) downto field_lo(field_arr,  "ip_protocol" )) 
-      & hdr_fields_slv(field_hi(field_arr, "ip_src_addr" ) downto field_lo(field_arr,  "ip_dst_addr" ));
+    vec :=
+           hdr_fields_slv(field_hi(field_arr, "ip_version" ) downto field_lo(field_arr,  "ip_protocol" ))
+           & hdr_fields_slv(field_hi(field_arr, "ip_src_addr" ) downto field_lo(field_arr,  "ip_dst_addr" ));
 
     -- sum up vec in halfwords
     for i in 0 to c_nof_halfword - 1 loop
       sum := sum + unsigned(vec(( i + 1 ) * c_halfword_w - 1 downto i * c_halfword_w));
-    end loop; 
-    
+    end loop;
+
     -- checksum = inverted (sum + carry)
     crc := not(std_logic_vector(sum(c_halfword_w - 1 downto 0) + sum(sum'high downto c_halfword_w)));
     return crc;
diff --git a/libraries/base/common/src/vhdl/common_network_total_header_pkg.vhd b/libraries/base/common/src/vhdl/common_network_total_header_pkg.vhd
index fde102c9ec..2960b82b3c 100644
--- a/libraries/base/common/src/vhdl/common_network_total_header_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_network_total_header_pkg.vhd
@@ -50,10 +50,10 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_network_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_network_layers_pkg.all;
 
 package common_network_total_header_pkg is
 
@@ -76,11 +76,13 @@ package common_network_total_header_pkg is
     udp  : t_network_udp_header;
   end record;
 
-  constant c_network_total_header_ones : t_network_total_header := (c_network_eth_header_ones,
-                                                                    c_network_arp_packet_ones,
-                                                                    c_network_ip_header_ones,
-                                                                    c_network_icmp_header_ones,
-                                                                    c_network_udp_header_ones);
+  constant c_network_total_header_ones : t_network_total_header := (
+    c_network_eth_header_ones,
+    c_network_arp_packet_ones,
+    c_network_ip_header_ones,
+    c_network_icmp_header_ones,
+    c_network_udp_header_ones
+  );
 
   -----------------------------------------------------------------------------
   -- Map total network header in words array
@@ -212,72 +214,76 @@ package common_network_total_header_pkg is
   -----------------------------------------------------------------------------
 
   -- Combinatorial map of the total header array on to a network header record (type casting an array to a record is not possible, so therefore we need these functions)
-  function func_network_total_header_extract_eth( hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header;
-  function func_network_total_header_extract_eth( hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header;
-  function func_network_total_header_extract_ip(  hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header;
-  function func_network_total_header_extract_ip(  hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header;
-  function func_network_total_header_extract_arp( hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet;
-  function func_network_total_header_extract_arp( hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet;
-  function func_network_total_header_extract_icmp(hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header;
-  function func_network_total_header_extract_icmp(hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header;
-  function func_network_total_header_extract_udp( hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header;
-  function func_network_total_header_extract_udp( hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header;
+  function func_network_total_header_extract_eth ( hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header;
+  function func_network_total_header_extract_eth ( hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header;
+  function func_network_total_header_extract_ip (  hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header;
+  function func_network_total_header_extract_ip (  hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header;
+  function func_network_total_header_extract_arp ( hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet;
+  function func_network_total_header_extract_arp ( hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet;
+  function func_network_total_header_extract_icmp (hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header;
+  function func_network_total_header_extract_icmp (hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header;
+  function func_network_total_header_extract_udp ( hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header;
+  function func_network_total_header_extract_udp ( hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header;
 
   -- Combinatorial map just as above but for network packets without word align field.
-  function func_network_total_header_no_align_extract_eth( hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header;
-  function func_network_total_header_no_align_extract_eth( hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header;
-  function func_network_total_header_no_align_extract_ip(  hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header;
-  function func_network_total_header_no_align_extract_ip(  hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header;
-  function func_network_total_header_no_align_extract_arp( hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet;
-  function func_network_total_header_no_align_extract_arp( hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet;
-  function func_network_total_header_no_align_extract_icmp(hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header;
-  function func_network_total_header_no_align_extract_icmp(hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header;
-  function func_network_total_header_no_align_extract_udp( hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header;
-  function func_network_total_header_no_align_extract_udp( hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header;
+  function func_network_total_header_no_align_extract_eth ( hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header;
+  function func_network_total_header_no_align_extract_eth ( hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header;
+  function func_network_total_header_no_align_extract_ip (  hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header;
+  function func_network_total_header_no_align_extract_ip (  hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header;
+  function func_network_total_header_no_align_extract_arp ( hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet;
+  function func_network_total_header_no_align_extract_arp ( hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet;
+  function func_network_total_header_no_align_extract_icmp (hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header;
+  function func_network_total_header_no_align_extract_icmp (hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header;
+  function func_network_total_header_no_align_extract_udp ( hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header;
+  function func_network_total_header_no_align_extract_udp ( hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header;
 
   -- Construct the total header array from the individual header records
-  function func_network_total_header_construct_eth( eth : t_network_eth_header)                                                          return t_network_total_header_32b_arr;  -- sets unused words to zero
-  function func_network_total_header_construct_eth( eth : t_network_eth_header)                                                          return t_network_total_header_64b_arr;  -- sets unused words to zero
-  function func_network_total_header_construct_arp( eth : t_network_eth_header; arp : t_network_arp_packet)                              return t_network_total_header_32b_arr;
-  function func_network_total_header_construct_arp( eth : t_network_eth_header; arp : t_network_arp_packet)                              return t_network_total_header_64b_arr;
-  function func_network_total_header_construct_ip(  eth : t_network_eth_header; ip  : t_network_ip_header)                               return t_network_total_header_32b_arr;  -- sets unused words to zero
-  function func_network_total_header_construct_ip(  eth : t_network_eth_header; ip  : t_network_ip_header)                               return t_network_total_header_64b_arr;  -- sets unused words to zero
-  function func_network_total_header_construct_icmp(eth : t_network_eth_header; ip  : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_32b_arr;
-  function func_network_total_header_construct_icmp(eth : t_network_eth_header; ip  : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_64b_arr;
-  function func_network_total_header_construct_udp( eth : t_network_eth_header; ip  : t_network_ip_header; udp  : t_network_udp_header)  return t_network_total_header_32b_arr;
-  function func_network_total_header_construct_udp( eth : t_network_eth_header; ip  : t_network_ip_header; udp  : t_network_udp_header)  return t_network_total_header_64b_arr;
+  function func_network_total_header_construct_eth ( eth : t_network_eth_header) return t_network_total_header_32b_arr;  -- sets unused words to zero
+  function func_network_total_header_construct_eth ( eth : t_network_eth_header) return t_network_total_header_64b_arr;  -- sets unused words to zero
+  function func_network_total_header_construct_arp ( eth : t_network_eth_header; arp : t_network_arp_packet) return t_network_total_header_32b_arr;
+  function func_network_total_header_construct_arp ( eth : t_network_eth_header; arp : t_network_arp_packet) return t_network_total_header_64b_arr;
+  function func_network_total_header_construct_ip (  eth : t_network_eth_header; ip  : t_network_ip_header) return t_network_total_header_32b_arr;  -- sets unused words to zero
+  function func_network_total_header_construct_ip (  eth : t_network_eth_header; ip  : t_network_ip_header) return t_network_total_header_64b_arr;  -- sets unused words to zero
+  function func_network_total_header_construct_icmp (eth : t_network_eth_header; ip  : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_32b_arr;
+  function func_network_total_header_construct_icmp (eth : t_network_eth_header; ip  : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_64b_arr;
+  function func_network_total_header_construct_udp ( eth : t_network_eth_header; ip  : t_network_ip_header; udp  : t_network_udp_header) return t_network_total_header_32b_arr;
+  function func_network_total_header_construct_udp ( eth : t_network_eth_header; ip  : t_network_ip_header; udp  : t_network_udp_header) return t_network_total_header_64b_arr;
 
   -- Construct the response total header array for a total header array
-  function func_network_total_header_response_eth( eth_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_response_eth( eth_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
-  function func_network_total_header_response_arp( arp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                                                              ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
+  function func_network_total_header_response_eth ( eth_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_response_eth ( eth_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_response_arp (
+    arp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
                                                                                               return t_network_total_header_32b_arr;
-  function func_network_total_header_response_arp( arp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                                                              ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
+  function func_network_total_header_response_arp (
+    arp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
                                                                                               return t_network_total_header_64b_arr;
-  function func_network_total_header_response_ip(  ip_arr   : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_response_ip(  ip_arr   : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
-  function func_network_total_header_response_icmp(icmp_arr : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_response_icmp(icmp_arr : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
-  function func_network_total_header_response_udp( udp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_response_udp( udp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_response_ip (  ip_arr   : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_response_ip (  ip_arr   : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_response_icmp (icmp_arr : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_response_icmp (icmp_arr : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_response_udp ( udp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_response_udp ( udp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
 
   -- Construct the response total header array for a total header array without alignment bytes
-  function func_network_total_header_no_align_response_eth( eth_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_no_align_response_eth( eth_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
-  function func_network_total_header_no_align_response_arp( arp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                                                              ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
+  function func_network_total_header_no_align_response_eth ( eth_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_no_align_response_eth ( eth_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_no_align_response_arp (
+    arp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
                                                                                               return t_network_total_header_32b_arr;
-  function func_network_total_header_no_align_response_arp( arp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                                                              ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
+  function func_network_total_header_no_align_response_arp (
+    arp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0))
                                                                                               return t_network_total_header_64b_arr;
-  function func_network_total_header_no_align_response_ip(  ip_arr   : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_no_align_response_ip(  ip_arr   : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
-  function func_network_total_header_no_align_response_icmp(icmp_arr : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_no_align_response_icmp(icmp_arr : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
-  function func_network_total_header_no_align_response_udp( udp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
-  function func_network_total_header_no_align_response_udp( udp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_no_align_response_ip (  ip_arr   : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_no_align_response_ip (  ip_arr   : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_no_align_response_icmp (icmp_arr : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_no_align_response_icmp (icmp_arr : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
+  function func_network_total_header_no_align_response_udp ( udp_arr  : t_network_total_header_32b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr;
+  function func_network_total_header_no_align_response_udp ( udp_arr  : t_network_total_header_64b_arr; mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr;
 
 
 
@@ -290,7 +296,7 @@ package body common_network_total_header_pkg is
   -- Assume the total header has been padded with the word align field to have the udp payload at a 32b or 64b boundary
   -- Map the 11 32b words or 6 64b longwords from the total header to the header field records
 
-  function func_network_total_header_extract_eth(hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header is
+  function func_network_total_header_extract_eth (hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header is
     variable v_hdr : t_network_eth_header;
   begin
     --                             hdr_arr(0)(31 DOWNTO 16)  -- ignore word align field
@@ -302,7 +308,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_eth(hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header is
+  function func_network_total_header_extract_eth (hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header is
     variable v_hdr : t_network_eth_header;
   begin
     --                             hdr_arr(0)(63 DOWNTO 16)  -- ignore word align field
@@ -314,7 +320,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_ip(hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header is
+  function func_network_total_header_extract_ip (hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header is
     variable v_hdr : t_network_ip_header;
   begin
     v_hdr.version            := hdr_arr(4)(31 downto 28);
@@ -332,7 +338,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_ip(hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header is
+  function func_network_total_header_extract_ip (hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header is
     variable v_hdr : t_network_ip_header;
   begin
     v_hdr.version            := hdr_arr(2)(31 downto 28);
@@ -350,7 +356,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_arp(hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet is
+  function func_network_total_header_extract_arp (hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet is
     variable v_arp : t_network_arp_packet;
   begin
     v_arp.htype              := hdr_arr(4)(31 downto 16);
@@ -368,7 +374,7 @@ package body common_network_total_header_pkg is
     return v_arp;
   end;
 
-  function func_network_total_header_extract_arp(hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet is
+  function func_network_total_header_extract_arp (hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet is
     variable v_arp : t_network_arp_packet;
   begin
     v_arp.htype              := hdr_arr(2)(31 downto 16);
@@ -386,7 +392,7 @@ package body common_network_total_header_pkg is
     return v_arp;
   end;
 
-  function func_network_total_header_extract_icmp(hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header is
+  function func_network_total_header_extract_icmp (hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header is
     variable v_hdr : t_network_icmp_header;
   begin
     v_hdr.msg_type  := hdr_arr(9)(31 downto 24);
@@ -397,7 +403,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_icmp(hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header is
+  function func_network_total_header_extract_icmp (hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header is
     variable v_hdr : t_network_icmp_header;
   begin
     v_hdr.msg_type  := hdr_arr(5)(63 downto 56);
@@ -408,7 +414,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_udp(hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header is
+  function func_network_total_header_extract_udp (hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header is
     variable v_hdr : t_network_udp_header;
   begin
     v_hdr.src_port            := hdr_arr(9)(31 downto 16);
@@ -418,7 +424,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_extract_udp(hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header is
+  function func_network_total_header_extract_udp (hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header is
     variable v_hdr : t_network_udp_header;
   begin
     v_hdr.src_port            := hdr_arr(5)(63 downto 48);
@@ -431,7 +437,7 @@ package body common_network_total_header_pkg is
   -- Assume the total header has NOT been padded with the word align field
   -- Map the 11 32b words or 6 64b longwords from the total header to the header field records
 
-  function func_network_total_header_no_align_extract_eth(hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header is
+  function func_network_total_header_no_align_extract_eth (hdr_arr : t_network_total_header_32b_arr) return t_network_eth_header is
     variable v_hdr : t_network_eth_header;
   begin
     v_hdr.dst_mac(47 downto 16) := hdr_arr(0);
@@ -442,7 +448,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_eth(hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header is
+  function func_network_total_header_no_align_extract_eth (hdr_arr : t_network_total_header_64b_arr) return t_network_eth_header is
     variable v_hdr : t_network_eth_header;
   begin
     v_hdr.dst_mac               := hdr_arr(0)(63 downto 16);
@@ -452,7 +458,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_ip(hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header is
+  function func_network_total_header_no_align_extract_ip (hdr_arr : t_network_total_header_32b_arr) return t_network_ip_header is
     variable v_hdr : t_network_ip_header;
   begin
     v_hdr.version                   := hdr_arr(3)(15 downto 12);
@@ -472,7 +478,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_ip(hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header is
+  function func_network_total_header_no_align_extract_ip (hdr_arr : t_network_total_header_64b_arr) return t_network_ip_header is
     variable v_hdr : t_network_ip_header;
   begin
     v_hdr.version            := hdr_arr(1)(15 downto 12);
@@ -491,7 +497,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_arp(hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet is
+  function func_network_total_header_no_align_extract_arp (hdr_arr : t_network_total_header_32b_arr) return t_network_arp_packet is
     variable v_arp : t_network_arp_packet;
   begin
     v_arp.htype              := hdr_arr(3)(15 downto  0);
@@ -509,7 +515,7 @@ package body common_network_total_header_pkg is
     return v_arp;
   end;
 
-  function func_network_total_header_no_align_extract_arp(hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet is
+  function func_network_total_header_no_align_extract_arp (hdr_arr : t_network_total_header_64b_arr) return t_network_arp_packet is
     variable v_arp : t_network_arp_packet;
   begin
     v_arp.htype              := hdr_arr(1)(15 downto  0);
@@ -526,7 +532,7 @@ package body common_network_total_header_pkg is
     return v_arp;
   end;
 
-  function func_network_total_header_no_align_extract_icmp(hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header is
+  function func_network_total_header_no_align_extract_icmp (hdr_arr : t_network_total_header_32b_arr) return t_network_icmp_header is
     variable v_hdr : t_network_icmp_header;
   begin
     v_hdr.msg_type  := hdr_arr(8)(15 downto  8);
@@ -537,7 +543,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_icmp(hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header is
+  function func_network_total_header_no_align_extract_icmp (hdr_arr : t_network_total_header_64b_arr) return t_network_icmp_header is
     variable v_hdr : t_network_icmp_header;
   begin
     v_hdr.msg_type  := hdr_arr(4)(47 downto 40);
@@ -548,7 +554,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_udp(hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header is
+  function func_network_total_header_no_align_extract_udp (hdr_arr : t_network_total_header_32b_arr) return t_network_udp_header is
     variable v_hdr : t_network_udp_header;
   begin
     v_hdr.src_port            := hdr_arr(8)(15 downto  0);
@@ -558,7 +564,7 @@ package body common_network_total_header_pkg is
     return v_hdr;
   end;
 
-  function func_network_total_header_no_align_extract_udp(hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header is
+  function func_network_total_header_no_align_extract_udp (hdr_arr : t_network_total_header_64b_arr) return t_network_udp_header is
     variable v_hdr : t_network_udp_header;
   begin
     v_hdr.src_port            := hdr_arr(4)(47 downto 32);
@@ -569,7 +575,7 @@ package body common_network_total_header_pkg is
   end;
 
   -- Construct the total header array from the individual header records
-  function func_network_total_header_construct_eth( eth : t_network_eth_header) return t_network_total_header_32b_arr is
+  function func_network_total_header_construct_eth ( eth : t_network_eth_header) return t_network_total_header_32b_arr is
     variable v_total : t_network_total_header_32b_arr := (others => (others => '0'));
   begin
     v_total(0)(31 downto 16) := (others => '0');  -- force word align to zero
@@ -581,7 +587,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_eth( eth : t_network_eth_header) return t_network_total_header_64b_arr is
+  function func_network_total_header_construct_eth ( eth : t_network_eth_header) return t_network_total_header_64b_arr is
     variable v_total : t_network_total_header_64b_arr := (others => (others => '0'));
   begin
     v_total(0)(63 downto 16) := (others => '0');  -- force word align to zero
@@ -593,7 +599,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_arp(eth : t_network_eth_header; arp : t_network_arp_packet) return t_network_total_header_32b_arr is
+  function func_network_total_header_construct_arp (eth : t_network_eth_header; arp : t_network_arp_packet) return t_network_total_header_32b_arr is
     variable v_total : t_network_total_header_32b_arr;
   begin
     v_total := func_network_total_header_construct_eth(eth);
@@ -613,7 +619,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_arp(eth : t_network_eth_header; arp : t_network_arp_packet) return t_network_total_header_64b_arr is
+  function func_network_total_header_construct_arp (eth : t_network_eth_header; arp : t_network_arp_packet) return t_network_total_header_64b_arr is
     variable v_total : t_network_total_header_64b_arr;
   begin
     v_total := func_network_total_header_construct_eth(eth);
@@ -632,7 +638,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_ip(eth : t_network_eth_header; ip : t_network_ip_header) return t_network_total_header_32b_arr is
+  function func_network_total_header_construct_ip (eth : t_network_eth_header; ip : t_network_ip_header) return t_network_total_header_32b_arr is
     variable v_total : t_network_total_header_32b_arr := (others => (others => '0'));
   begin
     v_total := func_network_total_header_construct_eth(eth);
@@ -652,7 +658,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_ip(eth : t_network_eth_header; ip : t_network_ip_header) return t_network_total_header_64b_arr is
+  function func_network_total_header_construct_ip (eth : t_network_eth_header; ip : t_network_ip_header) return t_network_total_header_64b_arr is
     variable v_total : t_network_total_header_64b_arr := (others => (others => '0'));
   begin
     v_total := func_network_total_header_construct_eth(eth);
@@ -672,7 +678,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_icmp(eth : t_network_eth_header; ip : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_32b_arr is
+  function func_network_total_header_construct_icmp (eth : t_network_eth_header; ip : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_32b_arr is
     variable v_total : t_network_total_header_32b_arr;
   begin
     v_total := func_network_total_header_construct_ip(eth, ip);
@@ -685,7 +691,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_icmp(eth : t_network_eth_header; ip : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_64b_arr is
+  function func_network_total_header_construct_icmp (eth : t_network_eth_header; ip : t_network_ip_header; icmp : t_network_icmp_header) return t_network_total_header_64b_arr is
     variable v_total : t_network_total_header_64b_arr;
   begin
     v_total := func_network_total_header_construct_ip(eth, ip);
@@ -698,7 +704,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_udp( eth : t_network_eth_header; ip : t_network_ip_header; udp : t_network_udp_header) return t_network_total_header_32b_arr is
+  function func_network_total_header_construct_udp ( eth : t_network_eth_header; ip : t_network_ip_header; udp : t_network_udp_header) return t_network_total_header_32b_arr is
     variable v_total : t_network_total_header_32b_arr;
   begin
     v_total := func_network_total_header_construct_ip(eth, ip);
@@ -710,7 +716,7 @@ package body common_network_total_header_pkg is
     return v_total;
   end;
 
-  function func_network_total_header_construct_udp( eth : t_network_eth_header; ip : t_network_ip_header; udp : t_network_udp_header) return t_network_total_header_64b_arr is
+  function func_network_total_header_construct_udp ( eth : t_network_eth_header; ip : t_network_ip_header; udp : t_network_udp_header) return t_network_total_header_64b_arr is
     variable v_total : t_network_total_header_64b_arr;
   begin
     v_total := func_network_total_header_construct_ip(eth, ip);
@@ -723,8 +729,9 @@ package body common_network_total_header_pkg is
   end;
 
   -- Construct the response headers
-  function func_network_total_header_response_eth(eth_arr  : t_network_total_header_32b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_response_eth (
+    eth_arr  : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- Default
@@ -739,8 +746,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_eth(eth_arr  : t_network_total_header_64b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_response_eth (
+    eth_arr  : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- Default
@@ -755,9 +763,10 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_arp(arp_arr  : t_network_total_header_32b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                  ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_response_arp (
+    arp_arr  : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH
@@ -779,9 +788,10 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_arp(arp_arr  : t_network_total_header_64b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                  ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_response_arp (
+    arp_arr  : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH
@@ -802,8 +812,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_ip(ip_arr   : t_network_total_header_32b_arr;
-                                                 mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_response_ip (
+    ip_arr   : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH
@@ -817,8 +828,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_ip(ip_arr   : t_network_total_header_64b_arr;
-                                                 mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_response_ip (
+    ip_arr   : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH
@@ -832,8 +844,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_icmp(icmp_arr : t_network_total_header_32b_arr;
-                                                   mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_response_icmp (
+    icmp_arr : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH, IP
@@ -845,8 +858,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_icmp(icmp_arr : t_network_total_header_64b_arr;
-                                                   mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_response_icmp (
+    icmp_arr : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH, IP
@@ -858,8 +872,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_udp(udp_arr  : t_network_total_header_32b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_response_udp (
+    udp_arr  : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH, IP
@@ -871,8 +886,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_response_udp(udp_arr  : t_network_total_header_64b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_response_udp (
+    udp_arr  : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH, IP
@@ -887,8 +903,9 @@ package body common_network_total_header_pkg is
 
 
   -- Construct the response headers for headers without word align padding
-  function func_network_total_header_no_align_response_eth(eth_arr  : t_network_total_header_32b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_no_align_response_eth (
+    eth_arr  : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- Default
@@ -903,8 +920,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_eth(eth_arr  : t_network_total_header_64b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_no_align_response_eth (
+    eth_arr  : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- Default
@@ -918,9 +936,10 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_arp(arp_arr  : t_network_total_header_32b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                  ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_no_align_response_arp (
+    arp_arr  : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH
@@ -942,9 +961,10 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_arp(arp_arr  : t_network_total_header_64b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
-                                                  ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_no_align_response_arp (
+    arp_arr  : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0);
+    ip_addr  : std_logic_vector(c_network_ip_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH
@@ -965,8 +985,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_ip(ip_arr   : t_network_total_header_32b_arr;
-                                                 mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_no_align_response_ip (
+    ip_arr   : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH
@@ -982,8 +1003,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_ip(ip_arr   : t_network_total_header_64b_arr;
-                                                 mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_no_align_response_ip (
+    ip_arr   : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH
@@ -998,8 +1020,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_icmp(icmp_arr : t_network_total_header_32b_arr;
-                                                   mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_no_align_response_icmp (
+    icmp_arr : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH, IP
@@ -1011,8 +1034,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_icmp(icmp_arr : t_network_total_header_64b_arr;
-                                          mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_no_align_response_icmp (
+    icmp_arr : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH, IP
@@ -1024,8 +1048,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_udp(udp_arr  : t_network_total_header_32b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
+  function func_network_total_header_no_align_response_udp (
+    udp_arr  : t_network_total_header_32b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_32b_arr is
     variable v_response : t_network_total_header_32b_arr;
   begin
     -- ETH, IP
@@ -1037,8 +1062,9 @@ package body common_network_total_header_pkg is
     return v_response;
   end;
 
-  function func_network_total_header_no_align_response_udp(udp_arr  : t_network_total_header_64b_arr;
-                                                  mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
+  function func_network_total_header_no_align_response_udp (
+    udp_arr  : t_network_total_header_64b_arr;
+    mac_addr : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0)) return t_network_total_header_64b_arr is
     variable v_response : t_network_total_header_64b_arr;
   begin
     -- ETH, IP
diff --git a/libraries/base/common/src/vhdl/common_operation.vhd b/libraries/base/common/src/vhdl/common_operation.vhd
index 7eb620884e..c60796b3b9 100644
--- a/libraries/base/common/src/vhdl/common_operation.vhd
+++ b/libraries/base/common/src/vhdl/common_operation.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity common_operation is
   generic (
@@ -46,7 +46,7 @@ end common_operation;
 
 architecture rtl of common_operation is
 
-  function func_default(operation, representation : string; w : natural) return std_logic_vector is
+  function func_default (operation, representation : string; w : natural) return std_logic_vector is
     constant c_smin : std_logic_vector(w - 1 downto 0) := '1' & c_slv0(w - 2 downto 0);
     constant c_umin : std_logic_vector(w - 1 downto 0) :=       c_slv0(w - 1 downto 0);
     constant c_smax : std_logic_vector(w - 1 downto 0) := '0' & c_slv1(w - 2 downto 0);
@@ -64,7 +64,7 @@ architecture rtl of common_operation is
     return c_umin;  -- void return statement to avoid compiler warning on missing return
   end;
 
-  function func_operation(operation, representation : string; a, b : std_logic_vector) return std_logic_vector is
+  function func_operation (operation, representation : string; a, b : std_logic_vector) return std_logic_vector is
   begin
     if representation = "SIGNED" then
       if operation = "MIN" then if signed(a) < signed(b) then return a; else return b; end if; end if;
@@ -107,17 +107,17 @@ begin
   nxt_result <= func_operation(g_operation, g_representation, a, b);
 
   u_output_pipe : entity work.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline_output,  -- 0 for wires, >0 for register stages
-    g_in_dat_w       => g_dat_w,
-    g_out_dat_w      => g_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => nxt_result,
-    out_dat => result
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline_output,  -- 0 for wires, >0 for register stages
+      g_in_dat_w       => g_dat_w,
+      g_out_dat_w      => g_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => nxt_result,
+      out_dat => result
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_operation_tree.vhd b/libraries/base/common/src/vhdl/common_operation_tree.vhd
index ffdac00b13..bf65909c55 100644
--- a/libraries/base/common/src/vhdl/common_operation_tree.vhd
+++ b/libraries/base/common/src/vhdl/common_operation_tree.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Parallel operation tree.
 -- Description:
@@ -86,22 +86,22 @@ begin
     gen_stage : for j in 0 to c_nof_stages - 1 generate
       gen_oper : for i in 0 to (c_N + (2**j) - 1) / (2**(j + 1)) - 1 generate
         u_operj : entity work.common_operation
-        generic map (
-          g_operation       => g_operation,
-          g_representation  => g_representation,
-          g_pipeline_input  => c_pipeline_in,
-          g_pipeline_output => sel_a_b((j + 1) mod g_pipeline_mod = 0, c_pipeline_out, 0),
-          g_dat_w           => c_w
-        )
-        port map (
-          clk     => clk,
-          clken   => clken,
-          in_a    => stage_arr(j - 1)((2 * i + 1) * c_w - 1 downto (2 * i + 0) * c_w),
-          in_b    => stage_arr(j - 1)((2 * i + 2) * c_w - 1 downto (2 * i + 1) * c_w),
-          in_en_a => sl(stage_en_arr(j - 1)(2 * i   downto 2 * i  )),
-          in_en_b => sl(stage_en_arr(j - 1)(2 * i + 1 downto 2 * i + 1)),
-          result  => stage_arr(j)((i + 1) * c_w - 1 downto i * c_w)
-        );
+          generic map (
+            g_operation       => g_operation,
+            g_representation  => g_representation,
+            g_pipeline_input  => c_pipeline_in,
+            g_pipeline_output => sel_a_b((j + 1) mod g_pipeline_mod = 0, c_pipeline_out, 0),
+            g_dat_w           => c_w
+          )
+          port map (
+            clk     => clk,
+            clken   => clken,
+            in_a    => stage_arr(j - 1)((2 * i + 1) * c_w - 1 downto (2 * i + 0) * c_w),
+            in_b    => stage_arr(j - 1)((2 * i + 2) * c_w - 1 downto (2 * i + 1) * c_w),
+            in_en_a => sl(stage_en_arr(j - 1)(2 * i   downto 2 * i  )),
+            in_en_b => sl(stage_en_arr(j - 1)(2 * i + 1 downto 2 * i + 1)),
+            result  => stage_arr(j)((i + 1) * c_w - 1 downto i * c_w)
+          );
 
         -- In case two adjacent inputs are disbaled, the result of their operation should be disabled in the next stage as well.
         -- Therfor a logic OR creates the stage_en vector for the next stage.
@@ -116,20 +116,20 @@ begin
         stage_en_arr(j)(c_N / (2**(j + 1))) <= in_en_vec(g_nof_inputs - 1);
 
         u_pipej : entity work.common_pipeline
-        generic map (
-          g_representation => g_representation,
-          g_pipeline       => sel_a_b((j + 1) mod g_pipeline_mod = 0, c_pipeline_out, 0),
-          g_in_dat_w       => c_w,
-          g_out_dat_w      => c_w
-        )
-        port map (
-          clk     => clk,
-          clken   => clken,
-          in_dat  => stage_arr(j - 1)((2 * ((c_N + (2**j) - 1) / (2**(j + 1))) + 1) * c_w - 1 downto
+          generic map (
+            g_representation => g_representation,
+            g_pipeline       => sel_a_b((j + 1) mod g_pipeline_mod = 0, c_pipeline_out, 0),
+            g_in_dat_w       => c_w,
+            g_out_dat_w      => c_w
+          )
+          port map (
+            clk     => clk,
+            clken   => clken,
+            in_dat  => stage_arr(j - 1)((2 * ((c_N + (2**j) - 1) / (2**(j + 1))) + 1) * c_w - 1 downto
                                     (2 * ((c_N + (2**j) - 1) / (2**(j + 1))) + 0) * c_w),
-          out_dat => stage_arr(j)(((c_N + (2**j) - 1) / (2**(j + 1)) + 1) * c_w - 1 downto
+            out_dat => stage_arr(j)(((c_N + (2**j) - 1) / (2**(j + 1)) + 1) * c_w - 1 downto
                                   ((c_N + (2**j) - 1) / (2**(j + 1))  ) * c_w)
-        );
+          );
       end generate;
     end generate;
 
@@ -138,18 +138,18 @@ begin
 
   no_tree : if g_nof_inputs = 1 generate
     u_reg : entity work.common_pipeline
-    generic map (
-      g_representation => g_representation,
-      g_pipeline       => g_pipeline,
-      g_in_dat_w       => g_dat_w,
-      g_out_dat_w      => g_dat_w
-    )
-    port map (
-      clk     => clk,
-      clken   => clken,
-      in_dat  => in_data_vec,
-      out_dat => result
-    );
+      generic map (
+        g_representation => g_representation,
+        g_pipeline       => g_pipeline,
+        g_in_dat_w       => g_dat_w,
+        g_out_dat_w      => g_dat_w
+      )
+      port map (
+        clk     => clk,
+        clken   => clken,
+        in_dat  => in_data_vec,
+        out_dat => result
+      );
   end generate;  -- no_tree
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_paged_ram_crw_crw.vhd b/libraries/base/common/src/vhdl/common_paged_ram_crw_crw.vhd
index a8b67ad0fd..520fdd590a 100644
--- a/libraries/base/common/src/vhdl/common_paged_ram_crw_crw.vhd
+++ b/libraries/base/common/src/vhdl/common_paged_ram_crw_crw.vhd
@@ -35,12 +35,12 @@
 -- . The "use_adr" variant is optimal for speed, so that is set as default.
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_paged_ram_crw_crw is
   generic (
@@ -86,11 +86,13 @@ architecture rtl of common_paged_ram_crw_crw is
   constant c_page_addr_w      : natural := ceil_log2(g_page_sz);
 
   -- g_str = "use_mux" :
-  constant c_page_ram         : t_c_mem := (latency  => g_rd_latency,
-                                            adr_w    => c_page_addr_w,
-                                            dat_w    => g_data_w,
-                                            nof_dat  => g_page_sz,
-                                            init_sl  => '0');
+  constant c_page_ram         : t_c_mem := (
+    latency  => g_rd_latency,
+    adr_w    => c_page_addr_w,
+    dat_w    => g_data_w,
+    nof_dat  => g_page_sz,
+    init_sl  => '0'
+  );
 
   type t_data_arr is array (integer range <>) of std_logic_vector(g_data_w - 1 downto 0);
 
@@ -99,21 +101,25 @@ architecture rtl of common_paged_ram_crw_crw is
   constant c_mem_addr_w       : natural := c_mem_nof_pages_w + c_page_addr_w;
   constant c_mem_nof_words    : natural := g_nof_pages * 2**c_page_addr_w;  -- <= 2**c_mem_addr_w
 
-  constant c_mem_ram          : t_c_mem := (latency  => g_rd_latency,
-                                            adr_w    => c_mem_addr_w,
-                                            dat_w    => g_data_w,
-                                            nof_dat  => c_mem_nof_words,
-                                            init_sl  => '0');
+  constant c_mem_ram          : t_c_mem := (
+    latency  => g_rd_latency,
+    adr_w    => c_mem_addr_w,
+    dat_w    => g_data_w,
+    nof_dat  => c_mem_nof_words,
+    init_sl  => '0'
+  );
 
   -- g_str = "use_ofs" :
   constant c_buf_addr_w       : natural := ceil_log2(g_nof_pages * g_page_sz);
   constant c_buf_nof_words    : natural := g_nof_pages * g_page_sz;
 
-  constant c_buf_ram          : t_c_mem := (latency  => g_rd_latency,
-                                            adr_w    => c_buf_addr_w,
-                                            dat_w    => g_data_w,
-                                            nof_dat  => c_buf_nof_words,
-                                            init_sl  => '0');
+  constant c_buf_ram          : t_c_mem := (
+    latency  => g_rd_latency,
+    adr_w    => c_buf_addr_w,
+    dat_w    => g_data_w,
+    nof_dat  => c_buf_nof_words,
+    init_sl  => '0'
+  );
 
   -- >>> Page control
 
@@ -227,32 +233,32 @@ begin
   gen_mux : if g_str = "use_mux" generate
     gen_pages : for I in 0 to g_nof_pages - 1 generate
       u_ram : entity work.common_ram_crw_crw
-      generic map (
-        g_technology     => g_technology,
-        g_ram            => c_page_ram,
-        g_init_file      => "UNUSED",
-        g_true_dual_port => g_true_dual_port
-      )
-      port map (
-        rst_a     => rst_a,
-        rst_b     => rst_b,
-        clk_a     => clk_a,
-        clk_b     => clk_b,
-        clken_a   => clken_a,
-        clken_b   => clken_b,
-        adr_a     => adr_a,
-        wr_en_a   => page_wr_en_a(I),
-        wr_dat_a  => wr_dat_a,
-        rd_en_a   => page_rd_en_a(I),
-        rd_dat_a  => page_rd_dat_a(I),
-        rd_val_a  => page_rd_val_a(I),
-        adr_b     => adr_b,
-        wr_en_b   => page_wr_en_b(I),
-        wr_dat_b  => wr_dat_b,
-        rd_en_b   => page_rd_en_b(I),
-        rd_dat_b  => page_rd_dat_b(I),
-        rd_val_b  => page_rd_val_b(I)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_ram            => c_page_ram,
+          g_init_file      => "UNUSED",
+          g_true_dual_port => g_true_dual_port
+        )
+        port map (
+          rst_a     => rst_a,
+          rst_b     => rst_b,
+          clk_a     => clk_a,
+          clk_b     => clk_b,
+          clken_a   => clken_a,
+          clken_b   => clken_b,
+          adr_a     => adr_a,
+          wr_en_a   => page_wr_en_a(I),
+          wr_dat_a  => wr_dat_a,
+          rd_en_a   => page_rd_en_a(I),
+          rd_dat_a  => page_rd_dat_a(I),
+          rd_val_a  => page_rd_val_a(I),
+          adr_b     => adr_b,
+          wr_en_b   => page_wr_en_b(I),
+          wr_dat_b  => wr_dat_b,
+          rd_en_b   => page_rd_en_b(I),
+          rd_dat_b  => page_rd_dat_b(I),
+          rd_val_b  => page_rd_val_b(I)
+        );
     end generate;
 
     p_mux : process(page_sel_a, wr_en_a, rd_en_a, page_sel_a_dly, page_rd_dat_a, page_rd_val_a,
@@ -278,32 +284,32 @@ begin
 
   gen_adr : if g_str = "use_adr" generate
     u_mem : entity work.common_ram_crw_crw
-    generic map (
-      g_technology     => g_technology,
-      g_ram            => c_mem_ram,
-      g_init_file      => "UNUSED",
-      g_true_dual_port => g_true_dual_port
-    )
-    port map (
-      rst_a     => rst_a,
-      rst_b     => rst_b,
-      clk_a     => clk_a,
-      clk_b     => clk_b,
-      clken_a   => clken_a,
-      clken_b   => clken_b,
-      adr_a     => mem_adr_a,
-      wr_en_a   => wr_en_a,
-      wr_dat_a  => wr_dat_a,
-      rd_en_a   => rd_en_a,
-      rd_dat_a  => rd_dat_a,
-      rd_val_a  => rd_val_a,
-      adr_b     => mem_adr_b,
-      wr_en_b   => wr_en_b,
-      wr_dat_b  => wr_dat_b,
-      rd_en_b   => rd_en_b,
-      rd_dat_b  => rd_dat_b,
-      rd_val_b  => rd_val_b
-    );
+      generic map (
+        g_technology     => g_technology,
+        g_ram            => c_mem_ram,
+        g_init_file      => "UNUSED",
+        g_true_dual_port => g_true_dual_port
+      )
+      port map (
+        rst_a     => rst_a,
+        rst_b     => rst_b,
+        clk_a     => clk_a,
+        clk_b     => clk_b,
+        clken_a   => clken_a,
+        clken_b   => clken_b,
+        adr_a     => mem_adr_a,
+        wr_en_a   => wr_en_a,
+        wr_dat_a  => wr_dat_a,
+        rd_en_a   => rd_en_a,
+        rd_dat_a  => rd_dat_a,
+        rd_val_a  => rd_val_a,
+        adr_b     => mem_adr_b,
+        wr_en_b   => wr_en_b,
+        wr_dat_b  => wr_dat_b,
+        rd_en_b   => rd_en_b,
+        rd_dat_b  => rd_dat_b,
+        rd_val_b  => rd_val_b
+      );
 
     mem_adr_a <= TO_UVEC(page_sel_a, c_mem_nof_pages_w) & adr_a;
     mem_adr_b <= TO_UVEC(page_sel_b, c_mem_nof_pages_w) & adr_b;
@@ -312,32 +318,32 @@ begin
 
   gen_ofs : if g_str = "use_ofs" generate
     u_buf : entity work.common_ram_crw_crw
-    generic map (
-      g_technology     => g_technology,
-      g_ram            => c_buf_ram,
-      g_init_file      => "UNUSED",
-      g_true_dual_port => g_true_dual_port
-    )
-    port map (
-      rst_a     => rst_a,
-      rst_b     => rst_b,
-      clk_a     => clk_a,
-      clk_b     => clk_b,
-      clken_a   => clken_a,
-      clken_b   => clken_b,
-      adr_a     => buf_adr_a,
-      wr_en_a   => wr_en_a,
-      wr_dat_a  => wr_dat_a,
-      rd_en_a   => rd_en_a,
-      rd_dat_a  => rd_dat_a,
-      rd_val_a  => rd_val_a,
-      adr_b     => buf_adr_b,
-      wr_en_b   => wr_en_b,
-      wr_dat_b  => wr_dat_b,
-      rd_en_b   => rd_en_b,
-      rd_dat_b  => rd_dat_b,
-      rd_val_b  => rd_val_b
-    );
+      generic map (
+        g_technology     => g_technology,
+        g_ram            => c_buf_ram,
+        g_init_file      => "UNUSED",
+        g_true_dual_port => g_true_dual_port
+      )
+      port map (
+        rst_a     => rst_a,
+        rst_b     => rst_b,
+        clk_a     => clk_a,
+        clk_b     => clk_b,
+        clken_a   => clken_a,
+        clken_b   => clken_b,
+        adr_a     => buf_adr_a,
+        wr_en_a   => wr_en_a,
+        wr_dat_a  => wr_dat_a,
+        rd_en_a   => rd_en_a,
+        rd_dat_a  => rd_dat_a,
+        rd_val_a  => rd_val_a,
+        adr_b     => buf_adr_b,
+        wr_en_b   => wr_en_b,
+        wr_dat_b  => wr_dat_b,
+        rd_en_b   => rd_en_b,
+        rd_dat_b  => rd_dat_b,
+        rd_val_b  => rd_val_b
+      );
 
     buf_adr_a <= INCR_UVEC(RESIZE_UVEC(adr_a, c_buf_addr_w), page_ofs_a);
     buf_adr_b <= INCR_UVEC(RESIZE_UVEC(adr_b, c_buf_addr_w), page_ofs_b);
diff --git a/libraries/base/common/src/vhdl/common_paged_ram_r_w.vhd b/libraries/base/common/src/vhdl/common_paged_ram_r_w.vhd
index ec36a9d874..1ee52bec9b 100644
--- a/libraries/base/common/src/vhdl/common_paged_ram_r_w.vhd
+++ b/libraries/base/common/src/vhdl/common_paged_ram_r_w.vhd
@@ -26,11 +26,11 @@
 -- . See common_paged_ram_crw_crw for details.
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_paged_ram_r_w is
   generic (
@@ -65,35 +65,35 @@ architecture str of common_paged_ram_r_w is
 begin
 
   u_rw_rw : entity work.common_paged_ram_rw_rw
-  generic map (
-    g_technology     => g_technology,
-    g_str            => g_str,
-    g_data_w         => g_data_w,
-    g_nof_pages      => g_nof_pages,
-    g_page_sz        => g_page_sz,
-    g_start_page_a   => g_wr_start_page,
-    g_start_page_b   => g_rd_start_page,
-    g_rd_latency     => g_rd_latency,
-    g_true_dual_port => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => clken,
-    next_page_a => wr_next_page,
-    adr_a       => wr_adr,
-    wr_en_a     => wr_en,
-    wr_dat_a    => wr_dat,
-    rd_en_a     => '0',
-    rd_dat_a    => OPEN,
-    rd_val_a    => OPEN,
-    next_page_b => rd_next_page,
-    adr_b       => rd_adr,
-    wr_en_b     => '0',
-    wr_dat_b    => (others => '0'),
-    rd_en_b     => rd_en,
-    rd_dat_b    => rd_dat,
-    rd_val_b    => rd_val
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_str            => g_str,
+      g_data_w         => g_data_w,
+      g_nof_pages      => g_nof_pages,
+      g_page_sz        => g_page_sz,
+      g_start_page_a   => g_wr_start_page,
+      g_start_page_b   => g_rd_start_page,
+      g_rd_latency     => g_rd_latency,
+      g_true_dual_port => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => clken,
+      next_page_a => wr_next_page,
+      adr_a       => wr_adr,
+      wr_en_a     => wr_en,
+      wr_dat_a    => wr_dat,
+      rd_en_a     => '0',
+      rd_dat_a    => OPEN,
+      rd_val_a    => OPEN,
+      next_page_b => rd_next_page,
+      adr_b       => rd_adr,
+      wr_en_b     => '0',
+      wr_dat_b    => (others => '0'),
+      rd_en_b     => rd_en,
+      rd_dat_b    => rd_dat,
+      rd_val_b    => rd_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_paged_ram_rw_rw.vhd b/libraries/base/common/src/vhdl/common_paged_ram_rw_rw.vhd
index 425c99ae71..e22b714d5f 100644
--- a/libraries/base/common/src/vhdl/common_paged_ram_rw_rw.vhd
+++ b/libraries/base/common/src/vhdl/common_paged_ram_rw_rw.vhd
@@ -26,11 +26,11 @@
 -- . See common_paged_ram_crw_crw for details.
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_paged_ram_rw_rw is
   generic (
@@ -71,38 +71,38 @@ architecture str of common_paged_ram_rw_rw is
 begin
 
   u_crw_crw : entity work.common_paged_ram_crw_crw
-  generic map (
-    g_technology     => g_technology,
-    g_str            => g_str,
-    g_data_w         => g_data_w,
-    g_nof_pages      => g_nof_pages,
-    g_page_sz        => g_page_sz,
-    g_start_page_a   => g_start_page_a,
-    g_start_page_b   => g_start_page_b,
-    g_rd_latency     => g_rd_latency,
-    g_true_dual_port => g_true_dual_port
-  )
-  port map (
-    rst_a       => rst,
-    rst_b       => rst,
-    clk_a       => clk,
-    clk_b       => clk,
-    clken_a     => clken,
-    clken_b     => clken,
-    next_page_a => next_page_a,
-    adr_a       => adr_a,
-    wr_en_a     => wr_en_a,
-    wr_dat_a    => wr_dat_a,
-    rd_en_a     => rd_en_a,
-    rd_dat_a    => rd_dat_a,
-    rd_val_a    => rd_val_a,
-    next_page_b => next_page_b,
-    adr_b       => adr_b,
-    wr_en_b     => wr_en_b,
-    wr_dat_b    => wr_dat_b,
-    rd_en_b     => rd_en_b,
-    rd_dat_b    => rd_dat_b,
-    rd_val_b    => rd_val_b
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_str            => g_str,
+      g_data_w         => g_data_w,
+      g_nof_pages      => g_nof_pages,
+      g_page_sz        => g_page_sz,
+      g_start_page_a   => g_start_page_a,
+      g_start_page_b   => g_start_page_b,
+      g_rd_latency     => g_rd_latency,
+      g_true_dual_port => g_true_dual_port
+    )
+    port map (
+      rst_a       => rst,
+      rst_b       => rst,
+      clk_a       => clk,
+      clk_b       => clk,
+      clken_a     => clken,
+      clken_b     => clken,
+      next_page_a => next_page_a,
+      adr_a       => adr_a,
+      wr_en_a     => wr_en_a,
+      wr_dat_a    => wr_dat_a,
+      rd_en_a     => rd_en_a,
+      rd_dat_a    => rd_dat_a,
+      rd_val_a    => rd_val_a,
+      next_page_b => next_page_b,
+      adr_b       => adr_b,
+      wr_en_b     => wr_en_b,
+      wr_dat_b    => wr_dat_b,
+      rd_en_b     => rd_en_b,
+      rd_dat_b    => rd_dat_b,
+      rd_val_b    => rd_val_b
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_paged_ram_w_rr.vhd b/libraries/base/common/src/vhdl/common_paged_ram_w_rr.vhd
index 01e3a408e3..ab6bcbddbe 100644
--- a/libraries/base/common/src/vhdl/common_paged_ram_w_rr.vhd
+++ b/libraries/base/common/src/vhdl/common_paged_ram_w_rr.vhd
@@ -26,9 +26,9 @@
 --   Each page uses one or more RAM blocks.
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_paged_ram_w_rr is
   generic (
@@ -68,34 +68,34 @@ architecture str of common_paged_ram_w_rr is
 begin
 
   u_ww_rr : entity work.common_paged_ram_ww_rr
-  generic map (
-    g_technology     => g_technology,
-    g_pipeline_in    => g_pipeline_in,
-    g_pipeline_out   => g_pipeline_out,
-    g_data_w         => g_data_w,
-    g_page_sz        => g_page_sz,
-    g_ram_rd_latency => g_ram_rd_latency
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => clken,
-    -- next page control
-    next_page   => next_page,
-    -- double write access to one page  --> use only page a
-    wr_adr_a    => wr_adr,
-    wr_en_a     => wr_en,
-    wr_dat_a    => wr_dat,
-    -- double read access from the other one page
-    rd_adr_a    => rd_adr_a,
-    rd_en_a     => rd_en_a,
-    rd_adr_b    => rd_adr_b,
-    rd_en_b     => rd_en_b,
-    -- double read data from the other one page after c_rd_latency
-    rd_dat_a    => rd_dat_a,
-    rd_val_a    => rd_val_a,
-    rd_dat_b    => rd_dat_b,
-    rd_val_b    => rd_val_b
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_pipeline_in    => g_pipeline_in,
+      g_pipeline_out   => g_pipeline_out,
+      g_data_w         => g_data_w,
+      g_page_sz        => g_page_sz,
+      g_ram_rd_latency => g_ram_rd_latency
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => clken,
+      -- next page control
+      next_page   => next_page,
+      -- double write access to one page  --> use only page a
+      wr_adr_a    => wr_adr,
+      wr_en_a     => wr_en,
+      wr_dat_a    => wr_dat,
+      -- double read access from the other one page
+      rd_adr_a    => rd_adr_a,
+      rd_en_a     => rd_en_a,
+      rd_adr_b    => rd_adr_b,
+      rd_en_b     => rd_en_b,
+      -- double read data from the other one page after c_rd_latency
+      rd_dat_a    => rd_dat_a,
+      rd_val_a    => rd_val_a,
+      rd_dat_b    => rd_dat_b,
+      rd_val_b    => rd_val_b
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_paged_ram_ww_rr.vhd b/libraries/base/common/src/vhdl/common_paged_ram_ww_rr.vhd
index 02889f1645..6ede04b4b8 100644
--- a/libraries/base/common/src/vhdl/common_paged_ram_ww_rr.vhd
+++ b/libraries/base/common/src/vhdl/common_paged_ram_ww_rr.vhd
@@ -26,11 +26,11 @@
 --   Each page uses one or more RAM blocks.
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
-use work.common_components_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
+  use work.common_components_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_paged_ram_ww_rr is
   generic (
@@ -78,11 +78,13 @@ architecture rtl of common_paged_ram_ww_rr is
 
   constant c_addr_w           : natural := ceil_log2(g_page_sz);
 
-  constant c_page_ram         : t_c_mem := (latency  => g_ram_rd_latency,
-                                            adr_w    => c_addr_w,
-                                            dat_w    => g_data_w,
-                                            nof_dat  => g_page_sz,
-                                            init_sl  => '0');
+  constant c_page_ram         : t_c_mem := (
+    latency  => g_ram_rd_latency,
+    adr_w    => c_addr_w,
+    dat_w    => g_data_w,
+    nof_dat  => g_page_sz,
+    init_sl  => '0'
+  );
 
   type t_data_arr is array (integer range <>) of std_logic_vector(g_data_w - 1 downto 0);
   type t_addr_arr is array (integer range <>) of std_logic_vector(c_addr_w - 1 downto 0);
@@ -181,28 +183,28 @@ begin
     u_pipe_page_adr_b    : common_pipeline    generic map ("SIGNED", g_pipeline_in, 0, c_addr_w, c_addr_w) port map (rst, clk, clken, '0', '1', nxt_page_adr_b(I),    page_adr_b(I));
 
     u_page : entity work.common_ram_rw_rw
-    generic map (
-      g_technology => g_technology,
-      g_ram       => c_page_ram,
-      g_init_file => "UNUSED"
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      clken     => clken,
-      adr_a     => page_adr_a(I),
-      wr_en_a   => page_wr_en_a(I),
-      wr_dat_a  => page_wr_dat_a,
-      rd_en_a   => page_rd_en_a(I),
-      rd_dat_a  => page_rd_dat_a(I),
-      rd_val_a  => page_rd_val_a(I),
-      adr_b     => page_adr_b(I),
-      wr_en_b   => page_wr_en_b(I),
-      wr_dat_b  => page_wr_dat_b,
-      rd_en_b   => page_rd_en_b(I),
-      rd_dat_b  => page_rd_dat_b(I),
-      rd_val_b  => page_rd_val_b(I)
-    );
+      generic map (
+        g_technology => g_technology,
+        g_ram       => c_page_ram,
+        g_init_file => "UNUSED"
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        clken     => clken,
+        adr_a     => page_adr_a(I),
+        wr_en_a   => page_wr_en_a(I),
+        wr_dat_a  => page_wr_dat_a,
+        rd_en_a   => page_rd_en_a(I),
+        rd_dat_a  => page_rd_dat_a(I),
+        rd_val_a  => page_rd_val_a(I),
+        adr_b     => page_adr_b(I),
+        wr_en_b   => page_wr_en_b(I),
+        wr_dat_b  => page_wr_dat_b,
+        rd_en_b   => page_rd_en_b(I),
+        rd_dat_b  => page_rd_dat_b(I),
+        rd_val_b  => page_rd_val_b(I)
+      );
   end generate;
 
   -- use page_sel_out to account for the RAM read latency
diff --git a/libraries/base/common/src/vhdl/common_paged_reg.vhd b/libraries/base/common/src/vhdl/common_paged_reg.vhd
index bfb3cc4aeb..defa97b3d3 100644
--- a/libraries/base/common/src/vhdl/common_paged_reg.vhd
+++ b/libraries/base/common/src/vhdl/common_paged_reg.vhd
@@ -27,8 +27,8 @@
 -- Remarks:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_paged_reg is
   generic (
@@ -60,17 +60,17 @@ begin
   -- Shift the intermediate data pages when enabled
   gen_pages : for I in g_nof_pages - 1 downto 0 generate
     u_page : entity work.common_pipeline
-    generic map (
-      g_in_dat_w  => g_data_w,
-      g_out_dat_w => g_data_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_en   => wr_en(I),
-      in_dat  => reg_dat(I + 1),
-      out_dat => reg_dat(I)
-    );
+      generic map (
+        g_in_dat_w  => g_data_w,
+        g_out_dat_w => g_data_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_en   => wr_en(I),
+        in_dat  => reg_dat(I + 1),
+        out_dat => reg_dat(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_peak.vhd b/libraries/base/common/src/vhdl/common_peak.vhd
index 1765183b33..11236fa322 100644
--- a/libraries/base/common/src/vhdl/common_peak.vhd
+++ b/libraries/base/common/src/vhdl/common_peak.vhd
@@ -28,8 +28,8 @@
 --
 --
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_peak is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_pipeline.vhd b/libraries/base/common/src/vhdl/common_pipeline.vhd
index 5da5682ea0..109e783d16 100644
--- a/libraries/base/common/src/vhdl/common_pipeline.vhd
+++ b/libraries/base/common/src/vhdl/common_pipeline.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_pipeline is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_pipeline_integer.vhd b/libraries/base/common/src/vhdl/common_pipeline_integer.vhd
index feb5ac1796..e599174fe4 100644
--- a/libraries/base/common/src/vhdl/common_pipeline_integer.vhd
+++ b/libraries/base/common/src/vhdl/common_pipeline_integer.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_pipeline_integer is
   generic (
@@ -53,21 +53,21 @@ begin
   out_dat    <= TO_SINT(out_dat_slv)     when g_representation = "SIGNED" else TO_UINT(out_dat_slv);
 
   u_int : entity work.common_pipeline
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline,
-    g_reset_value    => g_reset_value,
-    g_in_dat_w       => g_dat_w,
-    g_out_dat_w      => g_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_clr  => in_clr,
-    in_en   => in_en,
-    in_dat  => in_dat_slv,
-    out_dat => out_dat_slv
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline,
+      g_reset_value    => g_reset_value,
+      g_in_dat_w       => g_dat_w,
+      g_out_dat_w      => g_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_clr  => in_clr,
+      in_en   => in_en,
+      in_dat  => in_dat_slv,
+      out_dat => out_dat_slv
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_pipeline_natural.vhd b/libraries/base/common/src/vhdl/common_pipeline_natural.vhd
index ce8d7d5e9d..0e9d479f45 100644
--- a/libraries/base/common/src/vhdl/common_pipeline_natural.vhd
+++ b/libraries/base/common/src/vhdl/common_pipeline_natural.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_pipeline_natural is
   generic (
@@ -52,21 +52,21 @@ begin
   out_dat    <= TO_UINT(out_dat_slv);
 
   u_int : entity work.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => g_pipeline,
-    g_reset_value    => g_reset_value,
-    g_in_dat_w       => g_dat_w,
-    g_out_dat_w      => g_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_clr  => in_clr,
-    in_en   => in_en,
-    in_dat  => in_dat_slv,
-    out_dat => out_dat_slv
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => g_pipeline,
+      g_reset_value    => g_reset_value,
+      g_in_dat_w       => g_dat_w,
+      g_out_dat_w      => g_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_clr  => in_clr,
+      in_en   => in_en,
+      in_dat  => in_dat_slv,
+      out_dat => out_dat_slv
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_pipeline_sl.vhd b/libraries/base/common/src/vhdl/common_pipeline_sl.vhd
index a4afcd92de..8d67207276 100644
--- a/libraries/base/common/src/vhdl/common_pipeline_sl.vhd
+++ b/libraries/base/common/src/vhdl/common_pipeline_sl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity common_pipeline_sl is
   generic (
@@ -53,21 +53,21 @@ begin
   out_dat       <= out_dat_slv(0);
 
   u_sl : entity work.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => g_pipeline,
-    g_reset_value    => sel_a_b(g_out_invert, 1 - g_reset_value, g_reset_value),
-    g_in_dat_w       => 1,
-    g_out_dat_w      => 1
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_clr  => in_clr,
-    in_en   => in_en,
-    in_dat  => in_dat_slv,
-    out_dat => out_dat_slv
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => g_pipeline,
+      g_reset_value    => sel_a_b(g_out_invert, 1 - g_reset_value, g_reset_value),
+      g_in_dat_w       => 1,
+      g_out_dat_w      => 1
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_clr  => in_clr,
+      in_en   => in_en,
+      in_dat  => in_dat_slv,
+      out_dat => out_dat_slv
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_pipeline_symbol.vhd b/libraries/base/common/src/vhdl/common_pipeline_symbol.vhd
index edb63c6f59..963b0901b7 100644
--- a/libraries/base/common/src/vhdl/common_pipeline_symbol.vhd
+++ b/libraries/base/common/src/vhdl/common_pipeline_symbol.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Per symbol pipeline of the input data stream
 -- Description:
@@ -69,50 +69,50 @@ begin
 
     -- pipeline per symbol
     u_pipe_symbol : entity work.common_pipeline
-    generic map (
-      g_pipeline  => g_pipeline_arr(I),
-      g_in_dat_w  => g_symbol_w,
-      g_out_dat_w => g_symbol_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => in_dat_arr(I),
-      out_dat => out_dat_arr(I)
-    );
+      generic map (
+        g_pipeline  => g_pipeline_arr(I),
+        g_in_dat_w  => g_symbol_w,
+        g_out_dat_w => g_symbol_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => in_dat_arr(I),
+        out_dat => out_dat_arr(I)
+      );
 
     u_pipe_val : entity work.common_pipeline_sl
-    generic map (
-      g_pipeline => g_pipeline_arr(I)
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => in_val,
-      out_dat => out_val_arr(I)
-    );
+      generic map (
+        g_pipeline => g_pipeline_arr(I)
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => in_val,
+        out_dat => out_val_arr(I)
+      );
 
     u_pipe_sop : entity work.common_pipeline_sl
-    generic map (
-      g_pipeline => g_pipeline_arr(I)
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => in_sop,
-      out_dat => out_sop_arr(I)
-    );
+      generic map (
+        g_pipeline => g_pipeline_arr(I)
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => in_sop,
+        out_dat => out_sop_arr(I)
+      );
 
     u_pipe_eop : entity work.common_pipeline_sl
-    generic map (
-      g_pipeline => g_pipeline_arr(I)
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => in_eop,
-      out_dat => out_eop_arr(I)
-    );
+      generic map (
+        g_pipeline => g_pipeline_arr(I)
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => in_eop,
+        out_dat => out_eop_arr(I)
+      );
 
     -- map arr to output vector
     out_data((I + 1) * g_symbol_w - 1 downto I * g_symbol_w) <= out_dat_arr(I);
diff --git a/libraries/base/common/src/vhdl/common_pkg.vhd b/libraries/base/common/src/vhdl/common_pkg.vhd
index 6a03dd4feb..99d1d972c4 100644
--- a/libraries/base/common/src/vhdl/common_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_pkg.vhd
@@ -27,9 +27,9 @@
 -- . More information can be found in the comments near the code.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
 
 package common_pkg is
 
@@ -181,239 +181,239 @@ package common_pkg is
 
   -- All functions assume [high downto low] input ranges
 
-  function pow2(n : natural) return natural;  -- = 2**n
-  function ceil_pow2(n : integer) return natural;  -- = 2**n, returns 1 for n<0
+  function pow2 (n : natural) return natural;  -- = 2**n
+  function ceil_pow2 (n : integer) return natural;  -- = 2**n, returns 1 for n<0
 
-  function true_log2(n : natural) return natural;  -- true_log2(n) = log2(n)
-  function ceil_log2(n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
+  function true_log2 (n : natural) return natural;  -- true_log2(n) = log2(n)
+  function ceil_log2 (n : natural) return natural;  -- ceil_log2(n) = log2(n), but force ceil_log2(1) = 1
 
-  function floor_log10(n : natural) return natural;
+  function floor_log10 (n : natural) return natural;
 
-  function is_pow2(n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
-  function true_log_pow2(n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
+  function is_pow2 (n : natural) return boolean;  -- return TRUE when n is a power of 2, so 0, 1, 2, 4, 8, 16, ...
+  function true_log_pow2 (n : natural) return natural;  -- 2**true_log2(n), return power of 2 that is >= n
 
-  function ratio( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
-  function ratio2(n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
+  function ratio ( n, d : natural) return natural;  -- return n/d when n MOD d = 0 else return 0, so ratio * d = n only when integer ratio > 0
+  function ratio2 (n, m : natural) return natural;  -- return integer ratio of n/m or m/n, whichever is the largest
 
   -- use almost_equal(a/b, 1.0, max_ratio) to verify that a and b differ less than max_ratio/100 percent
   -- use almost_zero(a/b, max_ratio) to verify that a is less than max_ratio/100 percent of b, so almost zero
-  function almost_equal(a, b, delta : real) return boolean;  -- return TRUE when abs(a - b) < abs(delta), else return FALSE
-  function almost_equal(a, b, delta : integer) return boolean;
-  function almost_zero(a, delta : real) return boolean;  -- return TRUE when abs(a)     < abs(delta), else return FALSE
-  function almost_zero(a, delta : integer) return boolean;
-
-  function ceil_div(   n, d : natural)  return natural;  -- ceil_div    = n/d + (n MOD d)/=0
-  function ceil_value( n, d : natural)  return natural;  -- ceil_value  = ceil_div(n, d) * d
-  function floor_value(n, d : natural)  return natural;  -- floor_value = (n/d) * d
-  function ceil_div(   n : unsigned; d: natural) return unsigned;
-  function ceil_value( n : unsigned; d: natural) return unsigned;
-  function floor_value(n : unsigned; d: natural) return unsigned;
-  function gcd(a, b : natural) return natural;  -- greatest common divider
-
-  function slv(n: in std_logic)        return std_logic_vector;  -- standard logic to 1 element standard logic vector
-  function sl( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
-
-  function to_sl(  n: in boolean)   return std_logic;  -- if TRUE       then return '1'   else '0'
-  function to_bool(n: in std_logic) return boolean;  -- if '1' or 'H' then return TRUE  else FALSE
-  function to_bool(n: in integer)   return boolean;  -- if  0         then return FALSE else TRUE
-
-  function not_int(n: in integer) return integer;  -- if 0 then return 1 else 0
-
-  function pack_complex(re, im : integer; w : natural) return integer;  -- pack order: im & re
-  function unpack_complex_re(data : integer;          w : natural) return integer;  -- pack order: im & re
-  function unpack_complex_re(data : std_logic_vector; w : natural) return integer;  -- pack order: im & re
-  function unpack_complex_im(data : integer;          w : natural) return integer;  -- pack order: im & re
-  function unpack_complex_im(data : std_logic_vector; w : natural) return integer;  -- pack order: im & re
-
-  function atan2(Y, X: real) return real;  -- = ARCTAN(Y, X) but returns 0 when Y = X = 0, without reporting Error: ARCTAN(0.0, 0.0) is undetermined
-
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
-  function to_natural_arr(n : t_nat_natural_arr)                return t_natural_arr;
-  function to_integer_arr(n : t_natural_arr)                    return t_integer_arr;
-  function to_integer_arr(n : t_nat_natural_arr)                return t_integer_arr;
-  function to_slv_32_arr( n : t_integer_arr)                    return t_slv_32_arr;
-  function to_slv_32_arr( n : t_natural_arr)                    return t_slv_32_arr;
-
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
-  function vector_and(slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
-  function vector_or( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
-  function vector_xor(slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
-
-  function andv(slv : std_logic_vector) return std_logic;  -- alias of vector_and
-  function orv( slv : std_logic_vector) return std_logic;  -- alias of vector_or
-  function xorv(slv : std_logic_vector) return std_logic;  -- alias of vector_xor
-
-  function array_and(arr : t_nat_boolean_arr) return boolean;
-  function array_or( arr : t_nat_boolean_arr) return boolean;
-
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
-  function matrix_or( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
-
-  function smallest(n, m    : integer)       return integer;
-  function smallest(n, m    : real)          return real;
-  function smallest(n, m, l : integer)       return integer;
-  function smallest(n       : t_natural_arr) return natural;
-  function smallest(n       : t_nat_natural_arr) return natural;
-
-  function largest(n, m : integer)       return integer;
-  function largest(n, m : real)          return real;
-  function largest(n    : t_natural_arr) return natural;
-  function largest(n    : t_nat_natural_arr) return natural;
-
-  function func_sum(    n : t_natural_arr)     return natural;  -- sum     of all elements in array
-  function func_sum(    n : t_nat_natural_arr) return natural;
-  function func_product(n : t_natural_arr)     return natural;  -- product of all elements in array
-  function func_product(n : t_nat_natural_arr) return natural;
-
-  function "+" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise sum
-  function "+" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise sum
-  function "+" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise sum
-
-  function "-" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise subtract
-  function "-" (L, R: t_natural_arr)               return t_integer_arr;  -- element wise subtract, support negative result
-  function "-" (L   : t_natural_arr; R : integer)  return t_natural_arr;  -- element wise subtract
-  function "-" (L   : integer; R : t_natural_arr)  return t_natural_arr;  -- element wise subtract
-
-  function "*" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise product
-  function "*" (L   : t_natural_arr; R : natural)  return t_natural_arr;  -- element wise product
-  function "*" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise product
-
-  function "/" (L, R: t_natural_arr)               return t_natural_arr;  -- element wise division
+  function almost_equal (a, b, delta : real) return boolean;  -- return TRUE when abs(a - b) < abs(delta), else return FALSE
+  function almost_equal (a, b, delta : integer) return boolean;
+  function almost_zero (a, delta : real) return boolean;  -- return TRUE when abs(a)     < abs(delta), else return FALSE
+  function almost_zero (a, delta : integer) return boolean;
+
+  function ceil_div (   n, d : natural) return natural;  -- ceil_div    = n/d + (n MOD d)/=0
+  function ceil_value ( n, d : natural) return natural;  -- ceil_value  = ceil_div(n, d) * d
+  function floor_value (n, d : natural) return natural;  -- floor_value = (n/d) * d
+  function ceil_div (   n : unsigned; d: natural) return unsigned;
+  function ceil_value ( n : unsigned; d: natural) return unsigned;
+  function floor_value (n : unsigned; d: natural) return unsigned;
+  function gcd (a, b : natural) return natural;  -- greatest common divider
+
+  function slv (n: in std_logic) return std_logic_vector;  -- standard logic to 1 element standard logic vector
+  function sl ( n: in std_logic_vector) return std_logic;  -- 1 element standard logic vector to standard logic
+
+  function to_sl (  n: in boolean) return std_logic;  -- if TRUE       then return '1'   else '0'
+  function to_bool (n: in std_logic) return boolean;  -- if '1' or 'H' then return TRUE  else FALSE
+  function to_bool (n: in integer) return boolean;  -- if  0         then return FALSE else TRUE
+
+  function not_int (n: in integer) return integer;  -- if 0 then return 1 else 0
+
+  function pack_complex (re, im : integer; w : natural) return integer;  -- pack order: im & re
+  function unpack_complex_re (data : integer;          w : natural) return integer;  -- pack order: im & re
+  function unpack_complex_re (data : std_logic_vector; w : natural) return integer;  -- pack order: im & re
+  function unpack_complex_im (data : integer;          w : natural) return integer;  -- pack order: im & re
+  function unpack_complex_im (data : std_logic_vector; w : natural) return integer;  -- pack order: im & re
+
+  function atan2 (Y, X: real) return real;  -- = ARCTAN(Y, X) but returns 0 when Y = X = 0, without reporting Error: ARCTAN(0.0, 0.0) is undetermined
+
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr;  -- if to_zero=TRUE then negative numbers are forced to zero, otherwise they will give a compile range error
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr;
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr;
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr;
+  function to_slv_32_arr ( n : t_integer_arr) return t_slv_32_arr;
+  function to_slv_32_arr ( n : t_natural_arr) return t_slv_32_arr;
+
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic;  -- Core operation tree function for vector "AND", "OR", "XOR"
+  function vector_and (slv : std_logic_vector) return std_logic;  -- '1' when all slv bits are '1' else '0'
+  function vector_or ( slv : std_logic_vector) return std_logic;  -- '0' when all slv bits are '0' else '1'
+  function vector_xor (slv : std_logic_vector) return std_logic;  -- '1' when the slv has an odd number of '1' bits else '0'
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector;  -- Returns slv when it contains one hot bit, else returns 0.
+
+  function andv (slv : std_logic_vector) return std_logic;  -- alias of vector_and
+  function orv ( slv : std_logic_vector) return std_logic;  -- alias of vector_or
+  function xorv (slv : std_logic_vector) return std_logic;  -- alias of vector_xor
+
+  function array_and (arr : t_nat_boolean_arr) return boolean;
+  function array_or ( arr : t_nat_boolean_arr) return boolean;
+
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '1' when all matrix bits are '1' else '0'
+  function matrix_or ( mat : t_sl_matrix; wi, wj : natural) return std_logic;  -- '0' when all matrix bits are '0' else '1'
+
+  function smallest (n, m    : integer) return integer;
+  function smallest (n, m    : real) return real;
+  function smallest (n, m, l : integer) return integer;
+  function smallest (n       : t_natural_arr) return natural;
+  function smallest (n       : t_nat_natural_arr) return natural;
+
+  function largest (n, m : integer) return integer;
+  function largest (n, m : real) return real;
+  function largest (n    : t_natural_arr) return natural;
+  function largest (n    : t_nat_natural_arr) return natural;
+
+  function func_sum (    n : t_natural_arr) return natural;  -- sum     of all elements in array
+  function func_sum (    n : t_nat_natural_arr) return natural;
+  function func_product (n : t_natural_arr) return natural;  -- product of all elements in array
+  function func_product (n : t_nat_natural_arr) return natural;
+
+  function "+" (L, R: t_natural_arr) return t_natural_arr;  -- element wise sum
+  function "+" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise sum
+  function "+" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise sum
+
+  function "-" (L, R: t_natural_arr) return t_natural_arr;  -- element wise subtract
+  function "-" (L, R: t_natural_arr) return t_integer_arr;  -- element wise subtract, support negative result
+  function "-" (L   : t_natural_arr; R : integer) return t_natural_arr;  -- element wise subtract
+  function "-" (L   : integer; R : t_natural_arr) return t_natural_arr;  -- element wise subtract
+
+  function "*" (L, R: t_natural_arr) return t_natural_arr;  -- element wise product
+  function "*" (L   : t_natural_arr; R : natural) return t_natural_arr;  -- element wise product
+  function "*" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise product
+
+  function "/" (L, R: t_natural_arr) return t_natural_arr;  -- element wise division
   function "/" (L   : t_natural_arr; R : positive) return t_natural_arr;  -- element wise division
-  function "/" (L   : natural; R : t_natural_arr)  return t_natural_arr;  -- element wise division
-
-  function is_true(a : std_logic) return boolean;
-  function is_true(a : std_logic) return natural;
-  function is_true(a : boolean)   return std_logic;
-  function is_true(a : boolean)   return natural;
-  function is_true(a : integer)   return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
-  function is_true(a : integer)   return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
-
-  function sel_a_b(sel,           a, b : boolean)           return boolean;
-  function sel_a_b(sel,           a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : integer)           return integer;
-  function sel_a_b(sel : boolean; a, b : real)              return real;
-  function sel_a_b(sel : boolean; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic)         return std_logic;
-  function sel_a_b(sel : integer; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : std_logic_vector)  return std_logic_vector;
-  function sel_a_b(sel : boolean; a, b : signed)            return signed;
-  function sel_a_b(sel : boolean; a, b : unsigned)          return unsigned;
-  function sel_a_b(sel : boolean; a, b : t_integer_arr)     return t_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_natural_arr)     return t_natural_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
-  function sel_a_b(sel : boolean; a, b : string)            return string;
-  function sel_a_b(sel : integer; a, b : string)            return string;
-  function sel_a_b(sel : boolean; a, b : time)              return time;
-  function sel_a_b(sel : boolean; a, b : severity_level)    return severity_level;
+  function "/" (L   : natural; R : t_natural_arr) return t_natural_arr;  -- element wise division
+
+  function is_true (a : std_logic) return boolean;
+  function is_true (a : std_logic) return natural;
+  function is_true (a : boolean) return std_logic;
+  function is_true (a : boolean) return natural;
+  function is_true (a : integer) return boolean;  -- also covers NATURAL because it is a subtype of INTEGER
+  function is_true (a : integer) return std_logic;  -- also covers NATURAL because it is a subtype of INTEGER
+
+  function sel_a_b (sel,           a, b : boolean) return boolean;
+  function sel_a_b (sel,           a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : integer) return integer;
+  function sel_a_b (sel : boolean; a, b : real) return real;
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic;
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector;
+  function sel_a_b (sel : boolean; a, b : signed) return signed;
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned;
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr;
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr;
+  function sel_a_b (sel : boolean; a, b : string) return string;
+  function sel_a_b (sel : integer; a, b : string) return string;
+  function sel_a_b (sel : boolean; a, b : time) return time;
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level;
 
   -- sel_n() index sel = 0, 1, 2, ... will return a, b, c, ...
-  function sel_n(sel : natural; a, b, c                      : boolean) return boolean;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
-
-  function sel_n(sel : natural; a, b, c                      : integer) return integer;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : integer) return integer;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
-
-  function sel_n(sel : natural; a, b                         : string) return string;  -- 2
-  function sel_n(sel : natural; a, b, c                      : string) return string;  -- 3
-  function sel_n(sel : natural; a, b, c, d                   : string) return string;  -- 4
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string;  -- 5
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
-
-  function array_init(init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
-  function array_init(init :   boolean; nof              : natural) return t_nat_boolean_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
-  function array_init(init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
-  function array_init(init,             nof, incr        : natural) return t_nat_natural_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_16_arr;
-  function array_init(init,             nof, incr        : integer) return t_slv_32_arr;
-  function array_init(init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-  function array_init(init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
-  function array_sinit(init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
-
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
+  function sel_n (sel : natural; a, b, c                      : boolean) return boolean;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : boolean) return boolean;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : boolean) return boolean;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : boolean) return boolean;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : boolean) return boolean;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : boolean) return boolean;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : boolean) return boolean;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean;  -- 10
+
+  function sel_n (sel : natural; a, b, c                      : integer) return integer;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : integer) return integer;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : integer) return integer;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : integer) return integer;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : integer) return integer;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : integer) return integer;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : integer) return integer;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer;  -- 10
+
+  function sel_n (sel : natural; a, b                         : string) return string;  -- 2
+  function sel_n (sel : natural; a, b, c                      : string) return string;  -- 3
+  function sel_n (sel : natural; a, b, c, d                   : string) return string;  -- 4
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string;  -- 5
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string;  -- 6
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string;  -- 7
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string;  -- 8
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string;  -- 9
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string;  -- 10
+
+  function array_init (init : std_logic; nof              : natural) return std_logic_vector;  -- useful to init a unconstrained array of size 1
+  function array_init (init :   boolean; nof              : natural) return t_nat_boolean_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof              : natural) return t_nat_natural_arr;  -- useful to init a unconstrained array of size 1
+  function array_init (init,             nof, incr        : natural) return t_natural_arr;  -- useful to init an array with incrementing numbers
+  function array_init (init,             nof, incr        : natural) return t_nat_natural_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_16_arr;
+  function array_init (init,             nof, incr        : integer) return t_slv_32_arr;
+  function array_init (init,             nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+  function array_init (init,             nof, width, incr : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with incrementing content
+  function array_sinit (init : integer;   nof, width       : natural) return std_logic_vector;  -- useful to init an unconstrained std_logic_vector with repetitive content
+
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix;  -- initialize all elements in t_slv_64_matrix to value k
 
   -- Concatenate two or more STD_LOGIC_VECTORs into a single STD_LOGIC_VECTOR or extract one of them from a concatenated STD_LOGIC_VECTOR
   -- . Note that using func_slv_concat() without the BOOLEAN use_* is equivalent to using the
   --   slv concatenation operator & directly. However this overloaded func_slv_concat() is
   --   still nice to have, because it shows the relation with the inverse func_slv_extract().
 
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f, use_g        : boolean; a, b, c, d, e, f, g    : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e, use_f               : boolean; a, b, c, d, e, f       : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d, use_e                      : boolean; a, b, c, d, e          : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c, use_d                             : boolean; a, b, c, d             : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b, use_c                                    : boolean; a, b, c                : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(  use_a, use_b                                           : boolean; a, b                   : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b, c, d, e, f, g    : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b, c, d, e, f       : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b, c, d, e          : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b, c, d             : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b, c                : std_logic_vector) return std_logic_vector;
-  function func_slv_concat(                                                                    a, b                   : std_logic_vector) return std_logic_vector;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g        : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w      : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f               : boolean; a_w, b_w, c_w, d_w, e_w, f_w           : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e                      : boolean; a_w, b_w, c_w, d_w, e_w                : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c, use_d                             : boolean; a_w, b_w, c_w, d_w                     : natural) return natural;
-  function func_slv_concat_w(use_a, use_b, use_c                                    : boolean; a_w, b_w, c_w                          : natural) return natural;
-  function func_slv_concat_w(use_a, use_b                                           : boolean; a_w, b_w                               : natural) return natural;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f, use_g        : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e, use_f               : boolean; a_w, b_w, c_w, d_w, e_w, f_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d, use_e                      : boolean; a_w, b_w, c_w, d_w, e_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c, use_d                             : boolean; a_w, b_w, c_w, d_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b, use_c                                    : boolean; a_w, b_w, c_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract( use_a, use_b                                           : boolean; a_w, b_w                               : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w, c_w, d_w, e_w, f_w, g_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w, c_w, d_w, e_w, f_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w, c_w, d_w, e_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w, c_w, d_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w, c_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
-  function func_slv_extract(                                                                   a_w, b_w                               : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f, use_g        : boolean; a, b, c, d, e, f, g    : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e, use_f               : boolean; a, b, c, d, e, f       : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d, use_e                      : boolean; a, b, c, d, e          : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c, use_d                             : boolean; a, b, c, d             : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b, use_c                                    : boolean; a, b, c                : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (  use_a, use_b                                           : boolean; a, b                   : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b, c, d, e, f, g    : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b, c, d, e, f       : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b, c, d, e          : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b, c, d             : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b, c                : std_logic_vector) return std_logic_vector;
+  function func_slv_concat (                                                                    a, b                   : std_logic_vector) return std_logic_vector;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g        : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w      : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f               : boolean; a_w, b_w, c_w, d_w, e_w, f_w           : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e                      : boolean; a_w, b_w, c_w, d_w, e_w                : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c, use_d                             : boolean; a_w, b_w, c_w, d_w                     : natural) return natural;
+  function func_slv_concat_w (use_a, use_b, use_c                                    : boolean; a_w, b_w, c_w                          : natural) return natural;
+  function func_slv_concat_w (use_a, use_b                                           : boolean; a_w, b_w                               : natural) return natural;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f, use_g        : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e, use_f               : boolean; a_w, b_w, c_w, d_w, e_w, f_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d, use_e                      : boolean; a_w, b_w, c_w, d_w, e_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c, use_d                             : boolean; a_w, b_w, c_w, d_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b, use_c                                    : boolean; a_w, b_w, c_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract ( use_a, use_b                                           : boolean; a_w, b_w                               : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w, c_w, d_w, e_w, f_w, g_w      : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w, c_w, d_w, e_w, f_w           : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w, c_w, d_w, e_w                : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w, c_w, d_w                     : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w, c_w                          : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
+  function func_slv_extract (                                                                   a_w, b_w                               : natural; vec : std_logic_vector; sel : natural) return std_logic_vector;
 
   -- Number formats, see:
   -- . https://support.astron.nl/confluence/display/L2M/L3+SDP+Decision%3A+Definition+of+fixed+point+numbers
   -- . https://support.astron.nl/confluence/display/L2M/L4+SDPFW+Decision%3A+Number+representation%2C+resizing+and+rounding
 
-  function TO_UINT(vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
-  function TO_SINT(vec : std_logic_vector) return integer;
+  function TO_UINT (vec : std_logic_vector) return natural;  -- beware: NATURAL'HIGH = 2**31-1, not 2*32-1, use TO_SINT to avoid warning
+  function TO_SINT (vec : std_logic_vector) return integer;
 
-  function TO_UVEC(dec, w : natural) return std_logic_vector;
-  function TO_SVEC(dec, w : integer) return std_logic_vector;
+  function TO_UVEC (dec, w : natural) return std_logic_vector;
+  function TO_SVEC (dec, w : integer) return std_logic_vector;
 
-  function TO_SVEC_32(dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
+  function TO_SVEC_32 (dec : integer) return std_logic_vector;  -- = TO_SVEC() with w=32 for t_slv_32_arr slv elements
 
-  function TO_UINT(udec : real; w, resolution_w : integer) return natural;  -- REAL >= 0 to NATURAL fixed point number
-  function TO_SINT(sdec : real; w, resolution_w : integer) return integer;  -- REAL to INTEGER fixed point number
-  function TO_UVEC(udec : real; w, resolution_w : integer) return std_logic_vector;  -- REAL >= 0 to unsigned SLV fixed point number
-  function TO_SVEC(sdec : real; w, resolution_w : integer) return std_logic_vector;  -- REAL to signed SLV fixed point number
+  function TO_UINT (udec : real; w, resolution_w : integer) return natural;  -- REAL >= 0 to NATURAL fixed point number
+  function TO_SINT (sdec : real; w, resolution_w : integer) return integer;  -- REAL to INTEGER fixed point number
+  function TO_UVEC (udec : real; w, resolution_w : integer) return std_logic_vector;  -- REAL >= 0 to unsigned SLV fixed point number
+  function TO_SVEC (sdec : real; w, resolution_w : integer) return std_logic_vector;  -- REAL to signed SLV fixed point number
 
-  function TO_UREAL(uvec : std_logic_vector) return real;  -- convert unsigned slv of any length to REAL, fixed point number with resolution = 1
-  function TO_SREAL(svec : std_logic_vector) return real;  -- convert signed slv of any length to REAL, fixed point number with resolution = 1
-  function TO_UREAL(uvec : std_logic_vector; resolution_w : integer) return real;  -- convert unsigned fixed point slv of any length, and with resolution of 2**resolution_w, to REAL
-  function TO_SREAL(svec : std_logic_vector; resolution_w : integer) return real;  -- convert signed fixed point slv of any length, and with resolution of 2**resolution_w, to REAL
+  function TO_UREAL (uvec : std_logic_vector) return real;  -- convert unsigned slv of any length to REAL, fixed point number with resolution = 1
+  function TO_SREAL (svec : std_logic_vector) return real;  -- convert signed slv of any length to REAL, fixed point number with resolution = 1
+  function TO_UREAL (uvec : std_logic_vector; resolution_w : integer) return real;  -- convert unsigned fixed point slv of any length, and with resolution of 2**resolution_w, to REAL
+  function TO_SREAL (svec : std_logic_vector; resolution_w : integer) return real;  -- convert signed fixed point slv of any length, and with resolution of 2**resolution_w, to REAL
 
   -- RESIZE_NUM() original description:
   -- The RESIZE for SIGNED in IEEE.NUMERIC_STD extends the sign bit or it keeps the sign bit and LS part. This
@@ -447,167 +447,170 @@ package common_pkg is
   --    RESIZE() does (keeping the MSbit and the w-1 LSbits). The wrapping of RESIZE_NUM() preserves the
   --    capability of recovering from intermediate overflow in a summator, which can be beneficial for e.g.
   --    a beamformer.
-  function RESIZE_NUM( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
-  function RESIZE_NUM( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
-  function RESIZE_UVEC(sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
-  function RESIZE_UINT(u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
-  function RESIZE_SINT(s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
-
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
-
-  function NEGATE_SVEC(vec : std_logic_vector) return std_logic_vector;  -- assume negated ranges fits within -+max
-  function NEGATE_SVEC(vec : std_logic_vector; w : integer) return std_logic_vector;  -- avoid overflow by forcing -min to +max. Use w <= vec'LENGTH
-
-  function INCR_UVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : integer)  return std_logic_vector;
-  function INCR_SVEC(vec : std_logic_vector; dec : signed)   return std_logic_vector;
-                                                                                                                   -- Used in common_add_sub.vhd
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
-
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
-
-  function MULT_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec * r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH + r_vec'LENGTH
-  function MULT_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec * r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH + r_vec'LENGTH
-
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
+  function RESIZE_NUM ( u   : unsigned;         w : natural) return unsigned;  -- left extend with '0' or keep LS part (same as RESIZE for UNSIGNED)
+  function RESIZE_NUM ( s   : signed;           w : natural) return signed;  -- extend sign bit or keep LS part
+  function RESIZE_UVEC (sl  : std_logic;        w : natural) return std_logic_vector;  -- left extend with '0' into slv
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- left extend with '0' or keep LS part
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector;  -- extend sign bit or keep LS part
+  function RESIZE_UINT (u   : integer;          w : natural) return integer;  -- left extend with '0' or keep LS part
+  function RESIZE_SINT (s   : integer;          w : natural) return integer;  -- extend sign bit or keep LS part
+
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_UVEC() with w=32 for t_slv_32_arr slv elements
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector;  -- = RESIZE_SVEC() with w=32 for t_slv_32_arr slv elements
+
+  function NEGATE_SVEC (vec : std_logic_vector) return std_logic_vector;  -- assume negated ranges fits within -+max
+  function NEGATE_SVEC (vec : std_logic_vector; w : integer) return std_logic_vector;  -- avoid overflow by forcing -min to +max. Use w <= vec'LENGTH
+
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector;
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector;
+  -- Used in common_add_sub.vhd
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is res_w
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is res_w
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is res_w
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is res_w
+
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec + r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec - r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH
+
+  function MULT_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec * r_vec, treat slv operands as signed,   slv output width is l_vec'LENGTH + r_vec'LENGTH
+  function MULT_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector;  -- l_vec * r_vec, treat slv operands as unsigned, slv output width is l_vec'LENGTH + r_vec'LENGTH
+
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate real part of complex multiplication: a_re*b_re - a_im*b_im
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer;  -- Calculate imag part of complex multiplication: a_im*b_re + a_re*b_im
 
   -- Convert between polar and rectangular coordinates
-  function COMPLEX_RADIUS(re, im : real)     return real;
-  function COMPLEX_RADIUS(re, im : integer)  return real;
+  function COMPLEX_RADIUS (re, im : real) return real;
+  function COMPLEX_RADIUS (re, im : integer) return real;
 
-  function COMPLEX_PHASE( re, im : real;    radians : boolean) return real;  -- phase in radians or degrees
-  function COMPLEX_PHASE( re, im : integer; radians : boolean) return real;  -- phase in radians or degrees
-  function COMPLEX_PHASE( re, im : real)                       return real;  -- phase in degrees
-  function COMPLEX_PHASE( re, im : integer)                    return real;  -- phase in degrees
+  function COMPLEX_PHASE ( re, im : real;    radians : boolean) return real;  -- phase in radians or degrees
+  function COMPLEX_PHASE ( re, im : integer; radians : boolean) return real;  -- phase in radians or degrees
+  function COMPLEX_PHASE ( re, im : real) return real;  -- phase in degrees
+  function COMPLEX_PHASE ( re, im : integer) return real;  -- phase in degrees
 
-  function COMPLEX_RE(ampl, phase : real;    radians : boolean) return real;  -- phase in radians or degrees
-  function COMPLEX_RE(ampl, phase : integer; radians : boolean) return real;  -- phase in radians or degrees
-  function COMPLEX_RE(ampl, phase : real)                       return real;  -- phase in degrees
-  function COMPLEX_RE(ampl, phase : integer)                    return real;  -- phase in degrees
+  function COMPLEX_RE (ampl, phase : real;    radians : boolean) return real;  -- phase in radians or degrees
+  function COMPLEX_RE (ampl, phase : integer; radians : boolean) return real;  -- phase in radians or degrees
+  function COMPLEX_RE (ampl, phase : real) return real;  -- phase in degrees
+  function COMPLEX_RE (ampl, phase : integer) return real;  -- phase in degrees
 
-  function COMPLEX_IM(ampl, phase : real;    radians : boolean) return real;  -- phase in radians or degrees
-  function COMPLEX_IM(ampl, phase : integer; radians : boolean) return real;  -- phase in radians or degrees
-  function COMPLEX_IM(ampl, phase : real)                       return real;  -- phase in degrees
-  function COMPLEX_IM(ampl, phase : integer)                    return real;  -- phase in degrees
+  function COMPLEX_IM (ampl, phase : real;    radians : boolean) return real;  -- phase in radians or degrees
+  function COMPLEX_IM (ampl, phase : integer; radians : boolean) return real;  -- phase in radians or degrees
+  function COMPLEX_IM (ampl, phase : real) return real;  -- phase in degrees
+  function COMPLEX_IM (ampl, phase : integer) return real;  -- phase in degrees
 
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 shift left, > 0 shift right
 
-  function ROTATE_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 rotate left, > 0 rotate right
+  function ROTATE_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector;  -- < 0 rotate left, > 0 rotate right
 
-  function offset_binary(a : std_logic_vector) return std_logic_vector;
+  function offset_binary (a : std_logic_vector) return std_logic_vector;
 
-  function truncate(                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
-  function truncate_and_resize_uvec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
-  function truncate_and_resize_svec(vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function scale(                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
-  function scale_and_resize_uvec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
-  function scale_and_resize_svec(   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
-  function truncate_or_resize_uvec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
-  function truncate_or_resize_svec( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
+  function truncate (                vec : std_logic_vector; n              : natural) return std_logic_vector;  -- remove n LSBits from vec, so result has width vec'LENGTH-n
+  function truncate_and_resize_uvec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- remove n LSBits from vec and then resize to width w
+  function truncate_and_resize_svec (vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function scale (                   vec : std_logic_vector; n:               natural) return std_logic_vector;  -- add n '0' LSBits to vec
+  function scale_and_resize_uvec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- add n '0' LSBits to vec and then resize to width w
+  function scale_and_resize_svec (   vec : std_logic_vector; n,           w : natural) return std_logic_vector;  -- idem for signed values
+  function truncate_or_resize_uvec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- when b=TRUE then truncate to width w, else resize to width w
+  function truncate_or_resize_svec ( vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector;  -- idem for signed values
 
-  function s_round(   vec : std_logic_vector; n : natural; clip       : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
-  function s_round(   vec : std_logic_vector; n : natural)                       return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
-  function s_round(   vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector;  -- idem but round half to even for signed
-  function u_round(   vec : std_logic_vector; n : natural; clip       : boolean) return std_logic_vector;  -- idem round up for unsigned values
-  function u_round(   vec : std_logic_vector; n : natural)                       return std_logic_vector;  -- idem round up for unsigned values
-  function u_round(   vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector;  -- idem but round half to even for unsigned
+  function s_round (   vec : std_logic_vector; n : natural; clip       : boolean) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n, and clip to avoid wrap
+  function s_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- remove n LSBits from vec by rounding away from 0, so result has width vec'LENGTH-n
+  function s_round (   vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector;  -- idem but round half to even for signed
+  function u_round (   vec : std_logic_vector; n : natural; clip       : boolean) return std_logic_vector;  -- idem round up for unsigned values
+  function u_round (   vec : std_logic_vector; n : natural) return std_logic_vector;  -- idem round up for unsigned values
+  function u_round (   vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector;  -- idem but round half to even for unsigned
 
-  function u_to_s(u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
-  function s_to_u(s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
+  function u_to_s (u : natural; w : natural) return integer;  -- interpret w bit unsigned u as w bit   signed, and remove any MSbits
+  function s_to_u (s : integer; w : natural) return natural;  -- interpret w bit   signed s as w bit unsigned, and remove any MSbits
 
-  function u_wrap(u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
-  function s_wrap(s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
+  function u_wrap (u : natural; w : natural) return natural;  -- return u & 2**w-1 (bit wise and), so keep w LSbits of unsigned u, and remove MSbits
+  function s_wrap (s : integer; w : natural) return integer;  -- return s & 2**w-1 (bit wise and), so keep w LSbits of   signed s, and remove MSbits
 
-  function u_clip(u : natural; max : natural) return natural;  -- if s < max return s, else return n
-  function s_clip(s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
-  function s_clip(s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
+  function u_clip (u : natural; max : natural) return natural;  -- if s < max return s, else return n
+  function s_clip (s : integer; max : natural; min : integer) return integer;  -- if s <=  min return  min, else if s >= max return max, else return s
+  function s_clip (s : integer; max : natural               ) return integer;  -- if s <= -max return -max, else if s >= max return max, else return s
 
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
-  function hton(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
-  function hton(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
-  function ntoh(a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
-  function ntoh(a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in symbols of width w
+  function hton (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from host to network, sz in bytes
+  function hton (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from host to network, for all bytes in a
+  function ntoh (a : std_logic_vector;    sz : natural) return std_logic_vector;  -- convert endianity from network to host, sz in bytes, ntoh() = hton()
+  function ntoh (a : std_logic_vector                 ) return std_logic_vector;  -- convert endianity from network to host, for all bytes in a, ntoh() = hton()
 
-  function flip(a : std_logic_vector)  return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
-  function flip(a, w : natural)        return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
-  function flip(a : t_slv_32_arr)      return t_slv_32_arr;
-  function flip(a : t_integer_arr)     return t_integer_arr;
-  function flip(a : t_natural_arr)     return t_natural_arr;
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr;
+  function flip (a : std_logic_vector) return std_logic_vector;  -- bit flip a vector, map a[h:0] to [0:h]
+  function flip (a, w : natural) return natural;  -- bit flip a vector, map a[h:0] to [0:h], h = w-1
+  function flip (a : t_slv_32_arr) return t_slv_32_arr;
+  function flip (a : t_integer_arr) return t_integer_arr;
+  function flip (a : t_natural_arr) return t_natural_arr;
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr;
 
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
-  function transpose(a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector;  -- transpose a vector, map a[i*row+j] to output index [j*col+i]
+  function transpose (a,                    row, col : natural) return natural;  -- transpose index a = [i*row+j] to output index [j*col+i]
 
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural;
 
-  function pad(str: string; width: natural; pad_char: character) return string;
+  function pad (str: string; width: natural; pad_char: character) return string;
 
-  function slice_up(str: string; width: natural; i: natural) return string;
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string;
-  function slice_dn(str: string; width: natural; i: natural) return string;
+  function slice_up (str: string; width: natural; i: natural) return string;
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string;
+  function slice_dn (str: string; width: natural; i: natural) return string;
 
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Component specific functions
   ------------------------------------------------------------------------------
 
   -- common_fifo_*
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic);
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic);
 
   -- common_fanout_tree
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr;
 
   -- common_reorder_symbol
-  function func_common_reorder2_is_there(I, J : natural) return boolean;
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean;
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer;
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural;
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr;
+  function func_common_reorder2_is_there (I, J : natural) return boolean;
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean;
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer;
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural;
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr;
 
   -- Generate faster sample SCLK from digital DCLK for sim only
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic);
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic);
 
 end common_pkg;
 
 package body common_pkg is
 
-  function pow2(n : natural) return natural is
+  function pow2 (n : natural) return natural is
   begin
     return 2**n;
   end;
 
-  function ceil_pow2(n : integer) return natural is
+  function ceil_pow2 (n : integer) return natural is
   -- Also allows negative exponents and rounds up before returning the value
   begin
     return natural(integer(ceil(2**real(n))));
   end;
 
-  function true_log2(n : natural) return natural is
+  function true_log2 (n : natural) return natural is
   -- Purpose: For calculating extra vector width of existing vector
   -- Description: Return mathematical ceil(log2(n))
   --   n    log2()
@@ -626,7 +629,7 @@ package body common_pkg is
     return natural(integer(ceil(log2(real(n)))));
   end;
 
-  function ceil_log2(n : natural) return natural is
+  function ceil_log2 (n : natural) return natural is
   -- Purpose: For calculating vector width of new vector
   -- Description:
   --   Same as true_log2() except ceil_log2(1) = 1, which is needed to support
@@ -644,22 +647,22 @@ package body common_pkg is
     end if;
   end;
 
-  function floor_log10(n : natural) return natural is
+  function floor_log10 (n : natural) return natural is
   begin
     return natural(integer(floor(log10(real(n)))));
   end;
 
-  function is_pow2(n : natural) return boolean is
+  function is_pow2 (n : natural) return boolean is
   begin
     return n = 2**true_log2(n);
   end;
 
-  function true_log_pow2(n : natural) return natural is
+  function true_log_pow2 (n : natural) return natural is
   begin
     return 2**true_log2(n);
   end;
 
-  function ratio(n, d : natural) return natural is
+  function ratio (n, d : natural) return natural is
   begin
     if n mod d = 0 then
       return n / d;
@@ -668,12 +671,12 @@ package body common_pkg is
     end if;
   end;
 
-  function ratio2(n, m : natural) return natural is
+  function ratio2 (n, m : natural) return natural is
   begin
     return largest(ratio(n,m), ratio(m,n));
   end;
 
-  function almost_equal(a, b, delta : real) return boolean is
+  function almost_equal (a, b, delta : real) return boolean is
   begin
     if abs(a - b) <= abs(delta) then
       return true;
@@ -682,7 +685,7 @@ package body common_pkg is
     end if;
   end;
 
-  function almost_equal(a, b, delta : integer) return boolean is
+  function almost_equal (a, b, delta : integer) return boolean is
   begin
     if abs(a - b) <= abs(delta) then
       return true;
@@ -691,37 +694,37 @@ package body common_pkg is
     end if;
   end;
 
-  function almost_zero(a, delta : real) return boolean is
+  function almost_zero (a, delta : real) return boolean is
   begin
     return almost_equal(a, 0.0, delta);
   end;
 
-  function almost_zero(a, delta : integer) return boolean is
+  function almost_zero (a, delta : integer) return boolean is
   begin
     return almost_equal(a, 0, delta);
   end;
 
-  function ceil_div(n, d : natural) return natural is
+  function ceil_div (n, d : natural) return natural is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);
   end;
 
-  function ceil_value(n, d : natural) return natural is
+  function ceil_value (n, d : natural) return natural is
   begin
     return ceil_div(n, d) * d;
   end;
 
-  function floor_value(n, d : natural) return natural is
+  function floor_value (n, d : natural) return natural is
   begin
     return (n / d) * d;
   end;
 
-  function ceil_div(n : unsigned; d: natural) return unsigned is
+  function ceil_div (n : unsigned; d: natural) return unsigned is
   begin
     return n / d + sel_a_b(n mod d = 0, 0, 1);  -- "/" returns same width as n
   end;
 
-  function ceil_value(n : unsigned; d: natural) return unsigned is
+  function ceil_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -729,7 +732,7 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function floor_value(n : unsigned; d: natural) return unsigned is
+  function floor_value (n : unsigned; d: natural) return unsigned is
     constant w : natural := n'length;
     variable p : unsigned(2 * w - 1 downto 0);
   begin
@@ -737,7 +740,7 @@ package body common_pkg is
     return p(w - 1 downto 0);  -- return same width as n
   end;
 
-  function gcd(a, b : natural) return natural is  -- greatest common divider
+  function gcd (a, b : natural) return natural is  -- greatest common divider
   begin
     if b = 0 then
       return a;
@@ -746,14 +749,14 @@ package body common_pkg is
     end if;
   end;
 
-  function slv(n: in std_logic) return std_logic_vector is
+  function slv (n: in std_logic) return std_logic_vector is
     variable r : std_logic_vector(0 downto 0);
   begin
     r(0) := n;
     return r;
   end;
 
-  function sl(n: in std_logic_vector) return std_logic is
+  function sl (n: in std_logic_vector) return std_logic is
     variable r : std_logic;
   begin
     r := n(n'low);
@@ -761,7 +764,7 @@ package body common_pkg is
   end;
 
 
-  function to_sl(n: in boolean) return std_logic is
+  function to_sl (n: in boolean) return std_logic is
   begin
     if n = true then
       return '1';
@@ -770,22 +773,22 @@ package body common_pkg is
     end if;
   end;
 
-  function to_bool(n: in std_logic) return boolean is
+  function to_bool (n: in std_logic) return boolean is
   begin
     return n = '1' or n = 'H';
   end;
 
-  function to_bool(n: in integer) return boolean is
+  function to_bool (n: in integer) return boolean is
   begin
     return not (n = 0);
   end;
 
-  function not_int(n : integer) return integer is
+  function not_int (n : integer) return integer is
   begin
     return sel_a_b(n = 0, 1, 0);
   end;
 
-  function pack_complex(re, im : integer; w : natural) return integer is
+  function pack_complex (re, im : integer; w : natural) return integer is
     constant c_complex_w   : natural := 2 * w;
     variable v_complex_slv : std_logic_vector(c_complex_w - 1 downto 0) := TO_SVEC(im, w) & TO_SVEC(re, w);
   begin
@@ -797,33 +800,33 @@ package body common_pkg is
     end if;
   end;
 
-  function unpack_complex_re(data : std_logic_vector; w : natural) return integer is
+  function unpack_complex_re (data : std_logic_vector; w : natural) return integer is
   begin
     assert w <= c_word_w report "common_pkg: Complex value to large to unpack into 32 bit integer parts" severity FAILURE;
     return TO_SINT(data(w - 1 downto 0));  -- Re in LS part
   end;
 
-  function unpack_complex_re(data : integer; w : natural) return integer is
+  function unpack_complex_re (data : integer; w : natural) return integer is
     constant c_complex_w   : natural := 2 * w;
     variable v_complex_slv : std_logic_vector(c_complex_w - 1 downto 0) := TO_SVEC(data, c_complex_w);
   begin
     return TO_SINT(v_complex_slv(w - 1 downto 0));  -- Re in LS part
   end;
 
-  function unpack_complex_im(data : std_logic_vector; w : natural) return integer is
+  function unpack_complex_im (data : std_logic_vector; w : natural) return integer is
   begin
     assert w <= c_word_w report "common_pkg: Complex value to large to unpack into 32 bit integer parts" severity FAILURE;
     return TO_SINT(data(2 * w - 1 downto w));  -- Im in MS part
   end;
 
-  function unpack_complex_im(data : integer; w : natural) return integer is
+  function unpack_complex_im (data : integer; w : natural) return integer is
     constant c_complex_w   : natural := 2 * w;
     variable v_complex_slv : std_logic_vector(c_complex_w - 1 downto 0) := TO_SVEC(data, c_complex_w);
   begin
     return TO_SINT(v_complex_slv(c_complex_w - 1 downto w));  -- Im in MS part
   end;
 
-  function atan2(Y, X: real) return real is
+  function atan2 (Y, X: real) return real is
   begin
     if Y = 0.0 and X = 0.0 then
       return 0.0;
@@ -832,7 +835,7 @@ package body common_pkg is
     end if;
   end;
 
-  function to_natural_arr(n : t_integer_arr; to_zero : boolean) return t_natural_arr is
+  function to_natural_arr (n : t_integer_arr; to_zero : boolean) return t_natural_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -850,7 +853,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_natural_arr(n : t_nat_natural_arr) return t_natural_arr is
+  function to_natural_arr (n : t_nat_natural_arr) return t_natural_arr is
     variable vN : t_nat_natural_arr(n'length - 1 downto 0);
     variable vR : t_natural_arr(n'length - 1 downto 0);
   begin
@@ -861,7 +864,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_integer_arr(n'length - 1 downto 0);
   begin
@@ -872,14 +875,14 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_integer_arr(n : t_nat_natural_arr) return t_integer_arr is
+  function to_integer_arr (n : t_nat_natural_arr) return t_integer_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return to_integer_arr(vN);
   end;
 
-  function to_slv_32_arr(n : t_integer_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_integer_arr) return t_slv_32_arr is
     variable vN : t_integer_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -890,7 +893,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function to_slv_32_arr(n : t_natural_arr) return t_slv_32_arr is
+  function to_slv_32_arr (n : t_natural_arr) return t_slv_32_arr is
     variable vN : t_natural_arr(n'length - 1 downto 0);
     variable vR : t_slv_32_arr(n'length - 1 downto 0);
   begin
@@ -901,7 +904,7 @@ package body common_pkg is
     return vR;
   end;
 
-  function vector_tree(slv : std_logic_vector; operation : string) return std_logic is
+  function vector_tree (slv : std_logic_vector; operation : string) return std_logic is
     -- Linear loop to determine result takes combinatorial delay that is proportional to slv'LENGTH:
     --   FOR I IN slv'RANGE LOOP
     --     v_result := v_result OPERATION slv(I);
@@ -934,22 +937,22 @@ package body common_pkg is
     return v_stage_arr(c_nof_stages - 1)(0);
   end;
 
-  function vector_and(slv : std_logic_vector) return std_logic is
+  function vector_and (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function vector_or(slv : std_logic_vector) return std_logic is
+  function vector_or (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function vector_xor(slv : std_logic_vector) return std_logic is
+  function vector_xor (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function vector_one_hot(slv : std_logic_vector) return std_logic_vector is
+  function vector_one_hot (slv : std_logic_vector) return std_logic_vector is
     variable v_one_hot : boolean := false;
     variable v_zeros   : std_logic_vector(slv'range) := (others => '0');
   begin
@@ -968,36 +971,36 @@ package body common_pkg is
     return slv;
   end;
 
-  function andv(slv : std_logic_vector) return std_logic is
+  function andv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "AND");
   end;
 
-  function orv(slv : std_logic_vector) return std_logic is
+  function orv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "OR");
   end;
 
-  function xorv(slv : std_logic_vector) return std_logic is
+  function xorv (slv : std_logic_vector) return std_logic is
   begin
     return vector_tree(slv, "XOR");
   end;
 
-  function array_and(arr : t_nat_boolean_arr) return boolean is
+  function array_and (arr : t_nat_boolean_arr) return boolean is
     variable v_slv : std_logic_vector(arr'range);
   begin
     for I in arr'range loop v_slv(I) := sel_a_b(arr(I), '1', '0'); end loop;  -- wire map boolean arr to slv
     return sel_a_b(vector_and(v_slv) = '1', true, false);  -- use vector_tree to determine result
   end;
 
-  function array_or(arr : t_nat_boolean_arr) return boolean is
+  function array_or (arr : t_nat_boolean_arr) return boolean is
     variable v_slv : std_logic_vector(arr'range);
   begin
     for I in arr'range loop v_slv(I) := sel_a_b(arr(I), '1', '0'); end loop;  -- wire map boolean arr to slv
     return sel_a_b(vector_or(v_slv) = '1', true, false);  -- use vector_tree to determine result
   end;
 
-  function matrix_and(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_and (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '1';
   begin
@@ -1009,7 +1012,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function matrix_or(mat : t_sl_matrix; wi, wj : natural) return std_logic is
+  function matrix_or (mat : t_sl_matrix; wi, wj : natural) return std_logic is
     variable v_mat    : t_sl_matrix(0 to wi - 1, 0 to wj - 1) := mat;  -- map to fixed range
     variable v_result : std_logic := '0';
   begin
@@ -1021,7 +1024,7 @@ package body common_pkg is
     return v_result;
   end;
 
-  function smallest(n, m : integer) return integer is
+  function smallest (n, m : integer) return integer is
   begin
     if n < m then
       return n;
@@ -1030,7 +1033,7 @@ package body common_pkg is
     end if;
   end;
 
-  function smallest(n, m : real) return real is
+  function smallest (n, m : real) return real is
   begin
     if n < m then
       return n;
@@ -1039,16 +1042,16 @@ package body common_pkg is
     end if;
   end;
 
-  function smallest(n, m, l : integer) return integer is
+  function smallest (n, m, l : integer) return integer is
     variable v : natural;
   begin
-                  v := n;
+    v := n;
     if v > m then v := m; end if;
     if v > l then v := l; end if;
     return v;
   end;
 
-  function smallest(n : t_natural_arr) return natural is
+  function smallest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -1059,7 +1062,7 @@ package body common_pkg is
     return m;
   end;
 
-  function smallest(n : t_nat_natural_arr) return natural is
+  function smallest (n : t_nat_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -1070,7 +1073,7 @@ package body common_pkg is
     return m;
   end;
 
-  function largest(n, m : integer) return integer is
+  function largest (n, m : integer) return integer is
   begin
     if n > m then
       return n;
@@ -1079,7 +1082,7 @@ package body common_pkg is
     end if;
   end;
 
-  function largest(n, m : real) return real is
+  function largest (n, m : real) return real is
   begin
     if n > m then
       return n;
@@ -1088,7 +1091,7 @@ package body common_pkg is
     end if;
   end;
 
-  function largest(n : t_natural_arr) return natural is
+  function largest (n : t_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -1099,7 +1102,7 @@ package body common_pkg is
     return m;
   end;
 
-  function largest(n : t_nat_natural_arr) return natural is
+  function largest (n : t_nat_natural_arr) return natural is
     variable m : natural := 0;
   begin
     for I in n'range loop
@@ -1110,7 +1113,7 @@ package body common_pkg is
     return m;
   end;
 
-  function func_sum(n : t_natural_arr) return natural is
+  function func_sum (n : t_natural_arr) return natural is
     variable vS : natural;
   begin
     vS := 0;
@@ -1120,14 +1123,14 @@ package body common_pkg is
     return vS;
   end;
 
-  function func_sum(n : t_nat_natural_arr) return natural is
+  function func_sum (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
     return func_sum(vN);
   end;
 
-  function func_product(n : t_natural_arr) return natural is
+  function func_product (n : t_natural_arr) return natural is
     variable vP : natural;
   begin
     vP := 1;
@@ -1137,7 +1140,7 @@ package body common_pkg is
     return vP;
   end;
 
-  function func_product(n : t_nat_natural_arr) return natural is
+  function func_product (n : t_nat_natural_arr) return natural is
     variable vN : t_natural_arr(n'length - 1 downto 0);
   begin
     vN := to_natural_arr(n);
@@ -1296,14 +1299,14 @@ package body common_pkg is
     return vP;
   end;
 
-  function is_true(a : std_logic) return boolean   is begin if a = '1'  then return true; else return false; end if; end;
-  function is_true(a : std_logic) return natural   is begin if a = '1'  then return 1;    else return 0;     end if; end;
-  function is_true(a : boolean)   return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
-  function is_true(a : boolean)   return natural   is begin if a = true then return 1;    else return 0;     end if; end;
-  function is_true(a : integer)   return boolean   is begin if a /= 0   then return true; else return false; end if; end;
-  function is_true(a : integer)   return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
+  function is_true (a : std_logic) return boolean is begin if a = '1'  then return true; else return false; end if; end;
+  function is_true (a : std_logic) return natural is begin if a = '1'  then return 1;    else return 0;     end if; end;
+  function is_true (a : boolean) return std_logic is begin if a = true then return '1';  else return '0';   end if; end;
+  function is_true (a : boolean) return natural is begin if a = true then return 1;    else return 0;     end if; end;
+  function is_true (a : integer) return boolean is begin if a /= 0   then return true; else return false; end if; end;
+  function is_true (a : integer) return std_logic is begin if a /= 0   then return '1';  else return '0';   end if; end;
 
-  function sel_a_b(sel, a, b : integer) return integer is
+  function sel_a_b (sel, a, b : integer) return integer is
   begin
     if sel /= 0 then
       return a;
@@ -1312,7 +1315,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel, a, b : boolean) return boolean is
+  function sel_a_b (sel, a, b : boolean) return boolean is
   begin
     if sel = true then
       return a;
@@ -1321,7 +1324,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : integer) return integer is
+  function sel_a_b (sel : boolean; a, b : integer) return integer is
   begin
     if sel = true then
       return a;
@@ -1330,7 +1333,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : real) return real is
+  function sel_a_b (sel : boolean; a, b : real) return real is
   begin
     if sel = true then
       return a;
@@ -1339,7 +1342,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : boolean; a, b : std_logic) return std_logic is
   begin
     if sel = true then
       return a;
@@ -1348,7 +1351,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic) return std_logic is
+  function sel_a_b (sel : integer; a, b : std_logic) return std_logic is
   begin
     if sel /= 0 then
       return a;
@@ -1357,7 +1360,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : integer; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel /= 0 then
       return a;
@@ -1366,7 +1369,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function sel_a_b (sel : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     if sel = true then
       return a;
@@ -1375,7 +1378,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : signed) return signed is
+  function sel_a_b (sel : boolean; a, b : signed) return signed is
   begin
     if sel = true then
       return a;
@@ -1384,7 +1387,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : unsigned) return unsigned is
+  function sel_a_b (sel : boolean; a, b : unsigned) return unsigned is
   begin
     if sel = true then
       return a;
@@ -1393,7 +1396,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_integer_arr) return t_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_integer_arr) return t_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1402,7 +1405,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_natural_arr) return t_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_natural_arr) return t_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1411,7 +1414,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_integer_arr) return t_nat_integer_arr is
   begin
     if sel = true then
       return a;
@@ -1420,7 +1423,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
+  function sel_a_b (sel : boolean; a, b : t_nat_natural_arr) return t_nat_natural_arr is
   begin
     if sel = true then
       return a;
@@ -1429,7 +1432,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : string) return string is
+  function sel_a_b (sel : boolean; a, b : string) return string is
   begin
     if sel = true then
       return a;
@@ -1438,7 +1441,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : integer; a, b : string) return string is
+  function sel_a_b (sel : integer; a, b : string) return string is
   begin
     if sel /= 0 then
       return a;
@@ -1447,7 +1450,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : time) return time is
+  function sel_a_b (sel : boolean; a, b : time) return time is
   begin
     if sel = true then
       return a;
@@ -1456,7 +1459,7 @@ package body common_pkg is
     end if;
   end;
 
-  function sel_a_b(sel : boolean; a, b : severity_level) return severity_level is
+  function sel_a_b (sel : boolean; a, b : severity_level) return severity_level is
   begin
     if sel = true then
       return a;
@@ -1466,115 +1469,115 @@ package body common_pkg is
   end;
 
   -- sel_n : boolean
-  function sel_n(sel : natural; a, b, c : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : boolean) return boolean is
     constant c_arr : t_nat_boolean_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : integer
-  function sel_n(sel : natural; a, b, c : integer) return integer is
+  function sel_n (sel : natural; a, b, c : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i);
   begin
     return c_arr(sel);
   end;
 
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : integer) return integer is
     constant c_arr : t_nat_integer_arr := (a, b, c, d, e, f, g, h, i, j);
   begin
     return c_arr(sel);
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
-  function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
-  function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
-  function sel_n(sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
-
-  function array_init(init : std_logic; nof : natural) return std_logic_vector is
+  function sel_n (sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n (sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
+  function sel_n (sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f             : string) return string is begin if sel < 5 then return sel_n(sel, a, b, c, d, e            ); else return f; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g          : string) return string is begin if sel < 6 then return sel_n(sel, a, b, c, d, e, f         ); else return g; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h       : string) return string is begin if sel < 7 then return sel_n(sel, a, b, c, d, e, f, g      ); else return h; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i    : string) return string is begin if sel < 8 then return sel_n(sel, a, b, c, d, e, f, g, h   ); else return i; end if; end;
+  function sel_n (sel : natural; a, b, c, d, e, f, g, h, i, j : string) return string is begin if sel < 9 then return sel_n(sel, a, b, c, d, e, f, g, h, i); else return j; end if; end;
+
+  function array_init (init : std_logic; nof : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1583,7 +1586,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init : boolean; nof : natural) return t_nat_boolean_arr is
+  function array_init (init : boolean; nof : natural) return t_nat_boolean_arr is
     variable v_arr : t_nat_boolean_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1592,7 +1595,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_natural_arr is
+  function array_init (init, nof : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1601,7 +1604,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof : natural) return t_nat_natural_arr is
+  function array_init (init, nof : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
   begin
     for I in v_arr'range loop
@@ -1610,7 +1613,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_natural_arr is
+  function array_init (init, nof, incr : natural) return t_natural_arr is
     variable v_arr : t_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1622,7 +1625,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : natural) return t_nat_natural_arr is
+  function array_init (init, nof, incr : natural) return t_nat_natural_arr is
     variable v_arr : t_nat_natural_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1634,7 +1637,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_16_arr is
+  function array_init (init, nof, incr : integer) return t_slv_16_arr is
     variable v_arr : t_slv_16_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1646,7 +1649,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, incr : integer) return t_slv_32_arr is
+  function array_init (init, nof, incr : integer) return t_slv_32_arr is
     variable v_arr : t_slv_32_arr(0 to nof - 1);
     variable v_i   : natural;
   begin
@@ -1658,7 +1661,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width : natural) return std_logic_vector is
+  function array_init (init, nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1667,7 +1670,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_init(init, nof, width, incr : natural) return std_logic_vector is
+  function array_init (init, nof, width, incr : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
     variable v_i   : natural;
   begin
@@ -1679,7 +1682,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function array_sinit(init :integer; nof, width : natural) return std_logic_vector is
+  function array_sinit (init :integer; nof, width : natural) return std_logic_vector is
     variable v_arr : std_logic_vector(nof * width - 1 downto 0);
   begin
     for I in 0 to nof - 1 loop
@@ -1688,7 +1691,7 @@ package body common_pkg is
     return v_arr;
   end;
 
-  function init_slv_64_matrix(nof_a, nof_b, k : integer) return t_slv_64_matrix is
+  function init_slv_64_matrix (nof_a, nof_b, k : integer) return t_slv_64_matrix is
     variable v_mat : t_slv_64_matrix(nof_a - 1 downto 0, nof_b - 1 downto 0);
   begin
     for I in 0 to nof_a - 1 loop
@@ -1700,7 +1703,7 @@ package body common_pkg is
   end;
 
   -- Support concatenation of up to 8 slv into 1 slv
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector is
     constant c_max_w : natural := a'length + b'length + c'length + d'length + e'length + f'length + g'length + h'length;
     variable v_res   : std_logic_vector(c_max_w - 1 downto 0) := (others => '0');
     variable v_len   : natural := 0;
@@ -1716,72 +1719,72 @@ package body common_pkg is
     return v_res(v_len - 1 downto 0);
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, use_g, false, a, b, c, d, e, f, g, "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, use_f, false, false, a, b, c, d, e, f, "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d, use_e : boolean; a, b, c, d, e : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, use_e, false, false, false, a, b, c, d, e, "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c, use_d : boolean; a, b, c, d : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, use_d, false, false, false, false, a, b, c, d, "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b, use_c : boolean; a, b, c : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, use_c, false, false, false, false, false, a, b, c, "0", "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (use_a, use_b : boolean; a, b : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(use_a, use_b, false, false, false, false, false, false, a, b, "0", "0", "0", "0", "0", "0");
   end func_slv_concat;
 
-  function func_slv_concat(a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b, c, d, e, f, g, h : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, true, true, true, true, true, true, a, b, c, d, e, f, g, h);
   end func_slv_concat;
 
-  function func_slv_concat(a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b, c, d, e, f, g : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, true, true, true, true, true, a, b, c, d, e, f, g);
   end func_slv_concat;
 
-  function func_slv_concat(a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b, c, d, e, f : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, true, true, true, true, a, b, c, d, e, f);
   end func_slv_concat;
 
-  function func_slv_concat(a, b, c, d, e: std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b, c, d, e: std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, true, true, true, a, b, c, d, e);
   end func_slv_concat;
 
-  function func_slv_concat(a, b, c, d : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b, c, d : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, true, true, a, b, c, d);
   end func_slv_concat;
 
-  function func_slv_concat(a, b, c : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b, c : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, true, a, b, c);
   end func_slv_concat;
 
-  function func_slv_concat(a, b : std_logic_vector) return std_logic_vector is
+  function func_slv_concat (a, b : std_logic_vector) return std_logic_vector is
   begin
     return func_slv_concat(true, true, a, b);
   end func_slv_concat;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural) return natural is
     variable v_len : natural := 0;
   begin
     if use_a = true then v_len := v_len + a_w; end if;
@@ -1795,38 +1798,38 @@ package body common_pkg is
     return v_len;
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, use_g, false, a_w, b_w, c_w, d_w, e_w, f_w, g_w, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, use_f, false, false, a_w, b_w, c_w, d_w, e_w, f_w, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, use_e, false, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, use_d, false, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, use_c, false, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0, 0);
   end func_slv_concat_w;
 
-  function func_slv_concat_w(use_a, use_b : boolean; a_w, b_w : natural) return natural is
+  function func_slv_concat_w (use_a, use_b : boolean; a_w, b_w : natural) return natural is
   begin
     return func_slv_concat_w(use_a, use_b, false, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0, 0);
   end func_slv_concat_w;
 
   -- extract slv
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f, use_g, use_h : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
     variable v_w  : natural := 0;
     variable v_lo : natural := 0;
   begin
@@ -1881,97 +1884,97 @@ package body common_pkg is
     return vec(v_w - 1 + v_lo downto v_lo);  -- extracted slv
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f, use_g : boolean; a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, use_g, false, a_w, b_w, c_w, d_w, e_w, f_w, g_w, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e, use_f : boolean; a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, use_f, false, false, a_w, b_w, c_w, d_w, e_w, f_w, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d, use_e : boolean; a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, use_e, false, false, false, a_w, b_w, c_w, d_w, e_w, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c, use_d : boolean; a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, use_d, false, false, false, false, a_w, b_w, c_w, d_w, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b, use_c : boolean; a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, use_c, false, false, false, false, false, a_w, b_w, c_w, 0, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (use_a, use_b : boolean; a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(use_a, use_b, false, false, false, false, false, false, a_w, b_w, 0, 0, 0, 0, 0, 0, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, true, true, true, true, true, true, a_w, b_w, c_w, d_w, e_w, f_w, g_w, h_w, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w, c_w, d_w, e_w, f_w, g_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, true, true, true, true, true, a_w, b_w, c_w, d_w, e_w, f_w, g_w, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w, c_w, d_w, e_w, f_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, true, true, true, true, a_w, b_w, c_w, d_w, e_w, f_w, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w, c_w, d_w, e_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, true, true, true, a_w, b_w, c_w, d_w, e_w, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w, c_w, d_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, true, true, a_w, b_w, c_w, d_w, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w, c_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, true, a_w, b_w, c_w, vec, sel);
   end func_slv_extract;
 
-  function func_slv_extract(a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
+  function func_slv_extract (a_w, b_w : natural; vec : std_logic_vector; sel : natural) return std_logic_vector is
   begin
     return func_slv_extract(true, true, a_w, b_w, vec, sel);
   end func_slv_extract;
 
-  function TO_UINT(vec : std_logic_vector) return natural is
+  function TO_UINT (vec : std_logic_vector) return natural is
   begin
     return to_integer(unsigned(vec));
   end;
 
-  function TO_SINT(vec : std_logic_vector) return integer is
+  function TO_SINT (vec : std_logic_vector) return integer is
   begin
     return to_integer(signed(vec));
   end;
 
-  function TO_UVEC(dec, w : natural) return std_logic_vector is
+  function TO_UVEC (dec, w : natural) return std_logic_vector is
   begin
     return std_logic_vector(to_unsigned(dec, w));
   end;
 
-  function TO_SVEC(dec, w : integer) return std_logic_vector is
+  function TO_SVEC (dec, w : integer) return std_logic_vector is
   begin
     return std_logic_vector(to_signed(dec, w));
   end;
 
-  function TO_SVEC_32(dec : integer) return std_logic_vector is
+  function TO_SVEC_32 (dec : integer) return std_logic_vector is
   begin
     return TO_SVEC(dec, 32);
   end;
 
-  function TO_UINT(udec : real; w, resolution_w : integer) return natural is
+  function TO_UINT (udec : real; w, resolution_w : integer) return natural is
     constant c_resolution : real := 1.0 / 2.0**REAL(resolution_w);
     constant c_ureal      : real := ROUND(udec / c_resolution);  -- rounds away from zero
   begin
@@ -1983,7 +1986,7 @@ package body common_pkg is
     end if;
   end;
 
-  function TO_SINT(sdec : real; w, resolution_w : integer) return integer is
+  function TO_SINT (sdec : real; w, resolution_w : integer) return integer is
     constant c_max        : real :=  2.0**REAL(w - 1) - 1.0;
     constant c_min        : real := -2.0**REAL(w - 1);
     constant c_resolution : real := 1.0 / 2.0**REAL(resolution_w);
@@ -2003,7 +2006,7 @@ package body common_pkg is
     end if;
   end;
 
-  function TO_UVEC(udec : real; w, resolution_w : integer) return std_logic_vector is
+  function TO_UVEC (udec : real; w, resolution_w : integer) return std_logic_vector is
     -- Determine range that fits w bits
     constant c_uvec_max   : std_logic_vector(w - 1 downto 0) := (others => '1');
     constant c_max        : real :=  2.0**REAL(w) - 1.0;
@@ -2048,7 +2051,7 @@ package body common_pkg is
     end if;
   end;
 
-  function TO_SVEC(sdec : real; w, resolution_w : integer) return std_logic_vector is
+  function TO_SVEC (sdec : real; w, resolution_w : integer) return std_logic_vector is
     -- Determine range that fits w bits
     constant c_svec_max   : std_logic_vector(w - 1 downto 0) := '0' & (w - 2 downto 0 => '1');
     constant c_svec_min   : std_logic_vector(w - 1 downto 0) := '1' & (w - 2 downto 0 => '0');
@@ -2056,8 +2059,8 @@ package body common_pkg is
     constant c_min        : real := -2.0**REAL(w - 1);
     constant c_resolution : real := 1.0 / 2.0**REAL(resolution_w);
     constant c_sreal      : real := ROUND(sdec / c_resolution);  -- rounds away from zero
-     -- Convert to positive using TO_UVEC, so if sdec is negative, then
-     -- negate sdec to have positive c_udec.
+    -- Convert to positive using TO_UVEC, so if sdec is negative, then
+    -- negate sdec to have positive c_udec.
     constant c_pos   : boolean := sdec >= 0.0;
     constant c_udec  : real := sel_a_b(c_pos, sdec, -sdec);
     -- Determine SLV value for positive REAL, use w+1 to fit negate of most negative value
@@ -2078,7 +2081,7 @@ package body common_pkg is
     end if;
   end;
 
-  function TO_UREAL(uvec : std_logic_vector) return real is
+  function TO_UREAL (uvec : std_logic_vector) return real is
     constant c_len  : natural := uvec'length;
     constant c_uvec : std_logic_vector(c_len - 1 downto 0) := uvec;
     variable v_real : real := 0.0;
@@ -2092,7 +2095,7 @@ package body common_pkg is
     return v_real;
   end;
 
-  function TO_SREAL(svec : std_logic_vector) return real is
+  function TO_SREAL (svec : std_logic_vector) return real is
     -- Increase vector length by +1 so the c_uvec can also fit abs() of most negative is -1 * -2**(c_len-1)
     constant c_len  : natural := svec'length + 1;
     constant c_svec : std_logic_vector(c_len - 1 downto 0) := RESIZE_SVEC(svec, c_len);
@@ -2109,14 +2112,14 @@ package body common_pkg is
   -- Fixed point format
   -- . https://support.astron.nl/confluence/display/L2M/L3+SDP+Decision%3A+Definition+of+fixed+point+numbers
 
-  function TO_UREAL(uvec : std_logic_vector; resolution_w : integer) return real is
+  function TO_UREAL (uvec : std_logic_vector; resolution_w : integer) return real is
   begin
     -- First convert as unsigned integer, then scale to real. See TO_SREAL()
     -- for interpretation of resolution_w
     return TO_UREAL(uvec) / 2.0**REAL(resolution_w);
   end;
 
-  function TO_SREAL(svec : std_logic_vector; resolution_w : integer) return real is
+  function TO_SREAL (svec : std_logic_vector; resolution_w : integer) return real is
   begin
     -- First convert as unsigned integer, then scale to real
     -- . The resolution_w is the number of bits that LSbit 0 in svec(HIGH-1 DOWNTO 0) is after
@@ -2129,13 +2132,13 @@ package body common_pkg is
   end;
 
 
-  function RESIZE_NUM(u : unsigned; w : natural) return unsigned is
+  function RESIZE_NUM (u : unsigned; w : natural) return unsigned is
   begin
     -- left extend with '0' or remove MSbits and keep LS part (= u[w-1:0])
     return resize(u, w);  -- same as RESIZE for UNSIGNED
   end;
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -2150,58 +2153,58 @@ package body common_pkg is
     end if;
   end;
 
-  function RESIZE_UVEC(sl : std_logic; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (sl : std_logic; w : natural) return std_logic_vector is
     variable v_slv0 : std_logic_vector(w - 1 downto 1) := (others => '0');
   begin
     return v_slv0 & sl;
   end;
 
-  function RESIZE_UVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_UVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(vec), w));
   end;
 
-  function RESIZE_SVEC(vec : std_logic_vector; w : natural) return std_logic_vector is
+  function RESIZE_SVEC (vec : std_logic_vector; w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(vec), w));
   end;
 
-  function RESIZE_UINT(u : integer; w : natural) return integer is
+  function RESIZE_UINT (u : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_UVEC(u, c_word_w);
     return TO_UINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_SINT(s : integer; w : natural) return integer is
+  function RESIZE_SINT (s : integer; w : natural) return integer is
     variable v : std_logic_vector(c_word_w - 1 downto 0);
   begin
     v := TO_SVEC(s, c_word_w);
     return TO_SINT(v(w - 1 downto 0));
   end;
 
-  function RESIZE_UVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_UVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, 32);
   end;
 
-  function RESIZE_SVEC_32(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_SVEC_32 (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, 32);
   end;
 
   -- Negate vec, assume value range fits -+c_max, so no logic needed to check for c_min
-  function NEGATE_SVEC(vec : std_logic_vector) return std_logic_vector is
+  function NEGATE_SVEC (vec : std_logic_vector) return std_logic_vector is
   begin
     -- use NUMERIC_STD to avoid range limitation of 32b INTEGER
     -- default approach
     return std_logic_vector(-signed(vec));  -- negate by multiplying by -1
-    -- alternative equivalent approach
-    -- RETURN INCR_UVEC(NOT vec, 1);  -- negate by using two complement negate
+  -- alternative equivalent approach
+  -- RETURN INCR_UVEC(NOT vec, 1);  -- negate by using two complement negate
   end;
 
   -- Negate vec, but avoid overflow by forcing -min to +max. Use w <= vec'LENGTH.
-  function NEGATE_SVEC(vec : std_logic_vector; w : integer) return std_logic_vector is
+  function NEGATE_SVEC (vec : std_logic_vector; w : integer) return std_logic_vector is
     constant c_max   : integer :=  2**(w - 1) - 1;
     constant c_min   : integer := -2**(w - 1);
     constant c_vec_w : natural := vec'length;
@@ -2217,7 +2220,7 @@ package body common_pkg is
     end if;
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
     variable v_dec : integer;
   begin
     if dec < 0 then
@@ -2229,63 +2232,63 @@ package body common_pkg is
     end if;
   end;
 
-  function INCR_UVEC(vec : std_logic_vector; dec : unsigned) return std_logic_vector is
+  function INCR_UVEC (vec : std_logic_vector; dec : unsigned) return std_logic_vector is
   begin
     return std_logic_vector(unsigned(vec) + dec);
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : integer) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : integer) return std_logic_vector is
   begin
     return std_logic_vector(signed(vec) + dec);  -- uses function "+" (L : SIGNED, R : INTEGER)
   end;
 
-  function INCR_SVEC(vec : std_logic_vector; dec : signed) return std_logic_vector is
+  function INCR_SVEC (vec : std_logic_vector; dec : signed) return std_logic_vector is
   begin
     return std_logic_vector(signed(vec) + dec);
   end;
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) + signed(r_vec));
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(signed(l_vec), res_w) - signed(r_vec));
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) + unsigned(r_vec));
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector; res_w : natural) return std_logic_vector is
   begin
     return std_logic_vector(RESIZE_NUM(unsigned(l_vec), res_w) - unsigned(r_vec));
   end;
 
 
-  function ADD_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_SVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function ADD_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function ADD_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return ADD_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function SUB_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function SUB_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
   begin
     return SUB_UVEC(l_vec, r_vec, l_vec'length);
   end;
 
-  function MULT_SVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function MULT_SVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
     constant c_product_w : natural := l_vec'length + r_vec'length;
     variable v_product : std_logic_vector(c_product_w - 1 downto 0);
   begin
@@ -2293,7 +2296,7 @@ package body common_pkg is
     return v_product;
   end;
 
-  function MULT_UVEC(l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
+  function MULT_UVEC (l_vec : std_logic_vector; r_vec : std_logic_vector) return std_logic_vector is
     constant c_product_w : natural := l_vec'length + r_vec'length;
     variable v_product : std_logic_vector(c_product_w - 1 downto 0);
   begin
@@ -2301,18 +2304,18 @@ package body common_pkg is
     return v_product;
   end;
 
-  function COMPLEX_MULT_REAL(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_REAL (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_re * b_re - a_im * b_im);
   end;
 
-  function COMPLEX_MULT_IMAG(a_re, a_im, b_re, b_im : integer) return integer is
+  function COMPLEX_MULT_IMAG (a_re, a_im, b_re, b_im : integer) return integer is
   begin
     return (a_im * b_re + a_re * b_im);
   end;
 
 
-  function COMPLEX_RADIUS(re, im : real) return real is
+  function COMPLEX_RADIUS (re, im : real) return real is
   begin
     -- Must use ABS() with ** of real, because (negative)**2.0 yields error and value 0.0.
     -- Must must use brackets (ABS()) to avoid compile error.
@@ -2320,12 +2323,12 @@ package body common_pkg is
     return SQRT((abs(re))**2.0 + (abs(im))**2.0);
   end;
 
-  function COMPLEX_RADIUS(re, im : integer) return real is
+  function COMPLEX_RADIUS (re, im : integer) return real is
   begin
     return COMPLEX_RADIUS(real(re), real(im));
   end;
 
-  function COMPLEX_PHASE(re, im : real; radians : boolean) return real is
+  function COMPLEX_PHASE (re, im : real; radians : boolean) return real is
   begin
     if radians = true then
       return ATAN2(Y => im, X => re);
@@ -2334,22 +2337,22 @@ package body common_pkg is
     end if;
   end;
 
-  function COMPLEX_PHASE(re, im : integer; radians : boolean) return real is
+  function COMPLEX_PHASE (re, im : integer; radians : boolean) return real is
   begin
     return COMPLEX_PHASE(real(re), real(im), radians);
   end;
 
-  function COMPLEX_PHASE(re, im : real) return real is
+  function COMPLEX_PHASE (re, im : real) return real is
   begin
     return COMPLEX_PHASE(re, im, false);
   end;
 
-  function COMPLEX_PHASE(re, im : integer) return real is
+  function COMPLEX_PHASE (re, im : integer) return real is
   begin
     return COMPLEX_PHASE(real(re), real(im), false);
   end;
 
-  function COMPLEX_RE(ampl, phase : real; radians : boolean) return real is
+  function COMPLEX_RE (ampl, phase : real; radians : boolean) return real is
   begin
     if radians = true then
       return ampl * COS(phase);
@@ -2358,22 +2361,22 @@ package body common_pkg is
     end if;
   end;
 
-  function COMPLEX_RE(ampl, phase : integer; radians : boolean) return real is
+  function COMPLEX_RE (ampl, phase : integer; radians : boolean) return real is
   begin
     return COMPLEX_RE(real(ampl), real(phase), radians);
   end;
 
-  function COMPLEX_RE(ampl, phase : real) return real is
+  function COMPLEX_RE (ampl, phase : real) return real is
   begin
     return COMPLEX_RE(ampl, phase, false);
   end;
 
-  function COMPLEX_RE(ampl, phase : integer) return real is
+  function COMPLEX_RE (ampl, phase : integer) return real is
   begin
     return COMPLEX_RE(real(ampl), real(phase), false);
   end;
 
-  function COMPLEX_IM(ampl, phase : real; radians : boolean) return real is
+  function COMPLEX_IM (ampl, phase : real; radians : boolean) return real is
   begin
     if radians = true then
       return ampl * SIN(phase);
@@ -2382,23 +2385,23 @@ package body common_pkg is
     end if;
   end;
 
-  function COMPLEX_IM(ampl, phase : integer; radians : boolean) return real is
+  function COMPLEX_IM (ampl, phase : integer; radians : boolean) return real is
   begin
     return COMPLEX_IM(real(ampl), real(phase), radians);
   end;
 
-  function COMPLEX_IM(ampl, phase : real) return real is
+  function COMPLEX_IM (ampl, phase : real) return real is
   begin
     return COMPLEX_IM(ampl, phase, false);
   end;
 
-  function COMPLEX_IM(ampl, phase : integer) return real is
+  function COMPLEX_IM (ampl, phase : integer) return real is
   begin
     return COMPLEX_IM(real(ampl), real(phase), false);
   end;
 
 
-  function SHIFT_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(unsigned(vec), -shift));  -- fill zeros from right
@@ -2407,7 +2410,7 @@ package body common_pkg is
     end if;
   end;
 
-  function SHIFT_SVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function SHIFT_SVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(SHIFT_LEFT(signed(vec), -shift));  -- same as SHIFT_LEFT for UNSIGNED
@@ -2416,14 +2419,14 @@ package body common_pkg is
     end if;
   end;
 
-  function ROTATE_UVEC(vec : std_logic_vector; shift : integer) return std_logic_vector is
+  function ROTATE_UVEC (vec : std_logic_vector; shift : integer) return std_logic_vector is
   begin
     if shift < 0 then
       return std_logic_vector(ROTATE_LEFT(unsigned(vec), -shift));  -- /<-- vec <--\
-                                                                    -- \---------->/
+    -- \---------->/
     else
       return std_logic_vector(ROTATE_RIGHT(unsigned(vec), shift));  -- /--> vec -->\
-                                                                    -- \<----------/
+    -- \<----------/
     end if;
   end;
 
@@ -2444,24 +2447,24 @@ package body common_pkg is
   -- The offset_binary() mapping can be done and undone both ways.
   -- The offset_binary() mapping to two-complement binary yields a DC offset
   -- of -0.5 Lsb.
-  function offset_binary(a : std_logic_vector) return std_logic_vector is
+  function offset_binary (a : std_logic_vector) return std_logic_vector is
     variable v_res : std_logic_vector(a'length - 1 downto 0) := a;
   begin
-   v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
-   return v_res;
+    v_res(v_res'high) := not v_res(v_res'high);  -- invert MSbit to get to from offset binary to two's complement, or vice versa
+    return v_res;
   end;
 
-  function truncate(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function truncate (vec : std_logic_vector; n : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_vec     : std_logic_vector(c_vec_w - 1 downto 0) := vec;
     variable v_res     : std_logic_vector(c_trunc_w - 1 downto 0);
   begin
-   v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
-   return v_res;
+    v_res := v_vec(c_vec_w - 1 downto n);  -- keep MS part
+    return v_res;
   end;
 
-  function truncate_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -2472,7 +2475,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function truncate_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_trunc_w : natural := c_vec_w - n;
     variable v_trunc   : std_logic_vector(c_trunc_w - 1 downto 0);
@@ -2483,7 +2486,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale(vec : std_logic_vector; n: natural) return std_logic_vector is
+  function scale (vec : std_logic_vector; n: natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_res     : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -2492,7 +2495,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_uvec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_uvec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -2503,7 +2506,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function scale_and_resize_svec(vec : std_logic_vector; n, w : natural) return std_logic_vector is
+  function scale_and_resize_svec (vec : std_logic_vector; n, w : natural) return std_logic_vector is
     constant c_vec_w   : natural := vec'length;
     constant c_scale_w : natural := c_vec_w + n;
     variable v_scale   : std_logic_vector(c_scale_w - 1 downto 0) := (others => '0');
@@ -2514,7 +2517,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_uvec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_uvec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -2527,7 +2530,7 @@ package body common_pkg is
     return v_res;
   end;
 
-  function truncate_or_resize_svec(vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
+  function truncate_or_resize_svec (vec : std_logic_vector; b : boolean; w : natural) return std_logic_vector is
     constant c_vec_w : natural := vec'length;
     variable c_n     : integer := c_vec_w - w;
     variable v_res   : std_logic_vector(w - 1 downto 0);
@@ -2606,7 +2609,7 @@ package body common_pkg is
   --   maximum product is -8*-8=+64 <= 127-8, so wrapping due to rounding
   --   overflow will never occur.
 
-  function s_round(vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector is
     -- # Round half away from zero when even = FALSE, else round half to even.
     -- # Round half to even algorithm:
     -- #                vec: -3.5  -2.5  -1.5  -0.5  0.5  1.5  2.5  3.5
@@ -2653,18 +2656,18 @@ package body common_pkg is
     return std_logic_vector(v_out);
   end;
 
-  function s_round(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
   begin
     return s_round(vec, n, clip, false);  -- no round half to even
   end;
 
-  function s_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function s_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return s_round(vec, n, false);  -- no round clip
   end;
 
   -- for unsigned round half away and round half up are equivalent
-  function u_round(vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural; clip, even : boolean) return std_logic_vector is
     constant c_in_w     : natural := vec'length;
     constant c_out_w    : natural := vec'length - n;
     variable in_vec     : std_logic_vector(c_in_w downto 0);
@@ -2676,41 +2679,41 @@ package body common_pkg is
     return out_vec(c_out_w - 1 downto 0);
   end;
 
-  function u_round(vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural; clip : boolean) return std_logic_vector is
   begin
     return u_round(vec, n, clip, false);  -- no round half to even
   end;
 
-  function u_round(vec : std_logic_vector; n : natural) return std_logic_vector is
+  function u_round (vec : std_logic_vector; n : natural) return std_logic_vector is
   begin
     return u_round(vec, n, false);  -- no round clip
   end;
 
-  function u_to_s(u : natural; w : natural) return integer is
+  function u_to_s (u : natural; w : natural) return integer is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_u(w - 1 downto 0));
   end;
 
-  function s_to_u(s : integer; w : natural) return natural is
+  function s_to_u (s : integer; w : natural) return natural is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_s(w - 1 downto 0));
   end;
 
-  function u_wrap(u : natural; w : natural) return natural is
+  function u_wrap (u : natural; w : natural) return natural is
     variable v_u : std_logic_vector(31 downto 0) := TO_UVEC(u, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_UINT(v_u(w - 1 downto 0));
   end;
 
-  function s_wrap(s : integer; w : natural) return integer is
+  function s_wrap (s : integer; w : natural) return integer is
     variable v_s : std_logic_vector(31 downto 0) := TO_SVEC(s, 32);  -- via 32 bit word to avoid NUMERIC_STD.TO_SIGNED: vector truncated warming
   begin
     return TO_SINT(v_s(w - 1 downto 0));
   end;
 
-  function u_clip(u : natural; max : natural) return natural is
+  function u_clip (u : natural; max : natural) return natural is
   begin
     if u > max then
       return max;
@@ -2719,7 +2722,7 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural; min : integer) return integer is
+  function s_clip (s : integer; max : natural; min : integer) return integer is
   begin
     if s < min then
       return min;
@@ -2732,12 +2735,12 @@ package body common_pkg is
     end if;
   end;
 
-  function s_clip(s : integer; max : natural) return integer is
+  function s_clip (s : integer; max : natural) return integer is
   begin
     return s_clip(s, max, -max);
   end;
 
-  function hton(a : std_logic_vector; w, sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; w, sz : natural) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;  -- map a to range [h:0]
     variable v_b : std_logic_vector(a'length - 1 downto 0) := a;  -- default b = a
     variable vL  : natural;
@@ -2753,28 +2756,28 @@ package body common_pkg is
     return v_b;
   end function;
 
-  function hton(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function hton (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, c_byte_w, sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function hton(a : std_logic_vector) return std_logic_vector is
+  function hton (a : std_logic_vector) return std_logic_vector is
     constant c_sz : natural := a'length / c_byte_w;
   begin
     return hton(a, c_byte_w, c_sz);  -- symbol width w = c_byte_w = 8
   end function;
 
-  function ntoh(a : std_logic_vector; sz : natural) return std_logic_vector is
+  function ntoh (a : std_logic_vector; sz : natural) return std_logic_vector is
   begin
     return hton(a, sz);  -- i.e. ntoh() = hton()
   end function;
 
-  function ntoh(a : std_logic_vector) return std_logic_vector is
+  function ntoh (a : std_logic_vector) return std_logic_vector is
   begin
     return hton(a);  -- i.e. ntoh() = hton()
   end function;
 
-  function flip(a : std_logic_vector) return std_logic_vector is
+  function flip (a : std_logic_vector) return std_logic_vector is
     variable v_a : std_logic_vector(a'length - 1 downto 0) := a;
     variable v_b : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2784,12 +2787,12 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a, w : natural) return natural is
+  function flip (a, w : natural) return natural is
   begin
     return TO_UINT(flip(TO_UVEC(a, w)));
   end;
 
-  function flip(a : t_slv_32_arr) return t_slv_32_arr is
+  function flip (a : t_slv_32_arr) return t_slv_32_arr is
     variable v_a : t_slv_32_arr(a'length - 1 downto 0) := a;
     variable v_b : t_slv_32_arr(a'length - 1 downto 0);
   begin
@@ -2799,7 +2802,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_integer_arr) return t_integer_arr is
+  function flip (a : t_integer_arr) return t_integer_arr is
     variable v_a : t_integer_arr(a'length - 1 downto 0) := a;
     variable v_b : t_integer_arr(a'length - 1 downto 0);
   begin
@@ -2809,7 +2812,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_natural_arr) return t_natural_arr is
+  function flip (a : t_natural_arr) return t_natural_arr is
     variable v_a : t_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_natural_arr(a'length - 1 downto 0);
   begin
@@ -2819,7 +2822,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function flip(a : t_nat_natural_arr) return t_nat_natural_arr is
+  function flip (a : t_nat_natural_arr) return t_nat_natural_arr is
     variable v_a : t_nat_natural_arr(a'length - 1 downto 0) := a;
     variable v_b : t_nat_natural_arr(a'length - 1 downto 0);
   begin
@@ -2829,7 +2832,7 @@ package body common_pkg is
     return v_b;
   end;
 
-  function transpose(a : std_logic_vector; row, col : natural) return std_logic_vector is
+  function transpose (a : std_logic_vector; row, col : natural) return std_logic_vector is
     variable vIn  : std_logic_vector(a'length - 1 downto 0);
     variable vOut : std_logic_vector(a'length - 1 downto 0);
   begin
@@ -2843,7 +2846,7 @@ package body common_pkg is
     return vOut;
   end function;
 
-  function transpose(a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
+  function transpose (a, row, col : natural) return natural is  -- transpose index a = [i*row+j] to output index [j*col+i]
     variable vI  : natural;
     variable vJ  : natural;
   begin
@@ -2852,7 +2855,7 @@ package body common_pkg is
     return vJ * col + vI;
   end;
 
-  function split_w(input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
+  function split_w (input_w: natural; min_out_w: natural; max_out_w: natural) return natural is  -- Calculate input_w in multiples as close as possible to max_out_w
     -- Examples: split_w(256, 8, 32) = 32;  split_w(16, 8, 32) = 16; split_w(72, 8, 32) = 18;    -- Input_w must be multiple of 2.
     variable r: natural;
   begin
@@ -2867,34 +2870,34 @@ package body common_pkg is
     end loop;
   end;
 
-  function pad(str: string; width: natural; pad_char: character) return string is
+  function pad (str: string; width: natural; pad_char: character) return string is
     variable v_str : string(1 to width) := (others => pad_char);
   begin
     v_str(width - str'length + 1 to width) := str;
     return v_str;
   end;
 
-  function slice_up(str: string; width: natural; i: natural) return string is
+  function slice_up (str: string; width: natural; i: natural) return string is
   begin
     return str(i * width + 1 to (i + 1) * width);
   end;
 
   -- If the input value is not a multiple of the desired width, the return value is padded with
   -- the passed pad value. E.g. if input='10' and desired width is 4, return value is '0010'.
-  function slice_up(str: string; width: natural; i: natural; pad_char: character) return string is
+  function slice_up (str: string; width: natural; i: natural; pad_char: character) return string is
     variable padded_str : string(1 to width) := (others => '0');
   begin
     padded_str := pad(str(i * width + 1 to (i + 1) * width), width, '0');
     return padded_str;
   end;
 
-  function slice_dn(str: string; width: natural; i: natural) return string is
+  function slice_dn (str: string; width: natural; i: natural) return string is
   begin
     return str((i + 1) * width - 1 downto i * width);
   end;
 
 
-  function nat_arr_to_concat_slv(nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
+  function nat_arr_to_concat_slv (nat_arr: t_natural_arr; nof_elements: natural) return std_logic_vector is
     variable v_concat_slv : std_logic_vector(nof_elements * 32 - 1 downto 0) := (others => '0');
   begin
     for i in 0 to nof_elements - 1 loop
@@ -2908,16 +2911,17 @@ package body common_pkg is
   -- common_fifo_*
   ------------------------------------------------------------------------------
 
-  procedure proc_common_fifo_asserts (constant c_fifo_name   : in string;
-                                      constant c_note_is_ful : in boolean;
-                                      constant c_fail_rd_emp : in boolean;
-                                      signal   wr_rst        : in std_logic;
-                                      signal   wr_clk        : in std_logic;
-                                      signal   wr_full       : in std_logic;
-                                      signal   wr_en         : in std_logic;
-                                      signal   rd_clk        : in std_logic;
-                                      signal   rd_empty      : in std_logic;
-                                      signal   rd_en         : in std_logic) is
+  procedure proc_common_fifo_asserts (
+    constant c_fifo_name   : in string;
+    constant c_note_is_ful : in boolean;
+    constant c_fail_rd_emp : in boolean;
+    signal   wr_rst        : in std_logic;
+    signal   wr_clk        : in std_logic;
+    signal   wr_full       : in std_logic;
+    signal   wr_en         : in std_logic;
+    signal   rd_clk        : in std_logic;
+    signal   rd_empty      : in std_logic;
+    signal   rd_en         : in std_logic) is
   begin
     -- c_fail_rd_emp : when TRUE report FAILURE when read from an empty FIFO, important when FIFO rd_val is not used
     -- c_note_is_ful : when TRUE report NOTE when FIFO goes full, to note that operation is on the limit
@@ -2930,7 +2934,7 @@ package body common_pkg is
     assert not(c_fail_rd_emp = true and rising_edge(rd_clk)  and rd_empty = '1' and rd_en = '1')  report c_fifo_name & " : read from empty fifo occurred!" severity FAILURE;
     assert not(c_note_is_ful = true and rising_edge(wr_full) and wr_rst = '0')                  report c_fifo_name & " : fifo is full now"               severity NOTE;
     assert not(                       rising_edge(wr_clk)  and wr_full = '1'  and wr_en = '1')  report c_fifo_name & " : fifo overflow occurred!"        severity FAILURE;
-    --synthesis translate_on
+  --synthesis translate_on
   end procedure proc_common_fifo_asserts;
 
 
@@ -2938,8 +2942,9 @@ package body common_pkg is
   -- common_fanout_tree
   ------------------------------------------------------------------------------
 
-  function func_common_fanout_tree_pipelining(c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
-                                              c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
+  function func_common_fanout_tree_pipelining (
+    c_nof_stages, c_nof_output_per_cell, c_nof_output : natural;
+    c_cell_pipeline_factor_arr, c_cell_pipeline_arr : t_natural_arr) return t_natural_arr is
     constant k_cell_pipeline_factor_arr : t_natural_arr(c_nof_stages - 1 downto 0) := c_cell_pipeline_factor_arr;
     constant k_cell_pipeline_arr        : t_natural_arr(c_nof_output_per_cell - 1 downto 0) := c_cell_pipeline_arr;
     variable v_stage_pipeline_arr       : t_natural_arr(c_nof_output - 1 downto 0) := (others => 0);
@@ -2960,7 +2965,7 @@ package body common_pkg is
   ------------------------------------------------------------------------------
 
   -- Determine whether the stage I and row J index refer to any (active or redundant) 2-input reorder cell instantiation
-  function func_common_reorder2_is_there(I, J : natural) return boolean is
+  function func_common_reorder2_is_there (I, J : natural) return boolean is
     variable v_odd  : boolean;
     variable v_even : boolean;
   begin
@@ -2970,7 +2975,7 @@ package body common_pkg is
   end func_common_reorder2_is_there;
 
   -- Determine whether the stage I and row J index refer to an active 2-input reorder cell instantiation in a reorder network with N stages
-  function func_common_reorder2_is_active(I, J, N : natural) return boolean is
+  function func_common_reorder2_is_active (I, J, N : natural) return boolean is
     variable v_inst : boolean;
     variable v_act  : boolean;
   begin
@@ -2980,7 +2985,7 @@ package body common_pkg is
   end func_common_reorder2_is_active;
 
   -- Get the index K in the select setting array for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select_index(I, J, N : natural) return integer is
+  function func_common_reorder2_get_select_index (I, J, N : natural) return integer is
     constant c_nof_reorder2_per_odd_stage  : natural := N / 2;
     constant c_nof_reorder2_per_even_stage : natural := (N - 1) / 2;
     variable v_nof_odd_stages  : natural;
@@ -3002,7 +3007,7 @@ package body common_pkg is
   end func_common_reorder2_get_select_index;
 
   -- Get the select setting for the reorder2 cell on stage I and row J in a reorder network with N stages
-  function func_common_reorder2_get_select(I, J, N : natural; select_arr : t_natural_arr) return natural is
+  function func_common_reorder2_get_select (I, J, N : natural; select_arr : t_natural_arr) return natural is
     constant c_nof_select : natural := select_arr'length;
     constant c_select_arr : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel        : natural;
@@ -3017,7 +3022,7 @@ package body common_pkg is
   end func_common_reorder2_get_select;
 
   -- Determine the inverse of a reorder network by using two reorder networks in series
-  function func_common_reorder2_inverse_select(N : natural; select_arr : t_natural_arr) return t_natural_arr is
+  function func_common_reorder2_inverse_select (N : natural; select_arr : t_natural_arr) return t_natural_arr is
     constant c_nof_select      : natural := select_arr'length;
     constant c_select_arr      : t_natural_arr(c_nof_select - 1 downto 0) := select_arr;  -- force range downto 0
     variable v_sel             : natural;
@@ -3043,8 +3048,8 @@ package body common_pkg is
     else
       -- N is even so only use stage 1 of the inverse_out reorder, the other stages remain at default pass on
       for K in 0 to N / 2 - 1 loop
-         v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
-         v_inverse_arr(v_Ki) := c_select_arr(K);
+        v_Ki := c_nof_select + K;  -- stage 1 of the inverse_out reorder
+        v_inverse_arr(v_Ki) := c_select_arr(K);
       end loop;
       -- N is even so leave stage 1 of the inverse_in reorder at default pass on, and do inverse the other stages
       for I in 2 to N loop
@@ -3083,9 +3088,10 @@ package body common_pkg is
   --   that they all apply to the same wide data word that was clocked by the
   --   rising edge of the DCLK.
   ------------------------------------------------------------------------------
-  procedure proc_common_dclk_generate_sclk(constant Pfactor : in    positive;
-                                           signal   dclk    : in    std_logic;
-                                           signal   sclk    : inout std_logic) is
+  procedure proc_common_dclk_generate_sclk (
+    constant Pfactor : in    positive;
+    signal   dclk    : in    std_logic;
+    signal   sclk    : inout std_logic) is
     variable v_dperiod : time;
     variable v_speriod : time;
   begin
@@ -3110,7 +3116,7 @@ package body common_pkg is
       end if;
       wait for v_speriod / 2;
       SCLK <= '1';
-      -- Wait for next DCLK
+    -- Wait for next DCLK
     end loop;
     wait;
   end proc_common_dclk_generate_sclk;
diff --git a/libraries/base/common/src/vhdl/common_pulse_delay.vhd b/libraries/base/common/src/vhdl/common_pulse_delay.vhd
index 906cf0d0ec..cf545307b1 100644
--- a/libraries/base/common/src/vhdl/common_pulse_delay.vhd
+++ b/libraries/base/common/src/vhdl/common_pulse_delay.vhd
@@ -26,8 +26,8 @@
 -- . Note: pulse_out must have occurured before the next pulse_in can be delayed.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_pulse_delay is
   generic (
@@ -61,17 +61,17 @@ begin
   -- Switch to start counter @ pulse_in, and stop counter @ pulse_out.
   -------------------------------------------------------------------------------
   u_common_switch : entity work.common_switch
-  generic map (
-    g_or_high      => true,
-    g_priority_lo  => false
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => pulse_in,
-    switch_low  => nxt_pulse_out,
-    out_level   => common_counter_cnt_en
-  );
+    generic map (
+      g_or_high      => true,
+      g_priority_lo  => false
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => pulse_in,
+      switch_low  => nxt_pulse_out,
+      out_level   => common_counter_cnt_en
+    );
 
   -------------------------------------------------------------------------------
   -- Count delay cycles relative to pulse_in
@@ -81,18 +81,18 @@ begin
   --     output count value after cnt_en (also 0).
   -------------------------------------------------------------------------------
   u_common_counter : entity work.common_counter
-  generic map (
-    g_width => c_pulse_delay_max_width,
-    g_init  => 1
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    cnt_ld   => pulse_in,  -- Clear (load "1") the counter on every pulse_in
-    cnt_en   => common_counter_cnt_en,
-    load     => TO_UVEC(1, c_pulse_delay_max_width),
-    count    => common_counter_count
-  );
+    generic map (
+      g_width => c_pulse_delay_max_width,
+      g_init  => 1
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      cnt_ld   => pulse_in,  -- Clear (load "1") the counter on every pulse_in
+      cnt_en   => common_counter_cnt_en,
+      load     => TO_UVEC(1, c_pulse_delay_max_width),
+      count    => common_counter_count
+    );
 
   -------------------------------------------------------------------------------
   -- Assign nxt_pulse_out
@@ -101,7 +101,7 @@ begin
   nxt_pulse_delay_reg <= pulse_delay when pulse_in = '1' else pulse_delay_reg;
 
   nxt_pulse_out <= pulse_in when pulse_delay = TO_UVEC(0, c_pulse_delay_max_width) else  -- 0 cycles delay (pulse_delay_reg not valid yet; using pulse_delay)
-                        '1' when common_counter_count = pulse_delay_reg else '0';  -- >=1 cycles delay (so pulse_delay_reg will contain registered pulse_delay)
+                   '1' when common_counter_count = pulse_delay_reg else '0';  -- >=1 cycles delay (so pulse_delay_reg will contain registered pulse_delay)
 
   -------------------------------------------------------------------------------
   -- Optional output register
diff --git a/libraries/base/common/src/vhdl/common_pulse_delay_reg.vhd b/libraries/base/common/src/vhdl/common_pulse_delay_reg.vhd
index 4e51dd1e6b..bae2ea70b0 100644
--- a/libraries/base/common/src/vhdl/common_pulse_delay_reg.vhd
+++ b/libraries/base/common/src/vhdl/common_pulse_delay_reg.vhd
@@ -27,15 +27,15 @@
 --   Set pulse_delay between incoming and outgoing pulse, in units of dp_clk cycles (5ns)
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity common_pulse_delay_reg is
   generic (
     g_cross_clock_domain : boolean := true;  -- use FALSE when mm_clk and pulse_clk are the same, else use TRUE to cross the clock domain
     g_pulse_delay_max    : natural := 0  -- Maximum number of clk cycles that pulse can be delayed
-   );
+  );
   port (
     pulse_clk   : in  std_logic;
     pulse_rst   : in  std_logic;
@@ -53,11 +53,13 @@ architecture rtl of common_pulse_delay_reg is
 
   constant c_nof_mm_regs : natural := 1;
 
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(c_nof_mm_regs),
-                                  dat_w    => c_word_w,
-                                  nof_dat  => c_nof_mm_regs,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_mm_regs),
+    dat_w    => c_word_w,
+    nof_dat  => c_nof_mm_regs,
+    init_sl  => '0'
+  );
 
   signal mm_pulse_delay : std_logic_vector(ceil_log2(g_pulse_delay_max) - 1 downto 0);
 
@@ -128,16 +130,16 @@ begin
 
   gen_common_reg_cross_domain : if g_cross_clock_domain = true generate
     u_common_reg_cross_domain : entity work.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_pulse_delay,
-      in_done     => OPEN,
-      out_rst     => pulse_rst,
-      out_clk     => pulse_clk,
-      out_dat     => pulse_delay,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_pulse_delay,
+        in_done     => OPEN,
+        out_rst     => pulse_rst,
+        out_clk     => pulse_clk,
+        out_dat     => pulse_delay,
+        out_new     => open
+      );
 
   end generate;  -- gen_common_reg_cross_domain
 
diff --git a/libraries/base/common/src/vhdl/common_pulse_extend.vhd b/libraries/base/common/src/vhdl/common_pulse_extend.vhd
index 05cf366e99..7719929406 100644
--- a/libraries/base/common/src/vhdl/common_pulse_extend.vhd
+++ b/libraries/base/common/src/vhdl/common_pulse_extend.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Extend the active high time of a pulse
 -- Description:
diff --git a/libraries/base/common/src/vhdl/common_pulser.vhd b/libraries/base/common/src/vhdl/common_pulser.vhd
index b6b6c7efee..fdb08b64b1 100644
--- a/libraries/base/common/src/vhdl/common_pulser.vhd
+++ b/libraries/base/common/src/vhdl/common_pulser.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Output a one cycle pulse every period
 -- Description:
@@ -87,17 +87,17 @@ begin
   cnt_clr    <= pulse_clr or cnt_period;
 
   u_cnt : entity common_lib.common_counter
-  generic map (
-    g_init      => c_pulse_init,
-    g_width     => c_pulse_period_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_init      => c_pulse_init,
+      g_width     => c_pulse_period_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_pulser_us_ms_s.vhd b/libraries/base/common/src/vhdl/common_pulser_us_ms_s.vhd
index 20b4ac30b8..5540dfc914 100644
--- a/libraries/base/common/src/vhdl/common_pulser_us_ms_s.vhd
+++ b/libraries/base/common/src/vhdl/common_pulser_us_ms_s.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Provide timing pulses for interval 1 us, 1 ms and 1 s
 
@@ -77,45 +77,45 @@ begin
   end process;
 
   u_common_pulser_us : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => g_pulse_us,
-    g_pulse_phase  => g_pulse_us - 1
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => '1',
-    pulse_en       => '1',
-    pulse_clr      => sync,
-    pulse_out      => pulse_us_pp
-  );
+    generic map (
+      g_pulse_period => g_pulse_us,
+      g_pulse_phase  => g_pulse_us - 1
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => '1',
+      pulse_en       => '1',
+      pulse_clr      => sync,
+      pulse_out      => pulse_us_pp
+    );
 
   u_common_pulser_ms : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => g_pulse_ms,
-    g_pulse_phase  => g_pulse_ms - 1
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => '1',
-    pulse_en       => pulse_us_pp,
-    pulse_clr      => sync,
-    pulse_out      => pulse_ms_p
-  );
+    generic map (
+      g_pulse_period => g_pulse_ms,
+      g_pulse_phase  => g_pulse_ms - 1
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => '1',
+      pulse_en       => pulse_us_pp,
+      pulse_clr      => sync,
+      pulse_out      => pulse_ms_p
+    );
 
   u_common_pulser_s : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => g_pulse_s,
-    g_pulse_phase  => g_pulse_s - 1
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => '1',
-    pulse_en       => pulse_ms_p,
-    pulse_clr      => sync,
-    pulse_out      => pulse_s_reg
-  );
+    generic map (
+      g_pulse_period => g_pulse_s,
+      g_pulse_phase  => g_pulse_s - 1
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => '1',
+      pulse_en       => pulse_ms_p,
+      pulse_clr      => sync,
+      pulse_out      => pulse_s_reg
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_cr_cw.vhd b/libraries/base/common/src/vhdl/common_ram_cr_cw.vhd
index b158278dca..d40d4f05e0 100644
--- a/libraries/base/common/src/vhdl/common_ram_cr_cw.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_cr_cw.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_cr_cw is
   generic (
@@ -59,30 +59,30 @@ begin
   -- Use port b only for read  in read  clock domain
 
   u_cr_cw : entity work.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => g_ram,
-    g_init_file  => g_init_file
-  )
-  port map (
-    rst_a     => wr_rst,
-    rst_b     => rd_rst,
-    clk_a     => wr_clk,
-    clk_b     => rd_clk,
-    clken_a   => wr_clken,
-    clken_b   => rd_clken,
-    wr_en_a   => wr_en,
-    wr_en_b   => '0',
-    wr_dat_a  => wr_dat,
-    wr_dat_b  => (others => '0'),
-    adr_a     => wr_adr,
-    adr_b     => rd_adr,
-    rd_en_a   => '0',
-    rd_en_b   => rd_en,
-    rd_dat_a  => OPEN,
-    rd_dat_b  => rd_dat,
-    rd_val_a  => OPEN,
-    rd_val_b  => rd_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => g_ram,
+      g_init_file  => g_init_file
+    )
+    port map (
+      rst_a     => wr_rst,
+      rst_b     => rd_rst,
+      clk_a     => wr_clk,
+      clk_b     => rd_clk,
+      clken_a   => wr_clken,
+      clken_b   => rd_clken,
+      wr_en_a   => wr_en,
+      wr_en_b   => '0',
+      wr_dat_a  => wr_dat,
+      wr_dat_b  => (others => '0'),
+      adr_a     => wr_adr,
+      adr_b     => rd_adr,
+      rd_en_a   => '0',
+      rd_en_b   => rd_en,
+      rd_dat_a  => OPEN,
+      rd_dat_b  => rd_dat,
+      rd_val_a  => OPEN,
+      rd_val_b  => rd_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_cr_cw_ratio.vhd b/libraries/base/common/src/vhdl/common_ram_cr_cw_ratio.vhd
index 5c39e57838..20cfddd5fd 100644
--- a/libraries/base/common/src/vhdl/common_ram_cr_cw_ratio.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_cr_cw_ratio.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_cr_cw_ratio is
   generic (
@@ -60,31 +60,31 @@ begin
   -- Use port b only for read  in read  clock domain
 
   u_cr_cw : entity work.common_ram_crw_crw_ratio
-  generic map (
-    g_technology => g_technology,
-    g_ram_a      => g_ram_wr,
-    g_ram_b      => g_ram_rd,
-    g_init_file  => g_init_file
-  )
-  port map (
-    rst_a     => wr_rst,
-    rst_b     => rd_rst,
-    clk_a     => wr_clk,
-    clk_b     => rd_clk,
-    clken_a   => wr_clken,
-    clken_b   => rd_clken,
-    wr_en_a   => wr_en,
-    wr_en_b   => '0',
-    wr_dat_a  => wr_dat,
-    wr_dat_b  => (others => '0'),
-    adr_a     => wr_adr,
-    adr_b     => rd_adr,
-    rd_en_a   => '0',
-    rd_en_b   => rd_en,
-    rd_dat_a  => OPEN,
-    rd_dat_b  => rd_dat,
-    rd_val_a  => OPEN,
-    rd_val_b  => rd_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram_a      => g_ram_wr,
+      g_ram_b      => g_ram_rd,
+      g_init_file  => g_init_file
+    )
+    port map (
+      rst_a     => wr_rst,
+      rst_b     => rd_rst,
+      clk_a     => wr_clk,
+      clk_b     => rd_clk,
+      clken_a   => wr_clken,
+      clken_b   => rd_clken,
+      wr_en_a   => wr_en,
+      wr_en_b   => '0',
+      wr_dat_a  => wr_dat,
+      wr_dat_b  => (others => '0'),
+      adr_a     => wr_adr,
+      adr_b     => rd_adr,
+      rd_en_a   => '0',
+      rd_en_b   => rd_en,
+      rd_dat_a  => OPEN,
+      rd_dat_b  => rd_dat,
+      rd_val_a  => OPEN,
+      rd_val_b  => rd_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_crw_cr.vhd b/libraries/base/common/src/vhdl/common_ram_crw_cr.vhd
index 1e75acda70..03e34b1c3a 100644
--- a/libraries/base/common/src/vhdl/common_ram_crw_cr.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_crw_cr.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_crw_cr is
   generic (
@@ -63,30 +63,30 @@ begin
   -- Use port b for read only  in ST clock domain
 
   u_crw_cr : entity work.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => g_ram,
-    g_init_file  => g_init_file
-  )
-  port map (
-    rst_a     => mm_rst,
-    rst_b     => st_rst,
-    clk_a     => mm_clk,
-    clk_b     => st_clk,
-    clken_a   => mm_clken,
-    clken_b   => st_clken,
-    wr_en_a   => mm_wr_en,
-    wr_en_b   => '0',
-    wr_dat_a  => mm_wr_dat,
-    wr_dat_b  => (others => '0'),
-    adr_a     => mm_adr,
-    adr_b     => st_adr,
-    rd_en_a   => mm_rd_en,
-    rd_en_b   => st_rd_en,
-    rd_dat_a  => mm_rd_dat,
-    rd_dat_b  => st_rd_dat,
-    rd_val_a  => mm_rd_val,
-    rd_val_b  => st_rd_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => g_ram,
+      g_init_file  => g_init_file
+    )
+    port map (
+      rst_a     => mm_rst,
+      rst_b     => st_rst,
+      clk_a     => mm_clk,
+      clk_b     => st_clk,
+      clken_a   => mm_clken,
+      clken_b   => st_clken,
+      wr_en_a   => mm_wr_en,
+      wr_en_b   => '0',
+      wr_dat_a  => mm_wr_dat,
+      wr_dat_b  => (others => '0'),
+      adr_a     => mm_adr,
+      adr_b     => st_adr,
+      rd_en_a   => mm_rd_en,
+      rd_en_b   => st_rd_en,
+      rd_dat_a  => mm_rd_dat,
+      rd_dat_b  => st_rd_dat,
+      rd_val_a  => mm_rd_val,
+      rd_val_b  => st_rd_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_crw_crw.vhd b/libraries/base/common/src/vhdl/common_ram_crw_crw.vhd
index d0fca90bc6..e2460d4a65 100644
--- a/libraries/base/common/src/vhdl/common_ram_crw_crw.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_crw_crw.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_memory_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_crw_crw is
   generic (
@@ -79,80 +79,80 @@ begin
   -- memory access
   gen_true_dual_port : if g_true_dual_port = true generate
     u_ram : entity tech_memory_lib.tech_memory_ram_crw_crw
-    generic map (
-      g_technology => g_technology,
-      g_adr_w      => g_ram.adr_w,
-      g_dat_w      => g_ram.dat_w,
-      g_nof_words  => g_ram.nof_dat,
-      g_rd_latency => c_rd_latency,
-      g_init_file  => g_init_file
-    )
-    port map (
-      clock_a     => clk_a,
-      clock_b     => clk_b,
-      enable_a    => clken_a,
-      enable_b    => clken_b,
-      wren_a      => wr_en_a,
-      wren_b      => wr_en_b,
-      data_a      => wr_dat_a,
-      data_b      => wr_dat_b,
-      address_a   => adr_a,
-      address_b   => adr_b,
-      q_a         => ram_rd_dat_a,
-      q_b         => ram_rd_dat_b
-    );
+      generic map (
+        g_technology => g_technology,
+        g_adr_w      => g_ram.adr_w,
+        g_dat_w      => g_ram.dat_w,
+        g_nof_words  => g_ram.nof_dat,
+        g_rd_latency => c_rd_latency,
+        g_init_file  => g_init_file
+      )
+      port map (
+        clock_a     => clk_a,
+        clock_b     => clk_b,
+        enable_a    => clken_a,
+        enable_b    => clken_b,
+        wren_a      => wr_en_a,
+        wren_b      => wr_en_b,
+        data_a      => wr_dat_a,
+        data_b      => wr_dat_b,
+        address_a   => adr_a,
+        address_b   => adr_b,
+        q_a         => ram_rd_dat_a,
+        q_b         => ram_rd_dat_b
+      );
   end generate;
 
   gen_simple_dual_port : if g_true_dual_port = false generate
     u_ram : entity tech_memory_lib.tech_memory_ram_cr_cw
-    generic map (
-      g_technology => g_technology,
-      g_adr_w      => g_ram.adr_w,
-      g_dat_w      => g_ram.dat_w,
-      g_nof_words  => g_ram.nof_dat,
-      g_rd_latency => c_rd_latency,
-      g_init_file  => g_init_file
-    )
-    port map
+      generic map (
+        g_technology => g_technology,
+        g_adr_w      => g_ram.adr_w,
+        g_dat_w      => g_ram.dat_w,
+        g_nof_words  => g_ram.nof_dat,
+        g_rd_latency => c_rd_latency,
+        g_init_file  => g_init_file
+      )
+      port map
     (
-      wrclock   => clk_a,
-      wrclocken => clken_a,
-      wren      => wr_en_a,
-      wraddress => adr_a,
-      data      => wr_dat_a,
-      rdclock   => clk_b,
-      rdclocken => clken_b,
-      rdaddress => adr_b,
-      q         => ram_rd_dat_b
-    );
+        wrclock   => clk_a,
+        wrclocken => clken_a,
+        wren      => wr_en_a,
+        wraddress => adr_a,
+        data      => wr_dat_a,
+        rdclock   => clk_b,
+        rdclocken => clken_b,
+        rdaddress => adr_b,
+        q         => ram_rd_dat_b
+      );
   end generate;
 
   -- read output
   u_pipe_a : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_pipeline,
-    g_in_dat_w   => g_ram.dat_w,
-    g_out_dat_w  => g_ram.dat_w
-  )
-  port map (
-    clk     => clk_a,
-    clken   => clken_a,
-    in_dat  => ram_rd_dat_a,
-    out_dat => rd_dat_a
-  );
+    generic map (
+      g_pipeline   => c_pipeline,
+      g_in_dat_w   => g_ram.dat_w,
+      g_out_dat_w  => g_ram.dat_w
+    )
+    port map (
+      clk     => clk_a,
+      clken   => clken_a,
+      in_dat  => ram_rd_dat_a,
+      out_dat => rd_dat_a
+    );
 
   u_pipe_b : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_pipeline,
-    g_in_dat_w   => g_ram.dat_w,
-    g_out_dat_w  => g_ram.dat_w
-  )
-  port map (
-    clk     => clk_b,
-    clken   => clken_b,
-    in_dat  => ram_rd_dat_b,
-    out_dat => rd_dat_b
-  );
+    generic map (
+      g_pipeline   => c_pipeline,
+      g_in_dat_w   => g_ram.dat_w,
+      g_out_dat_w  => g_ram.dat_w
+    )
+    port map (
+      clk     => clk_b,
+      clken   => clken_b,
+      in_dat  => ram_rd_dat_b,
+      out_dat => rd_dat_b
+    );
 
   -- rd_val control
   ram_rd_en_a(0) <= rd_en_a;
@@ -162,29 +162,29 @@ begin
   rd_val_b <= ram_rd_val_b(0);
 
   u_rd_val_a : entity work.common_pipeline
-  generic map (
-    g_pipeline   => g_ram.latency,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-    clk     => clk_a,
-    clken   => clken_a,
-    in_dat  => ram_rd_en_a,
-    out_dat => ram_rd_val_a
-  );
+    generic map (
+      g_pipeline   => g_ram.latency,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      clk     => clk_a,
+      clken   => clken_a,
+      in_dat  => ram_rd_en_a,
+      out_dat => ram_rd_val_a
+    );
 
   u_rd_val_b : entity work.common_pipeline
-  generic map (
-    g_pipeline   => g_ram.latency,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-    clk     => clk_b,
-    clken   => clken_b,
-    in_dat  => ram_rd_en_b,
-    out_dat => ram_rd_val_b
-  );
+    generic map (
+      g_pipeline   => g_ram.latency,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      clk     => clk_b,
+      clken   => clken_b,
+      in_dat  => ram_rd_en_b,
+      out_dat => ram_rd_val_b
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_crw_crw_ratio.vhd b/libraries/base/common/src/vhdl/common_ram_crw_crw_ratio.vhd
index c86ae8119d..d673d3ad88 100644
--- a/libraries/base/common/src/vhdl/common_ram_crw_crw_ratio.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_crw_crw_ratio.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_memory_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_crw_crw_ratio is
   generic (
@@ -84,58 +84,58 @@ begin
 
   -- memory access
   u_ramk : entity tech_memory_lib.tech_memory_ram_crwk_crw
-  generic map (
-    g_technology  => g_technology,
-    g_adr_a_w     => g_ram_a.adr_w,
-    g_adr_b_w     => g_ram_b.adr_w,
-    g_dat_a_w     => g_ram_a.dat_w,
-    g_dat_b_w     => g_ram_b.dat_w,
-    g_nof_words_a => g_ram_a.nof_dat,
-    g_nof_words_b => g_ram_b.nof_dat,
-    g_rd_latency  => c_rd_latency,
-    g_init_file   => g_init_file
-  )
-  port map (
-    clock_a     => clk_a,
-    clock_b     => clk_b,
-    enable_a    => clken_a,
-    enable_b    => clken_b,
-    wren_a      => wr_en_a,
-    wren_b      => wr_en_b,
-    data_a      => wr_dat_a,
-    data_b      => wr_dat_b,
-    address_a   => adr_a,
-    address_b   => adr_b,
-    q_a         => ram_rd_dat_a,
-    q_b         => ram_rd_dat_b
-  );
+    generic map (
+      g_technology  => g_technology,
+      g_adr_a_w     => g_ram_a.adr_w,
+      g_adr_b_w     => g_ram_b.adr_w,
+      g_dat_a_w     => g_ram_a.dat_w,
+      g_dat_b_w     => g_ram_b.dat_w,
+      g_nof_words_a => g_ram_a.nof_dat,
+      g_nof_words_b => g_ram_b.nof_dat,
+      g_rd_latency  => c_rd_latency,
+      g_init_file   => g_init_file
+    )
+    port map (
+      clock_a     => clk_a,
+      clock_b     => clk_b,
+      enable_a    => clken_a,
+      enable_b    => clken_b,
+      wren_a      => wr_en_a,
+      wren_b      => wr_en_b,
+      data_a      => wr_dat_a,
+      data_b      => wr_dat_b,
+      address_a   => adr_a,
+      address_b   => adr_b,
+      q_a         => ram_rd_dat_a,
+      q_b         => ram_rd_dat_b
+    );
 
   -- read output
   u_pipe_a : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_pipeline,
-    g_in_dat_w   => g_ram_a.dat_w,
-    g_out_dat_w  => g_ram_a.dat_w
-  )
-  port map (
-    clk     => clk_a,
-    clken   => clken_a,
-    in_dat  => ram_rd_dat_a,
-    out_dat => rd_dat_a
-  );
+    generic map (
+      g_pipeline   => c_pipeline,
+      g_in_dat_w   => g_ram_a.dat_w,
+      g_out_dat_w  => g_ram_a.dat_w
+    )
+    port map (
+      clk     => clk_a,
+      clken   => clken_a,
+      in_dat  => ram_rd_dat_a,
+      out_dat => rd_dat_a
+    );
 
   u_pipe_b : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_pipeline,
-    g_in_dat_w   => g_ram_b.dat_w,
-    g_out_dat_w  => g_ram_b.dat_w
-  )
-  port map (
-    clk     => clk_b,
-    clken   => clken_b,
-    in_dat  => ram_rd_dat_b,
-    out_dat => rd_dat_b
-  );
+    generic map (
+      g_pipeline   => c_pipeline,
+      g_in_dat_w   => g_ram_b.dat_w,
+      g_out_dat_w  => g_ram_b.dat_w
+    )
+    port map (
+      clk     => clk_b,
+      clken   => clken_b,
+      in_dat  => ram_rd_dat_b,
+      out_dat => rd_dat_b
+    );
 
   -- rd_val control
   ram_rd_en_a(0) <= rd_en_a;
@@ -145,29 +145,29 @@ begin
   rd_val_b <= ram_rd_val_b(0);
 
   u_rd_val_a : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_ram.latency,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-    clk     => clk_a,
-    clken   => clken_a,
-    in_dat  => ram_rd_en_a,
-    out_dat => ram_rd_val_a
-  );
+    generic map (
+      g_pipeline   => c_ram.latency,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      clk     => clk_a,
+      clken   => clken_a,
+      in_dat  => ram_rd_en_a,
+      out_dat => ram_rd_val_a
+    );
 
   u_rd_val_b : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_ram.latency,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-    clk     => clk_b,
-    clken   => clken_b,
-    in_dat  => ram_rd_en_b,
-    out_dat => ram_rd_val_b
-  );
+    generic map (
+      g_pipeline   => c_ram.latency,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      clk     => clk_b,
+      clken   => clken_b,
+      in_dat  => ram_rd_en_b,
+      out_dat => ram_rd_val_b
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_crw_cw.vhd b/libraries/base/common/src/vhdl/common_ram_crw_cw.vhd
index 11d283a57a..81a3bf9d5a 100644
--- a/libraries/base/common/src/vhdl/common_ram_crw_cw.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_crw_cw.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_crw_cw is
   generic (
@@ -62,30 +62,30 @@ begin
   -- Use port b for write only in ST clock domain
 
   u_crw_cw : entity work.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => g_ram,
-    g_init_file  => g_init_file
-  )
-  port map (
-    rst_a     => mm_rst,
-    rst_b     => st_rst,
-    clk_a     => mm_clk,
-    clk_b     => st_clk,
-    clken_a   => mm_clken,
-    clken_b   => st_clken,
-    wr_en_a   => mm_wr_en,
-    wr_en_b   => st_wr_en,
-    wr_dat_a  => mm_wr_dat,
-    wr_dat_b  => st_wr_dat,
-    adr_a     => mm_adr,
-    adr_b     => st_adr,
-    rd_en_a   => mm_rd_en,
-    rd_en_b   => '0',
-    rd_dat_a  => mm_rd_dat,
-    rd_dat_b  => OPEN,
-    rd_val_a  => mm_rd_val,
-    rd_val_b  => open
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => g_ram,
+      g_init_file  => g_init_file
+    )
+    port map (
+      rst_a     => mm_rst,
+      rst_b     => st_rst,
+      clk_a     => mm_clk,
+      clk_b     => st_clk,
+      clken_a   => mm_clken,
+      clken_b   => st_clken,
+      wr_en_a   => mm_wr_en,
+      wr_en_b   => st_wr_en,
+      wr_dat_a  => mm_wr_dat,
+      wr_dat_b  => st_wr_dat,
+      adr_a     => mm_adr,
+      adr_b     => st_adr,
+      rd_en_a   => mm_rd_en,
+      rd_en_b   => '0',
+      rd_dat_a  => mm_rd_dat,
+      rd_dat_b  => OPEN,
+      rd_val_a  => mm_rd_val,
+      rd_val_b  => open
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_ram_r_w.vhd b/libraries/base/common/src/vhdl/common_ram_r_w.vhd
index d703c059af..79650b9128 100644
--- a/libraries/base/common/src/vhdl/common_ram_r_w.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_r_w.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_r_w is
   generic (
@@ -54,29 +54,29 @@ begin
   -- Use port b only for read
 
   u_rw_rw : entity work.common_ram_rw_rw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => g_ram,
-    g_init_file  => g_init_file,
-    g_true_dual_port => g_true_dual_port
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    clken     => clken,
-    wr_en_a   => wr_en,
-    wr_en_b   => '0',
-    wr_dat_a  => wr_dat,
-    --wr_dat_b  => (OTHERS=>'0'),
-    adr_a     => wr_adr,
-    adr_b     => rd_adr,
-    rd_en_a   => '0',
-    rd_en_b   => rd_en,
-    rd_dat_a  => OPEN,
-    rd_dat_b  => rd_dat,
-    rd_val_a  => OPEN,
-    rd_val_b  => rd_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => g_ram,
+      g_init_file  => g_init_file,
+      g_true_dual_port => g_true_dual_port
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      clken     => clken,
+      wr_en_a   => wr_en,
+      wr_en_b   => '0',
+      wr_dat_a  => wr_dat,
+      --wr_dat_b  => (OTHERS=>'0'),
+      adr_a     => wr_adr,
+      adr_b     => rd_adr,
+      rd_en_a   => '0',
+      rd_en_b   => rd_en,
+      rd_dat_a  => OPEN,
+      rd_dat_b  => rd_dat,
+      rd_val_a  => OPEN,
+      rd_val_b  => rd_val
+    );
 
 end str;
 
diff --git a/libraries/base/common/src/vhdl/common_ram_rw_rw.vhd b/libraries/base/common/src/vhdl/common_ram_rw_rw.vhd
index 6877523f97..a6bf21f78b 100644
--- a/libraries/base/common/src/vhdl/common_ram_rw_rw.vhd
+++ b/libraries/base/common/src/vhdl/common_ram_rw_rw.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_ram_rw_rw is
   generic (
@@ -58,31 +58,31 @@ begin
   -- Use only one clock domain
 
   u_crw_crw : entity work.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => g_ram,
-    g_init_file  => g_init_file,
-    g_true_dual_port => g_true_dual_port
-  )
-  port map (
-    rst_a     => rst,
-    rst_b     => rst,
-    clk_a     => clk,
-    clk_b     => clk,
-    clken_a   => clken,
-    clken_b   => clken,
-    wr_en_a   => wr_en_a,
-    wr_en_b   => wr_en_b,
-    wr_dat_a  => wr_dat_a,
-    wr_dat_b  => wr_dat_b,
-    adr_a     => adr_a,
-    adr_b     => adr_b,
-    rd_en_a   => rd_en_a,
-    rd_en_b   => rd_en_b,
-    rd_dat_a  => rd_dat_a,
-    rd_dat_b  => rd_dat_b,
-    rd_val_a  => rd_val_a,
-    rd_val_b  => rd_val_b
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => g_ram,
+      g_init_file  => g_init_file,
+      g_true_dual_port => g_true_dual_port
+    )
+    port map (
+      rst_a     => rst,
+      rst_b     => rst,
+      clk_a     => clk,
+      clk_b     => clk,
+      clken_a   => clken,
+      clken_b   => clken,
+      wr_en_a   => wr_en_a,
+      wr_en_b   => wr_en_b,
+      wr_dat_a  => wr_dat_a,
+      wr_dat_b  => wr_dat_b,
+      adr_a     => adr_a,
+      adr_b     => adr_b,
+      rd_en_a   => rd_en_a,
+      rd_en_b   => rd_en_b,
+      rd_dat_a  => rd_dat_a,
+      rd_dat_b  => rd_dat_b,
+      rd_val_a  => rd_val_a,
+      rd_val_b  => rd_val_b
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_reg_cross_domain.vhd b/libraries/base/common/src/vhdl/common_reg_cross_domain.vhd
index ba5edc3b88..5eb17b0c0d 100644
--- a/libraries/base/common/src/vhdl/common_reg_cross_domain.vhd
+++ b/libraries/base/common/src/vhdl/common_reg_cross_domain.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 -- Purpose: Get in_dat from in_clk to out_clk domain when in_new is asserted.
 -- Remarks:
@@ -171,15 +171,15 @@ begin
   -- cross clock domain
   ------------------------------------------------------------------------------
   u_cross_req : entity common_lib.common_spulse
-  port map (
-    in_rst     => in_rst,
-    in_clk     => in_clk,
-    in_pulse   => cross_req,
-    in_busy    => cross_busy,
-    out_rst    => out_rst,
-    out_clk    => out_clk,
-    out_pulse  => out_en
-  );
+    port map (
+      in_rst     => in_rst,
+      in_clk     => in_clk,
+      in_pulse   => cross_req,
+      in_busy    => cross_busy,
+      out_rst    => out_rst,
+      out_clk    => out_clk,
+      out_pulse  => out_en
+    );
 
   ------------------------------------------------------------------------------
   -- out_clk domain
diff --git a/libraries/base/common/src/vhdl/common_reg_r_w.vhd b/libraries/base/common/src/vhdl/common_reg_r_w.vhd
index 571de7cad2..4e2d279d82 100644
--- a/libraries/base/common/src/vhdl/common_reg_r_w.vhd
+++ b/libraries/base/common/src/vhdl/common_reg_r_w.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 -- Derived from LOFAR cfg_single_reg
 
@@ -117,17 +117,17 @@ begin
 
   -- Pipeline to support read data latency > 1
   u_pipe_rd : entity work.common_pipeline
-  generic map (
-    g_pipeline   => c_pipeline,
-    g_in_dat_w   => c_pipe_dat_w,
-    g_out_dat_w  => c_pipe_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => pipe_dat_in,
-    out_dat => pipe_dat_out
-  );
+    generic map (
+      g_pipeline   => c_pipeline,
+      g_in_dat_w   => c_pipe_dat_w,
+      g_out_dat_w  => c_pipe_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => pipe_dat_in,
+      out_dat => pipe_dat_out
+    );
 
   pipe_dat_in <= int_rd_val & int_rd_dat;
 
diff --git a/libraries/base/common/src/vhdl/common_reg_r_w_dc.vhd b/libraries/base/common/src/vhdl/common_reg_r_w_dc.vhd
index f6d2ba975a..d54077e213 100644
--- a/libraries/base/common/src/vhdl/common_reg_r_w_dc.vhd
+++ b/libraries/base/common/src/vhdl/common_reg_r_w_dc.vhd
@@ -52,9 +52,9 @@
 --   the data.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 entity common_reg_r_w_dc is
   generic (
@@ -112,27 +112,27 @@ begin
   sla_out <= i_sla_out;
 
   u_reg : entity work.common_reg_r_w
-  generic map (
-    g_reg      => g_reg,
-    g_init_reg => g_init_reg
-  )
-  port map (
-    rst         => mm_rst,
-    clk         => mm_clk,
-    -- control side
-    wr_en       => sla_in.wr,
-    wr_adr      => sla_in.address(g_reg.adr_w - 1 downto 0),
-    wr_dat      => sla_in.wrdata(g_reg.dat_w - 1 downto 0),
-    rd_en       => sla_in.rd,
-    rd_adr      => sla_in.address(g_reg.adr_w - 1 downto 0),
-    rd_dat      => i_sla_out.rddata(g_reg.dat_w - 1 downto 0),
-    rd_val      => i_sla_out.rdval,
-    -- data side
-    reg_wr_arr  => vector_wr_arr,
-    reg_rd_arr  => vector_rd_arr,
-    out_reg     => out_vector,
-    in_reg      => in_vector
-  );
+    generic map (
+      g_reg      => g_reg,
+      g_init_reg => g_init_reg
+    )
+    port map (
+      rst         => mm_rst,
+      clk         => mm_clk,
+      -- control side
+      wr_en       => sla_in.wr,
+      wr_adr      => sla_in.address(g_reg.adr_w - 1 downto 0),
+      wr_dat      => sla_in.wrdata(g_reg.dat_w - 1 downto 0),
+      rd_en       => sla_in.rd,
+      rd_adr      => sla_in.address(g_reg.adr_w - 1 downto 0),
+      rd_dat      => i_sla_out.rddata(g_reg.dat_w - 1 downto 0),
+      rd_val      => i_sla_out.rdval,
+      -- data side
+      reg_wr_arr  => vector_wr_arr,
+      reg_rd_arr  => vector_rd_arr,
+      out_reg     => out_vector,
+      in_reg      => in_vector
+    );
 
 
   ------------------------------------------------------------------------------
@@ -168,51 +168,51 @@ begin
 
     gen_rd : if g_readback = false generate
       u_in_vector : entity work.common_reg_cross_domain
-      generic map (
-        g_in_new_latency => g_in_new_latency
+        generic map (
+          g_in_new_latency => g_in_new_latency
+        )
+        port map (
+          in_rst      => st_rst,
+          in_clk      => st_clk,
+          in_new      => in_new,
+          in_dat      => in_reg,
+          in_done     => OPEN,
+          out_rst     => mm_rst,
+          out_clk     => mm_clk,
+          out_dat     => in_vector,
+          out_new     => open
+        );
+    end generate;
+
+    u_out_reg : entity work.common_reg_cross_domain
+      generic map(
+        g_out_dat_init => g_init_reg
       )
       port map (
-        in_rst      => st_rst,
-        in_clk      => st_clk,
-        in_new      => in_new,
-        in_dat      => in_reg,
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => out_vector,
         in_done     => OPEN,
-        out_rst     => mm_rst,
-        out_clk     => mm_clk,
-        out_dat     => in_vector,
-        out_new     => open
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => out_reg,
+        out_new     => out_new_i
       );
-    end generate;
-
-    u_out_reg : entity work.common_reg_cross_domain
-    generic map(
-      g_out_dat_init => g_init_reg
-    )
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => out_vector,
-      in_done     => OPEN,
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => out_reg,
-      out_new     => out_new_i
-    );
 
     u_toggle : entity work.common_switch
-    generic map (
-      g_rst_level    => '0',
-      g_priority_lo  => false,
-      g_or_high      => false,
-      g_and_low      => false
-    )
-    port map (
-      rst         => st_rst,
-      clk         => st_clk,
-      switch_high => wr_pulse,
-      switch_low  => out_new_i,
-      out_level   => toggle
-    );
+      generic map (
+        g_rst_level    => '0',
+        g_priority_lo  => false,
+        g_or_high      => false,
+        g_and_low      => false
+      )
+      port map (
+        rst         => st_rst,
+        clk         => st_clk,
+        switch_high => wr_pulse,
+        switch_low  => out_new_i,
+        out_level   => toggle
+      );
 
     wr_pulse   <= '0' when vector_or(reg_wr_arr_i) = '0' else '1';
     out_new    <= out_new_i and toggle;
@@ -220,26 +220,26 @@ begin
 
     gen_access_evt : for I in 0 to g_reg.nof_dat - 1 generate
       u_reg_wr_arr : entity work.common_spulse
-      port map (
-        in_rst    => mm_rst,
-        in_clk    => mm_clk,
-        in_pulse  => vector_wr_arr(I),
-        in_busy   => OPEN,
-        out_rst   => st_rst,
-        out_clk   => st_clk,
-        out_pulse => reg_wr_arr_i(I)
-      );
+        port map (
+          in_rst    => mm_rst,
+          in_clk    => mm_clk,
+          in_pulse  => vector_wr_arr(I),
+          in_busy   => OPEN,
+          out_rst   => st_rst,
+          out_clk   => st_clk,
+          out_pulse => reg_wr_arr_i(I)
+        );
 
       u_reg_rd_arr : entity work.common_spulse
-      port map (
-        in_rst    => mm_rst,
-        in_clk    => mm_clk,
-        in_pulse  => vector_rd_arr(I),
-        in_busy   => OPEN,
-        out_rst   => st_rst,
-        out_clk   => st_clk,
-        out_pulse => reg_rd_arr(I)
-      );
+        port map (
+          in_rst    => mm_rst,
+          in_clk    => mm_clk,
+          in_pulse  => vector_rd_arr(I),
+          in_busy   => OPEN,
+          out_rst   => st_rst,
+          out_clk   => st_clk,
+          out_pulse => reg_rd_arr(I)
+        );
     end generate;
 
   end generate;  -- gen_cross
diff --git a/libraries/base/common/src/vhdl/common_reinterleave.vhd b/libraries/base/common/src/vhdl/common_reinterleave.vhd
index 524f0a9e46..95d355cf9a 100644
--- a/libraries/base/common/src/vhdl/common_reinterleave.vhd
+++ b/libraries/base/common/src/vhdl/common_reinterleave.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose:
 --   Deinterleave g_nof_in inputs based on g_deint_block_size and re-interleave
@@ -41,7 +41,7 @@ entity common_reinterleave is
     g_inter_block_size : natural;
     g_dat_w          : natural;
     g_align_out  : boolean := false
- );
+  );
   port (
     clk         : in  std_logic;
     rst         : in  std_logic;
@@ -74,14 +74,14 @@ architecture rtl of common_reinterleave is
   constant c_wires_only        : boolean := g_nof_in = 1 and g_nof_out = 1;
 
   constant c_nof_deint : natural := sel_a_b( c_interleave_only,   0,
-                                    sel_a_b( c_deinterleave_only, 1,
-                                    sel_a_b( c_wires_only,        0,
-                                                                  g_nof_in)));
+                                            sel_a_b( c_deinterleave_only, 1,
+                                                     sel_a_b( c_wires_only,        0,
+                                                              g_nof_in)));
 
   constant c_nof_inter : natural := sel_a_b( c_interleave_only,   1,
-                                    sel_a_b( c_deinterleave_only, 0,
-                                    sel_a_b( c_wires_only,        0,
-                                                                  g_nof_out)));
+                                            sel_a_b( c_deinterleave_only, 0,
+                                                     sel_a_b( c_wires_only,        0,
+                                                              g_nof_out)));
 
   constant c_nof_deint_out : natural := g_nof_out;
   constant c_nof_inter_in  : natural := g_nof_in;
@@ -144,22 +144,22 @@ begin
     deint_in_val        <= in_val;
 
     u_deinterleave : entity work.common_deinterleave
-    generic map (
-      g_nof_out => c_nof_deint_out,
-      g_dat_w   => g_dat_w,
-      g_block_size => g_deint_block_size,
-      g_align_out => g_align_out
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-
-      in_dat     => deint_in_dat_arr(i),
-      in_val     => deint_in_val,
-
-      out_dat    => deint_out_concat_dat_arr(i),
-      out_val    => deint_out_concat_val_arr(i)
-    );
+      generic map (
+        g_nof_out => c_nof_deint_out,
+        g_dat_w   => g_dat_w,
+        g_block_size => g_deint_block_size,
+        g_align_out => g_align_out
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+
+        in_dat     => deint_in_dat_arr(i),
+        in_val     => deint_in_val,
+
+        out_dat    => deint_out_concat_dat_arr(i),
+        out_val    => deint_out_concat_val_arr(i)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -220,21 +220,21 @@ begin
   -----------------------------------------------------------------------------
   gen_inter: for i in 0 to c_nof_inter - 1 generate
     u_interleave : entity work.common_interleave
-    generic map (
-      g_nof_in     => c_nof_inter_in,
-      g_dat_w      => g_dat_w,
-      g_block_size => g_inter_block_size
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-
-      in_dat     => inter_in_concat_dat_arr(i),
-      in_val     => inter_in_concat_val_arr(i)(0),  -- All input streams are valid at the same time.
-
-      out_dat    => inter_out_dat_arr(i),
-      out_val    => inter_out_val(i)
-    );
+      generic map (
+        g_nof_in     => c_nof_inter_in,
+        g_dat_w      => g_dat_w,
+        g_block_size => g_inter_block_size
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+
+        in_dat     => inter_in_concat_dat_arr(i),
+        in_val     => inter_in_concat_val_arr(i)(0),  -- All input streams are valid at the same time.
+
+        out_dat    => inter_out_dat_arr(i),
+        out_val    => inter_out_val(i)
+      );
 
     out_dat( i * g_dat_w + g_dat_w - 1 downto i * g_dat_w) <= inter_out_dat_arr(i);
     out_val(i)                                      <= inter_out_val(i);
diff --git a/libraries/base/common/src/vhdl/common_reorder_symbol.vhd b/libraries/base/common/src/vhdl/common_reorder_symbol.vhd
index 43b0801d19..7acfb84f75 100644
--- a/libraries/base/common/src/vhdl/common_reorder_symbol.vhd
+++ b/libraries/base/common/src/vhdl/common_reorder_symbol.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Reorder symbols from input data stream
 --
@@ -131,7 +131,7 @@ architecture rtl of common_reorder_symbol is
   type t_select_2arr is array (integer range <>) of t_natural_arr(g_nof_select - 1 downto 0);  -- all stages
 
   -- Perform the basic two port reorder cell function, see description section for explanation
-  function func_reorder2(data : t_symbol_arr(1 downto 0); sel : natural) return t_symbol_arr is
+  function func_reorder2 (data : t_symbol_arr(1 downto 0); sel : natural) return t_symbol_arr is
     variable v_sel   : std_logic_vector(1 downto 0) := TO_UVEC(sel, 2);
     variable v_data  : t_symbol_arr(1 downto 0);
   begin
@@ -157,17 +157,17 @@ begin
 
     -- optional input pipelining
     u_pipe_input : entity work.common_pipeline
-    generic map (
-      g_pipeline   => c_pipeline_arr(0),
-      g_in_dat_w   => g_symbol_w,
-      g_out_dat_w  => g_symbol_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => reorder_2arr(-1)(J),
-      out_dat => reorder_2arr(0)(J)
-    );
+      generic map (
+        g_pipeline   => c_pipeline_arr(0),
+        g_in_dat_w   => g_symbol_w,
+        g_out_dat_w  => g_symbol_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => reorder_2arr(-1)(J),
+        out_dat => reorder_2arr(0)(J)
+      );
   end generate;
 
   -- in_select
@@ -177,16 +177,16 @@ begin
 
     -- align in_select to the optional input pipelining
     u_pipe_input : entity work.common_pipeline_natural
-    generic map (
-      g_pipeline => c_pipeline_arr(0),
-      g_dat_w    => g_select_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => select_2arr(-1)(K),
-      out_dat => select_2arr(0)(K)
-    );
+      generic map (
+        g_pipeline => c_pipeline_arr(0),
+        g_dat_w    => g_select_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => select_2arr(-1)(K),
+        out_dat => select_2arr(0)(K)
+      );
   end generate;
 
 
@@ -204,32 +204,32 @@ begin
 
       -- optional pipelining per reorder stage
       u_pipe_stage : entity work.common_pipeline
-      generic map (
-        g_pipeline   => c_pipeline_arr(I),
-        g_in_dat_w   => g_symbol_w,
-        g_out_dat_w  => g_symbol_w
-      )
-      port map (
-        rst     => rst,
-        clk     => clk,
-        in_dat  => nxt_reorder_2arr(I)(J),
-        out_dat => reorder_2arr(I)(J)
-      );
+        generic map (
+          g_pipeline   => c_pipeline_arr(I),
+          g_in_dat_w   => g_symbol_w,
+          g_out_dat_w  => g_symbol_w
+        )
+        port map (
+          rst     => rst,
+          clk     => clk,
+          in_dat  => nxt_reorder_2arr(I)(J),
+          out_dat => reorder_2arr(I)(J)
+        );
     end generate;
 
     -- align in_select to the optional pipelining per reorder stage
     gen_select : for K in 0 to g_nof_select - 1 generate
       u_pipe_stage : entity work.common_pipeline_natural
-      generic map (
-        g_pipeline => c_pipeline_arr(I),
-        g_dat_w    => g_select_w
-      )
-      port map (
-        rst     => rst,
-        clk     => clk,
-        in_dat  => select_2arr(I - 1)(K),
-        out_dat => select_2arr(I)(K)
-      );
+        generic map (
+          g_pipeline => c_pipeline_arr(I),
+          g_dat_w    => g_select_w
+        )
+        port map (
+          rst     => rst,
+          clk     => clk,
+          in_dat  => select_2arr(I - 1)(K),
+          out_dat => select_2arr(I)(K)
+        );
     end generate;
   end generate;
 
@@ -248,47 +248,47 @@ begin
   ------------------------------------------------------------------------------
 
   u_out_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => out_val
+    );
 
   u_out_sop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sop,
-    out_dat => out_sop
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sop,
+      out_dat => out_sop
+    );
 
   u_out_eop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_eop,
-    out_dat => out_eop
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_eop,
+      out_dat => out_eop
+    );
 
   u_out_sync : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sync,
-    out_dat => out_sync
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sync,
+      out_dat => out_sync
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_requantize.vhd b/libraries/base/common/src/vhdl/common_requantize.vhd
index e733f00704..f32b51d0fa 100644
--- a/libraries/base/common/src/vhdl/common_requantize.vhd
+++ b/libraries/base/common/src/vhdl/common_requantize.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Requantize the input data to the output data width by removing
 --          LSbits and/or MSbits
@@ -55,14 +55,14 @@ entity common_requantize is
   generic (
     g_representation      : string  := "SIGNED";  -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
     g_lsb_w               : integer := 4;  -- when > 0, number of LSbits to remove from in_dat
-                                                  -- when < 0, number of LSBits to insert as a gain before resize to out_dat'LENGTH
-                                                  -- when 0 then no effect
+    -- when < 0, number of LSBits to insert as a gain before resize to out_dat'LENGTH
+    -- when 0 then no effect
     g_lsb_round           : boolean := true;  -- when TRUE round else truncate the input LSbits
     g_lsb_round_clip      : boolean := false;  -- when TRUE round clip to +max to avoid wrapping to output -min (signed) or 0 (unsigned) due to rounding
     g_lsb_round_even      : boolean := true;  -- when TRUE round half to even, else round half away from zero
     g_msb_clip            : boolean := true;  -- when TRUE CLIP else WRAP the input MSbits
     g_msb_clip_symmetric  : boolean := false;  -- when TRUE clip signed symmetric to +c_smax and -c_smax, else to +c_smax and c_smin_symm
-                                                  -- for wrapping when g_msb_clip=FALSE the g_msb_clip_symmetric is ignored, so signed wrapping is done asymmetric
+    -- for wrapping when g_msb_clip=FALSE the g_msb_clip_symmetric is ignored, so signed wrapping is done asymmetric
     g_gain_w              : natural := 0;  -- do not use, must be 0, use negative g_lsb_w instead
     g_pipeline_remove_lsb : natural := 0;  -- >= 0
     g_pipeline_remove_msb : natural := 0;  -- >= 0, use g_pipeline_remove_lsb=0 and g_pipeline_remove_msb=0 for combinatorial output
@@ -98,41 +98,41 @@ begin
 
   -- Remove LSBits using ROUND or TRUNCATE
   u_remove_lsb : entity common_lib.common_round
-  generic map (
-    g_representation  => g_representation,
-    g_round           => g_lsb_round,
-    g_round_clip      => g_lsb_round_clip,
-    g_round_even      => g_lsb_round_even,
-    g_pipeline_input  => 0,
-    g_pipeline_output => g_pipeline_remove_lsb,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => c_rem_dat_w
-  )
-  port map (
-    clk        => clk,
-    clken      => clken,
-    in_dat     => in_dat(g_in_dat_w - 1 downto 0),
-    out_dat    => rem_dat
-  );
+    generic map (
+      g_representation  => g_representation,
+      g_round           => g_lsb_round,
+      g_round_clip      => g_lsb_round_clip,
+      g_round_even      => g_lsb_round_even,
+      g_pipeline_input  => 0,
+      g_pipeline_output => g_pipeline_remove_lsb,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => c_rem_dat_w
+    )
+    port map (
+      clk        => clk,
+      clken      => clken,
+      in_dat     => in_dat(g_in_dat_w - 1 downto 0),
+      out_dat    => rem_dat
+    );
 
   -- Remove MSBits using CLIP or WRAP
   u_remove_msb : entity common_lib.common_resize
-  generic map (
-    g_representation  => g_representation,
-    g_pipeline_input  => 0,
-    g_pipeline_output => g_pipeline_remove_msb,
-    g_clip            => g_msb_clip,
-    g_clip_symmetric  => g_msb_clip_symmetric,
-    g_in_dat_w        => c_rem_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk        => clk,
-    clken      => clken,
-    in_dat     => rem_dat,
-    out_dat    => res_dat,
-    out_ovr    => out_ovr
-  );
+    generic map (
+      g_representation  => g_representation,
+      g_pipeline_input  => 0,
+      g_pipeline_output => g_pipeline_remove_msb,
+      g_clip            => g_msb_clip,
+      g_clip_symmetric  => g_msb_clip_symmetric,
+      g_in_dat_w        => c_rem_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk        => clk,
+      clken      => clken,
+      in_dat     => rem_dat,
+      out_dat    => res_dat,
+      out_ovr    => out_ovr
+    );
 
   -- Output gain
   gain_dat(g_out_dat_w + c_gain_w - 1 downto c_gain_w) <= res_dat;
diff --git a/libraries/base/common/src/vhdl/common_request.vhd b/libraries/base/common/src/vhdl/common_request.vhd
index 083480a2c4..7a1d796d40 100644
--- a/libraries/base/common/src/vhdl/common_request.vhd
+++ b/libraries/base/common/src/vhdl/common_request.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_request is
   port (
@@ -83,14 +83,14 @@ begin
   in_req_evt <= in_req_reg and not in_req_prev;
 
   u_protocol_act : entity work.common_switch
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => clken,
-    switch_high => in_req_evt,
-    switch_low  => out_req,
-    out_level   => req_pending
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => clken,
+      switch_high => in_req_evt,
+      switch_low  => out_req,
+      out_level   => req_pending
+    );
 
   out_req <= req_pending and sync_reg;
   nxt_out_req_evt <= out_req and not out_req_prev;
diff --git a/libraries/base/common/src/vhdl/common_resize.vhd b/libraries/base/common/src/vhdl/common_resize.vhd
index 07d3bc37ca..af5373694f 100644
--- a/libraries/base/common/src/vhdl/common_resize.vhd
+++ b/libraries/base/common/src/vhdl/common_resize.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use work.common_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use work.common_pkg.all;
 
 entity common_resize is
   generic (
     g_representation  : string  := "SIGNED";  -- SIGNED or UNSIGNED resizing
     g_clip            : boolean := false;  -- when TRUE clip input if it is outside the output range, else wrap
     g_clip_symmetric  : boolean := false;  -- when TRUE clip signed symmetric to +c_smax and -c_smax, else to +c_smax and c_smin_symm
-                                              -- for wrapping when g_clip=FALSE the g_clip_symmetric is ignored, so signed wrapping is done asymmetric
+    -- for wrapping when g_clip=FALSE the g_clip_symmetric is ignored, so signed wrapping is done asymmetric
     g_pipeline_input  : natural := 0;  -- >= 0
     g_pipeline_output : natural := 1;  -- >= 0
     g_in_dat_w        : integer := 36;
@@ -69,18 +69,18 @@ architecture rtl of common_resize is
 begin
 
   u_input_pipe : entity work.common_pipeline  -- pipeline input
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => g_pipeline_input,
-    g_in_dat_w       => g_in_dat_w,
-    g_out_dat_w      => g_in_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_dat,
-    out_dat => reg_dat
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => g_pipeline_input,
+      g_in_dat_w       => g_in_dat_w,
+      g_out_dat_w      => g_in_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_dat,
+      out_dat => reg_dat
+    );
 
   no_clip : if c_clip = false generate
     -- Note that g_pipeline_input=0 AND g_clip=FALSE is equivalent to using RESIZE_SVEC or RESIZE_UVEC directly.
@@ -119,18 +119,18 @@ begin
   res_vec <= res_ovr & res_dat;
 
   u_output_pipe : entity work.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => g_pipeline_output,
-    g_in_dat_w       => g_out_dat_w + 1,
-    g_out_dat_w      => g_out_dat_w + 1
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => res_vec,
-    out_dat => out_vec
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => g_pipeline_output,
+      g_in_dat_w       => g_out_dat_w + 1,
+      g_out_dat_w      => g_out_dat_w + 1
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => res_vec,
+      out_dat => out_vec
+    );
 
   out_ovr <= out_vec(g_out_dat_w);
   out_dat <= out_vec(g_out_dat_w - 1 downto 0);
diff --git a/libraries/base/common/src/vhdl/common_reverse_n_data.vhd b/libraries/base/common/src/vhdl/common_reverse_n_data.vhd
index 9794be857f..6bd1f5d97e 100644
--- a/libraries/base/common/src/vhdl/common_reverse_n_data.vhd
+++ b/libraries/base/common/src/vhdl/common_reverse_n_data.vhd
@@ -19,9 +19,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Author:
 -- . Eric Kooistra, 14 Feb 2023
@@ -123,23 +123,23 @@ begin
   end process;
 
   u_common_demultiplexer : entity work.common_demultiplexer
-  generic map (
-    g_pipeline_in  => g_pipeline_demux_in,
-    g_pipeline_out => g_pipeline_demux_out,
-    g_nof_out      => g_reverse_len,
-    g_dat_w        => g_data_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    in_dat      => in_data,
-    in_val      => in_val,
-
-    out_sel     => in_sel,
-    out_dat     => demux_data_vec,
-    out_val     => demux_val_vec
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline_demux_in,
+      g_pipeline_out => g_pipeline_demux_out,
+      g_nof_out      => g_reverse_len,
+      g_dat_w        => g_data_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      in_dat      => in_data,
+      in_val      => in_val,
+
+      out_sel     => in_sel,
+      out_dat     => demux_data_vec,
+      out_val     => demux_val_vec
+    );
 
   -- All g_reverse_len parts in demux_data_vec carry the same data, the
   -- demux_val_vec determines for which demux stream it is. Use demux_data
@@ -189,63 +189,63 @@ begin
   --
   gen_reverse : for I in 0 to g_reverse_len - 1 generate
     u_reverse_data : entity work.common_pipeline
+      generic map (
+        g_pipeline   => 2 * I,
+        g_in_dat_w   => g_data_w,
+        g_out_dat_w  => g_data_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => demux_data_vec((g_reverse_len - 1 - I + 1) * g_data_w - 1 downto (g_reverse_len - 1 - I) * g_data_w),
+        out_dat => reverse_data_vec((I + 1) * g_data_w - 1 downto I * g_data_w)
+      );
+
+    u_reverse_val : entity work.common_pipeline_sl
+      generic map (
+        g_pipeline  => 2 * I
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => demux_val_vec(g_reverse_len - 1 - I),
+        out_dat => reverse_val_vec(I)
+      );
+  end generate;
+
+  reverse_val <= vector_or(reverse_val_vec);
+
+  -- pipeline in_sel to align reverse_sel to reverse_data_vec and reverse_val_vec
+  u_pipe_sel : entity work.common_pipeline
     generic map (
-      g_pipeline   => 2 * I,
-      g_in_dat_w   => g_data_w,
-      g_out_dat_w  => g_data_w
+      g_pipeline  => g_pipeline_demux_in + g_pipeline_demux_out + g_reverse_len - 1,
+      g_in_dat_w  => c_sel_w,
+      g_out_dat_w => c_sel_w
     )
     port map (
       rst     => rst,
       clk     => clk,
-      in_dat  => demux_data_vec((g_reverse_len - 1 - I + 1) * g_data_w - 1 downto (g_reverse_len - 1 - I) * g_data_w),
-      out_dat => reverse_data_vec((I + 1) * g_data_w - 1 downto I * g_data_w)
+      in_dat  => in_sel,
+      out_dat => reverse_sel
     );
 
-    u_reverse_val : entity work.common_pipeline_sl
+  u_common_multiplexer : entity work.common_multiplexer
     generic map (
-      g_pipeline  => 2 * I
+      g_pipeline_in  => g_pipeline_mux_in,
+      g_pipeline_out => g_pipeline_mux_out,
+      g_nof_in       => g_reverse_len,
+      g_dat_w        => g_data_w
     )
     port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => demux_val_vec(g_reverse_len - 1 - I),
-      out_dat => reverse_val_vec(I)
-    );
-  end generate;
-
-  reverse_val <= vector_or(reverse_val_vec);
+      rst         => rst,
+      clk         => clk,
 
-  -- pipeline in_sel to align reverse_sel to reverse_data_vec and reverse_val_vec
-  u_pipe_sel : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline_demux_in + g_pipeline_demux_out + g_reverse_len - 1,
-    g_in_dat_w  => c_sel_w,
-    g_out_dat_w => c_sel_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sel,
-    out_dat => reverse_sel
-  );
+      in_sel      => reverse_sel,
+      in_dat      => reverse_data_vec,
+      in_val      => reverse_val,
 
-  u_common_multiplexer : entity work.common_multiplexer
-  generic map (
-    g_pipeline_in  => g_pipeline_mux_in,
-    g_pipeline_out => g_pipeline_mux_out,
-    g_nof_in       => g_reverse_len,
-    g_dat_w        => g_data_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    in_sel      => reverse_sel,
-    in_dat      => reverse_data_vec,
-    in_val      => reverse_val,
-
-    out_dat     => out_data,
-    out_val     => out_val  -- = in_val delayed by c_pipeline_total
-  );
+      out_dat     => out_data,
+      out_val     => out_val  -- = in_val delayed by c_pipeline_total
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_rl_decrease.vhd b/libraries/base/common/src/vhdl/common_rl_decrease.vhd
index c7c12eb69c..1698251904 100644
--- a/libraries/base/common/src/vhdl/common_rl_decrease.vhd
+++ b/libraries/base/common/src/vhdl/common_rl_decrease.vhd
@@ -23,7 +23,7 @@
 -- >>> Ported from UniBoard dp_latency_adapter for fixed RL 0 --> 1
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose: Adapt from ready latency 1 to 0 to make a look ahead FIFO
 -- Description: -
diff --git a/libraries/base/common/src/vhdl/common_rl_increase.vhd b/libraries/base/common/src/vhdl/common_rl_increase.vhd
index 84317884ec..28e8ff5117 100644
--- a/libraries/base/common/src/vhdl/common_rl_increase.vhd
+++ b/libraries/base/common/src/vhdl/common_rl_increase.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- >>> Ported from UniBoard dp_latency_increase for fixed RL 0 --> 1
 --
diff --git a/libraries/base/common/src/vhdl/common_rl_register.vhd b/libraries/base/common/src/vhdl/common_rl_register.vhd
index 0744e5f19a..44ae61d8e1 100644
--- a/libraries/base/common/src/vhdl/common_rl_register.vhd
+++ b/libraries/base/common/src/vhdl/common_rl_register.vhd
@@ -23,7 +23,7 @@
 -- >>> Ported from UniBoard dp_pipeline_ready for fixed RL 1 --> 0 --> 1
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose: Register both the data and the ready by going from RL=1 to 0 to 1.
 -- Description: -
@@ -68,40 +68,40 @@ architecture str of common_rl_register is
 begin
 
   u_rl0 : entity common_lib.common_rl_decrease
-  generic map (
-    g_adapt       => g_adapt,
-    g_dat_w       => g_dat_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST sink: RL = 1
-    snk_out_ready => snk_out_ready,
-    snk_in_dat    => snk_in_dat,
-    snk_in_val    => snk_in_val,
-    -- ST source: RL = 0
-    src_in_ready  => reg_ready,
-    src_out_dat   => reg_dat,
-    src_out_val   => reg_val
-  );
+    generic map (
+      g_adapt       => g_adapt,
+      g_dat_w       => g_dat_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST sink: RL = 1
+      snk_out_ready => snk_out_ready,
+      snk_in_dat    => snk_in_dat,
+      snk_in_val    => snk_in_val,
+      -- ST source: RL = 0
+      src_in_ready  => reg_ready,
+      src_out_dat   => reg_dat,
+      src_out_val   => reg_val
+    );
 
   u_rl1 : entity common_lib.common_rl_increase
-  generic map (
-    g_adapt       => g_adapt,
-    g_hold_dat_en => g_hold_dat_en,
-    g_dat_w       => g_dat_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- Sink
-    snk_out_ready => reg_ready,  -- sink RL = 0
-    snk_in_dat    => reg_dat,
-    snk_in_val    => reg_val,
-    -- Source
-    src_in_ready  => src_in_ready,  -- source RL = 1
-    src_out_dat   => src_out_dat,
-    src_out_val   => src_out_val
-  );
+    generic map (
+      g_adapt       => g_adapt,
+      g_hold_dat_en => g_hold_dat_en,
+      g_dat_w       => g_dat_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- Sink
+      snk_out_ready => reg_ready,  -- sink RL = 0
+      snk_in_dat    => reg_dat,
+      snk_in_val    => reg_val,
+      -- Source
+      src_in_ready  => src_in_ready,  -- source RL = 1
+      src_out_dat   => src_out_dat,
+      src_out_val   => src_out_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_rom.vhd b/libraries/base/common/src/vhdl/common_rom.vhd
index f899344c7c..8bb8f998ce 100644
--- a/libraries/base/common/src/vhdl/common_rom.vhd
+++ b/libraries/base/common/src/vhdl/common_rom.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_rom is
   generic (
@@ -49,22 +49,22 @@ begin
   -- Only use the read port
 
   u_r_w : entity work.common_ram_r_w
-  generic map (
-    g_technology => g_technology,
-    g_ram        => g_ram,
-    g_init_file  => g_init_file
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    clken     => clken,
-    wr_en     => '0',
-    --wr_adr    => (OTHERS=>'0'),
-    --wr_dat    => (OTHERS=>'0'),
-    rd_en     => rd_en,
-    rd_adr    => rd_adr,
-    rd_dat    => rd_dat,
-    rd_val    => rd_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => g_ram,
+      g_init_file  => g_init_file
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      clken     => clken,
+      wr_en     => '0',
+      --wr_adr    => (OTHERS=>'0'),
+      --wr_dat    => (OTHERS=>'0'),
+      rd_en     => rd_en,
+      rd_adr    => rd_adr,
+      rd_dat    => rd_dat,
+      rd_val    => rd_val
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_round.vhd b/libraries/base/common/src/vhdl/common_round.vhd
index 16c232b6ef..06c52e6b12 100644
--- a/libraries/base/common/src/vhdl/common_round.vhd
+++ b/libraries/base/common/src/vhdl/common_round.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use work.common_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use work.common_pkg.all;
 
 entity common_round is
 
@@ -68,18 +68,18 @@ architecture rtl of common_round is
 begin
 
   u_input_pipe : entity work.common_pipeline
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline_input,
-    g_in_dat_w       => g_in_dat_w,
-    g_out_dat_w      => g_in_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_dat,
-    out_dat => reg_dat
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline_input,
+      g_in_dat_w       => g_in_dat_w,
+      g_out_dat_w      => g_in_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_dat,
+      out_dat => reg_dat
+    );
 
   -- Increase to out_dat width
   no_s : if c_remove_w <= 0 and g_representation = "SIGNED" generate
@@ -103,17 +103,17 @@ begin
   end generate;
 
   u_output_pipe : entity work.common_pipeline
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline_output,
-    g_in_dat_w       => g_out_dat_w,
-    g_out_dat_w      => g_out_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => res_dat,
-    out_dat => out_dat
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline_output,
+      g_in_dat_w       => g_out_dat_w,
+      g_out_dat_w      => g_out_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => res_dat,
+      out_dat => out_dat
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_select_m_symbols.vhd b/libraries/base/common/src/vhdl/common_select_m_symbols.vhd
index 8d03b932cf..1e3dbe67cd 100644
--- a/libraries/base/common/src/vhdl/common_select_m_symbols.vhd
+++ b/libraries/base/common/src/vhdl/common_select_m_symbols.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_components_pkg.all;
 
 -- Purpose: Select M symbols from an input data stream with N symbols
 -- Description:
@@ -112,32 +112,32 @@ begin
     in_select_arr(I) <= in_select_reg((I + 1) * c_sel_w - 1 downto I * c_sel_w);
 
     u_sel : entity work.common_select_symbol
-    generic map (
-      g_pipeline_in  => g_pipeline_in_m,
-      g_pipeline_out => g_pipeline_out,
-      g_nof_symbols  => g_nof_input,
-      g_symbol_w     => g_symbol_w,
-      g_sel_w        => c_sel_w
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-
-      in_data    => in_data_reg,
-      in_val     => in_val_reg,
-      in_sop     => in_sop_reg,
-      in_eop     => in_eop_reg,
-      in_sync    => in_sync_reg,
-
-      in_sel     => in_select_arr(I),
-      out_sel    => OPEN,
-
-      out_symbol => out_data_arr(I),
-      out_val    => out_val_arr(I),  -- pipelined in_val
-      out_sop    => out_sop_arr(I),  -- pipelined in_sop
-      out_eop    => out_eop_arr(I),  -- pipelined in_eop
-      out_sync   => out_sync_arr(I)  -- pipelined in_sync
-    );
+      generic map (
+        g_pipeline_in  => g_pipeline_in_m,
+        g_pipeline_out => g_pipeline_out,
+        g_nof_symbols  => g_nof_input,
+        g_symbol_w     => g_symbol_w,
+        g_sel_w        => c_sel_w
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+
+        in_data    => in_data_reg,
+        in_val     => in_val_reg,
+        in_sop     => in_sop_reg,
+        in_eop     => in_eop_reg,
+        in_sync    => in_sync_reg,
+
+        in_sel     => in_select_arr(I),
+        out_sel    => OPEN,
+
+        out_symbol => out_data_arr(I),
+        out_val    => out_val_arr(I),  -- pipelined in_val
+        out_sop    => out_sop_arr(I),  -- pipelined in_sop
+        out_eop    => out_eop_arr(I),  -- pipelined in_eop
+        out_sync   => out_sync_arr(I)  -- pipelined in_sync
+      );
 
     out_data((I + 1) * g_symbol_w - 1 downto I * g_symbol_w) <= out_data_arr(I);
   end generate;
diff --git a/libraries/base/common/src/vhdl/common_select_symbol.vhd b/libraries/base/common/src/vhdl/common_select_symbol.vhd
index 2b52a29174..04d89f1341 100644
--- a/libraries/base/common/src/vhdl/common_select_symbol.vhd
+++ b/libraries/base/common/src/vhdl/common_select_symbol.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_components_pkg.all;
 
 -- Purpose: Select symbol from input data stream
 -- Description:
diff --git a/libraries/base/common/src/vhdl/common_shiftram.vhd b/libraries/base/common/src/vhdl/common_shiftram.vhd
index 81c47fa48f..d704399758 100644
--- a/libraries/base/common/src/vhdl/common_shiftram.vhd
+++ b/libraries/base/common/src/vhdl/common_shiftram.vhd
@@ -50,11 +50,11 @@
 -- r0..r2 = register stages.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity common_shiftram is
   generic (
@@ -87,8 +87,13 @@ architecture rtl of common_shiftram is
   constant c_ram_data_w  : natural := g_data_w;
   constant c_ram_nof_dat : natural := g_nof_words;
   constant c_ram_init_sl : std_logic := '0';
-  constant c_ram         : t_c_mem := (c_ram_rl, c_ram_addr_w, c_ram_data_w,
-                                       c_ram_nof_dat, c_ram_init_sl);
+  constant c_ram         : t_c_mem := (
+    c_ram_rl,
+    c_ram_addr_w,
+    c_ram_data_w,
+    c_ram_nof_dat,
+    c_ram_init_sl
+  );
 
   signal ram_data_out     : std_logic_vector(g_data_w - 1 downto 0);
   signal ram_data_out_val : std_logic;
@@ -102,11 +107,13 @@ architecture rtl of common_shiftram is
     ram_wr_shift_incr : boolean;
   end record;
 
-  constant c_reg_0_defaults : t_reg_0 := ( (others => '0'),
-                                           (others => '0'),
-                                           '0',
-                                           (others => '0'),
-                                           false);
+  constant c_reg_0_defaults : t_reg_0 := (
+     (others => '0'),
+    (others => '0'),
+    '0',
+    (others => '0'),
+    false
+  );
 
   signal r0, nxt_r0 : t_reg_0 := c_reg_0_defaults;
 
@@ -117,9 +124,11 @@ architecture rtl of common_shiftram is
     ram_rd_shift    : std_logic_vector(c_ram_addr_w - 1 downto 0);
   end record;
 
-  constant c_reg_1_defaults : t_reg_1 := ( (others => '0'),
-                                           '0',
-                                           (others => '0'));
+  constant c_reg_1_defaults : t_reg_1 := (
+     (others => '0'),
+    '0',
+    (others => '0')
+  );
 
   signal r1, nxt_r1 : t_reg_1 := c_reg_1_defaults;
 
@@ -139,9 +148,11 @@ architecture rtl of common_shiftram is
     data_out_val      : std_logic;
   end record;
 
-  constant c_reg_3_defaults : t_reg_3 :=  ( (others => '0'),
-                                            (others => '0'),
-                                            '0');
+  constant c_reg_3_defaults : t_reg_3 :=  (
+     (others => '0'),
+    (others => '0'),
+    '0'
+  );
 
   signal r3, nxt_r3 : t_reg_3 := c_reg_3_defaults;
 
@@ -163,7 +174,7 @@ begin
 
     if data_in_val = '1' then
       -- Limit max shift to g_nof_words-2
-        v_data_in_shift := data_in_shift;
+      v_data_in_shift := data_in_shift;
 
       if v_data_in_shift = TO_UVEC(g_nof_words - 1, c_ram_addr_w) then
         v_data_in_shift := TO_UVEC(g_nof_words - 2, c_ram_addr_w);
@@ -256,30 +267,30 @@ begin
     nxt_r2 <= v;
   end process;
 
---  data_out_shift <= r2.data_out_shift;
+  --  data_out_shift <= r2.data_out_shift;
 
   -----------------------------------------------------------------------------
   -- RAM
   -----------------------------------------------------------------------------
   u_common_ram_r_w: entity common_lib.common_ram_r_w
-  generic map (
-    g_technology => g_technology,
-    g_ram       => c_ram,
-    g_init_file => "UNUSED",
-    g_true_dual_port => false
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    clken     => '1',
-    wr_en     => r0.ram_wr_en,
-    wr_adr    => r0.ram_wr_addr,
-    wr_dat    => r0.ram_wr_data,
-    rd_en     => r1.ram_rd_en,
-    rd_adr    => r1.ram_rd_addr,
-    rd_dat    => ram_data_out,
-    rd_val    => ram_data_out_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram       => c_ram,
+      g_init_file => "UNUSED",
+      g_true_dual_port => false
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      clken     => '1',
+      wr_en     => r0.ram_wr_en,
+      wr_adr    => r0.ram_wr_addr,
+      wr_dat    => r0.ram_wr_data,
+      rd_en     => r1.ram_rd_en,
+      rd_adr    => r1.ram_rd_addr,
+      rd_dat    => ram_data_out,
+      rd_val    => ram_data_out_val
+    );
 
   gen_outputs: if g_output_invalid_during_shift_incr = false generate
     data_out_shift <= r2.data_out_shift;
diff --git a/libraries/base/common/src/vhdl/common_shiftreg.vhd b/libraries/base/common/src/vhdl/common_shiftreg.vhd
index 4eccd0fb42..39e66d67f8 100644
--- a/libraries/base/common/src/vhdl/common_shiftreg.vhd
+++ b/libraries/base/common/src/vhdl/common_shiftreg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Shift register when in_val is active with optional flush at in_eop.
 -- Description:
@@ -138,16 +138,16 @@ begin
 
     -- Shift control
     u_flush : entity work.common_switch
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      switch_high => in_eop,
-      switch_low  => eop_arr(0),
-      out_level   => flush
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        switch_high => in_eop,
+        switch_low  => eop_arr(0),
+        out_level   => flush
+      );
 
     shift_en <= in_val or flush when g_flush_en = true else in_val or out_req;
 
@@ -190,68 +190,68 @@ begin
   out_eop      <= i_out_eop_vec(0);
 
   u_out_data_vec : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => g_nof_dat * g_dat_w,
-    g_out_dat_w => g_nof_dat * g_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => data_vec,
-    out_dat => i_out_data_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => g_nof_dat * g_dat_w,
+      g_out_dat_w => g_nof_dat * g_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => data_vec,
+      out_dat => i_out_data_vec
+    );
 
   u_out_val_vec : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => g_nof_dat,
-    g_out_dat_w => g_nof_dat
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => val_vec,
-    out_dat => i_out_val_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => g_nof_dat,
+      g_out_dat_w => g_nof_dat
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => val_vec,
+      out_dat => i_out_val_vec
+    );
 
   u_out_sop_vec : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => g_nof_dat,
-    g_out_dat_w => g_nof_dat
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => sop_vec,
-    out_dat => i_out_sop_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => g_nof_dat,
+      g_out_dat_w => g_nof_dat
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => sop_vec,
+      out_dat => i_out_sop_vec
+    );
 
   u_out_eop_vec : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => g_nof_dat,
-    g_out_dat_w => g_nof_dat
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => eop_vec,
-    out_dat => i_out_eop_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => g_nof_dat,
+      g_out_dat_w => g_nof_dat
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => eop_vec,
+      out_dat => i_out_eop_vec
+    );
 
   u_out_cnt : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => c_cnt_w,
-    g_out_dat_w => c_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_cnt,
-    out_dat => out_cnt
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => c_cnt_w,
+      g_out_dat_w => c_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_cnt,
+      out_dat => out_cnt
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_shiftreg_symbol.vhd b/libraries/base/common/src/vhdl/common_shiftreg_symbol.vhd
index 3d1b500feb..89495d826b 100644
--- a/libraries/base/common/src/vhdl/common_shiftreg_symbol.vhd
+++ b/libraries/base/common/src/vhdl/common_shiftreg_symbol.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Per symbol shift register of the input data stream
 -- Description:
@@ -73,26 +73,26 @@ begin
 
     -- pipeline per symbol
     u_shiftreg : entity work.common_shiftreg
-    generic map (
-      g_pipeline  => g_pipeline,
-      g_flush_en  => g_flush_en,
-      g_nof_dat   => g_shiftline_arr(I),
-      g_dat_w     => g_symbol_w
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-
-      in_dat       => in_dat_arr(I),
-      in_val       => in_val,
-      in_sop       => in_sop,
-      in_eop       => in_eop,
-
-      out_dat      => out_dat_arr(I),
-      out_val      => out_val_arr(I),
-      out_sop      => out_sop_arr(I),
-      out_eop      => out_eop_arr(I)
-    );
+      generic map (
+        g_pipeline  => g_pipeline,
+        g_flush_en  => g_flush_en,
+        g_nof_dat   => g_shiftline_arr(I),
+        g_dat_w     => g_symbol_w
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+
+        in_dat       => in_dat_arr(I),
+        in_val       => in_val,
+        in_sop       => in_sop,
+        in_eop       => in_eop,
+
+        out_dat      => out_dat_arr(I),
+        out_val      => out_val_arr(I),
+        out_sop      => out_sop_arr(I),
+        out_eop      => out_eop_arr(I)
+      );
 
     -- map arr to output vector
     out_data((I + 1) * g_symbol_w - 1 downto I * g_symbol_w) <= out_dat_arr(I);
diff --git a/libraries/base/common/src/vhdl/common_spulse.vhd b/libraries/base/common/src/vhdl/common_spulse.vhd
index 61d8e90541..20e2124e15 100644
--- a/libraries/base/common/src/vhdl/common_spulse.vhd
+++ b/libraries/base/common/src/vhdl/common_spulse.vhd
@@ -31,8 +31,8 @@
 --   the out_clk rate.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_spulse is
   generic (
@@ -64,14 +64,14 @@ architecture rtl of common_spulse is
 begin
 
   capture_in_pulse : entity work.common_switch
-  port map (
-    clk         => in_clk,
-    clken       => in_clken,
-    rst         => in_rst,
-    switch_high => in_pulse,
-    switch_low  => pulse_ack,
-    out_level   => in_level
-  );
+    port map (
+      clk         => in_clk,
+      clken       => in_clken,
+      rst         => in_rst,
+      switch_high => in_pulse,
+      switch_low  => pulse_ack,
+      out_level   => in_level
+    );
 
   in_busy <= in_level or pulse_ack;
 
diff --git a/libraries/base/common/src/vhdl/common_stable_delayed.vhd b/libraries/base/common/src/vhdl/common_stable_delayed.vhd
index e9ac7533ea..bd339ac58c 100644
--- a/libraries/base/common/src/vhdl/common_stable_delayed.vhd
+++ b/libraries/base/common/src/vhdl/common_stable_delayed.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   Output active r_in if it is still active after some delay.
@@ -84,15 +84,15 @@ begin
   end process;
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width  => g_delayed_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_width  => g_delayed_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_stable_monitor.vhd b/libraries/base/common/src/vhdl/common_stable_monitor.vhd
index 157a2a9674..dbc0270f77 100644
--- a/libraries/base/common/src/vhdl/common_stable_monitor.vhd
+++ b/libraries/base/common/src/vhdl/common_stable_monitor.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Monitor whether r_in did not go low since the previous ack
 -- Description:
@@ -64,30 +64,30 @@ begin
   nxt_r_stable <= r_in and not r_evt_occured;
 
   u_r_evt : entity work.common_evt
-  generic map (
-    g_evt_type => "BOTH",
-    g_out_reg  => false
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => r_in,
-    out_evt  => r_evt
-  );
+    generic map (
+      g_evt_type => "BOTH",
+      g_out_reg  => false
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => r_in,
+      out_evt  => r_evt
+    );
 
   u_r_evt_occured : entity work.common_switch
-  generic map (
-    g_rst_level    => '0',
-    g_priority_lo  => false,
-    g_or_high      => true,
-    g_and_low      => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => r_evt,
-    switch_low  => r_stable_ack,
-    out_level   => r_evt_occured
-  );
+    generic map (
+      g_rst_level    => '0',
+      g_priority_lo  => false,
+      g_or_high      => true,
+      g_and_low      => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => r_evt,
+      switch_low  => r_stable_ack,
+      out_level   => r_evt_occured
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_str_pkg.vhd b/libraries/base/common/src/vhdl/common_str_pkg.vhd
index af8f915c7b..e5ff2d146b 100644
--- a/libraries/base/common/src/vhdl/common_str_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_str_pkg.vhd
@@ -29,46 +29,46 @@
 -- . None
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use STD.TEXTIO.all;
-use IEEE.std_logic_textio.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use STD.TEXTIO.all;
+  use IEEE.std_logic_textio.all;
+  use common_lib.common_pkg.all;
 
 package common_str_pkg is
 
   type t_str_4_arr is array (integer range <>) of string(1 to 4);
 
-  function nof_digits(number: natural) return natural;
-  function nof_digits_int(number: integer) return natural;
+  function nof_digits (number: natural) return natural;
+  function nof_digits_int (number: integer) return natural;
 
-  function bool_to_str(bool : boolean) return string;
-  function time_to_str(in_time : time) return string;
-  function str_to_time(in_str : string) return time;
-  function slv_to_str(slv : std_logic_vector) return string;
-  function sl_to_str(sl : std_logic) return string;
-  function str_to_hex(str : string) return string;
-  function slv_to_hex(slv : std_logic_vector) return string;
-  function hex_to_slv(str : string) return std_logic_vector;
+  function bool_to_str (bool : boolean) return string;
+  function time_to_str (in_time : time) return string;
+  function str_to_time (in_str : string) return time;
+  function slv_to_str (slv : std_logic_vector) return string;
+  function sl_to_str (sl : std_logic) return string;
+  function str_to_hex (str : string) return string;
+  function slv_to_hex (slv : std_logic_vector) return string;
+  function hex_to_slv (str : string) return std_logic_vector;
 
-  function hex_nibble_to_slv(c: character) return std_logic_vector;
+  function hex_nibble_to_slv (c: character) return std_logic_vector;
 
-  function int_to_str(int: integer) return string;
-  function real_to_str(re: real; width : integer; digits : integer) return string;
+  function int_to_str (int: integer) return string;
+  function real_to_str (re: real; width : integer; digits : integer) return string;
 
-  procedure print_str(str : string);
-  procedure print_str(str: string; enable: boolean);
+  procedure print_str (str : string);
+  procedure print_str (str: string; enable: boolean);
 
-  function str_to_ascii_integer_arr(s: string) return t_integer_arr;
-  function str_to_ascii_slv_8_arr(  s: string) return t_slv_8_arr;
-  function str_to_ascii_slv_32_arr( s: string) return t_slv_32_arr;
-  function str_to_ascii_slv_32_arr( s: string; arr_size : natural) return t_slv_32_arr;
+  function str_to_ascii_integer_arr (s: string) return t_integer_arr;
+  function str_to_ascii_slv_8_arr (  s: string) return t_slv_8_arr;
+  function str_to_ascii_slv_32_arr ( s: string) return t_slv_32_arr;
+  function str_to_ascii_slv_32_arr ( s: string; arr_size : natural) return t_slv_32_arr;
 
 end common_str_pkg;
 
 package body common_str_pkg is
 
-  function nof_digits(number: natural) return natural is
+  function nof_digits (number: natural) return natural is
   -- Returns number of digits in a natural number. Only used in string processing, so defined here.
   -- log10(0) is not allowed so:
   -- . nof_digits(0) = 1
@@ -84,7 +84,7 @@ package body common_str_pkg is
     end if;
   end;
 
-  function nof_digits_int(number: integer) return natural is
+  function nof_digits_int (number: integer) return natural is
   -- Returns number of digits in a natural number. Only used in string processing, so defined here.
   -- log10(0) is not allowed so:
   -- . nof_digits(0) = 1
@@ -105,7 +105,7 @@ package body common_str_pkg is
     end if;
   end;
 
-  function bool_to_str(bool : boolean) return string is
+  function bool_to_str (bool : boolean) return string is
     constant c_max_len_bool : natural := 5;  -- "TRUE", "FALSE"
     variable v_line: LINE;
     variable v_str: string(1 to c_max_len_bool) := (others => ' ');
@@ -116,38 +116,38 @@ package body common_str_pkg is
     return v_str;
   end;
 
-  function time_to_str(in_time : time) return string is
+  function time_to_str (in_time : time) return string is
     constant c_max_len_time : natural := 20;
-  	variable v_line         : LINE;
-  	variable v_str          : string(1 to c_max_len_time) := (others => ' ');
+    variable v_line         : LINE;
+    variable v_str          : string(1 to c_max_len_time) := (others => ' ');
   begin
     write(v_line, in_time);
-  	v_str(v_line.ALL'range) := v_line.all;
-  	deallocate(v_line);
-  	return v_str;
+    v_str(v_line.ALL'range) := v_line.all;
+    deallocate(v_line);
+    return v_str;
   end;
 
-  function str_to_time(in_str : string) return time is
+  function str_to_time (in_str : string) return time is
   begin
     return time'value(in_str);
   end;
 
-  function slv_to_str(slv : std_logic_vector) return string is
+  function slv_to_str (slv : std_logic_vector) return string is
     variable v_line : LINE;
     variable v_str  : string(1 to slv'length) := (others => ' ');
   begin
-     write(v_line, slv);
-     v_str(v_line.ALL'range) := v_line.all;
-     deallocate(v_line);
-     return v_str;
+    write(v_line, slv);
+    v_str(v_line.ALL'range) := v_line.all;
+    deallocate(v_line);
+    return v_str;
   end;
 
-  function sl_to_str(sl : std_logic) return string is
+  function sl_to_str (sl : std_logic) return string is
   begin
-     return slv_to_str(slv(sl));
+    return slv_to_str(slv(sl));
   end;
 
-  function str_to_hex(str : string) return string is
+  function str_to_hex (str : string) return string is
     constant c_nof_nibbles : natural := ceil_div(str'LENGTH, c_nibble_w);
     variable v_nibble_arr  : t_str_4_arr(0 to c_nof_nibbles - 1) := (others => (others => '0'));
     variable v_hex         : string(1 to c_nof_nibbles) := (others => '0');
@@ -178,23 +178,23 @@ package body common_str_pkg is
     return v_hex;
   end;
 
-  function slv_to_hex(slv :std_logic_vector) return string is
+  function slv_to_hex (slv :std_logic_vector) return string is
   begin
     return str_to_hex(slv_to_str(slv));
   end;
 
-  function hex_to_slv(str: string) return std_logic_vector is
-  constant c_length : natural := str'length;
-  variable v_str    : string(1 to str'length) := str;  -- Keep local copy of str to prevent range mismatch
-  variable v_result : std_logic_vector(c_length * 4 - 1 downto 0);
+  function hex_to_slv (str: string) return std_logic_vector is
+    constant c_length : natural := str'length;
+    variable v_str    : string(1 to str'length) := str;  -- Keep local copy of str to prevent range mismatch
+    variable v_result : std_logic_vector(c_length * 4 - 1 downto 0);
   begin
-   for i in c_length downto 1 loop
-       v_result(3 + (c_length - i) * 4  downto (c_length - i) * 4) := hex_nibble_to_slv(v_str(i));
-   end loop;
-   return v_result;
+    for i in c_length downto 1 loop
+      v_result(3 + (c_length - i) * 4  downto (c_length - i) * 4) := hex_nibble_to_slv(v_str(i));
+    end loop;
+    return v_result;
   end;
 
-  function hex_nibble_to_slv(c: character) return std_logic_vector is
+  function hex_nibble_to_slv (c: character) return std_logic_vector is
     variable v_result : std_logic_vector(3 downto 0);
   begin
     case c is
@@ -225,12 +225,12 @@ package body common_str_pkg is
       when 'z' => v_result :=  "ZZZZ";
       when 'Z' => v_result :=  "ZZZZ";
 
-	    when others => v_result := "0000";
-     end case;
-   return v_result;
+      when others => v_result := "0000";
+    end case;
+    return v_result;
   end hex_nibble_to_slv;
 
-  function int_to_str(int: integer) return string is
+  function int_to_str (int: integer) return string is
     variable v_line: LINE;
     variable v_str: string(1 to nof_digits_int(int)) := (others => ' ');
   begin
@@ -240,7 +240,7 @@ package body common_str_pkg is
     return v_str;
   end;
 
-  function real_to_str(re: real; width : integer; digits : integer) return string is
+  function real_to_str (re: real; width : integer; digits : integer) return string is
     -- . The number length is width + 1, with +1 for the . in the floating point number.
     --   However if width is too small to fit the number, then it will use more characters.
     --   Therefore define sufficiently large v_str(20) and return actual number width or
@@ -262,7 +262,7 @@ package body common_str_pkg is
     end if;
   end;
 
-  procedure print_str(str: string) is
+  procedure print_str (str: string) is
     variable v_line: LINE;
   begin
     write(v_line, str);
@@ -270,7 +270,7 @@ package body common_str_pkg is
     deallocate(v_line);
   end;
 
-  procedure print_str(str: string; enable: boolean) is
+  procedure print_str (str: string; enable: boolean) is
     variable v_line: LINE;
   begin
     if enable then
@@ -278,7 +278,7 @@ package body common_str_pkg is
     end if;
   end;
 
-  function str_to_ascii_integer_arr(s: string) return t_integer_arr is
+  function str_to_ascii_integer_arr (s: string) return t_integer_arr is
     variable r: t_integer_arr(0 to s'right - 1);
   begin
     for i in s'range loop
@@ -287,7 +287,7 @@ package body common_str_pkg is
     return r;
   end;
 
-  function str_to_ascii_slv_8_arr(s: string) return t_slv_8_arr is
+  function str_to_ascii_slv_8_arr (s: string) return t_slv_8_arr is
     variable r: t_slv_8_arr(0 to s'right - 1);
   begin
     for i in s'range loop
@@ -297,7 +297,7 @@ package body common_str_pkg is
   end;
 
   -- Returns minimum array size required to fit the string
-  function str_to_ascii_slv_32_arr(s: string) return t_slv_32_arr is
+  function str_to_ascii_slv_32_arr (s: string) return t_slv_32_arr is
     constant c_slv_8: t_slv_8_arr(0 to s'right - 1) := str_to_ascii_slv_8_arr(s);
     constant c_bytes_per_word : natural := 4;
     -- Initialize all elements to (OTHERS=>'0') so any unused bytes become a NULL character
@@ -315,7 +315,7 @@ package body common_str_pkg is
   end;
 
   -- Overloaded version to match array size to arr_size
-  function str_to_ascii_slv_32_arr(s: string; arr_size: natural) return t_slv_32_arr is
+  function str_to_ascii_slv_32_arr (s: string; arr_size: natural) return t_slv_32_arr is
     constant slv_32: t_slv_32_arr(0 to ceil_div(s'right * c_byte_w, c_word_w) - 1) := str_to_ascii_slv_32_arr(s);
     variable r: t_slv_32_arr(0 to arr_size-1) := (others => (others => '0'));
   begin
diff --git a/libraries/base/common/src/vhdl/common_switch.vhd b/libraries/base/common/src/vhdl/common_switch.vhd
index 9b3ecd744d..3bcd783ed1 100644
--- a/libraries/base/common/src/vhdl/common_switch.vhd
+++ b/libraries/base/common/src/vhdl/common_switch.vhd
@@ -30,7 +30,7 @@
 --   switch_low are active simultaneously.
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity common_switch is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_toggle.vhd b/libraries/base/common/src/vhdl/common_toggle.vhd
index fbe06ef8dd..d49d98e128 100644
--- a/libraries/base/common/src/vhdl/common_toggle.vhd
+++ b/libraries/base/common/src/vhdl/common_toggle.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity common_toggle is
   generic (
@@ -68,18 +68,18 @@ begin
 
   -- Detect in_dat event
   u_in_evt : entity work.common_evt
-  generic map (
-    g_evt_type   => g_evt_type,
-    g_out_invert => false,
-    g_out_reg    => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    clken    => clken,
-    in_sig   => in_hld,
-    out_evt  => in_evt
-  );
+    generic map (
+      g_evt_type   => g_evt_type,
+      g_out_invert => false,
+      g_out_reg    => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      clken    => clken,
+      in_sig   => in_hld,
+      out_evt  => in_evt
+    );
 
   -- Toggle output at in_dat event
   nxt_out_dat <= not i_out_dat when in_evt = '1' else i_out_dat;
diff --git a/libraries/base/common/src/vhdl/common_toggle_align.vhd b/libraries/base/common/src/vhdl/common_toggle_align.vhd
index f557c23e53..9b36fd1ecb 100644
--- a/libraries/base/common/src/vhdl/common_toggle_align.vhd
+++ b/libraries/base/common/src/vhdl/common_toggle_align.vhd
@@ -33,7 +33,7 @@
 --   even when in_toggle stops toggling or change phase for some reason.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity common_toggle_align is
   generic (
@@ -98,15 +98,15 @@ begin
   end process;
 
   u_common_pipeline_sl : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline    => g_pipeline,
-    g_reset_value => g_reset_value
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => nxt_out_toggle,
-    out_dat => out_toggle
-  );
+    generic map (
+      g_pipeline    => g_pipeline,
+      g_reset_value => g_reset_value
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => nxt_out_toggle,
+      out_dat => out_toggle
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_transpose.vhd b/libraries/base/common/src/vhdl/common_transpose.vhd
index 671247e9e9..135c6f0286 100644
--- a/libraries/base/common/src/vhdl/common_transpose.vhd
+++ b/libraries/base/common/src/vhdl/common_transpose.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Transpose the g_nof_data symbols in g_nof_data in_data to
 --                        g_nof_data symbols in g_nof_data out_data,
@@ -94,205 +94,205 @@ architecture str of common_transpose is
 begin
 
   u_sreg_data : entity common_lib.common_shiftreg
-  generic map (
-    g_pipeline  => g_pipeline_shiftreg,
-    g_flush_en  => true,
-    g_nof_dat   => g_nof_data,
-    g_dat_w     => g_data_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => in_data,
-    in_val       => in_val,
-    in_eop       => in_eop,
-
-    out_data_vec => sreg_data_vec,
-    out_cnt      => sreg_sel,
-
-    out_val      => sreg_val,
-    out_eop      => sreg_eop
-  );
+    generic map (
+      g_pipeline  => g_pipeline_shiftreg,
+      g_flush_en  => true,
+      g_nof_dat   => g_nof_data,
+      g_dat_w     => g_data_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => in_data,
+      in_val       => in_val,
+      in_eop       => in_eop,
+
+      out_data_vec => sreg_data_vec,
+      out_cnt      => sreg_sel,
+
+      out_val      => sreg_val,
+      out_eop      => sreg_eop
+    );
 
   u_sreg_addr : entity common_lib.common_shiftreg
-  generic map (
-    g_pipeline  => g_pipeline_shiftreg,
-    g_flush_en  => true,
-    g_nof_dat   => g_nof_data,
-    g_dat_w     => g_addr_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => in_addr,
-    in_val       => in_val,
-    in_eop       => in_eop,
-
-    out_data_vec => sreg_addr_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline_shiftreg,
+      g_flush_en  => true,
+      g_nof_dat   => g_nof_data,
+      g_dat_w     => g_addr_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => in_addr,
+      in_val       => in_val,
+      in_eop       => in_eop,
+
+      out_data_vec => sreg_addr_vec
+    );
 
   sreg_full <= '1' when sreg_val = '1' and TO_UINT(sreg_sel) = 0 else '0';
 
   u_transpose_data : entity common_lib.common_transpose_symbol
-  generic map (
-    g_pipeline  => g_pipeline_transpose,
-    g_nof_data  => g_nof_data,
-    g_data_w    => g_data_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => sreg_data_vec,
-    out_data   => trans_data_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline_transpose,
+      g_nof_data  => g_nof_data,
+      g_data_w    => g_data_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => sreg_data_vec,
+      out_data   => trans_data_vec
+    );
 
   gen_offsets : for I in g_nof_data - 1 downto 0 generate
     offset_addr_vec((I + 1) * g_addr_w - 1 downto I * g_addr_w) <= TO_UVEC(I * TO_UINT(in_offset), g_addr_w);
   end generate;
 
   u_add_addr : entity common_lib.common_add_symbol
-  generic map (
-    g_pipeline    => g_pipeline_transpose,
-    g_nof_symbols => g_nof_data,
-    g_symbol_w    => g_addr_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_a       => offset_addr_vec,
-    in_b       => sreg_addr_vec,
-
-    out_data   => add_addr_vec
-  );
+    generic map (
+      g_pipeline    => g_pipeline_transpose,
+      g_nof_symbols => g_nof_data,
+      g_symbol_w    => g_addr_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_a       => offset_addr_vec,
+      in_b       => sreg_addr_vec,
+
+      out_data   => add_addr_vec
+    );
 
   u_trans_full : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline_transpose
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => sreg_full,
-    out_dat => trans_full
-  );
+    generic map (
+      g_pipeline => g_pipeline_transpose
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => sreg_full,
+      out_dat => trans_full
+    );
 
   u_hold_data : entity common_lib.common_shiftreg_symbol
-  generic map (
-    g_shiftline_arr => c_holdline_arr(g_nof_data - 1 downto 0),
-    g_pipeline      => g_pipeline_hold,
-    g_flush_en      => false,
-    g_nof_symbols   => g_nof_data,
-    g_symbol_w      => g_data_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    in_data     => trans_data_vec,
-    in_val      => trans_full,
-
-    out_data    => hold_data_vec
-  );
+    generic map (
+      g_shiftline_arr => c_holdline_arr(g_nof_data - 1 downto 0),
+      g_pipeline      => g_pipeline_hold,
+      g_flush_en      => false,
+      g_nof_symbols   => g_nof_data,
+      g_symbol_w      => g_data_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      in_data     => trans_data_vec,
+      in_val      => trans_full,
+
+      out_data    => hold_data_vec
+    );
 
   u_hold_addr : entity common_lib.common_shiftreg_symbol
-  generic map (
-    g_shiftline_arr => c_holdline_arr(g_nof_data - 1 downto 0),
-    g_pipeline      => g_pipeline_hold,
-    g_flush_en      => false,
-    g_nof_symbols   => g_nof_data,
-    g_symbol_w      => g_addr_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    in_data     => add_addr_vec,
-    in_val      => trans_full,
-
-    out_data    => hold_addr_vec
-  );
+    generic map (
+      g_shiftline_arr => c_holdline_arr(g_nof_data - 1 downto 0),
+      g_pipeline      => g_pipeline_hold,
+      g_flush_en      => false,
+      g_nof_symbols   => g_nof_data,
+      g_symbol_w      => g_addr_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      in_data     => add_addr_vec,
+      in_val      => trans_full,
+
+      out_data    => hold_addr_vec
+    );
 
   u_hold_sel : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => c_pipeline_sel,
-    g_in_dat_w  => c_sel_w,
-    g_out_dat_w => c_sel_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => sreg_sel,
-    out_dat => hold_sel
-  );
+    generic map (
+      g_pipeline  => c_pipeline_sel,
+      g_in_dat_w  => c_sel_w,
+      g_out_dat_w => c_sel_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => sreg_sel,
+      out_dat => hold_sel
+    );
 
   u_hold_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => c_pipeline_sel
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => sreg_val,
-    out_dat => hold_val
-  );
+    generic map (
+      g_pipeline => c_pipeline_sel
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => sreg_val,
+      out_dat => hold_val
+    );
 
   u_hold_eop : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => c_pipeline_sel
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => sreg_eop,
-    out_dat => hold_eop
-  );
+    generic map (
+      g_pipeline => c_pipeline_sel
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => sreg_eop,
+      out_dat => hold_eop
+    );
 
   u_output_data : entity common_lib.common_select_symbol
-  generic map (
-    g_pipeline_in  => 0,
-    g_pipeline_out => g_pipeline_select,
-    g_nof_symbols  => g_nof_data,
-    g_symbol_w     => g_data_w,
-    g_sel_w        => c_sel_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => hold_data_vec,
-    in_val     => hold_val,
-    in_eop     => hold_eop,
-
-    in_sel     => hold_sel,
-
-    out_symbol => out_data,
-    out_val    => out_val,
-    out_eop    => out_eop
-  );
+    generic map (
+      g_pipeline_in  => 0,
+      g_pipeline_out => g_pipeline_select,
+      g_nof_symbols  => g_nof_data,
+      g_symbol_w     => g_data_w,
+      g_sel_w        => c_sel_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => hold_data_vec,
+      in_val     => hold_val,
+      in_eop     => hold_eop,
+
+      in_sel     => hold_sel,
+
+      out_symbol => out_data,
+      out_val    => out_val,
+      out_eop    => out_eop
+    );
 
   u_output_addr : entity common_lib.common_select_symbol
-  generic map (
-    g_pipeline_in  => 0,
-    g_pipeline_out => g_pipeline_select,
-    g_nof_symbols  => g_nof_data,
-    g_symbol_w     => g_addr_w,
-    g_sel_w        => c_sel_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => hold_addr_vec,
-    in_val     => hold_val,
-    in_eop     => hold_eop,
-
-    in_sel     => hold_sel,
-
-    out_symbol => out_addr
-  );
+    generic map (
+      g_pipeline_in  => 0,
+      g_pipeline_out => g_pipeline_select,
+      g_nof_symbols  => g_nof_data,
+      g_symbol_w     => g_addr_w,
+      g_sel_w        => c_sel_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => hold_addr_vec,
+      in_val     => hold_val,
+      in_eop     => hold_eop,
+
+      in_sel     => hold_sel,
+
+      out_symbol => out_addr
+    );
 
 end str;
diff --git a/libraries/base/common/src/vhdl/common_transpose_symbol.vhd b/libraries/base/common/src/vhdl/common_transpose_symbol.vhd
index 86173325be..2c6871524e 100644
--- a/libraries/base/common/src/vhdl/common_transpose_symbol.vhd
+++ b/libraries/base/common/src/vhdl/common_transpose_symbol.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 -- Purpose: Transpose of in_data to out_data
 -- Description:
@@ -103,50 +103,50 @@ begin
 
   -- pipeline data output
   u_out_data : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_in_dat_w  => g_nof_data * g_data_w,
-    g_out_dat_w => g_nof_data * g_data_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => trans_data,
-    out_dat => out_data
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_in_dat_w  => g_nof_data * g_data_w,
+      g_out_dat_w => g_nof_data * g_data_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => trans_data,
+      out_dat => out_data
+    );
 
   -- pipeline control output
   u_out_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => out_val
+    );
 
   u_out_sop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sop,
-    out_dat => out_sop
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sop,
+      out_dat => out_sop
+    );
 
   u_out_eop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_eop,
-    out_dat => out_eop
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_eop,
+      out_dat => out_eop
+    );
 
 end rtl;
diff --git a/libraries/base/common/src/vhdl/common_variable_delay.vhd b/libraries/base/common/src/vhdl/common_variable_delay.vhd
index 122814e457..b3002adb6c 100644
--- a/libraries/base/common/src/vhdl/common_variable_delay.vhd
+++ b/libraries/base/common/src/vhdl/common_variable_delay.vhd
@@ -27,8 +27,8 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_variable_delay is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_wideband_data_scope.vhd b/libraries/base/common/src/vhdl/common_wideband_data_scope.vhd
index 17f2092f41..d63ef7f5cf 100644
--- a/libraries/base/common/src/vhdl/common_wideband_data_scope.vhd
+++ b/libraries/base/common/src/vhdl/common_wideband_data_scope.vhd
@@ -32,8 +32,8 @@
 --   eases the use of this scope within a design.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_wideband_data_scope is
   generic (
diff --git a/libraries/base/common/src/vhdl/common_zip.vhd b/libraries/base/common/src/vhdl/common_zip.vhd
index 67b5952382..c763abc815 100644
--- a/libraries/base/common/src/vhdl/common_zip.vhd
+++ b/libraries/base/common/src/vhdl/common_zip.vhd
@@ -25,8 +25,8 @@
 --              to avoid the loss of data.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity common_zip is
   generic (
diff --git a/libraries/base/common/src/vhdl/mms_common_pulse_delay.vhd b/libraries/base/common/src/vhdl/mms_common_pulse_delay.vhd
index ca32557a71..7d9eaebf6d 100644
--- a/libraries/base/common/src/vhdl/mms_common_pulse_delay.vhd
+++ b/libraries/base/common/src/vhdl/mms_common_pulse_delay.vhd
@@ -25,17 +25,17 @@
 -- . MM wrapper for common_pulse_delay.vhd
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_common_pulse_delay is
   generic (
     g_pulse_delay_max : natural := 0;  -- Maximum number of clk cycles that pulse can be delayed
     g_register_out    : boolean
-   );
+  );
   port (
     pulse_clk        : in  std_logic;
     pulse_rst        : in  std_logic;
@@ -60,36 +60,36 @@ begin
   -- common_pulse_delay
   ------------------------------------------------------------------------------
   u_common_pulse_delay : entity common_lib.common_pulse_delay
-  generic map (
-    g_pulse_delay_max => g_pulse_delay_max,
-    g_register_out    => true
-  )
-  port map (
-    clk         => pulse_clk,
-    rst         => pulse_rst,
-    pulse_in    => pulse_in,
-    pulse_delay => pulse_delay,
-    pulse_out   => pulse_out
-  );
+    generic map (
+      g_pulse_delay_max => g_pulse_delay_max,
+      g_register_out    => true
+    )
+    port map (
+      clk         => pulse_clk,
+      rst         => pulse_rst,
+      pulse_in    => pulse_in,
+      pulse_delay => pulse_delay,
+      pulse_out   => pulse_out
+    );
 
   ------------------------------------------------------------------------------
   -- New MM interface via avs_common_mm
   ------------------------------------------------------------------------------
   u_common_pulse_delay_reg : entity work.common_pulse_delay_reg
-  generic map (
-    g_cross_clock_domain => true,
-    g_pulse_delay_max    => g_pulse_delay_max
-  )
-  port map (
-    pulse_clk   => pulse_clk,
-    pulse_rst   => pulse_rst,
-    pulse_delay => pulse_delay,
+    generic map (
+      g_cross_clock_domain => true,
+      g_pulse_delay_max    => g_pulse_delay_max
+    )
+    port map (
+      pulse_clk   => pulse_clk,
+      pulse_rst   => pulse_rst,
+      pulse_delay => pulse_delay,
 
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
-    sla_in      => reg_mosi,
-    sla_out     => reg_miso
-  );
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
+      sla_in      => reg_mosi,
+      sla_out     => reg_miso
+    );
 
 end str;
 
diff --git a/libraries/base/common/src/vhdl/mms_common_reg.vhd b/libraries/base/common/src/vhdl/mms_common_reg.vhd
index 8857a3c267..66dae5c3ab 100644
--- a/libraries/base/common/src/vhdl/mms_common_reg.vhd
+++ b/libraries/base/common/src/vhdl/mms_common_reg.vhd
@@ -23,9 +23,9 @@
 -- Description: Wrapper, see common_reg_r_w_dc.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity mms_common_reg is
@@ -66,29 +66,29 @@ architecture str of mms_common_reg is
 begin
 
   u_common_reg_r_w_dc : entity work.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => g_mm_reg,
-    g_init_reg           => c_init_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => st_rst,
-    st_clk         => st_clk,
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => g_mm_reg,
+      g_init_reg           => c_init_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => st_rst,
+      st_clk         => st_clk,
 
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_mosi,
-    sla_out        => reg_miso,
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_mosi,
+      sla_out        => reg_miso,
 
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => OPEN,
-    in_reg         => in_reg,
-    out_reg        => out_reg
-  );
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => OPEN,
+      in_reg         => in_reg,
+      out_reg        => out_reg
+    );
 
 end str;
 
diff --git a/libraries/base/common/src/vhdl/mms_common_stable_monitor.vhd b/libraries/base/common/src/vhdl/mms_common_stable_monitor.vhd
index 0d43f61630..34bc178a27 100644
--- a/libraries/base/common/src/vhdl/mms_common_stable_monitor.vhd
+++ b/libraries/base/common/src/vhdl/mms_common_stable_monitor.vhd
@@ -23,9 +23,9 @@
 -- Description: See common_stable_monitor.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 
 entity mms_common_stable_monitor is
@@ -56,11 +56,13 @@ architecture str of mms_common_stable_monitor is
   constant c_adr_w   : natural := ceil_log2(c_nof_dat);
   constant c_dat_w   : natural := sel_a_b(c_nof_dat = 1, g_nof_input, c_word_w);
 
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => c_adr_w,
-                                  dat_w    => c_dat_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => c_nof_dat,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_adr_w,
+    dat_w    => c_dat_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_dat,
+    init_sl  => '0'
+  );
 
   signal reg_rd_arr     : std_logic_vector(c_mm_reg.nof_dat - 1 downto 0);
 
@@ -72,28 +74,28 @@ architecture str of mms_common_stable_monitor is
 begin
 
   u_mm_reg : entity work.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_readback           => false,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => st_rst,
-    st_clk         => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_mosi,
-    sla_out        => reg_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => reg_rd_arr,
-    in_reg         => in_reg,
-    out_reg        => open
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_readback           => false,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => st_rst,
+      st_clk         => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_mosi,
+      sla_out        => reg_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => reg_rd_arr,
+      in_reg         => in_reg,
+      out_reg        => open
+    );
 
   in_reg(g_nof_input - 1 downto 0) <= st_stable_arr;
 
@@ -102,14 +104,14 @@ begin
 
   gen_mon : for I in g_nof_input - 1 downto 0 generate
     u_stable_monitor : entity work.common_stable_monitor
-    port map (
-      rst          => st_rst,
-      clk          => st_clk,
-      -- MM
-      r_in         => st_in_arr(I),
-      r_stable     => st_stable_arr(I),
-      r_stable_ack => st_stable_ack
-    );
+      port map (
+        rst          => st_rst,
+        clk          => st_clk,
+        -- MM
+        r_in         => st_in_arr(I),
+        r_stable     => st_stable_arr(I),
+        r_stable_ack => st_stable_ack
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/common/src/vhdl/mms_common_variable_delay.vhd b/libraries/base/common/src/vhdl/mms_common_variable_delay.vhd
index 46fb0e5745..32a86d6224 100644
--- a/libraries/base/common/src/vhdl/mms_common_variable_delay.vhd
+++ b/libraries/base/common/src/vhdl/mms_common_variable_delay.vhd
@@ -26,10 +26,10 @@
 -- --------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 entity mms_common_variable_delay is
   port (
@@ -65,31 +65,31 @@ begin
 
   -- device under test
   u_common_variable_delay : entity work.common_variable_delay
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-
-    delay     => delay,
-    enable    => enable,
-    in_pulse  => trigger,
-    out_pulse => trigger_dly
-  );
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+
+      delay     => delay,
+      enable    => enable,
+      in_pulse  => trigger,
+      out_pulse => trigger_dly
+    );
 
   u_mms_common_reg : entity work.mms_common_reg
-  generic map (
-    g_mm_reg       => c_enable_mem_reg
-  )
-  port map (
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    reg_mosi       => reg_enable_mosi,
-    reg_miso       => reg_enable_miso,
-
-    in_reg         => enable_reg,
-    out_reg        => enable_reg
-  );
+    generic map (
+      g_mm_reg       => c_enable_mem_reg
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      reg_mosi       => reg_enable_mosi,
+      reg_miso       => reg_enable_miso,
+
+      in_reg         => enable_reg,
+      out_reg        => enable_reg
+    );
 
 end;
diff --git a/libraries/base/common/tb/vhdl/tb_common_acapture.vhd b/libraries/base/common/tb/vhdl/tb_common_acapture.vhd
index 526dcc1b23..6e33b669df 100644
--- a/libraries/base/common/tb/vhdl/tb_common_acapture.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_acapture.vhd
@@ -27,10 +27,10 @@
 -- Description:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_acapture is
 end tb_common_acapture;
@@ -79,15 +79,15 @@ begin
   end process;
 
   u_acapture : entity work.common_acapture
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    in_rst  => in_rst,
-    in_clk  => in_clk,
-    in_dat  => in_dat,
-    out_clk => out_clk,
-    out_cap => out_cap
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      in_rst  => in_rst,
+      in_clk  => in_clk,
+      in_dat  => in_dat,
+      out_clk => out_clk,
+      out_cap => out_cap
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_add_sub.vhd b/libraries/base/common/tb/vhdl/tb_common_add_sub.vhd
index 99e634373c..94340e1eab 100644
--- a/libraries/base/common/tb/vhdl/tb_common_add_sub.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_add_sub.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 
 entity tb_common_add_sub is
@@ -42,7 +42,7 @@ architecture tb of tb_common_add_sub is
   constant clk_period    : time := 10 ns;
   constant c_pipeline    : natural := g_pipeline_in + g_pipeline_out;
 
-  function func_result(in_a, in_b : std_logic_vector) return std_logic_vector is
+  function func_result (in_a, in_b : std_logic_vector) return std_logic_vector is
     variable v_a, v_b, v_result : integer;
   begin
     -- Calculate expected result
@@ -54,7 +54,7 @@ architecture tb of tb_common_add_sub is
     if g_direction = "BOTH" and g_sel_add = '0' then v_result := v_a - v_b; end if;
     -- Wrap to avoid warning: NUMERIC_STD.TO_SIGNED: vector truncated
     if v_result >  2**(g_out_dat_w - 1) - 1 then v_result := v_result - 2**g_out_dat_w; end if;
-    if v_result < - 2**(g_out_dat_w - 1)   then v_result := v_result + 2**g_out_dat_w; end if;
+    if v_result < - 2**(g_out_dat_w - 1) then v_result := v_result + 2**g_out_dat_w; end if;
     return TO_SVEC(v_result, g_out_dat_w);
   end;
 
@@ -132,38 +132,38 @@ begin
   out_result <= func_result(in_a, in_b);
 
   u_result : entity work.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_out_dat_w,
-    g_out_dat_w      => g_out_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => out_result,
-    out_dat => result_expected
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_out_dat_w,
+      g_out_dat_w      => g_out_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => out_result,
+      out_dat => result_expected
+    );
 
   u_dut_rtl : entity work.common_add_sub
-  generic map (
-    g_direction       => g_direction,
-    g_representation  => "SIGNED",
-    g_pipeline_input  => g_pipeline_in,
-    g_pipeline_output => g_pipeline_out,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk     => clk,
-    clken   => '1',
-    sel_add => g_sel_add,
-    in_a    => in_a,
-    in_b    => in_b,
-    result  => result_rtl
-  );
+    generic map (
+      g_direction       => g_direction,
+      g_representation  => "SIGNED",
+      g_pipeline_input  => g_pipeline_in,
+      g_pipeline_output => g_pipeline_out,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk     => clk,
+      clken   => '1',
+      sel_add => g_sel_add,
+      in_a    => in_a,
+      in_b    => in_b,
+      result  => result_rtl
+    );
 
   p_verify : process(rst, clk)
   begin
diff --git a/libraries/base/common/tb/vhdl/tb_common_adder_tree.vhd b/libraries/base/common/tb/vhdl/tb_common_adder_tree.vhd
index 1a99bf170c..810f58e86b 100644
--- a/libraries/base/common/tb/vhdl/tb_common_adder_tree.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_adder_tree.vhd
@@ -31,10 +31,10 @@
 -- . The p_verify makes the tb self checking and asserts when the results are not equal
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_adder_tree is
@@ -60,7 +60,7 @@ architecture tb of tb_common_adder_tree is
   type t_symbol_arr is array (integer range <>) of std_logic_vector(g_symbol_w - 1 downto 0);
 
   -- Use the same symbol value g_nof_inputs time in the data_vec
-  function func_data_vec(symbol : integer) return std_logic_vector is
+  function func_data_vec (symbol : integer) return std_logic_vector is
     variable v_data_vec : std_logic_vector(c_data_vec_w - 1 downto 0);
   begin
     for I in 0 to g_nof_inputs - 1 loop
@@ -70,7 +70,7 @@ architecture tb of tb_common_adder_tree is
   end;
 
   -- Calculate the expected result of the sum of the symbols in the data_vec
-  function func_result(data_vec : std_logic_vector) return std_logic_vector is
+  function func_result (data_vec : std_logic_vector) return std_logic_vector is
     variable v_result : integer;
   begin
     v_result := 0;
@@ -129,20 +129,20 @@ begin
   -- . Pipeline the in_data_vec to align with the result
   -- . Map the concatenated symbols in in_data_vec into an in_data_arr_p array
   u_data_vec_p : entity work.common_pipeline
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => c_pipeline_tree,
-    g_reset_value    => 0,
-    g_in_dat_w       => c_data_vec_w,
-    g_out_dat_w      => c_data_vec_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => in_data_vec,
-    out_dat => in_data_vec_p
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => c_pipeline_tree,
+      g_reset_value    => 0,
+      g_in_dat_w       => c_data_vec_w,
+      g_out_dat_w      => c_data_vec_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => in_data_vec,
+      out_dat => in_data_vec_p
+    );
 
   p_data_arr : process(in_data_vec_p)
   begin
@@ -154,36 +154,36 @@ begin
   result_comb <= func_result(in_data_vec);
 
   u_result : entity work.common_pipeline
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => c_pipeline_tree,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_sum_w,
-    g_out_dat_w      => g_sum_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => result_comb,
-    out_dat => result_expected
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => c_pipeline_tree,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_sum_w,
+      g_out_dat_w      => g_sum_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => result_comb,
+      out_dat => result_expected
+    );
 
   -- Using work.common_adder_tree(recursive) will only invoke the recursive architecture once, because the next recursive level will default to using the last compiled architecture
   -- Therefore only instatiatiate the DUT once in this tb and use compile order to influence which architecture is used.
   dut : entity work.common_adder_tree  -- uses last compile architecture
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline,
-    g_nof_inputs     => g_nof_inputs,
-    g_dat_w          => g_symbol_w,
-    g_sum_w          => g_sum_w
-  )
-  port map (
-    clk    => clk,
-    in_dat => in_data_vec,
-    sum    => result_dut
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline,
+      g_nof_inputs     => g_nof_inputs,
+      g_dat_w          => g_symbol_w,
+      g_sum_w          => g_sum_w
+    )
+    port map (
+      clk    => clk,
+      in_dat => in_data_vec,
+      sum    => result_dut
+    );
 
   p_verify : process(rst, clk)
   begin
diff --git a/libraries/base/common/tb/vhdl/tb_common_async.vhd b/libraries/base/common/tb/vhdl/tb_common_async.vhd
index 5ca9fad4cf..0f7261f423 100644
--- a/libraries/base/common/tb/vhdl/tb_common_async.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_async.vhd
@@ -27,10 +27,10 @@
 -- Description:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_async is
 end tb_common_async;
@@ -86,26 +86,26 @@ begin
   end process;
 
   u_async : entity work.common_async
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_delay_len
-  )
-  port map (
-    rst  => in_rst,
-    clk  => clk,
-    din  => in_dat,
-    dout => out_async
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_delay_len
+    )
+    port map (
+      rst  => in_rst,
+      clk  => clk,
+      din  => in_dat,
+      dout => out_async
+    );
 
   u_areset : entity work.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_delay_len
-  )
-  port map (
-    in_rst    => in_rst,
-    clk       => clk,
-    out_rst   => out_areset
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_delay_len
+    )
+    port map (
+      in_rst    => in_rst,
+      clk       => clk,
+      out_rst   => out_areset
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_clock_phase_detector.vhd b/libraries/base/common/tb/vhdl/tb_common_clock_phase_detector.vhd
index 0eb908d210..46c5729d96 100644
--- a/libraries/base/common/tb/vhdl/tb_common_clock_phase_detector.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_clock_phase_detector.vhd
@@ -27,10 +27,10 @@
 -- Description:
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_clock_phase_detector is
   generic (
@@ -91,31 +91,31 @@ begin
   end process;
 
   u_common_clock_phase_detector_r : entity work.common_clock_phase_detector
-  generic map (
-    g_rising_edge    => true,
-    g_meta_delay_len => c_delay_len,
-    g_clk_factor     => c_clk_factor_num
-  )
-  port map (
-    in_clk    => in_clk,  -- used as data input for clk domain
-    rst       => rst,
-    clk       => clk,
-    phase     => phase_r,
-    phase_det => phase_r_det
-  );
+    generic map (
+      g_rising_edge    => true,
+      g_meta_delay_len => c_delay_len,
+      g_clk_factor     => c_clk_factor_num
+    )
+    port map (
+      in_clk    => in_clk,  -- used as data input for clk domain
+      rst       => rst,
+      clk       => clk,
+      phase     => phase_r,
+      phase_det => phase_r_det
+    );
 
   u_common_clock_phase_detector_f : entity work.common_clock_phase_detector
-  generic map (
-    g_rising_edge    => false,
-    g_meta_delay_len => c_delay_len,
-    g_clk_factor     => c_clk_factor_num
-  )
-  port map (
-    in_clk    => in_clk,  -- used as data input for clk domain
-    rst       => rst,
-    clk       => clk,
-    phase     => phase_f,
-    phase_det => phase_f_det
-  );
+    generic map (
+      g_rising_edge    => false,
+      g_meta_delay_len => c_delay_len,
+      g_clk_factor     => c_clk_factor_num
+    )
+    port map (
+      in_clk    => in_clk,  -- used as data input for clk domain
+      rst       => rst,
+      clk       => clk,
+      phase     => phase_f,
+      phase_det => phase_f_det
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_counter.vhd b/libraries/base/common/tb/vhdl/tb_common_counter.vhd
index 945ccb8ca8..d7dd089402 100644
--- a/libraries/base/common/tb/vhdl/tb_common_counter.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_counter.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_counter is
 end tb_common_counter;
@@ -90,21 +90,21 @@ begin
 
   -- device under test
   u_dut : entity work.common_counter
-  generic map (
-    g_init      => c_cnt_init,
-    g_width     => c_cnt_w,
-    g_step_size => 1
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => cnt_clr,
-    cnt_ld  => cnt_ld,
-    cnt_en  => cnt_en,
-    cnt_max => cnt_max,
-    load    => load,
-    count   => count
-  );
+    generic map (
+      g_init      => c_cnt_init,
+      g_width     => c_cnt_w,
+      g_step_size => 1
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => cnt_clr,
+      cnt_ld  => cnt_ld,
+      cnt_en  => cnt_en,
+      cnt_max => cnt_max,
+      load    => load,
+      count   => count
+    );
 
 end tb;
 
diff --git a/libraries/base/common/tb/vhdl/tb_common_create_strobes_from_valid.vhd b/libraries/base/common/tb/vhdl/tb_common_create_strobes_from_valid.vhd
index d72f974ea3..c99ec18944 100644
--- a/libraries/base/common/tb/vhdl/tb_common_create_strobes_from_valid.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_create_strobes_from_valid.vhd
@@ -24,9 +24,9 @@
 -- > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_create_strobes_from_valid is
   generic (
@@ -124,19 +124,19 @@ begin
   end process;
 
   u_in_sync : entity work.common_create_strobes_from_valid
-  generic map (
-    g_pipeline          => g_pipeline,
-    g_nof_clk_per_sync  => g_nof_clk_per_sync,
-    g_nof_clk_per_block => g_nof_clk_per_block
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_val   => in_val,
-    out_val  => out_val,
-    out_sop  => out_sop,
-    out_eop  => out_eop,
-    out_sync => out_sync
-  );
+    generic map (
+      g_pipeline          => g_pipeline,
+      g_nof_clk_per_sync  => g_nof_clk_per_sync,
+      g_nof_clk_per_block => g_nof_clk_per_block
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_val   => in_val,
+      out_val  => out_val,
+      out_sop  => out_sop,
+      out_eop  => out_eop,
+      out_sync => out_sync
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_ddreg.vhd b/libraries/base/common/tb/vhdl/tb_common_ddreg.vhd
index 662e1596a8..b3f7aeca47 100644
--- a/libraries/base/common/tb/vhdl/tb_common_ddreg.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_ddreg.vhd
@@ -27,10 +27,10 @@
 -- Description: See common_ddreg.vhd
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_ddreg_slv is
 end tb_common_ddreg_slv;
@@ -70,18 +70,18 @@ begin
   end process;
 
   u_common_ddreg_slv : entity work.common_ddreg_slv
-  generic map (
-    g_in_delay_len  => 1,
-    g_out_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_clk      => in_clk,
-    in_dat      => in_dat,
-    rst         => rst,
-    out_clk     => out_clk,
-    out_dat_hi  => out_dat_hi,
-    out_dat_lo  => out_dat_lo
-  );
+    generic map (
+      g_in_delay_len  => 1,
+      g_out_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_clk      => in_clk,
+      in_dat      => in_dat,
+      rst         => rst,
+      out_clk     => out_clk,
+      out_dat_hi  => out_dat_hi,
+      out_dat_lo  => out_dat_lo
+    );
 
   out_dat <= out_dat_hi & out_dat_lo;
 
diff --git a/libraries/base/common/tb/vhdl/tb_common_debounce.vhd b/libraries/base/common/tb/vhdl/tb_common_debounce.vhd
index 02b9ab8552..706c50fdca 100644
--- a/libraries/base/common/tb/vhdl/tb_common_debounce.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_debounce.vhd
@@ -38,8 +38,8 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_common_debounce is
 end tb_common_debounce;
@@ -171,46 +171,46 @@ begin
   end process;
 
   u_debounce_both : entity work.common_debounce
-  generic map (
-    g_delay_len  => c_meta_delay_len,
-    g_latency    => c_latency,
-    g_init_level => c_rst_level_both
-  )
-  port map (
-    rst   => rst,
-    clk   => clk,
-    clken => '1',
-    d_in  => d_in,
-    q_out => q_both
-  );
+    generic map (
+      g_delay_len  => c_meta_delay_len,
+      g_latency    => c_latency,
+      g_init_level => c_rst_level_both
+    )
+    port map (
+      rst   => rst,
+      clk   => clk,
+      clken => '1',
+      d_in  => d_in,
+      q_out => q_both
+    );
 
   u_debounce_high : entity work.common_debounce
-  generic map (
-    g_type       => "HIGH",
-    g_delay_len  => c_meta_delay_len,
-    g_latency    => c_latency,
-    g_init_level => c_rst_level_high
-  )
-  port map (
-    rst   => rst,
-    clk   => clk,
-    clken => '1',
-    d_in  => d_in,
-    q_out => q_high
-  );
+    generic map (
+      g_type       => "HIGH",
+      g_delay_len  => c_meta_delay_len,
+      g_latency    => c_latency,
+      g_init_level => c_rst_level_high
+    )
+    port map (
+      rst   => rst,
+      clk   => clk,
+      clken => '1',
+      d_in  => d_in,
+      q_out => q_high
+    );
 
   u_debounce_low : entity work.common_debounce
-  generic map (
-    g_type       => "LOW",
-    g_delay_len  => c_meta_delay_len,
-    g_latency    => c_latency,
-    g_init_level => c_rst_level_low
-  )
-  port map (
-    rst   => rst,
-    clk   => clk,
-    clken => '1',
-    d_in  => d_in,
-    q_out => q_low
-  );
+    generic map (
+      g_type       => "LOW",
+      g_delay_len  => c_meta_delay_len,
+      g_latency    => c_latency,
+      g_init_level => c_rst_level_low
+    )
+    port map (
+      rst   => rst,
+      clk   => clk,
+      clken => '1',
+      d_in  => d_in,
+      q_out => q_low
+    );
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_duty_cycle.vhd b/libraries/base/common/tb/vhdl/tb_common_duty_cycle.vhd
index e3f154712c..f8c9ff9ec8 100644
--- a/libraries/base/common/tb/vhdl/tb_common_duty_cycle.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_duty_cycle.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_common_duty_cycle is
 end tb_common_duty_cycle;
@@ -113,22 +113,22 @@ begin
   -----------------------------------------------------------------------------
 
   dut : entity work.common_duty_cycle
-  generic map (
-    g_rst_lvl => '0',
-    g_dis_lvl => '0',
-    g_act_lvl => '1',
-    g_per_cnt => c_dc_max_period_cnt,
-    g_act_cnt => 10
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    dc_per_cnt  => dc_per_cnt,
-    dc_act_cnt  => dc_act_cnt,
-
-    dc_out_en   => dc_out_en,
-    dc_out      => dc_out
-   );
+    generic map (
+      g_rst_lvl => '0',
+      g_dis_lvl => '0',
+      g_act_lvl => '1',
+      g_per_cnt => c_dc_max_period_cnt,
+      g_act_cnt => 10
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      dc_per_cnt  => dc_per_cnt,
+      dc_act_cnt  => dc_act_cnt,
+
+      dc_out_en   => dc_out_en,
+      dc_out      => dc_out
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_fanout_tree.vhd b/libraries/base/common/tb/vhdl/tb_common_fanout_tree.vhd
index 3622a2762e..f013c7dd06 100644
--- a/libraries/base/common/tb/vhdl/tb_common_fanout_tree.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_fanout_tree.vhd
@@ -27,11 +27,11 @@
 --   when the fanout data is not incrementing.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_fanout_tree is
   generic (
@@ -58,7 +58,7 @@ architecture tb of tb_common_fanout_tree is
 
   constant c_nof_output        : natural := g_nof_output_per_cell**g_nof_stages;
   constant c_tree_pipeline_arr : t_natural_arr(c_nof_output - 1 downto 0) := func_common_fanout_tree_pipelining(g_nof_stages, g_nof_output_per_cell, c_nof_output,
-                                                                                                              g_cell_pipeline_factor_arr, g_cell_pipeline_arr);
+                                                                                                                g_cell_pipeline_factor_arr, g_cell_pipeline_arr);
   constant c_tree_pipeline_max : natural := largest(c_tree_pipeline_arr);
 
   type t_data_arr is array (integer range <>) of std_logic_vector(c_dat_w - 1 downto 0);
@@ -114,23 +114,23 @@ begin
   proc_common_gen_data(c_rl, c_init, rst, clk, cnt_en, ready, in_dat, in_val);
 
   dut : entity work.common_fanout_tree
-  generic map (
-    g_nof_stages               => g_nof_stages,
-    g_nof_output_per_cell      => g_nof_output_per_cell,
-    g_nof_output               => g_nof_output,
-    g_cell_pipeline_factor_arr => g_cell_pipeline_factor_arr,
-    g_cell_pipeline_arr        => g_cell_pipeline_arr,
-    g_dat_w                    => c_dat_w
-  )
-  port map (
-    clk           => clk,
-    in_en         => in_en,
-    in_dat        => in_dat,
-    in_val        => in_val,
-    out_en_vec    => out_en_vec,
-    out_dat_vec   => out_dat_vec,
-    out_val_vec   => out_val_vec
-  );
+    generic map (
+      g_nof_stages               => g_nof_stages,
+      g_nof_output_per_cell      => g_nof_output_per_cell,
+      g_nof_output               => g_nof_output,
+      g_cell_pipeline_factor_arr => g_cell_pipeline_factor_arr,
+      g_cell_pipeline_arr        => g_cell_pipeline_arr,
+      g_dat_w                    => c_dat_w
+    )
+    port map (
+      clk           => clk,
+      in_en         => in_en,
+      in_dat        => in_dat,
+      in_val        => in_val,
+      out_en_vec    => out_en_vec,
+      out_dat_vec   => out_dat_vec,
+      out_val_vec   => out_val_vec
+    );
 
   -- Verify data for fanout output 0
   proc_common_verify_data(c_rl, clk, verify_en, ready, out_val_vec(0), out_dat_arr(0), prev_out_dat_arr(0));
diff --git a/libraries/base/common/tb/vhdl/tb_common_fifo_dc_mixed_widths.vhd b/libraries/base/common/tb/vhdl/tb_common_fifo_dc_mixed_widths.vhd
index c250de09b6..773b898a98 100644
--- a/libraries/base/common/tb/vhdl/tb_common_fifo_dc_mixed_widths.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_fifo_dc_mixed_widths.vhd
@@ -26,10 +26,10 @@
 --   . observe rd_dat in wave window
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_fifo_dc_mixed_widths is
@@ -38,7 +38,7 @@ entity tb_common_fifo_dc_mixed_widths is
     g_rd_clk_freq : positive := 1;  -- normalized read  clock frequency
     g_wr_dat_w    : natural :=  8;
     g_rd_dat_w    : natural := 16
-    --g_rd_dat_w    : NATURAL := 4
+  --g_rd_dat_w    : NATURAL := 4
   );
 end tb_common_fifo_dc_mixed_widths;
 
@@ -110,24 +110,24 @@ begin
   rd_req <= '1';
 
   u_dut : entity work.common_fifo_dc_mixed_widths
-  generic map (
-    g_nof_words => c_wr_fifo_nof_words,
-    g_wr_dat_w  => g_wr_dat_w,
-    g_rd_dat_w  => g_rd_dat_w
-  )
-  port map (
-    rst     => rst,
-    wr_clk  => wr_clk,
-    wr_dat  => wr_dat,
-    wr_req  => wr_val,
-    wr_ful  => wr_ful,
-    wrusedw => wr_usedw,
-    rd_clk  => rd_clk,
-    rd_dat  => rd_dat,
-    rd_req  => rd_req,
-    rd_emp  => rd_emp,
-    rdusedw => rd_usedw,
-    rd_val  => rd_val
-  );
+    generic map (
+      g_nof_words => c_wr_fifo_nof_words,
+      g_wr_dat_w  => g_wr_dat_w,
+      g_rd_dat_w  => g_rd_dat_w
+    )
+    port map (
+      rst     => rst,
+      wr_clk  => wr_clk,
+      wr_dat  => wr_dat,
+      wr_req  => wr_val,
+      wr_ful  => wr_ful,
+      wrusedw => wr_usedw,
+      rd_clk  => rd_clk,
+      rd_dat  => rd_dat,
+      rd_req  => rd_req,
+      rd_emp  => rd_emp,
+      rdusedw => rd_usedw,
+      rd_val  => rd_val
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_fifo_rd.vhd b/libraries/base/common/tb/vhdl/tb_common_fifo_rd.vhd
index 359890d7f2..ab86edd57a 100644
--- a/libraries/base/common/tb/vhdl/tb_common_fifo_rd.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_fifo_rd.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_fifo_rd is
@@ -83,20 +83,20 @@ begin
 
 
   u_dut : entity work.common_fifo_rd
-  generic map (
-    g_dat_w => c_dat_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    -- ST sink: RL = 1
-    fifo_req   => fifo_req,
-    fifo_dat   => fifo_dat,
-    fifo_val   => fifo_val,
-    -- ST source: RL = 0
-    rd_req     => rd_req,
-    rd_dat     => rd_dat,
-    rd_val     => rd_val
-  );
+    generic map (
+      g_dat_w => c_dat_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      -- ST sink: RL = 1
+      fifo_req   => fifo_req,
+      fifo_dat   => fifo_dat,
+      fifo_val   => fifo_val,
+      -- ST source: RL = 0
+      rd_req     => rd_req,
+      rd_dat     => rd_dat,
+      rd_val     => rd_val
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_flank_to_pulse.vhd b/libraries/base/common/tb/vhdl/tb_common_flank_to_pulse.vhd
index 46fb3f4e27..2770390e2d 100644
--- a/libraries/base/common/tb/vhdl/tb_common_flank_to_pulse.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_flank_to_pulse.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_flank_to_pulse is
 end tb_common_flank_to_pulse;
@@ -58,11 +58,11 @@ begin
   end process;
 
   u_dut: entity work.common_flank_to_pulse
-  port map (
-    clk     => clk,
-    rst     => rst,
-    flank_in => flank_in,
-    pulse_out => pulse_out
-  );
+    port map (
+      clk     => clk,
+      rst     => rst,
+      flank_in => flank_in,
+      pulse_out => pulse_out
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_gcd.vhd b/libraries/base/common/tb/vhdl/tb_common_gcd.vhd
index c87848367e..c4b9e84b54 100644
--- a/libraries/base/common/tb/vhdl/tb_common_gcd.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_gcd.vhd
@@ -25,9 +25,9 @@
 -- > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_gcd is
 end tb_common_gcd;
diff --git a/libraries/base/common/tb/vhdl/tb_common_init.vhd b/libraries/base/common/tb/vhdl/tb_common_init.vhd
index 5c6503ab7c..174de9e43d 100644
--- a/libraries/base/common/tb/vhdl/tb_common_init.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_init.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_init is
 end tb_common_init;
@@ -44,25 +44,25 @@ begin
   clk <= not clk  after clk_period / 2;
 
   u_reset : entity work.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => clk,
-    out_rst   => rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => clk,
+      out_rst   => rst
+    );
 
   u_init: entity work.common_init
-  generic map (
-    g_latency_w => c_latency_w
-  )
-  port map (
-    rst   => rst,
-    clk   => clk,
-    hold  => hold,
-    init  => init
-  );
+    generic map (
+      g_latency_w => c_latency_w
+    )
+    port map (
+      rst   => rst,
+      clk   => clk,
+      hold  => hold,
+      init  => init
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_int2float.vhd b/libraries/base/common/tb/vhdl/tb_common_int2float.vhd
index bc1482793c..c0844040f5 100644
--- a/libraries/base/common/tb/vhdl/tb_common_int2float.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_int2float.vhd
@@ -1,7 +1,7 @@
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_common_int2float is
 end tb_common_int2float;
@@ -86,13 +86,13 @@ begin
   end process;
 
   u_float : entity work.common_int2float
-  generic map (
-    g_pipeline  => c_pipeline
-  )
-  port map (
-    clk        => clk,
-    in_dat     => in_dat,
-    out_dat    => out_dat
-  );
+    generic map (
+      g_pipeline  => c_pipeline
+    )
+    port map (
+      clk        => clk,
+      in_dat     => in_dat,
+      out_dat    => out_dat
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_iobuf_in.vhd b/libraries/base/common/tb/vhdl/tb_common_iobuf_in.vhd
index fcc72043a2..c2a3b0707e 100644
--- a/libraries/base/common/tb/vhdl/tb_common_iobuf_in.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_iobuf_in.vhd
@@ -26,9 +26,9 @@
 --   in Wave window zoom in and expand out_dat to see the 50 ps delays
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_iobuf_in is
 end tb_common_iobuf_in;
@@ -56,15 +56,15 @@ begin
   in_dat <= not(in_dat) when rising_edge(clk);
 
   u_dut : entity work.common_iobuf_in
-  generic map (
-    g_width      => c_width,
-    g_delay_arr  => c_delay_arr
-  )
-  port map (
-    config_rst => rst,
-    config_clk => clk,
-    in_dat     => in_dat,
-    out_dat    => out_dat
-  );
+    generic map (
+      g_width      => c_width,
+      g_delay_arr  => c_delay_arr
+    )
+    port map (
+      config_rst => rst,
+      config_clk => clk,
+      in_dat     => in_dat,
+      out_dat    => out_dat
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_led_controller.vhd b/libraries/base/common/tb/vhdl/tb_common_led_controller.vhd
index 4eb4886d2f..36b601b3c3 100644
--- a/libraries/base/common/tb/vhdl/tb_common_led_controller.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_led_controller.vhd
@@ -32,8 +32,8 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_common_led_controller is
 end tb_common_led_controller;
@@ -104,40 +104,40 @@ begin
   end process;
 
   u_common_pulser_us_ms_s : entity work.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us   => c_pulse_us,  -- nof clk cycles to get us period
-    g_pulse_ms   => c_1000,  -- nof pulse_us pulses to get ms period
-    g_pulse_s    => c_1000  -- nof pulse_ms pulses to get s period
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    pulse_ms     => pulse_ms  -- pulses after every g_pulse_us*g_pulse_ms clock cycles
-  );
+    generic map (
+      g_pulse_us   => c_pulse_us,  -- nof clk cycles to get us period
+      g_pulse_ms   => c_1000,  -- nof pulse_us pulses to get ms period
+      g_pulse_s    => c_1000  -- nof pulse_ms pulses to get s period
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      pulse_ms     => pulse_ms  -- pulses after every g_pulse_us*g_pulse_ms clock cycles
+    );
 
   u_common_toggle_ms : entity work.common_toggle
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_dat      => pulse_ms,
-    out_dat     => toggle_ms
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_dat      => pulse_ms,
+      out_dat     => toggle_ms
+    );
 
 
   u_common_led_controller : entity work.common_led_controller
-  generic map (
-    g_nof_ms      => c_led_nof_ms
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    pulse_ms          => pulse_ms,
-    -- led control
-    ctrl_on           => ctrl_on,
-    ctrl_evt          => ctrl_evt,
-    ctrl_input        => toggle_ms,
-    -- led output
-    led               => LED
-  );
+    generic map (
+      g_nof_ms      => c_led_nof_ms
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      pulse_ms          => pulse_ms,
+      -- led control
+      ctrl_on           => ctrl_on,
+      ctrl_evt          => ctrl_evt,
+      ctrl_input        => toggle_ms,
+      -- led output
+      led               => LED
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_log.vhd b/libraries/base/common/tb/vhdl/tb_common_log.vhd
index 1e7937f573..e02928c1d1 100644
--- a/libraries/base/common/tb/vhdl/tb_common_log.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_log.vhd
@@ -22,9 +22,9 @@
 -- Usage:
 -- > run -all
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_str_pkg.all;
 
 entity tb_common_log is
 end tb_common_log;
diff --git a/libraries/base/common/tb/vhdl/tb_common_mem_mux.vhd b/libraries/base/common/tb/vhdl/tb_common_mem_mux.vhd
index 0a4b58aee9..726f270ce2 100644
--- a/libraries/base/common/tb/vhdl/tb_common_mem_mux.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_mem_mux.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
-use work.tb_common_pkg.all;
-use work.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
+  use work.tb_common_pkg.all;
+  use work.tb_common_mem_pkg.all;
 
 entity tb_common_mem_mux is
- generic (
+  generic (
     g_nof_mosi    : positive := 16;  -- Number of memory interfaces in the array.
     g_mult_addr_w : positive := 4  -- Address width of each memory-interface element in the array.
   );
@@ -44,11 +44,13 @@ architecture tb of tb_common_mem_mux is
   constant clk_period   : time    := 10 ns;
 
   constant c_data_w     : natural := 32;
-  constant c_test_ram   : t_c_mem := (latency  => 1,
-                                      adr_w    => g_mult_addr_w,
-                                      dat_w    => c_data_w,
-                                      nof_dat  => 2**g_mult_addr_w,
-                                      init_sl  => '0');
+  constant c_test_ram   : t_c_mem := (
+    latency  => 1,
+    adr_w    => g_mult_addr_w,
+    dat_w    => c_data_w,
+    nof_dat  => 2**g_mult_addr_w,
+    init_sl  => '0'
+  );
   signal rst      : std_logic;
   signal clk      : std_logic := '1';
   signal tb_end   : std_logic;
@@ -93,34 +95,34 @@ begin
 
   generation_of_test_rams : for I in 0 to g_nof_mosi - 1 generate
     u_test_rams : entity work.common_ram_r_w
+      generic map (
+        g_ram       => c_test_ram,
+        g_init_file => "UNUSED"
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        clken     => '1',
+        wr_en     => mosi_arr(I).wr,
+        wr_adr    => mosi_arr(I).address(g_mult_addr_w - 1 downto 0),
+        wr_dat    => mosi_arr(I).wrdata(c_data_w - 1 downto 0),
+        rd_en     => mosi_arr(I).rd,
+        rd_adr    => mosi_arr(I).address(g_mult_addr_w - 1 downto 0),
+        rd_dat    => miso_arr(I).rddata(c_data_w - 1 downto 0),
+        rd_val    => miso_arr(I).rdval
+      );
+  end generate;
+
+  d_dut : entity work.common_mem_mux
     generic map (
-      g_ram       => c_test_ram,
-      g_init_file => "UNUSED"
+      g_nof_mosi    => g_nof_mosi,
+      g_mult_addr_w => g_mult_addr_w
     )
     port map (
-      rst       => rst,
-      clk       => clk,
-      clken     => '1',
-      wr_en     => mosi_arr(I).wr,
-      wr_adr    => mosi_arr(I).address(g_mult_addr_w - 1 downto 0),
-      wr_dat    => mosi_arr(I).wrdata(c_data_w - 1 downto 0),
-      rd_en     => mosi_arr(I).rd,
-      rd_adr    => mosi_arr(I).address(g_mult_addr_w - 1 downto 0),
-      rd_dat    => miso_arr(I).rddata(c_data_w - 1 downto 0),
-      rd_val    => miso_arr(I).rdval
+      mosi_arr => mosi_arr,
+      miso_arr => miso_arr,
+      mosi     => mosi,
+      miso     => miso
     );
-  end generate;
-
-  d_dut : entity work.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_mosi,
-    g_mult_addr_w => g_mult_addr_w
-  )
-  port map (
-    mosi_arr => mosi_arr,
-    miso_arr => miso_arr,
-    mosi     => mosi,
-    miso     => miso
-  );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_mem_pkg.vhd b/libraries/base/common/tb/vhdl/tb_common_mem_pkg.vhd
index e03840a534..e68a64815a 100644
--- a/libraries/base/common/tb/vhdl/tb_common_mem_pkg.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_mem_pkg.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.common_mem_pkg.all;
 
 
 package tb_common_mem_pkg is
@@ -37,65 +37,76 @@ package tb_common_mem_pkg is
   -- as signal).
 
   -- Write data to the MM bus
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;  -- [31:0]
-                               constant wr_data : in  integer;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
-                               signal   mm_mosi : out t_mem_mosi);
-
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  integer;  -- [31:0]
-                               signal   wr_data : in  std_logic_vector;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
-                               signal   mm_mosi : out t_mem_mosi);
-
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;  -- [31:0]
-                               constant wr_data : in  integer;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_mosi : out t_mem_mosi);
-
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;  -- [31:0]
-                               constant wr_data : in  std_logic_vector;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_mosi : out t_mem_mosi);
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;  -- [31:0]
+    constant wr_data : in  integer;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
+    signal   mm_mosi : out t_mem_mosi);
+
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  integer;  -- [31:0]
+    signal   wr_data : in  std_logic_vector;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
+    signal   mm_mosi : out t_mem_mosi);
+
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;  -- [31:0]
+    constant wr_data : in  integer;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_mosi : out t_mem_mosi);
+
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;  -- [31:0]
+    constant wr_data : in  std_logic_vector;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_mosi : out t_mem_mosi);
 
   -- Read data request to the MM bus
-  procedure proc_mem_mm_bus_rd(constant rd_addr : in  natural;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
-                               signal   mm_mosi : out t_mem_mosi);
+  procedure proc_mem_mm_bus_rd (
+    constant rd_addr : in  natural;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
+    signal   mm_mosi : out t_mem_mosi);
 
-  procedure proc_mem_mm_bus_rd(constant rd_addr : in  natural;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_mosi : out t_mem_mosi);
+  procedure proc_mem_mm_bus_rd (
+    constant rd_addr : in  natural;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_mosi : out t_mem_mosi);
 
   -- Wait for read data valid after read latency mm_clk cycles
-  procedure proc_mem_mm_bus_rd_latency(constant c_rd_latency : in natural;
-                                       signal   mm_clk       : in std_logic);
+  procedure proc_mem_mm_bus_rd_latency (
+    constant c_rd_latency : in natural;
+    signal   mm_clk       : in std_logic);
 
   -- Write array of data words to the memory
-  procedure proc_mem_write_ram(constant offset   : in  natural;
-                               constant nof_data : in  natural;
-                               constant data_arr : in  t_slv_32_arr;
-                               signal   mm_clk   : in  std_logic;
-                               signal   mm_mosi  : out t_mem_mosi);
-
-  procedure proc_mem_write_ram(constant data_arr : in  t_slv_32_arr;
-                               signal   mm_clk   : in  std_logic;
-                               signal   mm_mosi  : out t_mem_mosi);
+  procedure proc_mem_write_ram (
+    constant offset   : in  natural;
+    constant nof_data : in  natural;
+    constant data_arr : in  t_slv_32_arr;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi);
+
+  procedure proc_mem_write_ram (
+    constant data_arr : in  t_slv_32_arr;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi);
 
   -- Read array of data words from the memory
-  procedure proc_mem_read_ram(constant offset   : in  natural;
-                              constant nof_data : in  natural;
-                              signal   mm_clk   : in  std_logic;
-                              signal   mm_mosi  : out t_mem_mosi;
-                              signal   mm_miso  : in  t_mem_miso;
-                              signal   data_arr : out t_slv_32_arr);
-
-  procedure proc_mem_read_ram(signal   mm_clk   : in  std_logic;
-                              signal   mm_mosi  : out t_mem_mosi;
-                              signal   mm_miso  : in  t_mem_miso;
-                              signal   data_arr : out t_slv_32_arr);
+  procedure proc_mem_read_ram (
+    constant offset   : in  natural;
+    constant nof_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi;
+    signal   mm_miso  : in  t_mem_miso;
+    signal   data_arr : out t_slv_32_arr);
+
+  procedure proc_mem_read_ram (
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi;
+    signal   mm_miso  : in  t_mem_miso;
+    signal   data_arr : out t_slv_32_arr);
 
 end tb_common_mem_pkg;
 
@@ -107,8 +118,9 @@ package body tb_common_mem_pkg is
   ------------------------------------------------------------------------------
 
   -- Issues a rd or a wr MM access
-  procedure proc_mm_access(signal mm_clk    : in  std_logic;
-                           signal mm_access : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk    : in  std_logic;
+    signal mm_access : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -116,9 +128,10 @@ package body tb_common_mem_pkg is
   end proc_mm_access;
 
   -- Issues a rd or a wr MM access and wait for it to have finished
-  procedure proc_mm_access(signal mm_clk     : in  std_logic;
-                           signal mm_waitreq : in  std_logic;
-                           signal mm_access  : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk     : in  std_logic;
+    signal mm_waitreq : in  std_logic;
+    signal mm_access  : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -133,42 +146,46 @@ package body tb_common_mem_pkg is
   ------------------------------------------------------------------------------
 
   -- Write data to the MM bus
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;
-                               constant wr_data : in  integer;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  integer;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  <= TO_MEM_DATA(wr_data);
     proc_mm_access(mm_clk, mm_miso.waitrequest, mm_mosi.wr);
   end proc_mem_mm_bus_wr;
 
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  integer;
-                               signal   wr_data : in  std_logic_vector;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  integer;
+    signal   wr_data : in  std_logic_vector;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  <= RESIZE_MEM_DATA(wr_data);
     proc_mm_access(mm_clk, mm_miso.waitrequest, mm_mosi.wr);
   end proc_mem_mm_bus_wr;
 
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;
-                               constant wr_data : in  integer;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  integer;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  <= TO_MEM_DATA(wr_data);
     proc_mm_access(mm_clk, mm_mosi.wr);
   end proc_mem_mm_bus_wr;
 
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;
-                               constant wr_data : in  std_logic_vector;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  std_logic_vector;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  <= RESIZE_UVEC(wr_data, c_mem_data_w);
@@ -179,18 +196,20 @@ package body tb_common_mem_pkg is
   -- Read data request to the MM bus
   -- Use proc_mem_mm_bus_rd_latency() to wait for the MM MISO rd_data signal
   -- to show the data after some read latency
-  procedure proc_mem_mm_bus_rd(constant rd_addr : in  natural;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_rd (
+    constant rd_addr : in  natural;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(rd_addr);
     proc_mm_access(mm_clk, mm_miso.waitrequest, mm_mosi.rd);
   end proc_mem_mm_bus_rd;
 
-  procedure proc_mem_mm_bus_rd(constant rd_addr : in  natural;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_rd (
+    constant rd_addr : in  natural;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(rd_addr);
     proc_mm_access(mm_clk, mm_mosi.rd);
@@ -198,19 +217,21 @@ package body tb_common_mem_pkg is
 
   -- Wait for read data valid after read latency mm_clk cycles
   -- Directly assign mm_miso.rddata to capture the read data
-  procedure proc_mem_mm_bus_rd_latency(constant c_rd_latency : in natural;
-                                       signal   mm_clk       : in std_logic) is
+  procedure proc_mem_mm_bus_rd_latency (
+    constant c_rd_latency : in natural;
+    signal   mm_clk       : in std_logic) is
   begin
     for I in 0 to c_rd_latency - 1 loop wait until rising_edge(mm_clk); end loop;
   end proc_mem_mm_bus_rd_latency;
 
 
   -- Write array of data words to the memory
-  procedure proc_mem_write_ram(constant offset   : in  natural;
-                               constant nof_data : in  natural;
-                               constant data_arr : in  t_slv_32_arr;
-                               signal   mm_clk   : in  std_logic;
-                               signal   mm_mosi  : out t_mem_mosi) is
+  procedure proc_mem_write_ram (
+    constant offset   : in  natural;
+    constant nof_data : in  natural;
+    constant data_arr : in  t_slv_32_arr;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi) is
     constant c_data_arr : t_slv_32_arr(data_arr'length - 1 downto 0) := data_arr;  -- map to fixed range [h:0]
   begin
     for I in 0 to nof_data - 1 loop
@@ -218,9 +239,10 @@ package body tb_common_mem_pkg is
     end loop;
   end proc_mem_write_ram;
 
-  procedure proc_mem_write_ram(constant data_arr : in  t_slv_32_arr;
-                               signal   mm_clk   : in  std_logic;
-                               signal   mm_mosi  : out t_mem_mosi) is
+  procedure proc_mem_write_ram (
+    constant data_arr : in  t_slv_32_arr;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi) is
     constant c_offset   : natural := 0;
     constant c_nof_data : natural := data_arr'length;
   begin
@@ -228,12 +250,13 @@ package body tb_common_mem_pkg is
   end proc_mem_write_ram;
 
   -- Read array of data words from the memory
-  procedure proc_mem_read_ram(constant offset   : in  natural;
-                              constant nof_data : in  natural;
-                              signal   mm_clk   : in  std_logic;
-                              signal   mm_mosi  : out t_mem_mosi;
-                              signal   mm_miso  : in  t_mem_miso;
-                              signal   data_arr : out t_slv_32_arr) is
+  procedure proc_mem_read_ram (
+    constant offset   : in  natural;
+    constant nof_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi;
+    signal   mm_miso  : in  t_mem_miso;
+    signal   data_arr : out t_slv_32_arr) is
   begin
     for I in 0 to nof_data - 1 loop
       proc_mem_mm_bus_rd(offset + I, mm_clk, mm_mosi);
@@ -244,10 +267,11 @@ package body tb_common_mem_pkg is
     wait until rising_edge(mm_clk);
   end proc_mem_read_ram;
 
-  procedure proc_mem_read_ram(signal   mm_clk   : in  std_logic;
-                              signal   mm_mosi  : out t_mem_mosi;
-                              signal   mm_miso  : in  t_mem_miso;
-                              signal   data_arr : out t_slv_32_arr) is
+  procedure proc_mem_read_ram (
+    signal   mm_clk   : in  std_logic;
+    signal   mm_mosi  : out t_mem_mosi;
+    signal   mm_miso  : in  t_mem_miso;
+    signal   data_arr : out t_slv_32_arr) is
     constant c_offset   : natural := 0;
     constant c_nof_data : natural := data_arr'length;
   begin
diff --git a/libraries/base/common/tb/vhdl/tb_common_multiplexer.vhd b/libraries/base/common/tb/vhdl/tb_common_multiplexer.vhd
index e579fe51f6..588284790e 100644
--- a/libraries/base/common/tb/vhdl/tb_common_multiplexer.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_multiplexer.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 -- Purpose: Test bench for common_multiplexer.vhd and common_demultiplexer.vhd
 -- Usage:
@@ -130,59 +130,59 @@ begin
 
   -- . Demultiplex single input to output[in_sel]
   u_demux : entity work.common_demultiplexer
-  generic map (
-    g_pipeline_in   => g_pipeline_demux_in,
-    g_pipeline_out  => g_pipeline_demux_out,
-    g_nof_out       => g_nof_streams,
-    g_dat_w         => g_dat_w
-  )
-  port map(
-    rst         => rst,
-    clk         => clk,
-
-    in_dat      => in_dat,
-    in_val      => in_val,
-
-    out_sel     => in_sel,
-    out_dat     => demux_dat_vec,
-    out_val     => demux_val_vec
-  );
+    generic map (
+      g_pipeline_in   => g_pipeline_demux_in,
+      g_pipeline_out  => g_pipeline_demux_out,
+      g_nof_out       => g_nof_streams,
+      g_dat_w         => g_dat_w
+    )
+    port map(
+      rst         => rst,
+      clk         => clk,
+
+      in_dat      => in_dat,
+      in_val      => in_val,
+
+      out_sel     => in_sel,
+      out_dat     => demux_dat_vec,
+      out_val     => demux_val_vec
+    );
 
   -- . pipeline in_sel to align demux_sel to demux_*_vec
   u_pipe_sel : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => c_pipeline_demux,
-    g_in_dat_w  => c_sel_w,
-    g_out_dat_w => c_sel_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sel,
-    out_dat => demux_sel
-  );
+    generic map (
+      g_pipeline  => c_pipeline_demux,
+      g_in_dat_w  => c_sel_w,
+      g_out_dat_w => c_sel_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sel,
+      out_dat => demux_sel
+    );
 
   demux_val <= demux_val_vec(TO_UINT(demux_sel));
 
   -- . Multiplex input[demux_sel] back to a single output
   u_mux : entity work.common_multiplexer
-  generic map (
-    g_pipeline_in   => g_pipeline_mux_in,
-    g_pipeline_out  => g_pipeline_mux_out,
-    g_nof_in        => g_nof_streams,
-    g_dat_w         => g_dat_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    in_sel      => demux_sel,
-    in_dat      => demux_dat_vec,
-    in_val      => demux_val,
-
-    out_dat     => out_dat,
-    out_val     => out_val
-  );
+    generic map (
+      g_pipeline_in   => g_pipeline_mux_in,
+      g_pipeline_out  => g_pipeline_mux_out,
+      g_nof_in        => g_nof_streams,
+      g_dat_w         => g_dat_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      in_sel      => demux_sel,
+      in_dat      => demux_dat_vec,
+      in_val      => demux_val,
+
+      out_dat     => out_dat,
+      out_val     => out_val
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/libraries/base/common/tb/vhdl/tb_common_operation_tree.vhd b/libraries/base/common/tb/vhdl/tb_common_operation_tree.vhd
index 3c38959ed5..c0d44ebea7 100644
--- a/libraries/base/common/tb/vhdl/tb_common_operation_tree.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_operation_tree.vhd
@@ -29,11 +29,11 @@
 --   not equal
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_operation_tree is
@@ -65,7 +65,7 @@ architecture tb of tb_common_operation_tree is
   type t_data_arr is array (integer range <>) of std_logic_vector(c_dat_w - 1 downto 0);
 
   -- Use random data values for the g_nof_inputs time in the data_vec
-  function func_data_vec(init : integer) return std_logic_vector is
+  function func_data_vec (init : integer) return std_logic_vector is
     variable v_data_vec : std_logic_vector(c_data_vec_w - 1 downto 0);
     variable v_in       : std_logic_vector(c_dat_w - 1 downto 0);
   begin
@@ -78,7 +78,7 @@ architecture tb of tb_common_operation_tree is
   end;
 
   -- Calculate the expected result of the operation on the data in the data_vec
-  function func_result(operation, representation : string; data_vec : std_logic_vector) return std_logic_vector is
+  function func_result (operation, representation : string; data_vec : std_logic_vector) return std_logic_vector is
     variable v_in     : std_logic_vector(c_dat_w - 1 downto 0);
     variable v_result : integer := 0;
   begin
@@ -154,19 +154,19 @@ begin
   -- . Pipeline the in_data_vec to align with the result
   -- . Map the concatenated dat in in_data_vec into an in_data_arr_p array
   u_data_vec_p : entity work.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_tree,
-    g_reset_value    => 0,
-    g_in_dat_w       => c_data_vec_w,
-    g_out_dat_w      => c_data_vec_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_data_vec,
-    out_dat => in_data_vec_p
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_tree,
+      g_reset_value    => 0,
+      g_in_dat_w       => c_data_vec_w,
+      g_out_dat_w      => c_data_vec_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_data_vec,
+      out_dat => in_data_vec_p
+    );
 
   p_data_arr : process(in_data_vec_p)
   begin
@@ -178,47 +178,47 @@ begin
   expected_comb <= func_result(g_operation, g_representation, in_data_vec);
 
   u_result : entity work.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_tree,
-    g_reset_value    => 0,
-    g_in_dat_w       => c_dat_w,
-    g_out_dat_w      => c_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => expected_comb,
-    out_dat => expected
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_tree,
+      g_reset_value    => 0,
+      g_in_dat_w       => c_dat_w,
+      g_out_dat_w      => c_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => expected_comb,
+      out_dat => expected
+    );
 
   u_expected_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline       => c_pipeline_tree,
-    g_reset_value    => 0
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => expected_val
-  );
+    generic map (
+      g_pipeline       => c_pipeline_tree,
+      g_reset_value    => 0
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => expected_val
+    );
 
   dut : entity work.common_operation_tree
-  generic map (
-    g_operation      => g_operation,
-    g_representation => g_representation,
-    g_pipeline       => g_pipeline,
-    g_pipeline_mod   => g_pipeline_mod,
-    g_nof_inputs     => g_nof_inputs,
-    g_dat_w          => c_dat_w
-  )
-  port map (
-    clk         => clk,
-    in_data_vec => in_data_vec,
-    in_en_vec   => in_en_vec,
-    result      => result
-  );
+    generic map (
+      g_operation      => g_operation,
+      g_representation => g_representation,
+      g_pipeline       => g_pipeline,
+      g_pipeline_mod   => g_pipeline_mod,
+      g_nof_inputs     => g_nof_inputs,
+      g_dat_w          => c_dat_w
+    )
+    port map (
+      clk         => clk,
+      in_data_vec => in_data_vec,
+      in_en_vec   => in_en_vec,
+      result      => result
+    );
 
   p_verify : process(rst, clk)
   begin
diff --git a/libraries/base/common/tb/vhdl/tb_common_paged_ram_crw_crw.vhd b/libraries/base/common/tb/vhdl/tb_common_paged_ram_crw_crw.vhd
index c5d8fe20ff..24f75bf954 100644
--- a/libraries/base/common/tb/vhdl/tb_common_paged_ram_crw_crw.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_paged_ram_crw_crw.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 -- Purpose: Test bench for common_paged_ram_crw_crw
 --
@@ -127,100 +127,100 @@ begin
   end process;
 
   u_dut_mux : entity work.common_paged_ram_crw_crw
-  generic map (
-    g_str           => "use_mux",
-    g_data_w        => c_data_w,
-    g_nof_pages     => c_nof_pages,
-    g_page_sz       => c_page_sz,
-    g_start_page_a  => c_start_page_a,
-    g_start_page_b  => c_start_page_b
-  )
-  port map (
-    rst_a       => rst,
-    rst_b       => rst,
-    clk_a       => clk,
-    clk_b       => clk,
-    clken_a     => '1',
-    clken_b     => '1',
-    next_page_a => next_page_a,
-    adr_a       => adr_a,
-    wr_en_a     => wr_en_a,
-    wr_dat_a    => wr_dat_a,
-    rd_en_a     => '0',
-    rd_dat_a    => OPEN,
-    rd_val_a    => OPEN,
-    next_page_b => next_page_b,
-    adr_b       => adr_b,
-    wr_en_b     => '0',
-    wr_dat_b    => (others => '0'),
-    rd_en_b     => rd_en_b,
-    rd_dat_b    => mux_rd_dat_b,
-    rd_val_b    => mux_rd_val_b
-  );
+    generic map (
+      g_str           => "use_mux",
+      g_data_w        => c_data_w,
+      g_nof_pages     => c_nof_pages,
+      g_page_sz       => c_page_sz,
+      g_start_page_a  => c_start_page_a,
+      g_start_page_b  => c_start_page_b
+    )
+    port map (
+      rst_a       => rst,
+      rst_b       => rst,
+      clk_a       => clk,
+      clk_b       => clk,
+      clken_a     => '1',
+      clken_b     => '1',
+      next_page_a => next_page_a,
+      adr_a       => adr_a,
+      wr_en_a     => wr_en_a,
+      wr_dat_a    => wr_dat_a,
+      rd_en_a     => '0',
+      rd_dat_a    => OPEN,
+      rd_val_a    => OPEN,
+      next_page_b => next_page_b,
+      adr_b       => adr_b,
+      wr_en_b     => '0',
+      wr_dat_b    => (others => '0'),
+      rd_en_b     => rd_en_b,
+      rd_dat_b    => mux_rd_dat_b,
+      rd_val_b    => mux_rd_val_b
+    );
 
   u_dut_adr : entity work.common_paged_ram_crw_crw
-  generic map (
-    g_str           => "use_adr",
-    g_data_w        => c_data_w,
-    g_nof_pages     => c_nof_pages,
-    g_page_sz       => c_page_sz,
-    g_start_page_a  => c_start_page_a,
-    g_start_page_b  => c_start_page_b
-  )
-  port map (
-    rst_a       => rst,
-    rst_b       => rst,
-    clk_a       => clk,
-    clk_b       => clk,
-    clken_a     => '1',
-    clken_b     => '1',
-    next_page_a => next_page_a,
-    adr_a       => adr_a,
-    wr_en_a     => wr_en_a,
-    wr_dat_a    => wr_dat_a,
-    rd_en_a     => '0',
-    rd_dat_a    => OPEN,
-    rd_val_a    => OPEN,
-    next_page_b => next_page_b,
-    adr_b       => adr_b,
-    wr_en_b     => '0',
-    wr_dat_b    => (others => '0'),
-    rd_en_b     => rd_en_b,
-    rd_dat_b    => adr_rd_dat_b,
-    rd_val_b    => adr_rd_val_b
-  );
+    generic map (
+      g_str           => "use_adr",
+      g_data_w        => c_data_w,
+      g_nof_pages     => c_nof_pages,
+      g_page_sz       => c_page_sz,
+      g_start_page_a  => c_start_page_a,
+      g_start_page_b  => c_start_page_b
+    )
+    port map (
+      rst_a       => rst,
+      rst_b       => rst,
+      clk_a       => clk,
+      clk_b       => clk,
+      clken_a     => '1',
+      clken_b     => '1',
+      next_page_a => next_page_a,
+      adr_a       => adr_a,
+      wr_en_a     => wr_en_a,
+      wr_dat_a    => wr_dat_a,
+      rd_en_a     => '0',
+      rd_dat_a    => OPEN,
+      rd_val_a    => OPEN,
+      next_page_b => next_page_b,
+      adr_b       => adr_b,
+      wr_en_b     => '0',
+      wr_dat_b    => (others => '0'),
+      rd_en_b     => rd_en_b,
+      rd_dat_b    => adr_rd_dat_b,
+      rd_val_b    => adr_rd_val_b
+    );
 
   u_dut_ofs : entity work.common_paged_ram_crw_crw
-  generic map (
-    g_str           => "use_ofs",
-    g_data_w        => c_data_w,
-    g_nof_pages     => c_nof_pages,
-    g_page_sz       => c_page_sz,
-    g_start_page_a  => c_start_page_a,
-    g_start_page_b  => c_start_page_b
-  )
-  port map (
-    rst_a       => rst,
-    rst_b       => rst,
-    clk_a       => clk,
-    clk_b       => clk,
-    clken_a     => '1',
-    clken_b     => '1',
-    next_page_a => next_page_a,
-    adr_a       => adr_a,
-    wr_en_a     => wr_en_a,
-    wr_dat_a    => wr_dat_a,
-    rd_en_a     => '0',
-    rd_dat_a    => OPEN,
-    rd_val_a    => OPEN,
-    next_page_b => next_page_b,
-    adr_b       => adr_b,
-    wr_en_b     => '0',
-    wr_dat_b    => (others => '0'),
-    rd_en_b     => rd_en_b,
-    rd_dat_b    => ofs_rd_dat_b,
-    rd_val_b    => ofs_rd_val_b
-  );
+    generic map (
+      g_str           => "use_ofs",
+      g_data_w        => c_data_w,
+      g_nof_pages     => c_nof_pages,
+      g_page_sz       => c_page_sz,
+      g_start_page_a  => c_start_page_a,
+      g_start_page_b  => c_start_page_b
+    )
+    port map (
+      rst_a       => rst,
+      rst_b       => rst,
+      clk_a       => clk,
+      clk_b       => clk,
+      clken_a     => '1',
+      clken_b     => '1',
+      next_page_a => next_page_a,
+      adr_a       => adr_a,
+      wr_en_a     => wr_en_a,
+      wr_dat_a    => wr_dat_a,
+      rd_en_a     => '0',
+      rd_dat_a    => OPEN,
+      rd_val_a    => OPEN,
+      next_page_b => next_page_b,
+      adr_b       => adr_b,
+      wr_en_b     => '0',
+      wr_dat_b    => (others => '0'),
+      rd_en_b     => rd_en_b,
+      rd_dat_b    => ofs_rd_dat_b,
+      rd_val_b    => ofs_rd_val_b
+    );
 
   -- Verify that the read data is incrementing data
   proc_common_verify_data(c_rl, clk, verify_en, ready, mux_rd_val_b, mux_rd_dat_b, prev_mux_rd_dat_b);
diff --git a/libraries/base/common/tb/vhdl/tb_common_paged_ram_ww_rr.vhd b/libraries/base/common/tb/vhdl/tb_common_paged_ram_ww_rr.vhd
index 1f16076346..c515e74a03 100644
--- a/libraries/base/common/tb/vhdl/tb_common_paged_ram_ww_rr.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_paged_ram_ww_rr.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 -- Purpose: Test bench for common_paged_ram_ww_rr
 -- Description:
@@ -186,66 +186,66 @@ begin
 
   -- Double write - double read
   u_dut_ww_rr : entity work.common_paged_ram_ww_rr
-  generic map (
-    g_pipeline_in  => g_pipeline_in,
-    g_pipeline_out => g_pipeline_out,
-    g_data_w       => c_data_w,
-    g_page_sz      => g_page_sz
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => '1',
-    -- next page control
-    next_page   => next_page,
-    -- double write access to one page
-    wr_adr_a    => wr_adr_a,
-    wr_en_a     => wr_en_a,
-    wr_dat_a    => wr_dat_a,
-    wr_adr_b    => wr_adr_b,
-    wr_en_b     => wr_en_b,
-    wr_dat_b    => wr_dat_b,
-    -- double read access from the other one page
-    rd_adr_a    => rd_adr_a,
-    rd_en_a     => rd_en_a,
-    rd_adr_b    => rd_adr_b,
-    rd_en_b     => rd_en_b,
-    -- double read data from the other one page after c_rd_latency
-    rd_dat_a    => wwrr_rd_dat_a,
-    rd_val_a    => wwrr_rd_val_a,
-    rd_dat_b    => wwrr_rd_dat_b,
-    rd_val_b    => wwrr_rd_val_b
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline_in,
+      g_pipeline_out => g_pipeline_out,
+      g_data_w       => c_data_w,
+      g_page_sz      => g_page_sz
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => '1',
+      -- next page control
+      next_page   => next_page,
+      -- double write access to one page
+      wr_adr_a    => wr_adr_a,
+      wr_en_a     => wr_en_a,
+      wr_dat_a    => wr_dat_a,
+      wr_adr_b    => wr_adr_b,
+      wr_en_b     => wr_en_b,
+      wr_dat_b    => wr_dat_b,
+      -- double read access from the other one page
+      rd_adr_a    => rd_adr_a,
+      rd_en_a     => rd_en_a,
+      rd_adr_b    => rd_adr_b,
+      rd_en_b     => rd_en_b,
+      -- double read data from the other one page after c_rd_latency
+      rd_dat_a    => wwrr_rd_dat_a,
+      rd_val_a    => wwrr_rd_val_a,
+      rd_dat_b    => wwrr_rd_dat_b,
+      rd_val_b    => wwrr_rd_val_b
+    );
 
   -- Single write - double read
   u_dut_w_rr : entity work.common_paged_ram_w_rr
-  generic map (
-    g_pipeline_in  => g_pipeline_in,
-    g_pipeline_out => g_pipeline_out,
-    g_data_w       => c_data_w,
-    g_page_sz      => g_page_sz
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => '1',
-    -- next page control
-    next_page   => next_page,
-    -- double write access to one page
-    wr_adr      => in_adr,
-    wr_en       => in_en,
-    wr_dat      => in_dat,
-    -- double read access from the other one page
-    rd_adr_a    => rd_adr_a,
-    rd_en_a     => rd_en_a,
-    rd_adr_b    => rd_adr_b,
-    rd_en_b     => rd_en_b,
-    -- double read data from the other one page after c_rd_latency
-    rd_dat_a    => wrr_rd_dat_a,
-    rd_val_a    => wrr_rd_val_a,
-    rd_dat_b    => wrr_rd_dat_b,
-    rd_val_b    => wrr_rd_val_b
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline_in,
+      g_pipeline_out => g_pipeline_out,
+      g_data_w       => c_data_w,
+      g_page_sz      => g_page_sz
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => '1',
+      -- next page control
+      next_page   => next_page,
+      -- double write access to one page
+      wr_adr      => in_adr,
+      wr_en       => in_en,
+      wr_dat      => in_dat,
+      -- double read access from the other one page
+      rd_adr_a    => rd_adr_a,
+      rd_en_a     => rd_en_a,
+      rd_adr_b    => rd_adr_b,
+      rd_en_b     => rd_en_b,
+      -- double read data from the other one page after c_rd_latency
+      rd_dat_a    => wrr_rd_dat_a,
+      rd_val_a    => wrr_rd_val_a,
+      rd_dat_b    => wrr_rd_dat_b,
+      rd_val_b    => wrr_rd_val_b
+    );
 
   ------------------------------------------------------------------------------
   -- Verify
diff --git a/libraries/base/common/tb/vhdl/tb_common_pkg.vhd b/libraries/base/common/tb/vhdl/tb_common_pkg.vhd
index fe6d6b3415..1fae5df90e 100644
--- a/libraries/base/common/tb/vhdl/tb_common_pkg.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_pkg.vhd
@@ -30,11 +30,11 @@
 -- . More information can be found in the comments near the code.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use std.textio.all;  -- for boolean, integer file IO
-use IEEE.std_logic_textio.all;  -- for std_logic, std_logic_vector file IO
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use std.textio.all;  -- for boolean, integer file IO
+  use IEEE.std_logic_textio.all;  -- for std_logic, std_logic_vector file IO
+  use work.common_pkg.all;
 
 
 package tb_common_pkg is
@@ -50,295 +50,350 @@ package tb_common_pkg is
 
 
   -- Wait for some time or until
-  procedure proc_common_wait_some_cycles(signal clk          : in  std_logic;
-                                                c_nof_cycles : in  natural);
-
-  procedure proc_common_wait_some_cycles(signal clk          : in  std_logic;
-                                                c_nof_cycles : in  real);
-
-  procedure proc_common_wait_some_cycles(signal clk_in       : in  std_logic;
-                                         signal clk_out      : in  std_logic;
-                                                c_nof_cycles : in  natural);
-
-  procedure proc_common_wait_some_pulses(signal clk          : in  std_logic;
-                                         signal pulse        : in  std_logic;
-                                                c_nof_pulses : in  natural);
-
-  procedure proc_common_wait_until_evt(signal clk    : in  std_logic;
-                                       signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_evt(signal clk    : in  std_logic;
-                                       signal level  : in  integer);
-
-  procedure proc_common_wait_until_evt(constant c_timeout : in  natural;
-                                       signal   clk       : in  std_logic;
-                                       signal   level     : in  std_logic);
-
-  procedure proc_common_wait_until_high(constant c_timeout : in  natural;
-                                        signal   clk       : in  std_logic;
-                                        signal   level     : in  std_logic);
-
-  procedure proc_common_wait_until_high(signal clk    : in  std_logic;
-                                        signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_clk_and_high(signal clk    : in  std_logic;
-                                                signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_low(constant c_timeout : in  natural;
-                                       signal   clk       : in  std_logic;
-                                       signal   level     : in  std_logic);
-
-  procedure proc_common_wait_until_low(signal clk    : in  std_logic;
-                                       signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_clk_and_low(signal clk    : in  std_logic;
-                                               signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_hi_lo(constant c_timeout : in  natural;
-                                         signal   clk       : in  std_logic;
-                                         signal   level     : in  std_logic);
-
-  procedure proc_common_wait_until_hi_lo(signal clk    : in  std_logic;
-                                         signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_lo_hi(constant c_timeout : in  natural;
-                                         signal   clk       : in  std_logic;
-                                         signal   level     : in  std_logic);
-
-  procedure proc_common_wait_until_lo_hi(signal clk    : in  std_logic;
-                                         signal level  : in  std_logic);
-
-  procedure proc_common_wait_until_value(constant c_value : in  integer;
-                                         signal clk       : in  std_logic;
-                                         signal level     : in  integer);
-
-  procedure proc_common_wait_until_value(constant c_value : in  integer;
-                                         signal clk       : in  std_logic;
-                                         signal level     : in  std_logic_vector);
-
-  procedure proc_common_wait_until_value(constant c_timeout : in  natural;
-                                         constant c_value   : in  integer;
-                                         signal clk         : in  std_logic;
-                                         signal level       : in  std_logic_vector);
+  procedure proc_common_wait_some_cycles (
+    signal clk          : in  std_logic;
+    c_nof_cycles : in  natural);
+
+  procedure proc_common_wait_some_cycles (
+    signal clk          : in  std_logic;
+    c_nof_cycles : in  real);
+
+  procedure proc_common_wait_some_cycles (
+    signal clk_in       : in  std_logic;
+    signal clk_out      : in  std_logic;
+    c_nof_cycles : in  natural);
+
+  procedure proc_common_wait_some_pulses (
+    signal clk          : in  std_logic;
+    signal pulse        : in  std_logic;
+    c_nof_pulses : in  natural);
+
+  procedure proc_common_wait_until_evt (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_evt (
+    signal clk    : in  std_logic;
+    signal level  : in  integer);
+
+  procedure proc_common_wait_until_evt (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic);
+
+  procedure proc_common_wait_until_high (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic);
+
+  procedure proc_common_wait_until_high (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_clk_and_high (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_low (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic);
+
+  procedure proc_common_wait_until_low (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_clk_and_low (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_hi_lo (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic);
+
+  procedure proc_common_wait_until_hi_lo (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_lo_hi (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic);
+
+  procedure proc_common_wait_until_lo_hi (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic);
+
+  procedure proc_common_wait_until_value (
+    constant c_value : in  integer;
+    signal clk       : in  std_logic;
+    signal level     : in  integer);
+
+  procedure proc_common_wait_until_value (
+    constant c_value : in  integer;
+    signal clk       : in  std_logic;
+    signal level     : in  std_logic_vector);
+
+  procedure proc_common_wait_until_value (
+    constant c_timeout : in  natural;
+    constant c_value   : in  integer;
+    signal clk         : in  std_logic;
+    signal level       : in  std_logic_vector);
 
   -- Wait until absolute simulation time NOW = c_time
-  procedure proc_common_wait_until_time(signal clk      : in  std_logic;
-                                        constant c_time : in  time);
+  procedure proc_common_wait_until_time (
+    signal clk      : in  std_logic;
+    constant c_time : in  time);
 
   -- Exit simulation on timeout failure
-  procedure proc_common_timeout_failure(constant c_timeout : in time;
-                                        signal tb_end      : in std_logic);
+  procedure proc_common_timeout_failure (
+    constant c_timeout : in time;
+    signal tb_end      : in std_logic);
 
 
   -- Stop simulation using severity FAILURE when g_tb_end=TRUE, else for use in multi tb report as severity NOTE
-  procedure proc_common_stop_simulation(signal tb_end : in std_logic);
+  procedure proc_common_stop_simulation (signal tb_end : in std_logic);
 
-  procedure proc_common_stop_simulation(constant g_tb_end  : in boolean;
-                                        constant g_latency : in natural;  -- latency between tb_done and tb_)end
-                                        signal clk         : in std_logic;
-                                        signal tb_done     : in std_logic;
-                                        signal tb_end      : out std_logic);
+  procedure proc_common_stop_simulation (
+    constant g_tb_end  : in boolean;
+    constant g_latency : in natural;  -- latency between tb_done and tb_)end
+    signal clk         : in std_logic;
+    signal tb_done     : in std_logic;
+    signal tb_end      : out std_logic);
 
-  procedure proc_common_stop_simulation(constant g_tb_end  : in boolean;
-                                        signal clk         : in std_logic;
-                                        signal tb_done     : in std_logic;
-                                        signal tb_end      : out std_logic);
+  procedure proc_common_stop_simulation (
+    constant g_tb_end  : in boolean;
+    signal clk         : in std_logic;
+    signal tb_done     : in std_logic;
+    signal tb_end      : out std_logic);
 
   -- Handle stream ready signal, only support ready latency c_rl = 0 or 1.
-  procedure proc_common_ready_latency(constant c_rl      : in  natural;
-                                      signal   clk       : in  std_logic;
-                                      signal   enable    : in  std_logic;  -- when '1' then active output when ready
-                                      signal   ready     : in  std_logic;
-                                      signal   out_valid : out std_logic);
+  procedure proc_common_ready_latency (
+    constant c_rl      : in  natural;
+    signal   clk       : in  std_logic;
+    signal   enable    : in  std_logic;  -- when '1' then active output when ready
+    signal   ready     : in  std_logic;
+    signal   out_valid : out std_logic);
 
 
   -- Wait for clock domain crossing latency, e.g. for MM readback after MM write
-  procedure proc_common_wait_cross_clock_domain_latency(signal mm_clk : in  std_logic;
-                                                        signal st_clk : in  std_logic;
-                                                        constant c_nof_cycles : in  natural);
+  procedure proc_common_wait_cross_clock_domain_latency (
+    signal mm_clk : in  std_logic;
+    signal st_clk : in  std_logic;
+    constant c_nof_cycles : in  natural);
 
-  procedure proc_common_wait_cross_clock_domain_latency(signal mm_clk : in  std_logic;
-                                                        signal st_clk : in  std_logic);
+  procedure proc_common_wait_cross_clock_domain_latency (
+    signal mm_clk : in  std_logic;
+    signal st_clk : in  std_logic);
 
-  procedure proc_common_wait_cross_clock_domain_latency(constant c_mm_clk_period : in time;
-                                                        constant c_st_clk_period : in time;
-                                                        constant c_nof_cycles : in  natural);
+  procedure proc_common_wait_cross_clock_domain_latency (
+    constant c_mm_clk_period : in time;
+    constant c_st_clk_period : in time;
+    constant c_nof_cycles : in  natural);
 
-  procedure proc_common_wait_cross_clock_domain_latency(constant c_mm_clk_period : in time;
-                                                        constant c_st_clk_period : in time);
+  procedure proc_common_wait_cross_clock_domain_latency (
+    constant c_mm_clk_period : in time;
+    constant c_st_clk_period : in time);
 
   -- Generate a single active, inactive pulse
-  procedure proc_common_gen_pulse(constant c_active : in  natural;  -- pulse active for nof clk
-                                  constant c_period : in  natural;  -- pulse period for nof clk
-                                  constant c_level  : in  std_logic;  -- pulse level when active
-                                  signal   clk      : in  std_logic;
-                                  signal   pulse    : out std_logic);
+  procedure proc_common_gen_pulse (
+    constant c_active : in  natural;  -- pulse active for nof clk
+    constant c_period : in  natural;  -- pulse period for nof clk
+    constant c_level  : in  std_logic;  -- pulse level when active
+    signal   clk      : in  std_logic;
+    signal   pulse    : out std_logic);
 
   -- Pulse forever after rst was released
-  procedure proc_common_gen_pulse(constant c_active : in  natural;  -- pulse active for nof clk
-                                  constant c_period : in  natural;  -- pulse period for nof clk
-                                  constant c_level  : in  std_logic;  -- pulse level when active
-                                  signal   rst      : in  std_logic;
-                                  signal   clk      : in  std_logic;
-                                  signal   pulse    : out std_logic);
+  procedure proc_common_gen_pulse (
+    constant c_active : in  natural;  -- pulse active for nof clk
+    constant c_period : in  natural;  -- pulse period for nof clk
+    constant c_level  : in  std_logic;  -- pulse level when active
+    signal   rst      : in  std_logic;
+    signal   clk      : in  std_logic;
+    signal   pulse    : out std_logic);
 
   -- Generate a single '1', '0' pulse
-  procedure proc_common_gen_pulse(signal clk   : in  std_logic;
-                                  signal pulse : out std_logic);
+  procedure proc_common_gen_pulse (
+    signal clk   : in  std_logic;
+    signal pulse : out std_logic);
 
   -- Generate a periodic pulse with arbitrary duty cycle
-  procedure proc_common_gen_duty_pulse(constant c_delay     : in  natural;  -- delay pulse for nof_clk after enable
-                                       constant c_active    : in  natural;  -- pulse active for nof clk
-                                       constant c_period    : in  natural;  -- pulse period for nof clk
-                                       constant c_level     : in  std_logic;  -- pulse level when active
-                                       signal   rst         : in  std_logic;
-                                       signal   clk         : in  std_logic;
-                                       signal   enable      : in  std_logic;  -- once enabled, the pulse remains enabled
-                                       signal   pulse       : out std_logic);
-
-  procedure proc_common_gen_duty_pulse(constant c_active    : in  natural;  -- pulse active for nof clk
-                                       constant c_period    : in  natural;  -- pulse period for nof clk
-                                       constant c_level     : in  std_logic;  -- pulse level when active
-                                       signal   rst         : in  std_logic;
-                                       signal   clk         : in  std_logic;
-                                       signal   enable      : in  std_logic;  -- once enabled, the pulse remains enabled
-                                       signal   pulse       : out std_logic);
+  procedure proc_common_gen_duty_pulse (
+    constant c_delay     : in  natural;  -- delay pulse for nof_clk after enable
+    constant c_active    : in  natural;  -- pulse active for nof clk
+    constant c_period    : in  natural;  -- pulse period for nof clk
+    constant c_level     : in  std_logic;  -- pulse level when active
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;  -- once enabled, the pulse remains enabled
+    signal   pulse       : out std_logic);
+
+  procedure proc_common_gen_duty_pulse (
+    constant c_active    : in  natural;  -- pulse active for nof clk
+    constant c_period    : in  natural;  -- pulse period for nof clk
+    constant c_level     : in  std_logic;  -- pulse level when active
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;  -- once enabled, the pulse remains enabled
+    signal   pulse       : out std_logic);
 
   -- Generate counter data with valid and arbitrary increment or fixed increment=1
-  procedure proc_common_gen_data(constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
-                                 constant c_init      : in  integer;
-                                 constant c_incr      : in  integer;
-                                 signal   rst         : in  std_logic;
-                                 signal   clk         : in  std_logic;
-                                 signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
-                                 signal   ready       : in  std_logic;
-                                 signal   out_data    : out std_logic_vector;
-                                 signal   out_valid   : out std_logic);
-
-  procedure proc_common_gen_data(constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
-                                 constant c_init      : in  integer;
-                                 signal   rst         : in  std_logic;
-                                 signal   clk         : in  std_logic;
-                                 signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
-                                 signal   ready       : in  std_logic;
-                                 signal   out_data    : out std_logic_vector;
-                                 signal   out_valid   : out std_logic);
+  procedure proc_common_gen_data (
+    constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
+    constant c_init      : in  integer;
+    constant c_incr      : in  integer;
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
+    signal   ready       : in  std_logic;
+    signal   out_data    : out std_logic_vector;
+    signal   out_valid   : out std_logic);
+
+  procedure proc_common_gen_data (
+    constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
+    constant c_init      : in  integer;
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
+    signal   ready       : in  std_logic;
+    signal   out_data    : out std_logic_vector;
+    signal   out_valid   : out std_logic);
 
   -- Generate frame control
-  procedure proc_common_sop(signal clk     : in  std_logic;
-                            signal in_val  : out std_logic;
-                            signal in_sop  : out std_logic);
-
-  procedure proc_common_eop(signal clk     : in  std_logic;
-                            signal in_val  : out std_logic;
-                            signal in_eop  : out std_logic);
-
-  procedure proc_common_val(constant c_val_len : in natural;
-                            signal   clk       : in  std_logic;
-                            signal   in_val    : out std_logic);
-
-  procedure proc_common_val_duty(constant c_hi_len  : in natural;
-                                 constant c_lo_len  : in natural;
-                                 signal   clk       : in  std_logic;
-                                 signal   in_val    : out std_logic);
-
-  procedure proc_common_eop_flush(constant c_flush_len : in natural;
-                                  signal   clk         : in  std_logic;
-                                  signal   in_val      : out std_logic;
-                                  signal   in_eop      : out std_logic);
+  procedure proc_common_sop (
+    signal clk     : in  std_logic;
+    signal in_val  : out std_logic;
+    signal in_sop  : out std_logic);
+
+  procedure proc_common_eop (
+    signal clk     : in  std_logic;
+    signal in_val  : out std_logic;
+    signal in_eop  : out std_logic);
+
+  procedure proc_common_val (
+    constant c_val_len : in natural;
+    signal   clk       : in  std_logic;
+    signal   in_val    : out std_logic);
+
+  procedure proc_common_val_duty (
+    constant c_hi_len  : in natural;
+    constant c_lo_len  : in natural;
+    signal   clk       : in  std_logic;
+    signal   in_val    : out std_logic);
+
+  procedure proc_common_eop_flush (
+    constant c_flush_len : in natural;
+    signal   clk         : in  std_logic;
+    signal   in_val      : out std_logic;
+    signal   in_eop      : out std_logic);
 
   -- Verify the DUT output incrementing data, only support ready latency c_rl = 0 or 1.
-  procedure proc_common_verify_data(constant c_rl            : in    natural;
-                                    signal   clk             : in    std_logic;
-                                    signal   verify_en       : in    std_logic;
-                                    signal   ready           : in    std_logic;
-                                    signal   out_valid       : in    std_logic;
-                                    signal   out_data        : in    std_logic_vector;
-                                    signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_common_verify_data (
+    constant c_rl            : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   ready           : in    std_logic;
+    signal   out_valid       : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify the DUT output valid for ready latency, only support ready latency c_rl = 0 or 1.
-  procedure proc_common_verify_valid(constant c_rl        : in    natural;
-                                     signal   clk         : in    std_logic;
-                                     signal   verify_en   : in    std_logic;
-                                     signal   ready       : in    std_logic;
-                                     signal   prev_ready  : inout std_logic;
-                                     signal   out_valid   : in    std_logic);
+  procedure proc_common_verify_valid (
+    constant c_rl        : in    natural;
+    signal   clk         : in    std_logic;
+    signal   verify_en   : in    std_logic;
+    signal   ready       : in    std_logic;
+    signal   prev_ready  : inout std_logic;
+    signal   out_valid   : in    std_logic);
 
   -- Verify the DUT input to output latency for SL ctrl signals
-  procedure proc_common_verify_latency(constant c_str         : in    string;  -- e.g. "valid", "sop", "eop"
-                                       constant c_latency     : in    natural;
-                                       signal   clk           : in    std_logic;
-                                       signal   verify_en     : in    std_logic;
-                                       signal   in_ctrl       : in    std_logic;
-                                       signal   pipe_ctrl_vec : inout std_logic_vector;  -- range [0:c_latency]
-                                       signal   out_ctrl      : in    std_logic);
+  procedure proc_common_verify_latency (
+    constant c_str         : in    string;  -- e.g. "valid", "sop", "eop"
+    constant c_latency     : in    natural;
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   in_ctrl       : in    std_logic;
+    signal   pipe_ctrl_vec : inout std_logic_vector;  -- range [0:c_latency]
+    signal   out_ctrl      : in    std_logic);
 
   -- Verify the DUT input to output latency for SLV data signals
-  procedure proc_common_verify_latency(constant c_str         : in    string;  -- e.g. "data"
-                                       constant c_latency     : in    natural;
-                                       signal   clk           : in    std_logic;
-                                       signal   verify_en     : in    std_logic;
-                                       signal   in_data       : in    std_logic_vector;
-                                       signal   pipe_data_vec : inout std_logic_vector;  -- range [0:(1 + c_latency)*c_data_w-1]
-                                       signal   out_data      : in    std_logic_vector);
+  procedure proc_common_verify_latency (
+    constant c_str         : in    string;  -- e.g. "data"
+    constant c_latency     : in    natural;
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   in_data       : in    std_logic_vector;
+    signal   pipe_data_vec : inout std_logic_vector;  -- range [0:(1 + c_latency)*c_data_w-1]
+    signal   out_data      : in    std_logic_vector);
 
   -- Verify the expected value, e.g. to check that a test has ran at all
-  procedure proc_common_verify_value(constant mode : in natural;
-                                     signal   clk  : in std_logic;
-                                     signal   en   : in std_logic;
-                                     signal   exp  : in std_logic_vector;
-                                     signal   res  : in std_logic_vector);
+  procedure proc_common_verify_value (
+    constant mode : in natural;
+    signal   clk  : in std_logic;
+    signal   en   : in std_logic;
+    signal   exp  : in std_logic_vector;
+    signal   res  : in std_logic_vector);
   -- open, read line, close file
-  procedure proc_common_open_file(file_status  : inout FILE_OPEN_STATUS;
-                                  file in_file : TEXT;
-                                  file_name    : in string;
-                                  file_mode    : in FILE_OPEN_KIND);
-
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      read_value_0 : out integer);
-
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      read_value_0 : out integer;
-                                      read_value_1 : out integer);
-
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      value_array  : out t_integer_arr;
-                                      nof_reads    : in  integer);
-
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      read_slv     : out std_logic_vector);
-
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      res_string   : out string);
-
-  procedure proc_common_close_file(file_status  : inout FILE_OPEN_STATUS;
-                                   file in_file : TEXT);
+  procedure proc_common_open_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    file_name    : in string;
+    file_mode    : in FILE_OPEN_KIND);
+
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    read_value_0 : out integer);
+
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    read_value_0 : out integer;
+    read_value_1 : out integer);
+
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    value_array  : out t_integer_arr;
+    nof_reads    : in  integer);
+
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    read_slv     : out std_logic_vector);
+
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    res_string   : out string);
+
+  procedure proc_common_close_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT);
 
   -- read entire file
-  procedure proc_common_read_integer_file(file_name              : in  string;
-                                          nof_header_lines       : natural;
-                                          nof_row                : natural;
-                                          nof_col                : natural;
-                                          signal return_array    : out t_integer_arr);
+  procedure proc_common_read_integer_file (
+    file_name              : in  string;
+    nof_header_lines       : natural;
+    nof_row                : natural;
+    nof_col                : natural;
+    signal return_array    : out t_integer_arr);
 
-  procedure proc_common_read_mif_file(file_name           : in  string;
-                                      signal return_array : out t_integer_arr);
+  procedure proc_common_read_mif_file (
+    file_name           : in  string;
+    signal return_array : out t_integer_arr);
 
   -- Complex multiply function with conjugate option for input b
-  function func_complex_multiply(in_ar, in_ai, in_br, in_bi : std_logic_vector; conjugate_b : boolean; str : string; g_out_dat_w : natural) return std_logic_vector;
+  function func_complex_multiply (in_ar, in_ai, in_br, in_bi : std_logic_vector; conjugate_b : boolean; str : string; g_out_dat_w : natural) return std_logic_vector;
 
-  function func_decstring_to_integer(in_string: string) return integer;
+  function func_decstring_to_integer (in_string: string) return integer;
 
-  function func_hexstring_to_integer(in_string: string) return integer;
+  function func_hexstring_to_integer (in_string: string) return integer;
 
-  function func_find_char_in_string(in_string: string; find_char: character) return integer;
+  function func_find_char_in_string (in_string: string; find_char: character) return integer;
 
-  function func_find_string_in_string(in_string: string; find_string: string) return boolean;
+  function func_find_string_in_string (in_string: string; find_string: string) return boolean;
 
 end tb_common_pkg;
 
@@ -348,21 +403,24 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Wait some clock cycles
   ------------------------------------------------------------------------------
-  procedure proc_common_wait_some_cycles(signal clk          : in  std_logic;
-                                                c_nof_cycles : in  natural) is
+  procedure proc_common_wait_some_cycles (
+    signal clk          : in  std_logic;
+    c_nof_cycles : in  natural) is
   begin
     for I in 0 to c_nof_cycles - 1 loop wait until rising_edge(clk); end loop;
   end proc_common_wait_some_cycles;
 
-  procedure proc_common_wait_some_cycles(signal clk          : in  std_logic;
-                                                c_nof_cycles : in  real) is
+  procedure proc_common_wait_some_cycles (
+    signal clk          : in  std_logic;
+    c_nof_cycles : in  real) is
   begin
     proc_common_wait_some_cycles(clk, natural(c_nof_cycles));
   end proc_common_wait_some_cycles;
 
-  procedure proc_common_wait_some_cycles(signal clk_in       : in  std_logic;
-                                         signal clk_out      : in  std_logic;
-                                                c_nof_cycles : in  natural) is
+  procedure proc_common_wait_some_cycles (
+    signal clk_in       : in  std_logic;
+    signal clk_out      : in  std_logic;
+    c_nof_cycles : in  natural) is
   begin
     proc_common_wait_some_cycles(clk_in, c_nof_cycles);
     proc_common_wait_some_cycles(clk_out, c_nof_cycles);
@@ -371,9 +429,10 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Wait some pulses
   ------------------------------------------------------------------------------
-  procedure proc_common_wait_some_pulses(signal clk          : in  std_logic;
-                                         signal pulse        : in  std_logic;
-                                                c_nof_pulses : in  natural) is
+  procedure proc_common_wait_some_pulses (
+    signal clk          : in  std_logic;
+    signal pulse        : in  std_logic;
+    c_nof_pulses : in  natural) is
   begin
     for I in 0 to c_nof_pulses - 1 loop
       proc_common_wait_until_hi_lo(clk, pulse);
@@ -386,8 +445,9 @@ package body tb_common_pkg is
   -- PROCEDURE: Wait until the level input is low
   -- PROCEDURE: Wait until the       input is equal to c_value
   ------------------------------------------------------------------------------
-  procedure proc_common_wait_until_evt(signal clk    : in  std_logic;
-                                       signal level  : in  std_logic) is
+  procedure proc_common_wait_until_evt (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
     variable v_level : std_logic := level;
   begin
     wait until rising_edge(clk);
@@ -397,8 +457,9 @@ package body tb_common_pkg is
     end loop;
   end proc_common_wait_until_evt;
 
-  procedure proc_common_wait_until_evt(signal clk    : in  std_logic;
-                                       signal level  : in  integer) is
+  procedure proc_common_wait_until_evt (
+    signal clk    : in  std_logic;
+    signal level  : in  integer) is
     variable v_level : integer := level;
   begin
     wait until rising_edge(clk);
@@ -408,9 +469,10 @@ package body tb_common_pkg is
     end loop;
   end proc_common_wait_until_evt;
 
-  procedure proc_common_wait_until_evt(constant c_timeout : in  natural;
-                                       signal   clk       : in  std_logic;
-                                       signal   level     : in  std_logic) is
+  procedure proc_common_wait_until_evt (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic) is
     variable v_level : std_logic := level;
     variable v_I     : natural := 0;
   begin
@@ -426,23 +488,26 @@ package body tb_common_pkg is
     end loop;
   end proc_common_wait_until_evt;
 
-  procedure proc_common_wait_until_high(signal clk    : in  std_logic;
-                                        signal level  : in  std_logic) is
+  procedure proc_common_wait_until_high (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
   begin
     if level /= '1' then
       wait until rising_edge(clk) and level = '1';
     end if;
   end proc_common_wait_until_high;
 
-  procedure proc_common_wait_until_clk_and_high(signal clk    : in  std_logic;
-                                                signal level  : in  std_logic) is
+  procedure proc_common_wait_until_clk_and_high (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
   begin
     wait until rising_edge(clk) and level = '1';
   end proc_common_wait_until_clk_and_high;
 
-  procedure proc_common_wait_until_high(constant c_timeout : in  natural;
-                                        signal   clk       : in  std_logic;
-                                        signal   level     : in  std_logic) is
+  procedure proc_common_wait_until_high (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic) is
   begin
     for I in 0 to c_timeout - 1 loop
       if level = '1' then
@@ -456,23 +521,26 @@ package body tb_common_pkg is
     end loop;
   end proc_common_wait_until_high;
 
-  procedure proc_common_wait_until_low(signal clk    : in  std_logic;
-                                       signal level  : in  std_logic) is
+  procedure proc_common_wait_until_low (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
   begin
     if level /= '0' then
       wait until rising_edge(clk) and level = '0';
     end if;
   end proc_common_wait_until_low;
 
-  procedure proc_common_wait_until_clk_and_low(signal clk    : in  std_logic;
-                                              signal level  : in  std_logic) is
+  procedure proc_common_wait_until_clk_and_low (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
   begin
     wait until rising_edge(clk) and level = '0';
   end proc_common_wait_until_clk_and_low;
 
-  procedure proc_common_wait_until_low(constant c_timeout : in  natural;
-                                       signal   clk       : in  std_logic;
-                                       signal   level     : in  std_logic) is
+  procedure proc_common_wait_until_low (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic) is
   begin
     for I in 0 to c_timeout - 1 loop
       if level = '0' then
@@ -486,8 +554,9 @@ package body tb_common_pkg is
     end loop;
   end proc_common_wait_until_low;
 
-  procedure proc_common_wait_until_hi_lo(signal clk    : in  std_logic;
-                                         signal level  : in  std_logic) is
+  procedure proc_common_wait_until_hi_lo (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
   begin
     if level /= '1' then
       proc_common_wait_until_high(clk, level);
@@ -495,9 +564,10 @@ package body tb_common_pkg is
     proc_common_wait_until_low(clk, level);
   end proc_common_wait_until_hi_lo;
 
-  procedure proc_common_wait_until_hi_lo(constant c_timeout : in  natural;
-                                         signal   clk       : in  std_logic;
-                                         signal   level     : in  std_logic) is
+  procedure proc_common_wait_until_hi_lo (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic) is
   begin
     if level /= '1' then
       proc_common_wait_until_high(c_timeout, clk, level);
@@ -505,8 +575,9 @@ package body tb_common_pkg is
     proc_common_wait_until_low(c_timeout, clk, level);
   end proc_common_wait_until_hi_lo;
 
-  procedure proc_common_wait_until_lo_hi(signal clk    : in  std_logic;
-                                         signal level  : in  std_logic) is
+  procedure proc_common_wait_until_lo_hi (
+    signal clk    : in  std_logic;
+    signal level  : in  std_logic) is
   begin
     if level /= '0' then
       proc_common_wait_until_low(clk, level);
@@ -514,9 +585,10 @@ package body tb_common_pkg is
     proc_common_wait_until_high(clk, level);
   end proc_common_wait_until_lo_hi;
 
-  procedure proc_common_wait_until_lo_hi(constant c_timeout : in  natural;
-                                         signal   clk       : in  std_logic;
-                                         signal   level     : in  std_logic) is
+  procedure proc_common_wait_until_lo_hi (
+    constant c_timeout : in  natural;
+    signal   clk       : in  std_logic;
+    signal   level     : in  std_logic) is
   begin
     if level /= '0' then
       proc_common_wait_until_low(c_timeout, clk, level);
@@ -524,28 +596,31 @@ package body tb_common_pkg is
     proc_common_wait_until_high(c_timeout, clk, level);
   end proc_common_wait_until_lo_hi;
 
-  procedure proc_common_wait_until_value(constant c_value : in  integer;
-                                         signal clk       : in  std_logic;
-                                         signal level     : in  integer) is
+  procedure proc_common_wait_until_value (
+    constant c_value : in  integer;
+    signal clk       : in  std_logic;
+    signal level     : in  integer) is
   begin
     while level /= c_value loop
       wait until rising_edge(clk);
     end loop;
   end proc_common_wait_until_value;
 
-  procedure proc_common_wait_until_value(constant c_value : in  integer;
-                                         signal clk       : in  std_logic;
-                                         signal level     : in  std_logic_vector) is
+  procedure proc_common_wait_until_value (
+    constant c_value : in  integer;
+    signal clk       : in  std_logic;
+    signal level     : in  std_logic_vector) is
   begin
     while signed(level) /= c_value loop
       wait until rising_edge(clk);
     end loop;
   end proc_common_wait_until_value;
 
-  procedure proc_common_wait_until_value(constant c_timeout : in  natural;
-                                         constant c_value   : in  integer;
-                                         signal clk         : in  std_logic;
-                                         signal level       : in  std_logic_vector) is
+  procedure proc_common_wait_until_value (
+    constant c_timeout : in  natural;
+    constant c_value   : in  integer;
+    signal clk         : in  std_logic;
+    signal level       : in  std_logic_vector) is
   begin
     for I in 0 to c_timeout - 1 loop
       if signed(level) = c_value then
@@ -559,16 +634,18 @@ package body tb_common_pkg is
     end loop;
   end proc_common_wait_until_value;
 
-  procedure proc_common_wait_until_time(signal clk      : in  std_logic;
-                                        constant c_time : in  time) is
+  procedure proc_common_wait_until_time (
+    signal clk      : in  std_logic;
+    constant c_time : in  time) is
   begin
     while NOW < c_time loop
       wait until rising_edge(clk);
     end loop;
   end procedure;
 
-  procedure proc_common_timeout_failure(constant c_timeout : in time;
-                                        signal tb_end      : in std_logic) is
+  procedure proc_common_timeout_failure (
+    constant c_timeout : in time;
+    signal tb_end      : in std_logic) is
   begin
     while tb_end = '0' loop
       assert NOW < c_timeout report "Test bench timeout." severity ERROR;
@@ -577,7 +654,7 @@ package body tb_common_pkg is
     end loop;
   end procedure;
 
-  procedure proc_common_stop_simulation(signal tb_end : in std_logic) is
+  procedure proc_common_stop_simulation (signal tb_end : in std_logic) is
   begin
     wait until tb_end = '1';
     -- For modelsim_regression_test_vhdl.py:
@@ -588,11 +665,12 @@ package body tb_common_pkg is
     wait;
   end procedure;
 
-  procedure proc_common_stop_simulation(constant g_tb_end  : in boolean;
-                                        constant g_latency : in natural;
-                                        signal clk         : in std_logic;
-                                        signal tb_done     : in std_logic;
-                                        signal tb_end      : out std_logic) is
+  procedure proc_common_stop_simulation (
+    constant g_tb_end  : in boolean;
+    constant g_latency : in natural;
+    signal clk         : in std_logic;
+    signal tb_done     : in std_logic;
+    signal tb_end      : out std_logic) is
   begin
     -- Wait until simulation indicates done
     proc_common_wait_until_high(clk, tb_done);
@@ -614,10 +692,11 @@ package body tb_common_pkg is
     wait;
   end procedure;
 
-  procedure proc_common_stop_simulation(constant g_tb_end  : in boolean;
-                                        signal clk         : in std_logic;
-                                        signal tb_done     : in std_logic;
-                                        signal tb_end      : out std_logic) is
+  procedure proc_common_stop_simulation (
+    constant g_tb_end  : in boolean;
+    signal clk         : in std_logic;
+    signal tb_done     : in std_logic;
+    signal tb_end      : out std_logic) is
   begin
     proc_common_stop_simulation(g_tb_end, 0, clk, tb_done, tb_end);
   end procedure;
@@ -627,11 +706,12 @@ package body tb_common_pkg is
   -- . output active when ready='1' and enable='1'
   -- . only support ready latency c_rl = 0 or 1
   ------------------------------------------------------------------------------
-  procedure proc_common_ready_latency(constant c_rl      : in  natural;
-                                      signal   clk       : in  std_logic;
-                                      signal   enable    : in  std_logic;
-                                      signal   ready     : in  std_logic;
-                                      signal   out_valid : out std_logic) is
+  procedure proc_common_ready_latency (
+    constant c_rl      : in  natural;
+    signal   clk       : in  std_logic;
+    signal   enable    : in  std_logic;
+    signal   ready     : in  std_logic;
+    signal   out_valid : out std_logic) is
   begin
     -- skip ready cycles until enable='1'
     out_valid <= '0';
@@ -671,31 +751,35 @@ package body tb_common_pkg is
   -- PROCEDURE: Wait for clock domain crossing latency, e.g. for MM readback after MM write
   ------------------------------------------------------------------------------
 
-  procedure proc_common_wait_cross_clock_domain_latency(signal mm_clk : in  std_logic;
-                                                        signal st_clk : in  std_logic;
-                                                        constant c_nof_cycles : in  natural) is
+  procedure proc_common_wait_cross_clock_domain_latency (
+    signal mm_clk : in  std_logic;
+    signal st_clk : in  std_logic;
+    constant c_nof_cycles : in  natural) is
   begin
     proc_common_wait_some_cycles(mm_clk, c_nof_cycles);
     proc_common_wait_some_cycles(st_clk, c_nof_cycles);
   end proc_common_wait_cross_clock_domain_latency;
 
-  procedure proc_common_wait_cross_clock_domain_latency(signal mm_clk : in  std_logic;
-                                                        signal st_clk : in  std_logic) is
+  procedure proc_common_wait_cross_clock_domain_latency (
+    signal mm_clk : in  std_logic;
+    signal st_clk : in  std_logic) is
   begin
     proc_common_wait_some_cycles(mm_clk, c_common_cross_clock_domain_latency);
     proc_common_wait_some_cycles(st_clk, c_common_cross_clock_domain_latency);
   end proc_common_wait_cross_clock_domain_latency;
 
-  procedure proc_common_wait_cross_clock_domain_latency(constant c_mm_clk_period : in time;
-                                                        constant c_st_clk_period : in time;
-                                                        constant c_nof_cycles : in  natural) is
+  procedure proc_common_wait_cross_clock_domain_latency (
+    constant c_mm_clk_period : in time;
+    constant c_st_clk_period : in time;
+    constant c_nof_cycles : in  natural) is
   begin
     wait for c_nof_cycles * c_mm_clk_period;
     wait for c_nof_cycles * c_st_clk_period;
   end proc_common_wait_cross_clock_domain_latency;
 
-  procedure proc_common_wait_cross_clock_domain_latency(constant c_mm_clk_period : in time;
-                                                        constant c_st_clk_period : in time) is
+  procedure proc_common_wait_cross_clock_domain_latency (
+    constant c_mm_clk_period : in time;
+    constant c_st_clk_period : in time) is
   begin
     wait for c_common_cross_clock_domain_latency * c_mm_clk_period;
     wait for c_common_cross_clock_domain_latency * c_st_clk_period;
@@ -704,11 +788,12 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Generate a single active, inactive pulse
   ------------------------------------------------------------------------------
-  procedure proc_common_gen_pulse(constant c_active  : in  natural;  -- pulse active for nof clk
-                                  constant c_period  : in  natural;  -- pulse period for nof clk
-                                  constant c_level   : in  std_logic;  -- pulse level when active
-                                  signal   clk       : in  std_logic;
-                                  signal   pulse     : out std_logic) is
+  procedure proc_common_gen_pulse (
+    constant c_active  : in  natural;  -- pulse active for nof clk
+    constant c_period  : in  natural;  -- pulse period for nof clk
+    constant c_level   : in  std_logic;  -- pulse level when active
+    signal   clk       : in  std_logic;
+    signal   pulse     : out std_logic) is
     variable v_cnt : natural range 0 to c_period := 0;
   begin
     while v_cnt < c_period loop
@@ -723,12 +808,13 @@ package body tb_common_pkg is
   end proc_common_gen_pulse;
 
   -- Pulse forever after rst was released
-  procedure proc_common_gen_pulse(constant c_active  : in  natural;  -- pulse active for nof clk
-                                  constant c_period  : in  natural;  -- pulse period for nof clk
-                                  constant c_level   : in  std_logic;  -- pulse level when active
-                                  signal   rst       : in  std_logic;
-                                  signal   clk       : in  std_logic;
-                                  signal   pulse     : out std_logic) is
+  procedure proc_common_gen_pulse (
+    constant c_active  : in  natural;  -- pulse active for nof clk
+    constant c_period  : in  natural;  -- pulse period for nof clk
+    constant c_level   : in  std_logic;  -- pulse level when active
+    signal   rst       : in  std_logic;
+    signal   clk       : in  std_logic;
+    signal   pulse     : out std_logic) is
     variable v_cnt : natural range 0 to c_period := 0;
   begin
     pulse <= not c_level;
@@ -741,8 +827,9 @@ package body tb_common_pkg is
   end proc_common_gen_pulse;
 
   -- pulse '1', '0'
-  procedure proc_common_gen_pulse(signal clk   : in  std_logic;
-                                  signal pulse : out std_logic) is
+  procedure proc_common_gen_pulse (
+    signal clk   : in  std_logic;
+    signal pulse : out std_logic) is
   begin
     proc_common_gen_pulse(1, 2, '1', clk, pulse);
   end proc_common_gen_pulse;
@@ -750,14 +837,15 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Generate a periodic pulse with arbitrary duty cycle
   ------------------------------------------------------------------------------
-  procedure proc_common_gen_duty_pulse(constant c_delay     : in  natural;  -- delay pulse for nof_clk after enable
-                                       constant c_active    : in  natural;  -- pulse active for nof clk
-                                       constant c_period    : in  natural;  -- pulse period for nof clk
-                                       constant c_level     : in  std_logic;  -- pulse level when active
-                                       signal   rst         : in  std_logic;
-                                       signal   clk         : in  std_logic;
-                                       signal   enable      : in  std_logic;
-                                       signal   pulse       : out std_logic) is
+  procedure proc_common_gen_duty_pulse (
+    constant c_delay     : in  natural;  -- delay pulse for nof_clk after enable
+    constant c_active    : in  natural;  -- pulse active for nof clk
+    constant c_period    : in  natural;  -- pulse period for nof clk
+    constant c_level     : in  std_logic;  -- pulse level when active
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;
+    signal   pulse       : out std_logic) is
     variable v_cnt : natural range 0 to c_period - 1 := 0;
   begin
     pulse <= not c_level;
@@ -780,13 +868,14 @@ package body tb_common_pkg is
     end if;
   end proc_common_gen_duty_pulse;
 
-  procedure proc_common_gen_duty_pulse(constant c_active    : in  natural;  -- pulse active for nof clk
-                                       constant c_period    : in  natural;  -- pulse period for nof clk
-                                       constant c_level     : in  std_logic;  -- pulse level when active
-                                       signal   rst         : in  std_logic;
-                                       signal   clk         : in  std_logic;
-                                       signal   enable      : in  std_logic;
-                                       signal   pulse       : out std_logic) is
+  procedure proc_common_gen_duty_pulse (
+    constant c_active    : in  natural;  -- pulse active for nof clk
+    constant c_period    : in  natural;  -- pulse period for nof clk
+    constant c_level     : in  std_logic;  -- pulse level when active
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;
+    signal   pulse       : out std_logic) is
   begin
     proc_common_gen_duty_pulse(0, c_active, c_period, c_level, rst, clk, enable, pulse);
   end proc_common_gen_duty_pulse;
@@ -796,15 +885,16 @@ package body tb_common_pkg is
   -- . Output counter data dependent on enable and ready
   ------------------------------------------------------------------------------
   -- arbitrary c_incr
-  procedure proc_common_gen_data(constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
-                                 constant c_init      : in  integer;
-                                 constant c_incr      : in  integer;
-                                 signal   rst         : in  std_logic;
-                                 signal   clk         : in  std_logic;
-                                 signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
-                                 signal   ready       : in  std_logic;
-                                 signal   out_data    : out std_logic_vector;
-                                 signal   out_valid   : out std_logic) is
+  procedure proc_common_gen_data (
+    constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
+    constant c_init      : in  integer;
+    constant c_incr      : in  integer;
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
+    signal   ready       : in  std_logic;
+    signal   out_data    : out std_logic_vector;
+    signal   out_valid   : out std_logic) is
     constant c_data_w  : natural := out_data'length;
     variable v_data    : std_logic_vector(c_data_w - 1 downto 0) := TO_SVEC(c_init, c_data_w);
   begin
@@ -821,14 +911,15 @@ package body tb_common_pkg is
   end proc_common_gen_data;
 
   -- c_incr = 1
-  procedure proc_common_gen_data(constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
-                                 constant c_init      : in  integer;
-                                 signal   rst         : in  std_logic;
-                                 signal   clk         : in  std_logic;
-                                 signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
-                                 signal   ready       : in  std_logic;
-                                 signal   out_data    : out std_logic_vector;
-                                 signal   out_valid   : out std_logic) is
+  procedure proc_common_gen_data (
+    constant c_rl        : in  natural;  -- 0, 1 are supported by proc_common_ready_latency()
+    constant c_init      : in  integer;
+    signal   rst         : in  std_logic;
+    signal   clk         : in  std_logic;
+    signal   enable      : in  std_logic;  -- when '0' then no valid output even when ready='1'
+    signal   ready       : in  std_logic;
+    signal   out_data    : out std_logic_vector;
+    signal   out_valid   : out std_logic) is
   begin
     proc_common_gen_data(c_rl, c_init, 1, rst, clk, enable, ready, out_data, out_valid);
   end proc_common_gen_data;
@@ -837,9 +928,10 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Generate frame control
   ------------------------------------------------------------------------------
-  procedure proc_common_sop(signal clk     : in  std_logic;
-                            signal in_val  : out std_logic;
-                            signal in_sop  : out std_logic) is
+  procedure proc_common_sop (
+    signal clk     : in  std_logic;
+    signal in_val  : out std_logic;
+    signal in_sop  : out std_logic) is
   begin
     in_val <= '1';
     in_sop <= '1';
@@ -847,9 +939,10 @@ package body tb_common_pkg is
     in_sop <= '0';
   end proc_common_sop;
 
-  procedure proc_common_eop(signal clk     : in  std_logic;
-                            signal in_val  : out std_logic;
-                            signal in_eop  : out std_logic) is
+  procedure proc_common_eop (
+    signal clk     : in  std_logic;
+    signal in_val  : out std_logic;
+    signal in_eop  : out std_logic) is
   begin
     in_val <= '1';
     in_eop <= '1';
@@ -858,19 +951,21 @@ package body tb_common_pkg is
     in_eop <= '0';
   end proc_common_eop;
 
-  procedure proc_common_val(constant c_val_len : in natural;
-                            signal   clk       : in  std_logic;
-                            signal   in_val    : out std_logic) is
+  procedure proc_common_val (
+    constant c_val_len : in natural;
+    signal   clk       : in  std_logic;
+    signal   in_val    : out std_logic) is
   begin
     in_val <= '1';
     proc_common_wait_some_cycles(clk, c_val_len);
     in_val <= '0';
   end proc_common_val;
 
-  procedure proc_common_val_duty(constant c_hi_len  : in natural;
-                                 constant c_lo_len  : in natural;
-                                 signal   clk       : in  std_logic;
-                                 signal   in_val    : out std_logic) is
+  procedure proc_common_val_duty (
+    constant c_hi_len  : in natural;
+    constant c_lo_len  : in natural;
+    signal   clk       : in  std_logic;
+    signal   in_val    : out std_logic) is
   begin
     in_val <= '1';
     proc_common_wait_some_cycles(clk, c_hi_len);
@@ -878,10 +973,11 @@ package body tb_common_pkg is
     proc_common_wait_some_cycles(clk, c_lo_len);
   end proc_common_val_duty;
 
-  procedure proc_common_eop_flush(constant c_flush_len : in natural;
-                                  signal   clk         : in  std_logic;
-                                  signal   in_val      : out std_logic;
-                                  signal   in_eop      : out std_logic) is
+  procedure proc_common_eop_flush (
+    constant c_flush_len : in natural;
+    signal   clk         : in  std_logic;
+    signal   in_val      : out std_logic;
+    signal   in_eop      : out std_logic) is
   begin
     -- . eop
     proc_common_eop(clk, in_val, in_eop);
@@ -893,13 +989,14 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Verify incrementing data
   ------------------------------------------------------------------------------
-  procedure proc_common_verify_data(constant c_rl            : in    natural;
-                                    signal   clk             : in    std_logic;
-                                    signal   verify_en       : in    std_logic;
-                                    signal   ready           : in    std_logic;
-                                    signal   out_valid       : in    std_logic;
-                                    signal   out_data        : in    std_logic_vector;
-                                    signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_common_verify_data (
+    constant c_rl            : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   ready           : in    std_logic;
+    signal   out_valid       : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
     variable v_exp_data : std_logic_vector(out_data'range);
   begin
     if rising_edge(clk) then
@@ -923,12 +1020,13 @@ package body tb_common_pkg is
   -- PROCEDURE: Verify the DUT output valid
   -- . only support ready latency c_rl = 0 or 1
   ------------------------------------------------------------------------------
-  procedure proc_common_verify_valid(constant c_rl        : in    natural;
-                                     signal   clk         : in    std_logic;
-                                     signal   verify_en   : in    std_logic;
-                                     signal   ready       : in    std_logic;
-                                     signal   prev_ready  : inout std_logic;
-                                     signal   out_valid   : in    std_logic) is
+  procedure proc_common_verify_valid (
+    constant c_rl        : in    natural;
+    signal   clk         : in    std_logic;
+    signal   verify_en   : in    std_logic;
+    signal   ready       : in    std_logic;
+    signal   prev_ready  : inout std_logic;
+    signal   out_valid   : in    std_logic) is
   begin
     if rising_edge(clk) then
       -- for ready latency c_rl = 1 out_valid may only be asserted after ready
@@ -950,13 +1048,14 @@ package body tb_common_pkg is
   -- PROCEDURE: Verify the DUT input to output latency
   ------------------------------------------------------------------------------
   -- for SL ctrl
-  procedure proc_common_verify_latency(constant c_str         : in    string;  -- e.g. "valid", "sop", "eop"
-                                       constant c_latency     : in    natural;
-                                       signal   clk           : in    std_logic;
-                                       signal   verify_en     : in    std_logic;
-                                       signal   in_ctrl       : in    std_logic;
-                                       signal   pipe_ctrl_vec : inout std_logic_vector;  -- range [0:c_latency]
-                                       signal   out_ctrl      : in    std_logic) is
+  procedure proc_common_verify_latency (
+    constant c_str         : in    string;  -- e.g. "valid", "sop", "eop"
+    constant c_latency     : in    natural;
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   in_ctrl       : in    std_logic;
+    signal   pipe_ctrl_vec : inout std_logic_vector;  -- range [0:c_latency]
+    signal   out_ctrl      : in    std_logic) is
   begin
     if rising_edge(clk) then
       pipe_ctrl_vec <= in_ctrl & pipe_ctrl_vec(0 to c_latency - 1);  -- note: pipe_ctrl_vec(c_latency) is a dummy place holder to avoid [0:-1] range
@@ -976,13 +1075,14 @@ package body tb_common_pkg is
 
 
   -- for SLV data
-  procedure proc_common_verify_latency(constant c_str         : in    string;  -- e.g. "data"
-                                       constant c_latency     : in    natural;
-                                       signal   clk           : in    std_logic;
-                                       signal   verify_en     : in    std_logic;
-                                       signal   in_data       : in    std_logic_vector;
-                                       signal   pipe_data_vec : inout std_logic_vector;  -- range [0:(1 + c_latency)*c_data_w-1]
-                                       signal   out_data      : in    std_logic_vector) is
+  procedure proc_common_verify_latency (
+    constant c_str         : in    string;  -- e.g. "data"
+    constant c_latency     : in    natural;
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   in_data       : in    std_logic_vector;
+    signal   pipe_data_vec : inout std_logic_vector;  -- range [0:(1 + c_latency)*c_data_w-1]
+    signal   out_data      : in    std_logic_vector) is
     constant c_data_w     : natural := in_data'length;
     constant c_data_vec_w : natural := pipe_data_vec'length;  -- = (1 + c_latency) * c_data_w
   begin
@@ -1006,11 +1106,12 @@ package body tb_common_pkg is
   -- PROCEDURE: Verify the expected value
   -- . e.g. to check that a test has ran at all
   ------------------------------------------------------------------------------
-  procedure proc_common_verify_value(constant mode : in natural;
-                                     signal   clk  : in std_logic;
-                                     signal   en   : in std_logic;
-                                     signal   exp  : in std_logic_vector;
-                                     signal   res  : in std_logic_vector) is
+  procedure proc_common_verify_value (
+    constant mode : in natural;
+    signal   clk  : in std_logic;
+    signal   en   : in std_logic;
+    signal   exp  : in std_logic_vector;
+    signal   res  : in std_logic_vector) is
   begin
     if rising_edge(clk) then
       if en = '1' then
@@ -1027,10 +1128,11 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Opens a file for access and reports fail or success of opening.
   ------------------------------------------------------------------------------
-  procedure proc_common_open_file( file_status  : inout FILE_OPEN_STATUS;
-                                   file in_file : TEXT;
-                                   file_name    : in    string;
-                                   file_mode    : in    FILE_OPEN_KIND) is
+  procedure proc_common_open_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    file_name    : in    string;
+    file_mode    : in    FILE_OPEN_KIND) is
   begin
     if file_status = OPEN_OK then
       file_close(in_file);
@@ -1043,14 +1145,15 @@ package body tb_common_pkg is
     end if;
   end proc_common_open_file;
 
- ------------------------------------------------------------------------------
+  ------------------------------------------------------------------------------
   -- PROCEDURE: Reads an integer from a file.
   ------------------------------------------------------------------------------
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      read_value_0 : out integer) is
-     variable v_line : LINE;
-     variable v_good : boolean;
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    read_value_0 : out integer) is
+    variable v_line : LINE;
+    variable v_good : boolean;
   begin
     if file_status /= OPEN_OK then
       report "COMMON : file is not opened " severity FAILURE;
@@ -1070,12 +1173,13 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Reads two integers from two columns in a file.
   ------------------------------------------------------------------------------
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      read_value_0 : out integer;
-                                      read_value_1 : out integer) is
-     variable v_line : LINE;
-     variable v_good : boolean;
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    read_value_0 : out integer;
+    read_value_1 : out integer) is
+    variable v_line : LINE;
+    variable v_good : boolean;
   begin
     if file_status /= OPEN_OK then
       report "COMMON : file is not opened " severity FAILURE;
@@ -1096,15 +1200,16 @@ package body tb_common_pkg is
     end if;
   end proc_common_readline_file;
 
-------------------------------------------------------------------------------
+  ------------------------------------------------------------------------------
   -- PROCEDURE: Reads an array of integer from a file.
   ------------------------------------------------------------------------------
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      value_array  : out t_integer_arr;
-                                      nof_reads    : in  integer) is
-     variable v_line : LINE;
-     variable v_good : boolean;
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    value_array  : out t_integer_arr;
+    nof_reads    : in  integer) is
+    variable v_line : LINE;
+    variable v_good : boolean;
   begin
     if file_status /= OPEN_OK then
       report "COMMON : file is not opened " severity FAILURE;
@@ -1126,11 +1231,12 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Reads an std_logic_vector from a file
   ------------------------------------------------------------------------------
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      read_slv     : out std_logic_vector) is
-     variable v_line : LINE;
-     variable v_good : boolean;
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    read_slv     : out std_logic_vector) is
+    variable v_line : LINE;
+    variable v_good : boolean;
   begin
     if file_status /= OPEN_OK then
       report "COMMON : file is not opened " severity FAILURE;
@@ -1150,9 +1256,10 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Reads a string of any length from a file pointer.
   ------------------------------------------------------------------------------
-  procedure proc_common_readline_file(file_status  : inout FILE_OPEN_STATUS;
-                                      file in_file : TEXT;
-                                      res_string   : out string) is
+  procedure proc_common_readline_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT;
+    res_string   : out string) is
     variable v_line    : LINE;
     variable v_char    : character;
     variable is_string : boolean;
@@ -1166,16 +1273,16 @@ package body tb_common_pkg is
         readline(in_file, v_line);
         -- clear the contents of the result string
         for I in res_string'range loop
-            res_string(I) := ' ';
+          res_string(I) := ' ';
         end loop;
         -- read all characters of the line, up to the length
         -- of the results string
         for I in res_string'range loop
-           read(v_line, v_char, is_string);
-           if not is_string then  -- found end of line
-              exit;
-           end if;
-           res_string(I) := v_char;
+          read(v_line, v_char, is_string);
+          if not is_string then  -- found end of line
+            exit;
+          end if;
+          res_string(I) := v_char;
         end loop;
       end if;
     end if;
@@ -1185,8 +1292,9 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Closes a file.
   ------------------------------------------------------------------------------
-  procedure proc_common_close_file(file_status  : inout FILE_OPEN_STATUS;
-                                   file in_file : TEXT) is
+  procedure proc_common_close_file (
+    file_status  : inout FILE_OPEN_STATUS;
+    file in_file : TEXT) is
   begin
     if file_status /= OPEN_OK then
       report "COMMON : file was not opened " severity WARNING;
@@ -1200,11 +1308,12 @@ package body tb_common_pkg is
   --            row from a file and returns it row by row in an array of
   --            integers.
   ------------------------------------------------------------------------------
-  procedure proc_common_read_integer_file(file_name              : in  string;
-                                          nof_header_lines       : natural;
-                                          nof_row                : natural;
-                                          nof_col                : natural;
-                                          signal return_array    : out t_integer_arr) is
+  procedure proc_common_read_integer_file (
+    file_name              : in  string;
+    nof_header_lines       : natural;
+    nof_row                : natural;
+    nof_col                : natural;
+    signal return_array    : out t_integer_arr) is
     variable v_file_status : FILE_OPEN_STATUS;
     file     v_in_file     : TEXT;
     variable v_input_line  : LINE;
@@ -1241,8 +1350,9 @@ package body tb_common_pkg is
   -- PROCEDURE: Reads the data column from a .mif file and returns it in an
   --            array of integers
   ------------------------------------------------------------------------------
-  procedure proc_common_read_mif_file(        file_name    : in  string;
-                                       signal return_array : out t_integer_arr) is
+  procedure proc_common_read_mif_file (
+    file_name    : in  string;
+    signal return_array : out t_integer_arr) is
     variable v_file_status : FILE_OPEN_STATUS;
     file     v_in_file     : TEXT;
     variable v_input_line  : LINE;
@@ -1285,7 +1395,7 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- FUNCTION: Complex multiply with conjugate option for input b
   ------------------------------------------------------------------------------
-  function func_complex_multiply(in_ar, in_ai, in_br, in_bi : std_logic_vector; conjugate_b : boolean; str : string; g_out_dat_w : natural) return std_logic_vector is
+  function func_complex_multiply (in_ar, in_ai, in_br, in_bi : std_logic_vector; conjugate_b : boolean; str : string; g_out_dat_w : natural) return std_logic_vector is
     -- Function: Signed complex multiply
     --   p = a * b       when g_conjugate_b = FALSE
     --     = (ar + j ai) * (br + j bi)
@@ -1343,7 +1453,7 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- FUNCTION: Converts the decimal value represented in a string to an integer value.
   ------------------------------------------------------------------------------
-  function func_decstring_to_integer(in_string: string) return integer is
+  function func_decstring_to_integer (in_string: string) return integer is
     constant c_nof_digits : natural := in_string'length;  -- Define the length of the string
     variable v_char       : character;
     variable v_weight     : integer := 1;
@@ -1353,17 +1463,17 @@ package body tb_common_pkg is
     for I in c_nof_digits - 1 downto 0 loop
       v_char := in_string(I + in_string'low);
       case v_char is
-         when '0' => v_return_int := v_return_int + 0 * v_weight;
-         when '1' => v_return_int := v_return_int + 1 * v_weight;
-         when '2' => v_return_int := v_return_int + 2 * v_weight;
-         when '3' => v_return_int := v_return_int + 3 * v_weight;
-         when '4' => v_return_int := v_return_int + 4 * v_weight;
-         when '5' => v_return_int := v_return_int + 5 * v_weight;
-         when '6' => v_return_int := v_return_int + 6 * v_weight;
-         when '7' => v_return_int := v_return_int + 7 * v_weight;
-         when '8' => v_return_int := v_return_int + 8 * v_weight;
-         when '9' => v_return_int := v_return_int + 9 * v_weight;
-         when others => null;
+        when '0' => v_return_int := v_return_int + 0 * v_weight;
+        when '1' => v_return_int := v_return_int + 1 * v_weight;
+        when '2' => v_return_int := v_return_int + 2 * v_weight;
+        when '3' => v_return_int := v_return_int + 3 * v_weight;
+        when '4' => v_return_int := v_return_int + 4 * v_weight;
+        when '5' => v_return_int := v_return_int + 5 * v_weight;
+        when '6' => v_return_int := v_return_int + 6 * v_weight;
+        when '7' => v_return_int := v_return_int + 7 * v_weight;
+        when '8' => v_return_int := v_return_int + 8 * v_weight;
+        when '9' => v_return_int := v_return_int + 9 * v_weight;
+        when others => null;
       end case;
       if (v_char /= ' ') then  -- Only increment the weight when the character is NOT a spacebar.
         v_weight := v_weight * 10;  -- Addapt the weight for the next decimal digit.
@@ -1375,7 +1485,7 @@ package body tb_common_pkg is
   ------------------------------------------------------------------------------
   -- FUNCTION: Converts the hexadecimal value represented in a string to an integer value.
   ------------------------------------------------------------------------------
-  function func_hexstring_to_integer(in_string: string) return integer is
+  function func_hexstring_to_integer (in_string: string) return integer is
     constant c_nof_digits : natural := in_string'length;  -- Define the length of the string
     variable v_char       : character;
     variable v_weight     : integer := 1;
@@ -1385,23 +1495,23 @@ package body tb_common_pkg is
     for I in c_nof_digits - 1 downto 0 loop
       v_char := in_string(I + in_string'low);
       case v_char is
-         when '0' => v_return_int := v_return_int + 0 * v_weight;
-         when '1' => v_return_int := v_return_int + 1 * v_weight;
-         when '2' => v_return_int := v_return_int + 2 * v_weight;
-         when '3' => v_return_int := v_return_int + 3 * v_weight;
-         when '4' => v_return_int := v_return_int + 4 * v_weight;
-         when '5' => v_return_int := v_return_int + 5 * v_weight;
-         when '6' => v_return_int := v_return_int + 6 * v_weight;
-         when '7' => v_return_int := v_return_int + 7 * v_weight;
-         when '8' => v_return_int := v_return_int + 8 * v_weight;
-         when '9' => v_return_int := v_return_int + 9 * v_weight;
-         when 'A' | 'a' => v_return_int := v_return_int + 10 * v_weight;
-         when 'B' | 'b' => v_return_int := v_return_int + 11 * v_weight;
-         when 'C' | 'c' => v_return_int := v_return_int + 12 * v_weight;
-         when 'D' | 'd' => v_return_int := v_return_int + 13 * v_weight;
-         when 'E' | 'e' => v_return_int := v_return_int + 14 * v_weight;
-         when 'F' | 'f' => v_return_int := v_return_int + 15 * v_weight;
-         when others => null;
+        when '0' => v_return_int := v_return_int + 0 * v_weight;
+        when '1' => v_return_int := v_return_int + 1 * v_weight;
+        when '2' => v_return_int := v_return_int + 2 * v_weight;
+        when '3' => v_return_int := v_return_int + 3 * v_weight;
+        when '4' => v_return_int := v_return_int + 4 * v_weight;
+        when '5' => v_return_int := v_return_int + 5 * v_weight;
+        when '6' => v_return_int := v_return_int + 6 * v_weight;
+        when '7' => v_return_int := v_return_int + 7 * v_weight;
+        when '8' => v_return_int := v_return_int + 8 * v_weight;
+        when '9' => v_return_int := v_return_int + 9 * v_weight;
+        when 'A' | 'a' => v_return_int := v_return_int + 10 * v_weight;
+        when 'B' | 'b' => v_return_int := v_return_int + 11 * v_weight;
+        when 'C' | 'c' => v_return_int := v_return_int + 12 * v_weight;
+        when 'D' | 'd' => v_return_int := v_return_int + 13 * v_weight;
+        when 'E' | 'e' => v_return_int := v_return_int + 14 * v_weight;
+        when 'F' | 'f' => v_return_int := v_return_int + 15 * v_weight;
+        when others => null;
       end case;
       if (v_char /= ' ') then  -- Only increment the weight when the character is NOT a spacebar.
         v_weight := v_weight * 16;  -- Addapt the weight for the next hexadecimal digit.
@@ -1414,7 +1524,7 @@ package body tb_common_pkg is
   -- FUNCTION: Finds the first instance of a given character in a string
   --           and returns its position.
   ------------------------------------------------------------------------------
-  function func_find_char_in_string(in_string: string; find_char: character) return integer is
+  function func_find_char_in_string (in_string: string; find_char: character) return integer is
     variable v_char_position : integer := 0;
   begin
     for I in 1 to in_string'length loop
@@ -1429,7 +1539,7 @@ package body tb_common_pkg is
   -- FUNCTION: Checks if a string(find_string) is part of a larger string(in_string).
   --           The result is returned as a BOOLEAN.
   ------------------------------------------------------------------------------
-  function func_find_string_in_string(in_string: string; find_string: string) return boolean is
+  function func_find_string_in_string (in_string: string; find_string: string) return boolean is
     constant c_in_length     : natural := in_string'length;  -- Define the length of the string to search in
     constant c_find_length   : natural := find_string'length;  -- Define the length of the string to be find
     variable v_found_it      : boolean := false;
diff --git a/libraries/base/common/tb/vhdl/tb_common_pulse_delay.vhd b/libraries/base/common/tb/vhdl/tb_common_pulse_delay.vhd
index 0743eff398..b5ddce40a5 100644
--- a/libraries/base/common/tb/vhdl/tb_common_pulse_delay.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_pulse_delay.vhd
@@ -30,9 +30,9 @@
 -- . if no failure messages are printed, TB ran OK.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_common_pulse_delay is
 end tb_common_pulse_delay;
@@ -105,18 +105,18 @@ begin
   -- common_pulse_delay
   -----------------------------------------------------------------------------
   u_common_pulse_delay : entity work.common_pulse_delay
-  generic map (
-    g_pulse_delay_max => c_pulse_delay_max,
-    g_register_out    => true
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    pulse_in    => pulse_in,
-    pulse_delay => pulse_delay,
-    pulse_out   => pulse_out
-  );
+    generic map (
+      g_pulse_delay_max => c_pulse_delay_max,
+      g_register_out    => true
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      pulse_in    => pulse_in,
+      pulse_delay => pulse_delay,
+      pulse_out   => pulse_out
+    );
 
   -----------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/common/tb/vhdl/tb_common_pulse_extend.vhd b/libraries/base/common/tb/vhdl/tb_common_pulse_extend.vhd
index 57b2c858be..5ec2a3aa45 100644
--- a/libraries/base/common/tb/vhdl/tb_common_pulse_extend.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_pulse_extend.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_pulse_extend is
   generic (
@@ -74,17 +74,17 @@ begin
   end process;
 
   u_spulse : entity work.common_pulse_extend
-  generic map (
-    g_rst_level    => '0',
-    g_p_in_level   => g_p_in_level,
-    g_ep_out_level => g_ep_out_level,
-    g_extend_w     => c_extend_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    p_in    => pulse_in,
-    ep_out  => pulse_out
-  );
+    generic map (
+      g_rst_level    => '0',
+      g_p_in_level   => g_p_in_level,
+      g_ep_out_level => g_ep_out_level,
+      g_extend_w     => c_extend_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      p_in    => pulse_in,
+      ep_out  => pulse_out
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_pulser.vhd b/libraries/base/common/tb/vhdl/tb_common_pulser.vhd
index e66980b996..fd6a974e05 100644
--- a/libraries/base/common/tb/vhdl/tb_common_pulser.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_pulser.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_pulser is
 end tb_common_pulser;
@@ -69,54 +69,54 @@ begin
   end process;
 
   u_reset : entity work.common_areset
-  generic map (
-    g_rst_level => '1',  -- power up default will be inferred in FPGA
-    g_delay_len => c_reset_len
-  )
-  port map (
-    in_rst    => '0',  -- release reset after some clock cycles
-    clk       => clk,
-    out_rst   => rst
-  );
+    generic map (
+      g_rst_level => '1',  -- power up default will be inferred in FPGA
+      g_delay_len => c_reset_len
+    )
+    port map (
+      in_rst    => '0',  -- release reset after some clock cycles
+      clk       => clk,
+      out_rst   => rst
+    );
 
   u_pulse_us : entity work.common_pulser
-  generic map (
-    g_pulse_period => c_pulse_us,
-    g_pulse_phase  => c_pulse_us - 1
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => '1',
-    pulse_en       => '1',
-    pulse_clr      => '0',
-    pulse_out      => pulse_us
-  );
+    generic map (
+      g_pulse_period => c_pulse_us,
+      g_pulse_phase  => c_pulse_us - 1
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => '1',
+      pulse_en       => '1',
+      pulse_clr      => '0',
+      pulse_out      => pulse_us
+    );
 
   u_pulse_ms_via_clk_en : entity work.common_pulser
-  generic map (
-    g_pulse_period => c_pulse_ms
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => pulse_us,
-    pulse_en       => '1',
-    pulse_clr      => pulse_ms_clr,
-    pulse_out      => pulse_ms_via_clk_en
-  );
+    generic map (
+      g_pulse_period => c_pulse_ms
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => pulse_us,
+      pulse_en       => '1',
+      pulse_clr      => pulse_ms_clr,
+      pulse_out      => pulse_ms_via_clk_en
+    );
 
   u_pulse_ms_via_pulse_en : entity work.common_pulser
-  generic map (
-    g_pulse_period => c_pulse_ms
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => '1',
-    pulse_en       => pulse_us,
-    pulse_clr      => pulse_ms_clr,
-    pulse_out      => pulse_ms_via_pulse_en
-  );
+    generic map (
+      g_pulse_period => c_pulse_ms
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => '1',
+      pulse_en       => pulse_us,
+      pulse_clr      => pulse_ms_clr,
+      pulse_out      => pulse_ms_via_pulse_en
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_pulser_us_ms_s.vhd b/libraries/base/common/tb/vhdl/tb_common_pulser_us_ms_s.vhd
index 73b51cfc43..09ff22fd10 100644
--- a/libraries/base/common/tb/vhdl/tb_common_pulser_us_ms_s.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_pulser_us_ms_s.vhd
@@ -30,9 +30,9 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_pulser_us_ms_s is
 end tb_common_pulser_us_ms_s;
@@ -92,18 +92,18 @@ begin
   end process;
 
   u_common_pulser_us_ms_s : entity work.common_pulser_us_ms_s
-  generic map (
-    g_pulse_us   => c_pulse_us,  -- nof clk cycles to get us period
-    g_pulse_ms   => c_1000,  -- nof pulse_us pulses to get ms period
-    g_pulse_s    => c_1000  -- nof pulse_ms pulses to get s period
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    sync         => sync,
-    pulse_us     => pulse_us,  -- pulses after every g_pulse_us                      clock cycles
-    pulse_ms     => pulse_ms,  -- pulses after every g_pulse_us*g_pulse_ms           clock cycles
-    pulse_s      => pulse_s  -- pulses after every g_pulse_us*g_pulse_ms*g_pulse_s clock cycles
-  );
+    generic map (
+      g_pulse_us   => c_pulse_us,  -- nof clk cycles to get us period
+      g_pulse_ms   => c_1000,  -- nof pulse_us pulses to get ms period
+      g_pulse_s    => c_1000  -- nof pulse_ms pulses to get s period
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      sync         => sync,
+      pulse_us     => pulse_us,  -- pulses after every g_pulse_us                      clock cycles
+      pulse_ms     => pulse_ms,  -- pulses after every g_pulse_us*g_pulse_ms           clock cycles
+      pulse_s      => pulse_s  -- pulses after every g_pulse_us*g_pulse_ms*g_pulse_s clock cycles
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_reg_cross_domain.vhd b/libraries/base/common/tb/vhdl/tb_common_reg_cross_domain.vhd
index b1d3dbe6d1..dae12b4845 100644
--- a/libraries/base/common/tb/vhdl/tb_common_reg_cross_domain.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_reg_cross_domain.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_reg_cross_domain is
 end tb_common_reg_cross_domain;
@@ -111,26 +111,26 @@ begin
   end process;
 
   u_out_rst : entity work.common_areset
-  port map (
-    in_rst   => in_rst,
-    clk      => out_clk,
-    out_rst  => out_rst
-  );
+    port map (
+      in_rst   => in_rst,
+      clk      => out_clk,
+      out_rst  => out_rst
+    );
 
   u_reg_cross_domain : entity work.common_reg_cross_domain
-  generic map (
-    g_in_new_latency => c_in_new_latency
-  )
-  port map (
-    in_rst     => in_rst,
-    in_clk     => in_clk,
-    in_new     => in_new,  -- when '1' then new in_dat is available after g_in_new_latency
-    in_dat     => in_dat,
-    in_done    => in_done,
-    out_rst    => out_rst,
-    out_clk    => out_clk,
-    out_dat    => out_dat,
-    out_new    => out_new  -- when '1' then the out_dat was updated with in_dat due to in_new
-  );
+    generic map (
+      g_in_new_latency => c_in_new_latency
+    )
+    port map (
+      in_rst     => in_rst,
+      in_clk     => in_clk,
+      in_new     => in_new,  -- when '1' then new in_dat is available after g_in_new_latency
+      in_dat     => in_dat,
+      in_done    => in_done,
+      out_rst    => out_rst,
+      out_clk    => out_clk,
+      out_dat    => out_dat,
+      out_new    => out_new  -- when '1' then the out_dat was updated with in_dat due to in_new
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_reinterleave.vhd b/libraries/base/common/tb/vhdl/tb_common_reinterleave.vhd
index e6aaf8a6fc..6ae3451752 100644
--- a/libraries/base/common/tb/vhdl/tb_common_reinterleave.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_reinterleave.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 -- Purpose: Test bench to check reinterleave function visually
 -- Usage:
@@ -40,7 +40,7 @@ entity tb_common_reinterleave is
     g_inter_block_size : natural := 2;
     g_concat_id      : boolean := true;  -- Concatenate a 1 byte stream ID 0xA..F @ MSB so user can follow streams in wave window
     g_cnt_sync       : boolean := true  -- When TRUE all generated streams start at 0, else they're offset by 16 counter values.
- );
+  );
 end;
 
 architecture rtl of tb_common_reinterleave is
@@ -146,23 +146,23 @@ begin
   -- DUT
   -----------------------------------------------------------------------------
   u_reinterleave : entity work.common_reinterleave
-  generic map (
-    g_nof_in         => g_nof_in,
-    g_deint_block_size  => g_deint_block_size,
-    g_nof_out        => g_nof_out,
-    g_inter_block_size => g_inter_block_size,
-    g_dat_w          => g_dat_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_dat     => dut_in_dat,
-    in_val     => dut_in_val_arr(0),  -- All input streams should be synchronous in terms of timing
-
-    out_dat    => dut_out_dat,
-    out_val    => dut_out_val
-  );
+    generic map (
+      g_nof_in         => g_nof_in,
+      g_deint_block_size  => g_deint_block_size,
+      g_nof_out        => g_nof_out,
+      g_inter_block_size => g_inter_block_size,
+      g_dat_w          => g_dat_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_dat     => dut_in_dat,
+      in_val     => dut_in_val_arr(0),  -- All input streams should be synchronous in terms of timing
+
+      out_dat    => dut_out_dat,
+      out_val    => dut_out_val
+    );
 
   -----------------------------------------------------------------------------
   -- Map DUT output SLV to array of streams (to ease viewing in wave window)
@@ -175,23 +175,23 @@ begin
   -- REVERSE FUNCTION; the outputs should match the DUT inputs (with delay)
   -----------------------------------------------------------------------------
   u_rev_reinterleave : entity work.common_reinterleave
-  generic map (
-    g_nof_in         => g_nof_out,  -- Note the reversed generics
-    g_deint_block_size  => g_inter_block_size,
-    g_nof_out        => g_nof_in,
-    g_inter_block_size => g_deint_block_size,
-    g_dat_w          => g_dat_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_dat     => dut_out_dat,
-    in_val     => dut_out_val(0),
-
-    out_dat    => rev_out_dat,
-    out_val    => rev_out_val
-  );
+    generic map (
+      g_nof_in         => g_nof_out,  -- Note the reversed generics
+      g_deint_block_size  => g_inter_block_size,
+      g_nof_out        => g_nof_in,
+      g_inter_block_size => g_deint_block_size,
+      g_dat_w          => g_dat_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_dat     => dut_out_dat,
+      in_val     => dut_out_val(0),
+
+      out_dat    => rev_out_dat,
+      out_val    => rev_out_val
+    );
 
   -----------------------------------------------------------------------------
   -- Map REV output SLV to array of streams
diff --git a/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd b/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd
index 5d6a184eb8..1af391257d 100644
--- a/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, tst_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Test bench for common_reorder_symbol.vhd
 -- Usage:
@@ -45,11 +45,11 @@ use common_lib.common_pkg.all;
 
 entity tb_common_reorder_symbol is
   generic (
---     g_nof_input    : NATURAL := 3;
---     g_nof_output   : NATURAL := 3;
---     g_symbol_w     : NATURAL := 8;
---     g_select_arr   : t_natural_arr := (3, 3, 3);  --array_init(3, 6)  -- range must fit [c_N*(c_N-1)/2-1:0]
---     g_pipeline_arr : t_natural_arr := (0,0,0,0)  --array_init(0, 5)  -- range must fit [0:c_N]
+    --     g_nof_input    : NATURAL := 3;
+    --     g_nof_output   : NATURAL := 3;
+    --     g_symbol_w     : NATURAL := 8;
+    --     g_select_arr   : t_natural_arr := (3, 3, 3);  --array_init(3, 6)  -- range must fit [c_N*(c_N-1)/2-1:0]
+    --     g_pipeline_arr : t_natural_arr := (0,0,0,0)  --array_init(0, 5)  -- range must fit [0:c_N]
     g_nof_input    : natural := 5;
     g_nof_output   : natural := 5;
     g_symbol_w     : natural := 8;
@@ -154,32 +154,32 @@ begin
 
   -- DUT
   u_reorder_in : entity work.common_reorder_symbol
-  generic map (
-    g_nof_input    => g_nof_input,
-    g_nof_output   => g_nof_output,
-    g_symbol_w     => g_symbol_w,
-    g_select_w     => c_select_w,
-    g_nof_select   => c_nof_select,
-    g_pipeline_arr => g_pipeline_arr
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => in_data_vec,
-    in_val     => in_val,
-    in_sop     => in_sop,
-    in_eop     => in_eop,
-    in_sync    => in_sync,
-
-    in_select  => in_select_vec,
-
-    out_data   => reorder_data_vec,
-    out_val    => reorder_val,
-    out_sop    => reorder_sop,
-    out_eop    => reorder_eop,
-    out_sync   => reorder_sync
-  );
+    generic map (
+      g_nof_input    => g_nof_input,
+      g_nof_output   => g_nof_output,
+      g_symbol_w     => g_symbol_w,
+      g_select_w     => c_select_w,
+      g_nof_select   => c_nof_select,
+      g_pipeline_arr => g_pipeline_arr
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => in_data_vec,
+      in_val     => in_val,
+      in_sop     => in_sop,
+      in_eop     => in_eop,
+      in_sync    => in_sync,
+
+      in_select  => in_select_vec,
+
+      out_data   => reorder_data_vec,
+      out_val    => reorder_val,
+      out_sop    => reorder_sop,
+      out_eop    => reorder_eop,
+      out_sync   => reorder_sync
+    );
 
   -- inverse DUT
   inverse_select_arr <= func_common_reorder2_inverse_select(c_N, in_select_arr);
@@ -189,60 +189,60 @@ begin
   end generate;
 
   u_inverse_in : entity work.common_reorder_symbol
-  generic map (
-    g_nof_input    => g_nof_output,
-    g_nof_output   => g_nof_input,
-    g_symbol_w     => g_symbol_w,
-    g_select_w     => c_select_w,
-    g_nof_select   => c_nof_select,
-    g_pipeline_arr => g_pipeline_arr
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => reorder_data_vec,
-    in_val     => reorder_val,
-    in_sop     => reorder_sop,
-    in_eop     => reorder_eop,
-    in_sync    => reorder_sync,
-
-    in_select  => inverse_select_vec(c_nof_select * c_select_w - 1 downto 0),
-
-    out_data   => inverse_data_vec,
-    out_val    => inverse_val,
-    out_sop    => inverse_sop,
-    out_eop    => inverse_eop,
-    out_sync   => inverse_sync
-  );
+    generic map (
+      g_nof_input    => g_nof_output,
+      g_nof_output   => g_nof_input,
+      g_symbol_w     => g_symbol_w,
+      g_select_w     => c_select_w,
+      g_nof_select   => c_nof_select,
+      g_pipeline_arr => g_pipeline_arr
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => reorder_data_vec,
+      in_val     => reorder_val,
+      in_sop     => reorder_sop,
+      in_eop     => reorder_eop,
+      in_sync    => reorder_sync,
+
+      in_select  => inverse_select_vec(c_nof_select * c_select_w - 1 downto 0),
+
+      out_data   => inverse_data_vec,
+      out_val    => inverse_val,
+      out_sop    => inverse_sop,
+      out_eop    => inverse_eop,
+      out_sync   => inverse_sync
+    );
 
   u_inverse_out : entity work.common_reorder_symbol
-  generic map (
-    g_nof_input    => g_nof_output,
-    g_nof_output   => g_nof_input,
-    g_symbol_w     => g_symbol_w,
-    g_select_w     => c_select_w,
-    g_nof_select   => c_nof_select,
-    g_pipeline_arr => g_pipeline_arr
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => inverse_data_vec,
-    in_val     => inverse_val,
-    in_sop     => inverse_sop,
-    in_eop     => inverse_eop,
-    in_sync    => inverse_sync,
-
-    in_select  => inverse_select_vec(2 * c_nof_select * c_select_w - 1 downto c_nof_select * c_select_w),
-
-    out_data   => out_data_vec,
-    out_val    => out_val,
-    out_sop    => out_sop,
-    out_eop    => out_eop,
-    out_sync   => out_sync
-  );
+    generic map (
+      g_nof_input    => g_nof_output,
+      g_nof_output   => g_nof_input,
+      g_symbol_w     => g_symbol_w,
+      g_select_w     => c_select_w,
+      g_nof_select   => c_nof_select,
+      g_pipeline_arr => g_pipeline_arr
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => inverse_data_vec,
+      in_val     => inverse_val,
+      in_sop     => inverse_sop,
+      in_eop     => inverse_eop,
+      in_sync    => inverse_sync,
+
+      in_select  => inverse_select_vec(2 * c_nof_select * c_select_w - 1 downto c_nof_select * c_select_w),
+
+      out_data   => out_data_vec,
+      out_val    => out_val,
+      out_sop    => out_sop,
+      out_eop    => out_eop,
+      out_sync   => out_sync
+    );
 
   -- Verification
   p_verify : process(rst, clk)
@@ -259,61 +259,61 @@ begin
 
   -- pipeline data input
   u_out_dat : entity work.common_pipeline
-  generic map (
-    g_pipeline  => c_total_pipeline,
-    g_in_dat_w  => g_nof_input * g_symbol_w,
-    g_out_dat_w => g_nof_input * g_symbol_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_data_vec,
-    out_dat => exp_data_vec
-  );
+    generic map (
+      g_pipeline  => c_total_pipeline,
+      g_in_dat_w  => g_nof_input * g_symbol_w,
+      g_out_dat_w => g_nof_input * g_symbol_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_data_vec,
+      out_dat => exp_data_vec
+    );
 
   -- pipeline control input
   u_out_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => exp_val
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => exp_val
+    );
 
   u_out_sop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sop,
-    out_dat => exp_sop
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sop,
+      out_dat => exp_sop
+    );
 
   u_out_eop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_eop,
-    out_dat => exp_eop
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_eop,
+      out_dat => exp_eop
+    );
 
   u_out_sync : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sync,
-    out_dat => exp_sync
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sync,
+      out_dat => exp_sync
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_rl.vhd b/libraries/base/common/tb/vhdl/tb_common_rl.vhd
index 9976c8b156..3bbc9e7fd3 100644
--- a/libraries/base/common/tb/vhdl/tb_common_rl.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_rl.vhd
@@ -38,11 +38,11 @@
 --   works when g_rl_increase_en is FALSE or both are FALSE.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_rl is
@@ -157,64 +157,64 @@ begin
   fifo_out_ready <= '1';
 
   u_fifo_sc : entity work.common_fifo_sc
-  generic map (
-    g_note_is_ful => true,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
-    g_dat_w       => c_dat_w,
-    g_nof_words   => g_fifo_size,
-    g_af_margin   => c_fifo_af_margin
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    wr_dat   => fifo_in_dat,
-    wr_req   => fifo_in_val,
-    wr_ful   => fifo_ful,
-    wr_aful  => fifo_almost_full,  -- get FIFO almost full to be used to force rl_increase_in_ready
-    rd_dat   => fifo_out_dat,
-    rd_req   => fifo_in_ready,
-    rd_emp   => fifo_emp,
-    rd_val   => fifo_out_val,
-    usedw    => fifo_usedw
-  );
+    generic map (
+      g_note_is_ful => true,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
+      g_dat_w       => c_dat_w,
+      g_nof_words   => g_fifo_size,
+      g_af_margin   => c_fifo_af_margin
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      wr_dat   => fifo_in_dat,
+      wr_req   => fifo_in_val,
+      wr_ful   => fifo_ful,
+      wr_aful  => fifo_almost_full,  -- get FIFO almost full to be used to force rl_increase_in_ready
+      rd_dat   => fifo_out_dat,
+      rd_req   => fifo_in_ready,
+      rd_emp   => fifo_emp,
+      rd_val   => fifo_out_val,
+      usedw    => fifo_usedw
+    );
 
   -- RL 1 --> 0
   u_rl_decrease : entity work.common_rl_decrease
-  generic map (
-    g_adapt       => g_rl_decrease_en,
-    g_dat_w       => c_dat_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST sink: RL = 1
-    snk_out_ready => fifo_in_ready,
-    snk_in_dat    => fifo_out_dat,
-    snk_in_val    => fifo_out_val,
-    -- ST source: RL = 0
-    src_in_ready  => rl_decrease_in_ready,
-    src_out_dat   => rl_decrease_out_dat,
-    src_out_val   => rl_decrease_out_val
-  );
+    generic map (
+      g_adapt       => g_rl_decrease_en,
+      g_dat_w       => c_dat_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST sink: RL = 1
+      snk_out_ready => fifo_in_ready,
+      snk_in_dat    => fifo_out_dat,
+      snk_in_val    => fifo_out_val,
+      -- ST source: RL = 0
+      src_in_ready  => rl_decrease_in_ready,
+      src_out_dat   => rl_decrease_out_dat,
+      src_out_val   => rl_decrease_out_val
+    );
 
 
   -- RL 0 --> 1
   u_rl_increase : entity work.common_rl_increase
-  generic map (
-    g_adapt       => c_rl_increase_en,
-    g_hold_dat_en => g_rl_increase_hold_dat_en,
-    g_dat_w       => c_dat_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- Sink
-    snk_out_ready => rl_decrease_in_ready,
-    snk_in_dat    => rl_decrease_out_dat,
-    snk_in_val    => rl_decrease_out_val,
-    -- Source
-    src_in_ready  => rl_increase_in_ready,
-    src_out_dat   => rl_increase_out_dat,
-    src_out_val   => rl_increase_out_val
-  );
+    generic map (
+      g_adapt       => c_rl_increase_en,
+      g_hold_dat_en => g_rl_increase_hold_dat_en,
+      g_dat_w       => c_dat_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- Sink
+      snk_out_ready => rl_decrease_in_ready,
+      snk_in_dat    => rl_decrease_out_dat,
+      snk_in_val    => rl_decrease_out_val,
+      -- Source
+      src_in_ready  => rl_increase_in_ready,
+      src_out_dat   => rl_increase_out_dat,
+      src_out_val   => rl_increase_out_val
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_rl_register.vhd b/libraries/base/common/tb/vhdl/tb_common_rl_register.vhd
index badacc5236..52bf654214 100644
--- a/libraries/base/common/tb/vhdl/tb_common_rl_register.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_rl_register.vhd
@@ -30,11 +30,11 @@
 --   rl_register_in_ready='1' to avoid FIFO overflow.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_rl_register is
@@ -136,44 +136,44 @@ begin
   fifo_out_ready <= '1';
 
   u_fifo_sc : entity work.common_fifo_sc
-  generic map (
-    g_note_is_ful => true,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
-    g_dat_w       => c_dat_w,
-    g_nof_words   => g_fifo_size,
-    g_af_margin   => c_fifo_af_margin
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    wr_dat   => fifo_in_dat,
-    wr_req   => fifo_in_val,
-    wr_ful   => fifo_ful,
-    wr_aful  => fifo_almost_full,  -- get FIFO almost full to be used to force rl_register_in_ready
-    rd_dat   => fifo_out_dat,
-    rd_req   => fifo_in_ready,
-    rd_emp   => fifo_emp,
-    rd_val   => fifo_out_val,
-    usedw    => fifo_usedw
-  );
+    generic map (
+      g_note_is_ful => true,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
+      g_dat_w       => c_dat_w,
+      g_nof_words   => g_fifo_size,
+      g_af_margin   => c_fifo_af_margin
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      wr_dat   => fifo_in_dat,
+      wr_req   => fifo_in_val,
+      wr_ful   => fifo_ful,
+      wr_aful  => fifo_almost_full,  -- get FIFO almost full to be used to force rl_register_in_ready
+      rd_dat   => fifo_out_dat,
+      rd_req   => fifo_in_ready,
+      rd_emp   => fifo_emp,
+      rd_val   => fifo_out_val,
+      usedw    => fifo_usedw
+    );
 
   -- RL 1 --> 0 --> 1
   u_rl_register : entity work.common_rl_register
-  generic map (
-    g_adapt       => g_rl_register_en,
-    g_hold_dat_en => g_rl_register_hold_dat_en,
-    g_dat_w       => c_dat_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST sink: RL = 1
-    snk_out_ready => fifo_in_ready,
-    snk_in_dat    => fifo_out_dat,
-    snk_in_val    => fifo_out_val,
-    -- ST source: RL = 0
-    src_in_ready  => rl_register_in_ready,
-    src_out_dat   => rl_register_out_dat,
-    src_out_val   => rl_register_out_val
-  );
+    generic map (
+      g_adapt       => g_rl_register_en,
+      g_hold_dat_en => g_rl_register_hold_dat_en,
+      g_dat_w       => c_dat_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST sink: RL = 1
+      snk_out_ready => fifo_in_ready,
+      snk_in_dat    => fifo_out_dat,
+      snk_in_val    => fifo_out_val,
+      -- ST source: RL = 0
+      src_in_ready  => rl_register_in_ready,
+      src_out_dat   => rl_register_out_dat,
+      src_out_val   => rl_register_out_val
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd b/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd
index d7aca1f817..6a7e14936c 100644
--- a/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, tst_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Test bench for common_select_m_symbols.vhd
 -- Usage:
@@ -137,64 +137,64 @@ begin
 
   -- DUT
   u_reorder_in : entity work.common_select_m_symbols
-  generic map (
-    g_nof_input     => g_nof_input,
-    g_nof_output    => g_nof_output,
-    g_symbol_w      => g_symbol_w,
-    g_pipeline_in   => g_pipeline_in,
-    g_pipeline_in_m => g_pipeline_in_m,
-    g_pipeline_out  => g_pipeline_out
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => in_data_vec,
-    in_val     => in_val,
-    in_sop     => in_sop,
-    in_eop     => in_eop,
-    in_sync    => in_sync,
-
-    in_select  => in_select_vec,
-
-    out_data   => reorder_data_vec,
-    out_val    => reorder_val,
-    out_sop    => reorder_sop,
-    out_eop    => reorder_eop,
-    out_sync   => reorder_sync
-  );
+    generic map (
+      g_nof_input     => g_nof_input,
+      g_nof_output    => g_nof_output,
+      g_symbol_w      => g_symbol_w,
+      g_pipeline_in   => g_pipeline_in,
+      g_pipeline_in_m => g_pipeline_in_m,
+      g_pipeline_out  => g_pipeline_out
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => in_data_vec,
+      in_val     => in_val,
+      in_sop     => in_sop,
+      in_eop     => in_eop,
+      in_sync    => in_sync,
+
+      in_select  => in_select_vec,
+
+      out_data   => reorder_data_vec,
+      out_val    => reorder_val,
+      out_sop    => reorder_sop,
+      out_eop    => reorder_eop,
+      out_sync   => reorder_sync
+    );
 
   gen_inverse_select_vec: for K in g_nof_output - 1 downto 0 generate
     inverse_select_vec((K + 1) * c_select_w - 1 downto K * c_select_w) <= TO_UVEC(inverse_select_arr(K), c_select_w);
   end generate;
 
   u_inverse_out : entity work.common_select_m_symbols
-  generic map (
-    g_nof_input     => g_nof_output,
-    g_nof_output    => g_nof_input,
-    g_symbol_w      => g_symbol_w,
-    g_pipeline_in   => g_pipeline_in,
-    g_pipeline_in_m => g_pipeline_in_m,
-    g_pipeline_out  => g_pipeline_out
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => reorder_data_vec,
-    in_val     => reorder_val,
-    in_sop     => reorder_sop,
-    in_eop     => reorder_eop,
-    in_sync    => reorder_sync,
-
-    in_select  => inverse_select_vec,
-
-    out_data   => out_data_vec,
-    out_val    => out_val,
-    out_sop    => out_sop,
-    out_eop    => out_eop,
-    out_sync   => out_sync
-  );
+    generic map (
+      g_nof_input     => g_nof_output,
+      g_nof_output    => g_nof_input,
+      g_symbol_w      => g_symbol_w,
+      g_pipeline_in   => g_pipeline_in,
+      g_pipeline_in_m => g_pipeline_in_m,
+      g_pipeline_out  => g_pipeline_out
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => reorder_data_vec,
+      in_val     => reorder_val,
+      in_sop     => reorder_sop,
+      in_eop     => reorder_eop,
+      in_sync    => reorder_sync,
+
+      in_select  => inverse_select_vec,
+
+      out_data   => out_data_vec,
+      out_val    => out_val,
+      out_sop    => out_sop,
+      out_eop    => out_eop,
+      out_sync   => out_sync
+    );
 
   -- Verification
   p_verify : process(rst, clk)
@@ -211,61 +211,61 @@ begin
 
   -- pipeline data input
   u_out_dat : entity work.common_pipeline
-  generic map (
-    g_pipeline  => c_total_pipeline,
-    g_in_dat_w  => g_nof_input * g_symbol_w,
-    g_out_dat_w => g_nof_input * g_symbol_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_data_vec,
-    out_dat => exp_data_vec
-  );
+    generic map (
+      g_pipeline  => c_total_pipeline,
+      g_in_dat_w  => g_nof_input * g_symbol_w,
+      g_out_dat_w => g_nof_input * g_symbol_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_data_vec,
+      out_dat => exp_data_vec
+    );
 
   -- pipeline control input
   u_out_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => exp_val
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => exp_val
+    );
 
   u_out_sop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sop,
-    out_dat => exp_sop
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sop,
+      out_dat => exp_sop
+    );
 
   u_out_eop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_eop,
-    out_dat => exp_eop
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_eop,
+      out_dat => exp_eop
+    );
 
   u_out_sync : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => c_total_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sync,
-    out_dat => exp_sync
-  );
+    generic map (
+      g_pipeline => c_total_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sync,
+      out_dat => exp_sync
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_shiftram.vhd b/libraries/base/common/tb/vhdl/tb_common_shiftram.vhd
index a177c30446..e5e8234626 100644
--- a/libraries/base/common/tb/vhdl/tb_common_shiftram.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_shiftram.vhd
@@ -30,10 +30,10 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_common_shiftram is
   generic (
@@ -110,22 +110,22 @@ begin
 
   -- DUT
   u_common_shiftram : entity work.common_shiftram
-  generic map (
-    g_data_w    => g_data_w,
-    g_nof_words => g_nof_words
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-
-    data_in        => data_in,
-    data_in_val    => data_in_val,
-    data_in_shift  => data_in_shift,
-
-    data_out       => data_out,
-    data_out_val   => data_out_val,
-    data_out_shift => data_out_shift
-  );
+    generic map (
+      g_data_w    => g_data_w,
+      g_nof_words => g_nof_words
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+
+      data_in        => data_in,
+      data_in_val    => data_in_val,
+      data_in_shift  => data_in_shift,
+
+      data_out       => data_out,
+      data_out_val   => data_out_val,
+      data_out_shift => data_out_shift
+    );
 
   -- Make sure prev_data_out has been assigned
   p_verify_start: process
diff --git a/libraries/base/common/tb/vhdl/tb_common_shiftreg.vhd b/libraries/base/common/tb/vhdl/tb_common_shiftreg.vhd
index 6ef434b87d..5f7f04aa0d 100644
--- a/libraries/base/common/tb/vhdl/tb_common_shiftreg.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_shiftreg.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, tst_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 -- Purpose: Test bench for common_shiftreg.vhd
 -- Usage:
@@ -158,32 +158,32 @@ begin
 
   -- DUT
   u_shiftreg : entity work.common_shiftreg
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_flush_en  => g_flush_en,
-    g_nof_dat   => g_nof_dat,
-    g_dat_w     => g_dat_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => in_dat,
-    in_val       => in_val,
-    in_sop       => in_sop,
-    in_eop       => in_eop,
-
-    out_data_vec => out_data_vec,
-    out_val_vec  => out_val_vec,
-    out_sop_vec  => out_sop_vec,
-    out_eop_vec  => out_eop_vec,
-    out_cnt      => out_cnt,
-
-    out_dat      => out_dat,
-    out_val      => out_val,
-    out_sop      => out_sop,
-    out_eop      => out_eop
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_flush_en  => g_flush_en,
+      g_nof_dat   => g_nof_dat,
+      g_dat_w     => g_dat_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => in_dat,
+      in_val       => in_val,
+      in_sop       => in_sop,
+      in_eop       => in_eop,
+
+      out_data_vec => out_data_vec,
+      out_val_vec  => out_val_vec,
+      out_sop_vec  => out_sop_vec,
+      out_eop_vec  => out_eop_vec,
+      out_cnt      => out_cnt,
+
+      out_dat      => out_dat,
+      out_val      => out_val,
+      out_sop      => out_sop,
+      out_eop      => out_eop
+    );
 
   -- Verification
   proc_common_verify_data(1, clk, verify_en, ready, out_val, out_dat, prev_out_dat);
diff --git a/libraries/base/common/tb/vhdl/tb_common_spulse.vhd b/libraries/base/common/tb/vhdl/tb_common_spulse.vhd
index c8e5d4a023..365a1caa51 100644
--- a/libraries/base/common/tb/vhdl/tb_common_spulse.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_spulse.vhd
@@ -27,9 +27,9 @@
 -- > run 1 us
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_common_spulse is
 end tb_common_spulse;
@@ -70,23 +70,23 @@ begin
   end process;
 
   u_out_rst : entity work.common_areset
-  port map (
-    in_rst   => in_rst,
-    clk      => out_clk,
-    out_rst  => out_rst
-  );
+    port map (
+      in_rst   => in_rst,
+      clk      => out_clk,
+      out_rst  => out_rst
+    );
 
   u_spulse : entity work.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay
-  )
-  port map (
-    in_clk     => in_clk,
-    in_rst     => in_rst,
-    in_pulse   => in_pulse,
-    out_clk    => out_clk,
-    out_rst    => out_rst,
-    out_pulse  => out_pulse
-  );
+    generic map (
+      g_delay_len => c_meta_delay
+    )
+    port map (
+      in_clk     => in_clk,
+      in_rst     => in_rst,
+      in_pulse   => in_pulse,
+      out_clk    => out_clk,
+      out_rst    => out_rst,
+      out_pulse  => out_pulse
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_switch.vhd b/libraries/base/common/tb/vhdl/tb_common_switch.vhd
index 9200eaae31..04c4badad0 100644
--- a/libraries/base/common/tb/vhdl/tb_common_switch.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_switch.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_switch is
 end tb_common_switch;
@@ -49,13 +49,13 @@ architecture tb of tb_common_switch is
 
   constant c_nof_dut          : natural := 2**c_nof_generics;
   constant c_generics_matrix  : t_boolean_matrix(0 to c_nof_dut - 1, 0 to c_nof_generics - 1) := ((false, false, false),
-                                                                                              (false, false,  true),
-                                                                                              (false,  true, false),
-                                                                                              (false,  true,  true),
-                                                                                              ( true, false, false),
-                                                                                              ( true, false,  true),
-                                                                                              ( true,  true, false),
-                                                                                              ( true,  true,  true));
+    (false, false,  true),
+    (false,  true, false),
+    (false,  true,  true),
+    ( true, false, false),
+    ( true, false,  true),
+    ( true,  true, false),
+    ( true,  true,  true));
   -- View constants in Wave window
   signal dbg_c_generics_matrix  : t_boolean_matrix(0 to c_nof_dut - 1, 0 to c_nof_generics - 1) := c_generics_matrix;
   signal dbg_state              : natural;
@@ -226,20 +226,20 @@ begin
 
   gen_dut : for I in 0 to c_nof_dut - 1 generate
     u_switch : entity work.common_switch
-    generic map (
-      g_rst_level    => '0',  -- output level at reset.
-      --g_rst_level    => '1',
-      g_priority_lo  => c_generics_matrix(I,0),
-      g_or_high      => c_generics_matrix(I,1),
-      g_and_low      => c_generics_matrix(I,2)
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      switch_high => in_hi,
-      switch_low  => in_lo,
-      out_level   => out_level(I)
-    );
+      generic map (
+        g_rst_level    => '0',  -- output level at reset.
+        --g_rst_level    => '1',
+        g_priority_lo  => c_generics_matrix(I,0),
+        g_or_high      => c_generics_matrix(I,1),
+        g_and_low      => c_generics_matrix(I,2)
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        switch_high => in_hi,
+        switch_low  => in_lo,
+        out_level   => out_level(I)
+      );
   end generate;
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd b/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd
index fa6aae58d7..ae07044cae 100644
--- a/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd
@@ -42,9 +42,9 @@
 --         signals with radix unsigend.
 -- > run -all
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;
+  use work.common_pkg.all;
 
 
 entity tb_common_to_sreal is
@@ -71,8 +71,9 @@ architecture tb of tb_common_to_sreal is
   signal dbg_resolution_w : integer := 0;
   signal dbg_resolution   : real := 0.0;
 
-  procedure proc_wait_some_cycles(signal clk          : in  std_logic;
-                                         c_nof_cycles : in  natural) is
+  procedure proc_wait_some_cycles (
+    signal clk          : in  std_logic;
+    c_nof_cycles : in  natural) is
   begin
     for I in 0 to c_nof_cycles - 1 loop wait until rising_edge(clk); end loop;
   end proc_wait_some_cycles;
@@ -135,19 +136,19 @@ begin
     v_real := -6.51; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real := -6.49; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  6.49; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  6.51; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  7.49; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  7.51; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     proc_wait_some_cycles(clk, 5);
 
     -- . Just overflow with 4 bit integers for -16.5 : +15.5
     v_real := -15.5; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  15.5; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     proc_wait_some_cycles(clk, 5);
 
     -- . Negative clip to 0 for unsigned
@@ -164,17 +165,17 @@ begin
     v_real := -28.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real := -18.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  18.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  28.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  38.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  48.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  58.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
     v_real :=  68.0; a_real <= v_real; a_sint <= TO_SINT(v_real, 4, 0); a_slv <= TO_SVEC(v_real, 4, 0); wait until rising_edge(clk);
-                                       a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
+    a_uint <= TO_UINT(v_real, 4, 0); a_ulv <= TO_UVEC(v_real, 4, 0); wait until rising_edge(clk);
 
     proc_wait_some_cycles(clk, 10);
     tb_end <= '1';
diff --git a/libraries/base/common/tb/vhdl/tb_common_toggle.vhd b/libraries/base/common/tb/vhdl/tb_common_toggle.vhd
index b1eb5283ae..186b5c3bec 100644
--- a/libraries/base/common/tb/vhdl/tb_common_toggle.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_toggle.vhd
@@ -27,9 +27,9 @@
 -- Observe the out_toggle during the different stimuli indicated by tb_state.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_toggle is
 end tb_common_toggle;
@@ -100,17 +100,17 @@ begin
   end process;
 
   u_toggle : entity work.common_toggle
-  generic map (
-    g_evt_type   => "RISING",
-    g_rst_level  => '0'
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => '1',
-    in_dat      => in_dat,
-    in_val      => in_val,
-    out_dat     => out_toggle
-  );
+    generic map (
+      g_evt_type   => "RISING",
+      g_rst_level  => '0'
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => '1',
+      in_dat      => in_dat,
+      in_val      => in_val,
+      out_dat     => out_toggle
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_toggle_align.vhd b/libraries/base/common/tb/vhdl/tb_common_toggle_align.vhd
index b8658765ef..f6b937eab7 100644
--- a/libraries/base/common/tb/vhdl/tb_common_toggle_align.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_toggle_align.vhd
@@ -27,9 +27,9 @@
 -- Observe out_toggle in Wave Window in relation to in_toggle and align
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_toggle_align is
 end tb_common_toggle_align;
@@ -129,16 +129,16 @@ begin
   end process;
 
   u_toggle : entity work.common_toggle_align
-  generic map (
-    g_pipeline           => c_pipeline,
-    g_nof_clk_per_period => c_toggle_period
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_align    => in_align,
-    in_toggle   => in_toggle,
-    out_toggle  => out_toggle
-  );
+    generic map (
+      g_pipeline           => c_pipeline,
+      g_nof_clk_per_period => c_toggle_period
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_align    => in_align,
+      in_toggle   => in_toggle,
+      out_toggle  => out_toggle
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_transpose.vhd b/libraries/base/common/tb/vhdl/tb_common_transpose.vhd
index 176ebc3051..5d1aec3419 100644
--- a/libraries/base/common/tb/vhdl/tb_common_transpose.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_transpose.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 -- Purpose: Test bench for common_transpose.vhd
 -- Usage:
@@ -61,9 +61,10 @@ architecture tb of tb_common_transpose is
   constant c_frame_len    : natural :=  7 * g_nof_data;
   constant c_frame_eop    : natural := (c_frame_len - 1) mod c_frame_len;
 
-  procedure proc_align_eop(signal clk      : in  std_logic;
-                           signal stimuli_phase : in  std_logic;
-                           signal in_val   : out std_logic) is
+  procedure proc_align_eop (
+    signal clk      : in  std_logic;
+    signal stimuli_phase : in  std_logic;
+    signal in_val   : out std_logic) is
   begin
     while stimuli_phase = '0' loop
       in_val <= '1';
@@ -209,58 +210,58 @@ begin
 
   -- DUT
   u_transpose_in : entity common_lib.common_transpose
-  generic map (
-    g_pipeline_shiftreg  => g_pipeline_shiftreg,
-    g_pipeline_transpose => g_pipeline_transpose,
-    g_pipeline_hold      => g_pipeline_hold,
-    g_pipeline_select    => g_pipeline_select,
-    g_nof_data           => g_nof_data,
-    g_data_w             => g_data_w,
-    g_addr_w             => g_addr_w,
-    g_addr_offset        => g_addr_offset
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_offset  => in_offset,
-    in_addr    => in_addr,
-    in_data    => in_data,
-    in_val     => in_val,
-    in_eop     => in_eop,
-
-    out_addr   => trans_addr,
-    out_data   => trans_data,
-    out_val    => trans_val,
-    out_eop    => trans_eop
-  );
+    generic map (
+      g_pipeline_shiftreg  => g_pipeline_shiftreg,
+      g_pipeline_transpose => g_pipeline_transpose,
+      g_pipeline_hold      => g_pipeline_hold,
+      g_pipeline_select    => g_pipeline_select,
+      g_nof_data           => g_nof_data,
+      g_data_w             => g_data_w,
+      g_addr_w             => g_addr_w,
+      g_addr_offset        => g_addr_offset
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_offset  => in_offset,
+      in_addr    => in_addr,
+      in_data    => in_data,
+      in_val     => in_val,
+      in_eop     => in_eop,
+
+      out_addr   => trans_addr,
+      out_data   => trans_data,
+      out_val    => trans_val,
+      out_eop    => trans_eop
+    );
 
   u_transpose_out : entity common_lib.common_transpose
-  generic map (
-    g_pipeline_shiftreg  => g_pipeline_shiftreg,
-    g_pipeline_transpose => g_pipeline_transpose,
-    g_pipeline_hold      => g_pipeline_hold,
-    g_pipeline_select    => g_pipeline_select,
-    g_nof_data           => g_nof_data,
-    g_data_w             => g_data_w,
-    g_addr_w             => g_addr_w,
-    g_addr_offset        => g_addr_offset
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_offset  => trans_offset,
-    in_addr    => trans_addr,
-    in_data    => trans_data,
-    in_val     => trans_val,
-    in_eop     => trans_eop,
-
-    out_addr   => out_addr,
-    out_data   => out_data,
-    out_val    => out_val,
-    out_eop    => out_eop
-  );
+    generic map (
+      g_pipeline_shiftreg  => g_pipeline_shiftreg,
+      g_pipeline_transpose => g_pipeline_transpose,
+      g_pipeline_hold      => g_pipeline_hold,
+      g_pipeline_select    => g_pipeline_select,
+      g_nof_data           => g_nof_data,
+      g_data_w             => g_data_w,
+      g_addr_w             => g_addr_w,
+      g_addr_offset        => g_addr_offset
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_offset  => trans_offset,
+      in_addr    => trans_addr,
+      in_data    => trans_data,
+      in_val     => trans_val,
+      in_eop     => trans_eop,
+
+      out_addr   => out_addr,
+      out_data   => out_data,
+      out_val    => out_val,
+      out_eop    => out_eop
+    );
 
   -- Verification p_verify
   proc_common_verify_data(1, clk, verify_en, ready, out_val, out_addr, prev_out_addr);
diff --git a/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd b/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd
index 9dd8ce887d..2a2beb8732 100644
--- a/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, tst_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Test bench for common_transpose_symbol.vhd
 -- Usage:
@@ -104,46 +104,46 @@ begin
 
   -- DUT
   u_transpose_in : entity work.common_transpose_symbol
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_nof_data  => g_nof_data,
-    g_data_w    => g_data_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => in_data_vec,
-    in_val     => in_val,
-    in_sop     => in_sop,
-    in_eop     => in_eop,
-
-    out_data   => trans_data_vec,
-    out_val    => trans_val,
-    out_sop    => trans_sop,
-    out_eop    => trans_eop
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_nof_data  => g_nof_data,
+      g_data_w    => g_data_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => in_data_vec,
+      in_val     => in_val,
+      in_sop     => in_sop,
+      in_eop     => in_eop,
+
+      out_data   => trans_data_vec,
+      out_val    => trans_val,
+      out_sop    => trans_sop,
+      out_eop    => trans_eop
+    );
 
   u_transpose_out : entity work.common_transpose_symbol
-  generic map (
-    g_pipeline  => g_pipeline,
-    g_nof_data  => g_nof_data,
-    g_data_w    => g_data_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_data    => trans_data_vec,
-    in_val     => trans_val,
-    in_sop     => trans_sop,
-    in_eop     => trans_eop,
-
-    out_data   => out_data_vec,
-    out_val    => out_val,
-    out_sop    => out_sop,
-    out_eop    => out_eop
-  );
+    generic map (
+      g_pipeline  => g_pipeline,
+      g_nof_data  => g_nof_data,
+      g_data_w    => g_data_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_data    => trans_data_vec,
+      in_val     => trans_val,
+      in_sop     => trans_sop,
+      in_eop     => trans_eop,
+
+      out_data   => out_data_vec,
+      out_val    => out_val,
+      out_sop    => out_sop,
+      out_eop    => out_eop
+    );
 
   -- Verification
   p_verify : process(rst, clk)
@@ -159,50 +159,50 @@ begin
 
   -- pipeline data input
   u_out_dat : entity work.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline * 2,
-    g_in_dat_w  => g_nof_data * g_data_w,
-    g_out_dat_w => g_nof_data * g_data_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_data_vec,
-    out_dat => exp_data_vec
-  );
+    generic map (
+      g_pipeline  => g_pipeline * 2,
+      g_in_dat_w  => g_nof_data * g_data_w,
+      g_out_dat_w => g_nof_data * g_data_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_data_vec,
+      out_dat => exp_data_vec
+    );
 
   -- pipeline control input
   u_out_val : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline * 2
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => exp_val
-  );
+    generic map (
+      g_pipeline => g_pipeline * 2
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => exp_val
+    );
 
   u_out_sop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline * 2
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sop,
-    out_dat => exp_sop
-  );
+    generic map (
+      g_pipeline => g_pipeline * 2
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sop,
+      out_dat => exp_sop
+    );
 
   u_out_eop : entity work.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline * 2
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_eop,
-    out_dat => exp_eop
-  );
+    generic map (
+      g_pipeline => g_pipeline * 2
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_eop,
+      out_dat => exp_eop
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_common_variable_delay.vhd b/libraries/base/common/tb/vhdl/tb_common_variable_delay.vhd
index fa8c3c137f..9a10d4ddf5 100644
--- a/libraries/base/common/tb/vhdl/tb_common_variable_delay.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_variable_delay.vhd
@@ -27,11 +27,11 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_str_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_str_pkg.all;
+  use work.tb_common_pkg.all;
 
 entity tb_common_variable_delay is
 end tb_common_variable_delay;
@@ -71,7 +71,7 @@ begin
   proc_common_gen_pulse(1, c_trigger_interval, '1', rst, clk, trigger);
 
   p_in_stimuli : process
-  variable clk_cnt : natural := 0;
+    variable clk_cnt : natural := 0;
   begin
     delay  <= 0;
     enable <= '0';
@@ -109,15 +109,15 @@ begin
 
   -- device under test
   u_dut : entity work.common_variable_delay
-  port map (
-    rst       => rst,
-    clk       => clk,
-
-    delay     => delay,
-    enable    => enable,
-    in_pulse  => trigger,
-    out_pulse => trigger_dly
-  );
+    port map (
+      rst       => rst,
+      clk       => clk,
+
+      delay     => delay,
+      enable    => enable,
+      in_pulse  => trigger,
+      out_pulse => trigger_dly
+    );
 
 end tb;
 
diff --git a/libraries/base/common/tb/vhdl/tb_common_zip.vhd b/libraries/base/common/tb/vhdl/tb_common_zip.vhd
index ad0e3a4497..acb9f52ff0 100644
--- a/libraries/base/common/tb/vhdl/tb_common_zip.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_zip.vhd
@@ -29,11 +29,11 @@
 -- to the out_dat vector.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_lfsr_sequences_pkg.all;
-use work.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_lfsr_sequences_pkg.all;
+  use work.tb_common_pkg.all;
 
 
 entity tb_common_zip is
@@ -80,18 +80,18 @@ begin
   enable <= ena and ena_mask;
 
   u_dut : entity work.common_zip
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_dat_w       => g_dat_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    in_val     => in_val,
-    in_dat_arr => in_dat_arr,
-    out_val    => out_val,
-    out_dat    => out_dat
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_dat_w       => g_dat_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      in_val     => in_val,
+      in_dat_arr => in_dat_arr,
+      out_val    => out_val,
+      out_dat    => out_dat
+    );
 
 end tb;
 
diff --git a/libraries/base/common/tb/vhdl/tb_delta_cycle_demo.vhd b/libraries/base/common/tb/vhdl/tb_delta_cycle_demo.vhd
index 062ea3a05b..41be24411a 100644
--- a/libraries/base/common/tb/vhdl/tb_delta_cycle_demo.vhd
+++ b/libraries/base/common/tb/vhdl/tb_delta_cycle_demo.vhd
@@ -86,8 +86,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity tb_delta_cycle_demo is
diff --git a/libraries/base/common/tb/vhdl/tb_mms_common_variable_delay.vhd b/libraries/base/common/tb/vhdl/tb_mms_common_variable_delay.vhd
index d5ad7b2d0b..58d68d37e8 100644
--- a/libraries/base/common/tb/vhdl/tb_mms_common_variable_delay.vhd
+++ b/libraries/base/common/tb/vhdl/tb_mms_common_variable_delay.vhd
@@ -29,13 +29,13 @@
 -- --------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
-use work.common_str_pkg.all;
-use work.tb_common_pkg.all;
-use work.common_mem_pkg.all;
-use work.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
+  use work.common_str_pkg.all;
+  use work.tb_common_pkg.all;
+  use work.common_mem_pkg.all;
+  use work.tb_common_mem_pkg.all;
 
 entity tb_mms_common_variable_delay is
 end tb_mms_common_variable_delay;
@@ -93,19 +93,19 @@ begin
 
   -- device under test
   u_dut : entity work.mms_common_variable_delay
-  port map (
-    mm_rst     => rst,
-    mm_clk     => clk,
-    dp_rst     => rst,
-    dp_clk     => clk,
-
-    reg_enable_mosi => mm_mosi,
-    reg_enable_miso => mm_miso,
-
-    delay       => delay,
-    trigger     => trigger,
-    trigger_dly => trigger_dly
-  );
+    port map (
+      mm_rst     => rst,
+      mm_clk     => clk,
+      dp_rst     => rst,
+      dp_clk     => clk,
+
+      reg_enable_mosi => mm_mosi,
+      reg_enable_miso => mm_miso,
+
+      delay       => delay,
+      trigger     => trigger,
+      trigger_dly => trigger_dly
+    );
 
 end tb;
 
diff --git a/libraries/base/common/tb/vhdl/tb_requantize.vhd b/libraries/base/common/tb/vhdl/tb_requantize.vhd
index 292bc82c74..a8146383bd 100644
--- a/libraries/base/common/tb/vhdl/tb_requantize.vhd
+++ b/libraries/base/common/tb/vhdl/tb_requantize.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, tst_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 -- Purpose: Test bench for common_requantize.vhd
 -- Usage:
@@ -138,190 +138,190 @@ begin
   in_vec <= in_val & in_dat;
 
   u_pipe : entity work.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_in_dat_w       => c_in_dat_w + 1,
-    g_out_dat_w      => c_in_dat_w + 1
-  )
-  port map (
-    clk     => clk,
-    in_dat  => in_vec,
-    out_dat => reg_vec
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_in_dat_w       => c_in_dat_w + 1,
+      g_out_dat_w      => c_in_dat_w + 1
+    )
+    port map (
+      clk     => clk,
+      in_dat  => in_vec,
+      out_dat => reg_vec
+    );
 
   reg_val <= reg_vec(c_in_dat_w);
   reg_dat <= reg_vec(c_in_dat_w - 1 downto 0);
 
   -- DUT for "SIGNED"
   u_s_r_c : entity work.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_s_r_c_dat,
-    out_ovr        => out_s_r_c_ovr
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_s_r_c_dat,
+      out_ovr        => out_s_r_c_ovr
+    );
 
   u_s_r_w : entity work.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_s_r_w_dat,
-    out_ovr        => out_s_r_w_ovr
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_s_r_w_dat,
+      out_ovr        => out_s_r_w_ovr
+    );
 
   u_s_t_c : entity work.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => false,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_s_t_c_dat,
-    out_ovr        => out_s_t_c_ovr
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => false,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_s_t_c_dat,
+      out_ovr        => out_s_t_c_ovr
+    );
 
   u_s_t_w : entity work.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => false,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_s_t_w_dat,
-    out_ovr        => out_s_t_w_ovr
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => false,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_s_t_w_dat,
+      out_ovr        => out_s_t_w_ovr
+    );
 
   -- DUT for "UNSIGNED"
   u_u_r_c : entity work.common_requantize
-  generic map (
-    g_representation      => "UNSIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_u_r_c_dat,
-    out_ovr        => out_u_r_c_ovr
-  );
+    generic map (
+      g_representation      => "UNSIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_u_r_c_dat,
+      out_ovr        => out_u_r_c_ovr
+    );
 
   u_u_r_w : entity work.common_requantize
-  generic map (
-    g_representation      => "UNSIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_u_r_w_dat,
-    out_ovr        => out_u_r_w_ovr
-  );
+    generic map (
+      g_representation      => "UNSIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_u_r_w_dat,
+      out_ovr        => out_u_r_w_ovr
+    );
 
   u_u_t_c : entity work.common_requantize
-  generic map (
-    g_representation      => "UNSIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => false,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_u_t_c_dat,
-    out_ovr        => out_u_t_c_ovr
-  );
+    generic map (
+      g_representation      => "UNSIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => false,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_u_t_c_dat,
+      out_ovr        => out_u_t_c_ovr
+    );
 
   u_u_t_w : entity work.common_requantize
-  generic map (
-    g_representation      => "UNSIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => false,
-    g_lsb_round_clip      => c_lsb_round_clip,
-    g_lsb_round_even      => c_lsb_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => c_msb_clip_symmetric,
-    g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-    g_pipeline_remove_msb => g_pipeline_remove_msb,
-    g_in_dat_w            => c_in_dat_w,
-    g_out_dat_w           => c_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_u_t_w_dat,
-    out_ovr        => out_u_t_w_ovr
-  );
+    generic map (
+      g_representation      => "UNSIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => false,
+      g_lsb_round_clip      => c_lsb_round_clip,
+      g_lsb_round_even      => c_lsb_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => c_msb_clip_symmetric,
+      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+      g_pipeline_remove_msb => g_pipeline_remove_msb,
+      g_in_dat_w            => c_in_dat_w,
+      g_out_dat_w           => c_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_u_t_w_dat,
+      out_ovr        => out_u_t_w_ovr
+    );
 
   -- Verification usign golden results from file
   p_verify : process
@@ -341,127 +341,127 @@ begin
   out_u_ovr_vec <= out_u_r_c_ovr & out_u_r_w_ovr & out_u_t_c_ovr & out_u_t_w_ovr;
 
   u_output_file_s_dat : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_s_dat.out",
-    g_nof_data    => c_nof_dut,
-    g_data_width  => c_out_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => out_s_dat_vec,
-    in_val   => reg_val
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_s_dat.out",
+      g_nof_data    => c_nof_dut,
+      g_data_width  => c_out_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => out_s_dat_vec,
+      in_val   => reg_val
+    );
 
   u_ref_file_s_dat : entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_s_dat.gold",
-    g_file_repeat => 1,
-    g_nof_data    => c_nof_dut,
-    g_data_width  => c_out_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    en       => in_val,
-    out_dat  => ref_s_dat_vec,
-    out_val  => ref_val,
-    out_eof  => ref_eof
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_s_dat.gold",
+      g_file_repeat => 1,
+      g_nof_data    => c_nof_dut,
+      g_data_width  => c_out_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      en       => in_val,
+      out_dat  => ref_s_dat_vec,
+      out_val  => ref_val,
+      out_eof  => ref_eof
+    );
 
   u_output_file_s_ovr : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_s_ovr.out",
-    g_nof_data    => c_nof_dut,
-    g_data_width  => 1,
-    g_data_type   => "UNSIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => out_s_ovr_vec,
-    in_val   => reg_val
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_s_ovr.out",
+      g_nof_data    => c_nof_dut,
+      g_data_width  => 1,
+      g_data_type   => "UNSIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => out_s_ovr_vec,
+      in_val   => reg_val
+    );
 
   u_ref_file_s_ovr : entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_s_ovr.gold",
-    g_file_repeat => 1,
-    g_nof_data    => c_nof_dut,
-    g_data_width  => 1,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    en       => in_val,
-    out_dat  => ref_s_ovr_vec,
-    out_val  => OPEN,
-    out_eof  => open
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_s_ovr.gold",
+      g_file_repeat => 1,
+      g_nof_data    => c_nof_dut,
+      g_data_width  => 1,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      en       => in_val,
+      out_dat  => ref_s_ovr_vec,
+      out_val  => OPEN,
+      out_eof  => open
+    );
 
   u_output_file_u_dat : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_u_dat.out",
-    g_nof_data    => c_nof_dut,
-    g_data_width  => c_out_dat_w,
-    g_data_type   => "UNSIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => out_u_dat_vec,
-    in_val   => reg_val
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_u_dat.out",
+      g_nof_data    => c_nof_dut,
+      g_data_width  => c_out_dat_w,
+      g_data_type   => "UNSIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => out_u_dat_vec,
+      in_val   => reg_val
+    );
 
   u_ref_file_u_dat : entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_u_dat.gold",
-    g_file_repeat => 1,
-    g_nof_data    => c_nof_dut,
-    g_data_width  => c_out_dat_w,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    en       => in_val,
-    out_dat  => ref_u_dat_vec,
-    out_val  => OPEN,
-    out_eof  => open
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_u_dat.gold",
+      g_file_repeat => 1,
+      g_nof_data    => c_nof_dut,
+      g_data_width  => c_out_dat_w,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      en       => in_val,
+      out_dat  => ref_u_dat_vec,
+      out_val  => OPEN,
+      out_eof  => open
+    );
 
   u_output_file_u_ovr : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_u_ovr.out",
-    g_nof_data    => c_nof_dut,
-    g_data_width  => 1,
-    g_data_type   => "UNSIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => out_u_ovr_vec,
-    in_val   => reg_val
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_u_ovr.out",
+      g_nof_data    => c_nof_dut,
+      g_data_width  => 1,
+      g_data_type   => "UNSIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => out_u_ovr_vec,
+      in_val   => reg_val
+    );
 
   u_ref_file_u_ovr : entity tst_lib.tst_input
-  generic map (
-    g_file_name   => c_output_file_dir & "tb_requantize_u_ovr.gold",
-    g_file_repeat => 1,
-    g_nof_data    => c_nof_dut,
-    g_data_width  => 1,
-    g_data_type   => "SIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    en       => in_val,
-    out_dat  => ref_u_ovr_vec,
-    out_val  => OPEN,
-    out_eof  => open
-  );
+    generic map (
+      g_file_name   => c_output_file_dir & "tb_requantize_u_ovr.gold",
+      g_file_repeat => 1,
+      g_nof_data    => c_nof_dut,
+      g_data_width  => 1,
+      g_data_type   => "SIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      en       => in_val,
+      out_dat  => ref_u_ovr_vec,
+      out_val  => OPEN,
+      out_eof  => open
+    );
 
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_resize.vhd b/libraries/base/common/tb/vhdl/tb_resize.vhd
index 103347252d..046c685b08 100644
--- a/libraries/base/common/tb/vhdl/tb_resize.vhd
+++ b/libraries/base/common/tb/vhdl/tb_resize.vhd
@@ -34,9 +34,9 @@
 -- . Observe reg_dat with respect to out_udat, out_uovr for unsigned
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_resize is
   generic (
@@ -162,39 +162,39 @@ begin
 
   -- DUT for "SIGNED"
   u_s_resize : entity work.common_resize
-  generic map (
-    g_representation  => "SIGNED",
-    g_clip            => g_clip,
-    g_clip_symmetric  => g_clip_symmetric,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_sdat,
-    out_ovr        => out_sovr
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_clip            => g_clip,
+      g_clip_symmetric  => g_clip_symmetric,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_sdat,
+      out_ovr        => out_sovr
+    );
 
   -- DUT for "UNSIGNED"
   u_u_resize : entity work.common_resize
-  generic map (
-    g_representation  => "UNSIGNED",
-    g_clip            => g_clip,
-    g_clip_symmetric  => g_clip_symmetric,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => out_udat,
-    out_ovr        => out_uovr
-  );
+    generic map (
+      g_representation  => "UNSIGNED",
+      g_clip            => g_clip,
+      g_clip_symmetric  => g_clip_symmetric,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => out_udat,
+      out_ovr        => out_uovr
+    );
 
   -- Verification
   p_verify : process
@@ -229,7 +229,7 @@ begin
                     signed(out_sdat) /= -g_clip_smin report "Wrong clipped symmetrical out_sdat" severity ERROR;
           else
             assert (signed(out_sdat) = signed(lowrange_sdat) or signed(out_sdat) = g_clip_smin or signed(out_sdat) = g_clip_smax)
-                                                     report "Wrong clipped out_sdat" severity ERROR;
+              report "Wrong clipped out_sdat" severity ERROR;
           end if;
         else
           assert signed(out_sdat) = signed(lowrange_sdat) report "Wrong wrapped out_sdat" severity ERROR;
diff --git a/libraries/base/common/tb/vhdl/tb_round.vhd b/libraries/base/common/tb/vhdl/tb_round.vhd
index 025a5ed282..de54951a3b 100644
--- a/libraries/base/common/tb/vhdl/tb_round.vhd
+++ b/libraries/base/common/tb/vhdl/tb_round.vhd
@@ -36,9 +36,9 @@
 -- > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.common_pkg.all;
 
 entity tb_round is
   generic (
@@ -274,86 +274,86 @@ begin
   -----------------------------------------------------------------------------
 
   s_truncate : entity work.common_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => false,
-    g_round_clip      => false,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_signed_truncate
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => false,
+      g_round_clip      => false,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_signed_truncate
+    );
 
   s_round_half_away : entity work.common_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_signed_round_half_away
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_signed_round_half_away
+    );
 
   s_round_half_away_clip : entity work.common_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => true,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_signed_round_half_away_clip
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => true,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_signed_round_half_away_clip
+    );
 
   s_round_half_even : entity work.common_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_round_even      => true,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_signed_round_half_even
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_round_even      => true,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_signed_round_half_even
+    );
 
   s_round_half_even_clip : entity work.common_round
-  generic map (
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => true,
-    g_round_even      => true,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_signed_round_half_even_clip
-  );
+    generic map (
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => true,
+      g_round_even      => true,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_signed_round_half_even_clip
+    );
 
 
   -----------------------------------------------------------------------------
@@ -362,86 +362,86 @@ begin
 
   -- DUT for truncate
   u_truncate : entity work.common_round
-  generic map (
-    g_representation  => "UNSIGNED",
-    g_round           => false,
-    g_round_clip      => false,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_unsigned_truncate
-  );
+    generic map (
+      g_representation  => "UNSIGNED",
+      g_round           => false,
+      g_round_clip      => false,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_unsigned_truncate
+    );
 
   u_round_half_up : entity work.common_round
-  generic map (
-    g_representation  => "UNSIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_unsigned_round_half_up
-  );
+    generic map (
+      g_representation  => "UNSIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_unsigned_round_half_up
+    );
 
   u_round_half_up_clip : entity work.common_round
-  generic map (
-    g_representation  => "UNSIGNED",
-    g_round           => true,
-    g_round_clip      => true,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_unsigned_round_half_up_clip
-  );
+    generic map (
+      g_representation  => "UNSIGNED",
+      g_round           => true,
+      g_round_clip      => true,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_unsigned_round_half_up_clip
+    );
 
   u_round_half_even : entity work.common_round
-  generic map (
-    g_representation  => "UNSIGNED",
-    g_round           => true,
-    g_round_clip      => false,
-    g_round_even      => true,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_unsigned_round_half_even
-  );
+    generic map (
+      g_representation  => "UNSIGNED",
+      g_round           => true,
+      g_round_clip      => false,
+      g_round_even      => true,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_unsigned_round_half_even
+    );
 
   u_round_half_even_clip : entity work.common_round
-  generic map (
-    g_representation  => "UNSIGNED",
-    g_round           => true,
-    g_round_clip      => true,
-    g_round_even      => true,
-    g_pipeline_input  => c_pipeline_input,
-    g_pipeline_output => c_pipeline_output,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => g_out_dat_w
-  )
-  port map (
-    clk            => clk,
-    in_dat         => in_dat,
-    out_dat        => fs_unsigned_round_half_even_clip
-  );
+    generic map (
+      g_representation  => "UNSIGNED",
+      g_round           => true,
+      g_round_clip      => true,
+      g_round_even      => true,
+      g_pipeline_input  => c_pipeline_input,
+      g_pipeline_output => c_pipeline_output,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => g_out_dat_w
+    )
+    port map (
+      clk            => clk,
+      in_dat         => in_dat,
+      out_dat        => fs_unsigned_round_half_even_clip
+    );
 
   -- Observe fixed point SLV values as REAL
   -- . signed
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_add_sub.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_add_sub.vhd
index f26ba9b443..1dfe5596d0 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_add_sub.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_add_sub.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_common_add_sub is
 end tb_tb_common_add_sub;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_adder_tree.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_adder_tree.vhd
index ac64038aab..f6ab79a108 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_adder_tree.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_adder_tree.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_adder_tree is
 end tb_tb_common_adder_tree;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_create_strobes_from_valid.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_create_strobes_from_valid.vhd
index 5db16f1873..7e9539fe63 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_create_strobes_from_valid.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_create_strobes_from_valid.vhd
@@ -24,7 +24,7 @@
 -- > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_common_create_strobes_from_valid is
 end tb_tb_common_create_strobes_from_valid;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_fanout_tree.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_fanout_tree.vhd
index 3d130abe33..67cfca18e9 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_fanout_tree.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_fanout_tree.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_fanout_tree is
 end tb_tb_common_fanout_tree;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_multiplexer.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_multiplexer.vhd
index 7c6305bf7c..67ddec4c4b 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_multiplexer.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_multiplexer.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_multiplexer is
 end tb_tb_common_multiplexer;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_operation_tree.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_operation_tree.vhd
index b540247419..33169d8c32 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_operation_tree.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_operation_tree.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_common_operation_tree is
 end tb_tb_common_operation_tree;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_paged_ram_ww_rr.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_paged_ram_ww_rr.vhd
index 8e92623818..f82a502d66 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_paged_ram_ww_rr.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_paged_ram_ww_rr.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_paged_ram_ww_rr is
 end tb_tb_common_paged_ram_ww_rr;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_reinterleave.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_reinterleave.vhd
index 9af7279df9..77036c5673 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_reinterleave.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_reinterleave.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_reinterleave is
   generic (
     g_dat_w : natural
- );
+  );
 end;
 
 architecture rtl of tb_tb_common_reinterleave is
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_reorder_symbol.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_reorder_symbol.vhd
index 743ed42a04..5e3c4181fa 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_reorder_symbol.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_reorder_symbol.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_common_reorder_symbol is
 end tb_tb_common_reorder_symbol;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_rl.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_rl.vhd
index 0e5227f17c..c6c1e7ceae 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_rl.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_rl.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_rl is
 end tb_tb_common_rl;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_rl_register.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_rl_register.vhd
index 3e6a3c19fb..be1ea40f48 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_rl_register.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_rl_register.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.common_pkg.all;
 
 entity tb_tb_common_rl_register is
 end tb_tb_common_rl_register;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_common_transpose.vhd b/libraries/base/common/tb/vhdl/tb_tb_common_transpose.vhd
index 69f7d62d7f..8aa876c5c8 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_common_transpose.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_common_transpose.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose: Multi-test bench for common_transpose.vhd
 -- Usage:
@@ -34,13 +34,13 @@ architecture tb of tb_tb_common_transpose is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
---                                                            g_pipeline_shiftreg  : NATURAL := 0;
---                                                            |  g_pipeline_transpose : NATURAL := 0;
---                                                            |  |  g_pipeline_hold      : NATURAL := 0;
---                                                            |  |  |  g_pipeline_select    : NATURAL := 1;
---                                                            |  |  |  |   g_nof_data           : NATURAL := 3;
---                                                            |  |  |  |   |   g_data_w             : NATURAL := 12
---                                                            |  |  |  |   |   |
+  --                                                            g_pipeline_shiftreg  : NATURAL := 0;
+  --                                                            |  g_pipeline_transpose : NATURAL := 0;
+  --                                                            |  |  g_pipeline_hold      : NATURAL := 0;
+  --                                                            |  |  |  g_pipeline_select    : NATURAL := 1;
+  --                                                            |  |  |  |   g_nof_data           : NATURAL := 3;
+  --                                                            |  |  |  |   |   g_data_w             : NATURAL := 12
+  --                                                            |  |  |  |   |   |
   u_4_4   : entity common_lib.tb_common_transpose generic map(0, 0, 0, 1,  4,  4);
 
   u_4_8   : entity common_lib.tb_common_transpose generic map(0, 0, 0, 1,  4,  8);
diff --git a/libraries/base/common/tb/vhdl/tb_tb_resize.vhd b/libraries/base/common/tb/vhdl/tb_tb_resize.vhd
index af84a20edf..fd5ac65da3 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_resize.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_resize.vhd
@@ -20,7 +20,7 @@
 -- Purpose: Multi tb for common_resize.vhd and RESIZE_NUM() in common_pkg.vhd
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_resize is
 end tb_tb_resize;
diff --git a/libraries/base/common/tb/vhdl/tb_tb_round.vhd b/libraries/base/common/tb/vhdl/tb_tb_round.vhd
index d6d452e9d1..a437ca42b2 100644
--- a/libraries/base/common/tb/vhdl/tb_tb_round.vhd
+++ b/libraries/base/common/tb/vhdl/tb_tb_round.vhd
@@ -20,7 +20,7 @@
 -- Purpose: Multi tb for common_round.vhd and s_round(), u_round() in common_pkg.vhd
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_round is
 end tb_tb_round;
diff --git a/libraries/base/common_mult/src/vhdl/common_complex_mult.vhd b/libraries/base/common_mult/src/vhdl/common_complex_mult.vhd
index f569e4a935..e60dd13816 100644
--- a/libraries/base/common_mult/src/vhdl/common_complex_mult.vhd
+++ b/libraries/base/common_mult/src/vhdl/common_complex_mult.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_mult_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 --
 -- Function: Signed complex multiply
@@ -96,73 +96,73 @@ begin
 
   -- Propagate in_val with c_pipeline latency
   u_out_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline  => c_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_val,
+      out_dat => out_val
+    );
 
   u_complex_mult : entity tech_mult_lib.tech_complex_mult
-  generic map(
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_a_w,
-    g_in_b_w           => g_in_b_w,
-    g_out_p_w          => g_out_p_w,
-    g_conjugate_b      => g_conjugate_b,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_adder   => g_pipeline_adder,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map(
-    rst        => rst,
-    clk        => clk,
-    clken      => clken,
-    in_ar      => in_ar,
-    in_ai      => in_ai,
-    in_br      => in_br,
-    in_bi      => in_bi,
-    result_re  => result_re,
-    result_im  => result_im
-  );
+    generic map(
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_a_w,
+      g_in_b_w           => g_in_b_w,
+      g_out_p_w          => g_out_p_w,
+      g_conjugate_b      => g_conjugate_b,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_adder   => g_pipeline_adder,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map(
+      rst        => rst,
+      clk        => clk,
+      clken      => clken,
+      in_ar      => in_ar,
+      in_ai      => in_ai,
+      in_br      => in_br,
+      in_bi      => in_bi,
+      result_re  => result_re,
+      result_im  => result_im
+    );
 
   ------------------------------------------------------------------------------
   -- Extra output pipelining
   ------------------------------------------------------------------------------
 
   u_output_re_pipe : entity common_lib.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_output,
-    g_in_dat_w       => g_out_p_w,
-    g_out_dat_w      => g_out_p_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => std_logic_vector(result_re),
-    out_dat => out_pr
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_output,
+      g_in_dat_w       => g_out_p_w,
+      g_out_dat_w      => g_out_p_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => std_logic_vector(result_re),
+      out_dat => out_pr
+    );
 
   u_output_im_pipe : entity common_lib.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_output,
-    g_in_dat_w       => g_out_p_w,
-    g_out_dat_w      => g_out_p_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => std_logic_vector(result_im),
-    out_dat => out_pi
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_output,
+      g_in_dat_w       => g_out_p_w,
+      g_out_dat_w      => g_out_p_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => std_logic_vector(result_im),
+      out_dat => out_pi
+    );
 
 
 end str;
diff --git a/libraries/base/common_mult/src/vhdl/common_complex_mult_add.vhd b/libraries/base/common_mult/src/vhdl/common_complex_mult_add.vhd
index ff35daacc5..b1df559030 100644
--- a/libraries/base/common_mult/src/vhdl/common_complex_mult_add.vhd
+++ b/libraries/base/common_mult/src/vhdl/common_complex_mult_add.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity common_complex_mult_add is
   generic (
@@ -75,68 +75,68 @@ begin
 
   -- u_complex_mult : entity work.common_complex_mult(stratix4)  -- requires sum of g_pipeline >= 3
   u_complex_mult : entity work.common_complex_mult  -- suits sum of g_pipeline >= 0
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => "RTL",
-    g_in_a_w           => g_in_a_w,
-    g_in_b_w           => g_in_b_w,
-    g_out_p_w          => c_prod_w,
-    g_conjugate_b      => c_conjugate,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_adder   => g_pipeline_adder,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    clken      => '1',
-    in_ar      => in_ar,
-    in_ai      => in_ai,
-    in_br      => in_br,
-    in_bi      => in_bi,
-    out_pr     => out_pr,
-    out_pi     => out_pi
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => "RTL",
+      g_in_a_w           => g_in_a_w,
+      g_in_b_w           => g_in_b_w,
+      g_out_p_w          => c_prod_w,
+      g_conjugate_b      => c_conjugate,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_adder   => g_pipeline_adder,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      clken      => '1',
+      in_ar      => in_ar,
+      in_ai      => in_ai,
+      in_br      => in_br,
+      in_bi      => in_bi,
+      out_pr     => out_pr,
+      out_pi     => out_pi
+    );
 
   add_inr <= RESIZE_SVEC(out_pr, g_out_p_w);  -- Connect the output of the multiplier to the adders input
   add_ini <= RESIZE_SVEC(out_pi, g_out_p_w);
 
   u_adder_real : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => c_direction,
-    g_representation  => "SIGNED",
-    g_pipeline_input  => c_pipeline_in,
-    g_pipeline_output => c_pipeline_out,
-    g_in_dat_w        => g_out_p_w,
-    g_out_dat_w       => g_out_p_w
-  )
-  port map (
-    clk     => clk,
-    clken   => '1',
-    sel_add => c_sel_add,
-    in_a    => in_chr,
-    in_b    => add_inr,
-    result  => out_sumr
-  );
+    generic map (
+      g_direction       => c_direction,
+      g_representation  => "SIGNED",
+      g_pipeline_input  => c_pipeline_in,
+      g_pipeline_output => c_pipeline_out,
+      g_in_dat_w        => g_out_p_w,
+      g_out_dat_w       => g_out_p_w
+    )
+    port map (
+      clk     => clk,
+      clken   => '1',
+      sel_add => c_sel_add,
+      in_a    => in_chr,
+      in_b    => add_inr,
+      result  => out_sumr
+    );
 
   u_adder_imag : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => c_direction,
-    g_representation  => "SIGNED",
-    g_pipeline_input  => c_pipeline_in,
-    g_pipeline_output => c_pipeline_out,
-    g_in_dat_w        => g_out_p_w,
-    g_out_dat_w       => g_out_p_w
-  )
-  port map (
-    clk     => clk,
-    clken   => '1',
-    sel_add => c_sel_add,
-    in_a    => in_chi,
-    in_b    => add_ini,
-    result  => out_sumi
-  );
+    generic map (
+      g_direction       => c_direction,
+      g_representation  => "SIGNED",
+      g_pipeline_input  => c_pipeline_in,
+      g_pipeline_output => c_pipeline_out,
+      g_in_dat_w        => g_out_p_w,
+      g_out_dat_w       => g_out_p_w
+    )
+    port map (
+      clk     => clk,
+      clken   => '1',
+      sel_add => c_sel_add,
+      in_a    => in_chi,
+      in_b    => add_ini,
+      result  => out_sumi
+    );
 
 end str;
 
diff --git a/libraries/base/common_mult/src/vhdl/common_mult.vhd b/libraries/base/common_mult/src/vhdl/common_mult.vhd
index b4050a065d..fb0afbf679 100644
--- a/libraries/base/common_mult/src/vhdl/common_mult.vhd
+++ b/libraries/base/common_mult/src/vhdl/common_mult.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library ieee, common_lib, tech_mult_lib, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
 
 -- Function: Default one or more independent products dependent on g_nof_mult
 --
@@ -76,57 +76,57 @@ architecture str of common_mult is
 begin
 
   u_mult : entity tech_mult_lib.tech_mult
-  generic map(
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_a_w,
-    g_in_b_w           => g_in_b_w,
-    g_out_p_w          => g_out_p_w,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_output  => g_pipeline_output,
-    g_representation   => g_representation
-  )
-  port map(
-    rst        => rst,
-    clk        => clk,
-    clken      => clken,
-    in_a       => in_a,
-    in_b       => in_b,
-    out_p      => result
-  );
+    generic map(
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_a_w,
+      g_in_b_w           => g_in_b_w,
+      g_out_p_w          => g_out_p_w,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_output  => g_pipeline_output,
+      g_representation   => g_representation
+    )
+    port map(
+      rst        => rst,
+      clk        => clk,
+      clken      => clken,
+      in_a       => in_a,
+      in_b       => in_b,
+      out_p      => result
+    );
 
   -- Propagate in_val with c_pipeline latency
   u_out_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_dat  => in_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline  => c_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_dat  => in_val,
+      out_dat => out_val
+    );
 
   ------------------------------------------------------------------------------
   -- Extra output pipelining
   ------------------------------------------------------------------------------
 
   u_output_pipe : entity common_lib.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => g_representation,
-    g_pipeline       => c_pipeline_output,
-    g_in_dat_w       => result'LENGTH,
-    g_out_dat_w      => result'length
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_dat  => std_logic_vector(result),
-    out_dat => out_p
-  );
+    generic map (
+      g_representation => g_representation,
+      g_pipeline       => c_pipeline_output,
+      g_in_dat_w       => result'LENGTH,
+      g_out_dat_w      => result'length
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_dat  => std_logic_vector(result),
+      out_dat => out_p
+    );
 
 end str;
diff --git a/libraries/base/common_mult/src/vhdl/common_mult_add.vhd b/libraries/base/common_mult/src/vhdl/common_mult_add.vhd
index a46453b384..58ce1da641 100644
--- a/libraries/base/common_mult/src/vhdl/common_mult_add.vhd
+++ b/libraries/base/common_mult/src/vhdl/common_mult_add.vhd
@@ -34,9 +34,9 @@
 --
 
 library ieee, common_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use common_lib.common_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity common_mult_add is
   generic (
@@ -56,9 +56,9 @@ entity common_mult_add is
     out_dat    : out std_logic_vector(g_out_dat_w - 1 downto 0)
   );
 begin
- -- ASSERT g_pipeline=3
-  --  REPORT "pipeline value not supported"
-   -- SEVERITY FAILURE;
+-- ASSERT g_pipeline=3
+--  REPORT "pipeline value not supported"
+-- SEVERITY FAILURE;
 end common_mult_add;
 
 
diff --git a/libraries/base/common_mult/src/vhdl/common_mult_add2.vhd b/libraries/base/common_mult/src/vhdl/common_mult_add2.vhd
index 821a0f00a7..aca818ca6f 100644
--- a/libraries/base/common_mult/src/vhdl/common_mult_add2.vhd
+++ b/libraries/base/common_mult/src/vhdl/common_mult_add2.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_mult_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Function: vector low part product + or - vector high part product
 --   Call:
@@ -73,45 +73,45 @@ architecture str of common_mult_add2 is
 begin
 
   u_mult_add2 : entity tech_mult_lib.tech_mult_add2
-  generic map(
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_a_w,
-    g_in_b_w           => g_in_b_w,
-    g_res_w            => g_res_w,
-    g_force_dsp        => g_force_dsp,
-    g_add_sub          => g_add_sub,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_adder   => g_pipeline_adder,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map(
-    rst   => rst,
-    clk   => clk,
-    clken => clken,
-    in_a  => in_a,
-    in_b  => in_b,
-    res   => result
-  );
+    generic map(
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_a_w,
+      g_in_b_w           => g_in_b_w,
+      g_res_w            => g_res_w,
+      g_force_dsp        => g_force_dsp,
+      g_add_sub          => g_add_sub,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_adder   => g_pipeline_adder,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map(
+      rst   => rst,
+      clk   => clk,
+      clken => clken,
+      in_a  => in_a,
+      in_b  => in_b,
+      res   => result
+    );
 
   ------------------------------------------------------------------------------
   -- Extra output pipelining
   ------------------------------------------------------------------------------
 
   u_output_pipe : entity common_lib.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_output,
-    g_in_dat_w       => res'LENGTH,
-    g_out_dat_w      => res'length
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => result,
-    out_dat => res
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_output,
+      g_in_dat_w       => res'LENGTH,
+      g_out_dat_w      => res'length
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => result,
+      out_dat => res
+    );
 
 end str;
diff --git a/libraries/base/common_mult/src/vhdl/common_mult_add4.vhd b/libraries/base/common_mult/src/vhdl/common_mult_add4.vhd
index 56a3b6da68..edb48dc06a 100644
--- a/libraries/base/common_mult/src/vhdl/common_mult_add4.vhd
+++ b/libraries/base/common_mult/src/vhdl/common_mult_add4.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_mult_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Function: vector low part product + or - vector high part product
 --   Call:
@@ -78,47 +78,47 @@ architecture str of common_mult_add4 is
 begin
 
   u_mult_add4 : entity tech_mult_lib.tech_mult_add4
-  generic map(
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_a_w,
-    g_in_b_w           => g_in_b_w,
-    g_res_w            => g_res_w,
-    g_force_dsp        => g_force_dsp,
-    g_add_sub0         => g_add_sub0,
-    g_add_sub1         => g_add_sub1,
-    g_add_sub          => g_add_sub,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_adder   => g_pipeline_adder,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map(
-    rst   => rst,
-    clk   => clk,
-    clken => clken,
-    in_a  => in_a,
-    in_b  => in_b,
-    res   => result
-  );
+    generic map(
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_a_w,
+      g_in_b_w           => g_in_b_w,
+      g_res_w            => g_res_w,
+      g_force_dsp        => g_force_dsp,
+      g_add_sub0         => g_add_sub0,
+      g_add_sub1         => g_add_sub1,
+      g_add_sub          => g_add_sub,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_adder   => g_pipeline_adder,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map(
+      rst   => rst,
+      clk   => clk,
+      clken => clken,
+      in_a  => in_a,
+      in_b  => in_b,
+      res   => result
+    );
 
   ------------------------------------------------------------------------------
   -- Extra output pipelining
   ------------------------------------------------------------------------------
 
   u_output_pipe : entity common_lib.common_pipeline  -- pipeline output
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_output,
-    g_in_dat_w       => res'LENGTH,
-    g_out_dat_w      => res'length
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => result,
-    out_dat => res
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_output,
+      g_in_dat_w       => res'LENGTH,
+      g_out_dat_w      => res'length
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => result,
+      out_dat => res
+    );
 
 end str;
diff --git a/libraries/base/common_mult/tb/vhdl/tb_common_complex_mult.vhd b/libraries/base/common_mult/tb/vhdl/tb_common_complex_mult.vhd
index 45a7f60398..4d41e720c0 100644
--- a/libraries/base/common_mult/tb/vhdl/tb_common_complex_mult.vhd
+++ b/libraries/base/common_mult/tb/vhdl/tb_common_complex_mult.vhd
@@ -27,13 +27,13 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE, common_lib, technology_lib, tech_mult_lib, ip_stratixiv_mult_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 
 entity tb_common_complex_mult is
@@ -222,76 +222,76 @@ begin
   ref_result_im <= func_complex_multiply(in_ar, in_ai, in_br, in_bi, g_conjugate_b, "IM", c_out_dat_w);
 
   u_result_re : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => c_out_dat_w,
-    g_out_dat_w      => c_out_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => ref_result_re,
-    out_dat => result_re_expected
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => c_out_dat_w,
+      g_out_dat_w      => c_out_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => ref_result_re,
+      out_dat => result_re_expected
+    );
 
   u_result_im : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => c_out_dat_w,
-    g_out_dat_w      => c_out_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => ref_result_im,
-    out_dat => result_im_expected
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => c_out_dat_w,
+      g_out_dat_w      => c_out_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => ref_result_im,
+      out_dat => result_im_expected
+    );
 
   u_result_val_expected : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline    => c_pipeline,
-    g_reset_value => 0
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => in_val,
-    out_dat => result_val_expected
-  );
+    generic map (
+      g_pipeline    => c_pipeline,
+      g_reset_value => 0
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => in_val,
+      out_dat => result_val_expected
+    );
 
   u_dut : entity work.common_complex_mult
-  generic map (
-    g_technology       => c_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_in_dat_w,
-    g_out_p_w          => c_out_dat_w,
-    g_conjugate_b      => g_conjugate_b,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_adder   => g_pipeline_adder,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    clken      => '1',
-    in_ar      => in_ar,
-    in_ai      => in_ai,
-    in_br      => in_br,
-    in_bi      => in_bi,
-    in_val     => in_val,
-    out_pr     => result_re_dut,
-    out_pi     => result_im_dut,
-    out_val    => result_val_dut
-  );
+    generic map (
+      g_technology       => c_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_in_dat_w,
+      g_out_p_w          => c_out_dat_w,
+      g_conjugate_b      => g_conjugate_b,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_adder   => g_pipeline_adder,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      clken      => '1',
+      in_ar      => in_ar,
+      in_ai      => in_ai,
+      in_br      => in_br,
+      in_bi      => in_bi,
+      in_val     => in_val,
+      out_pr     => result_re_dut,
+      out_pi     => result_im_dut,
+      out_val    => result_val_dut
+    );
 
   p_verify : process(rst, clk)
   begin
diff --git a/libraries/base/common_mult/tb/vhdl/tb_common_mult.vhd b/libraries/base/common_mult/tb/vhdl/tb_common_mult.vhd
index a2d5185bb1..85f653d6a6 100644
--- a/libraries/base/common_mult/tb/vhdl/tb_common_mult.vhd
+++ b/libraries/base/common_mult/tb/vhdl/tb_common_mult.vhd
@@ -27,11 +27,11 @@
 --   > run -all
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 
 entity tb_common_mult is
@@ -57,7 +57,7 @@ architecture tb of tb_common_mult is
 
   constant c_technology  : natural := c_tech_select_default;
 
-  function func_sresult(in_a, in_b : std_logic_vector) return std_logic_vector is
+  function func_sresult (in_a, in_b : std_logic_vector) return std_logic_vector is
     constant c_res_w  : natural := 2 * g_in_dat_w;  -- use sufficiently large result width
     variable v_a      : std_logic_vector(g_in_dat_w - 1 downto 0);
     variable v_b      : std_logic_vector(g_in_dat_w - 1 downto 0);
@@ -70,7 +70,7 @@ architecture tb of tb_common_mult is
     return RESIZE_SVEC(std_logic_vector(v_result), g_out_dat_w);  -- Truncate MSbits or sign extend MSBits
   end;
 
-  function func_uresult(in_a, in_b : std_logic_vector) return std_logic_vector is
+  function func_uresult (in_a, in_b : std_logic_vector) return std_logic_vector is
     constant c_res_w  : natural := 2 * g_in_dat_w;  -- use sufficiently large result width
     variable v_a      : std_logic_vector(g_in_dat_w - 1 downto 0);
     variable v_b      : std_logic_vector(g_in_dat_w - 1 downto 0);
@@ -165,36 +165,36 @@ begin
 
   -- pipeline inputs to ease comparison in the Wave window
   u_in_a_pipeline : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_in_dat_w,
-    g_out_dat_w      => g_in_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => in_a,
-    out_dat => in_a_p
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_in_dat_w,
+      g_out_dat_w      => g_in_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => in_a,
+      out_dat => in_a_p
+    );
 
   u_in_b_pipeline : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_in_dat_w,
-    g_out_dat_w      => g_in_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => in_b,
-    out_dat => in_b_p
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_in_dat_w,
+      g_out_dat_w      => g_in_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => in_b,
+      out_dat => in_b_p
+    );
 
   gen_wires : for I in 0 to g_nof_mult - 1 generate
     -- use same input for all multipliers
@@ -215,124 +215,124 @@ begin
   uresult_ip  <= uresult_arr_ip(g_out_dat_w - 1 downto 0);
 
   u_sresult : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_out_dat_w,
-    g_out_dat_w      => g_out_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => out_sresult,
-    out_dat => sresult_expected
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_out_dat_w,
+      g_out_dat_w      => g_out_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => out_sresult,
+      out_dat => sresult_expected
+    );
 
   u_uresult : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_out_dat_w,
-    g_out_dat_w      => g_out_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => out_uresult,
-    out_dat => uresult_expected
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_out_dat_w,
+      g_out_dat_w      => g_out_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => out_uresult,
+      out_dat => uresult_expected
+    );
 
   u_sdut_rtl : entity work.common_mult
-  generic map (
-    g_technology       => c_technology,
-    g_variant          => "RTL",
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_in_dat_w,
-    g_out_p_w          => g_out_dat_w,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_output  => g_pipeline_output,
-    g_representation   => "SIGNED"
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    clken   => '1',
-    in_a    => in_a_arr,
-    in_b    => in_b_arr,
-    out_p   => sresult_arr_rtl
-  );
+    generic map (
+      g_technology       => c_technology,
+      g_variant          => "RTL",
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_in_dat_w,
+      g_out_p_w          => g_out_dat_w,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_output  => g_pipeline_output,
+      g_representation   => "SIGNED"
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      clken   => '1',
+      in_a    => in_a_arr,
+      in_b    => in_b_arr,
+      out_p   => sresult_arr_rtl
+    );
 
   u_udut_rtl : entity work.common_mult
-  generic map (
-    g_technology       => c_technology,
-    g_variant          => "RTL",
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_in_dat_w,
-    g_out_p_w          => g_out_dat_w,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_output  => g_pipeline_output,
-    g_representation   => "UNSIGNED"
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    clken   => '1',
-    in_a    => in_a_arr,
-    in_b    => in_b_arr,
-    out_p   => uresult_arr_rtl
-  );
+    generic map (
+      g_technology       => c_technology,
+      g_variant          => "RTL",
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_in_dat_w,
+      g_out_p_w          => g_out_dat_w,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_output  => g_pipeline_output,
+      g_representation   => "UNSIGNED"
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      clken   => '1',
+      in_a    => in_a_arr,
+      in_b    => in_b_arr,
+      out_p   => uresult_arr_rtl
+    );
 
   u_sdut_ip : entity work.common_mult
-  generic map (
-    g_technology       => c_technology,
-    g_variant          => "IP",
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_in_dat_w,
-    g_out_p_w          => g_out_dat_w,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_output  => g_pipeline_output,
-    g_representation   => "SIGNED"
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    clken   => '1',
-    in_a    => in_a_arr,
-    in_b    => in_b_arr,
-    out_p   => sresult_arr_ip
-  );
+    generic map (
+      g_technology       => c_technology,
+      g_variant          => "IP",
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_in_dat_w,
+      g_out_p_w          => g_out_dat_w,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_output  => g_pipeline_output,
+      g_representation   => "SIGNED"
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      clken   => '1',
+      in_a    => in_a_arr,
+      in_b    => in_b_arr,
+      out_p   => sresult_arr_ip
+    );
 
   u_udut_ip : entity work.common_mult
-  generic map (
-    g_technology       => c_technology,
-    g_variant          => "IP",
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_in_dat_w,
-    g_out_p_w          => g_out_dat_w,
-    g_nof_mult         => g_nof_mult,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_output  => g_pipeline_output,
-    g_representation   => "UNSIGNED"
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    clken   => '1',
-    in_a    => in_a_arr,
-    in_b    => in_b_arr,
-    out_p   => uresult_arr_ip
-  );
+    generic map (
+      g_technology       => c_technology,
+      g_variant          => "IP",
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_in_dat_w,
+      g_out_p_w          => g_out_dat_w,
+      g_nof_mult         => g_nof_mult,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_output  => g_pipeline_output,
+      g_representation   => "UNSIGNED"
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      clken   => '1',
+      in_a    => in_a_arr,
+      in_b    => in_b_arr,
+      out_p   => uresult_arr_ip
+    );
 
   p_verify : process(rst, clk)
   begin
diff --git a/libraries/base/common_mult/tb/vhdl/tb_common_mult_add2.vhd b/libraries/base/common_mult/tb/vhdl/tb_common_mult_add2.vhd
index 22c75a7df4..4395a1ce43 100644
--- a/libraries/base/common_mult/tb/vhdl/tb_common_mult_add2.vhd
+++ b/libraries/base/common_mult/tb/vhdl/tb_common_mult_add2.vhd
@@ -27,10 +27,10 @@
 --   > run -all
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_common_mult_add2 is
@@ -59,7 +59,7 @@ architecture tb of tb_common_mult_add2 is
   constant c_min  : integer := -c_max_p;
   constant c_max_n         : integer := -2**(g_in_dat_w - 1);
 
-  function func_result(in_a0, in_b0, in_a1, in_b1 : std_logic_vector) return std_logic_vector is
+  function func_result (in_a0, in_b0, in_a1, in_b1 : std_logic_vector) return std_logic_vector is
     -- From mti_numeric_std.vhd follows:
     -- . SIGNED * --> output width = 2 * input width
     -- . SIGNED + --> output width = largest(input width)
@@ -80,7 +80,7 @@ architecture tb of tb_common_mult_add2 is
     if g_add_sub = "SUB" then v_result := RESIZE_NUM(v_a0 * v_b0, c_res_w) - v_a1 * v_b1; end if;
     -- Wrap to avoid warning: NUMERIC_STD.TO_SIGNED: vector truncated
     if v_result >  2**(g_out_dat_w - 1) - 1 then v_result := v_result - 2**g_out_dat_w; end if;
-    if v_result < - 2**(g_out_dat_w - 1)   then v_result := v_result + 2**g_out_dat_w; end if;
+    if v_result < - 2**(g_out_dat_w - 1) then v_result := v_result + 2**g_out_dat_w; end if;
     return std_logic_vector(v_result);
   end;
 
@@ -180,43 +180,43 @@ begin
   out_result <= func_result(in_a0, in_b0, in_a1, in_b1);
 
   u_result : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_out_dat_w,
-    g_out_dat_w      => g_out_dat_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_dat  => out_result,
-    out_dat => result_expected
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_out_dat_w,
+      g_out_dat_w      => g_out_dat_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_dat  => out_result,
+      out_dat => result_expected
+    );
 
 
   u_dut_rtl : entity work.common_mult_add2
-  generic map (
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_in_dat_w,
-    g_res_w            => g_out_dat_w,  -- g_in_a_w + g_in_b_w + log2(2)
-    g_force_dsp        => g_force_dsp,  -- not applicable for 'rtl'
-    g_add_sub          => g_add_sub,
-    g_nof_mult         => 2,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_product => g_pipeline_product,
-    g_pipeline_adder   => g_pipeline_adder,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map (
-    rst     => '0',
-    clk     => clk,
-    clken   => '1',
-    in_a    => in_a,
-    in_b    => in_b,
-    res     => result_rtl
-  );
+    generic map (
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_in_dat_w,
+      g_res_w            => g_out_dat_w,  -- g_in_a_w + g_in_b_w + log2(2)
+      g_force_dsp        => g_force_dsp,  -- not applicable for 'rtl'
+      g_add_sub          => g_add_sub,
+      g_nof_mult         => 2,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_product => g_pipeline_product,
+      g_pipeline_adder   => g_pipeline_adder,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map (
+      rst     => '0',
+      clk     => clk,
+      clken   => '1',
+      in_a    => in_a,
+      in_b    => in_b,
+      res     => result_rtl
+    );
 
   p_verify : process(rst, clk)
   begin
diff --git a/libraries/base/common_mult/tb/vhdl/tb_tb_common_complex_mult.vhd b/libraries/base/common_mult/tb/vhdl/tb_tb_common_complex_mult.vhd
index 65c3e0f31a..99a3fe0b42 100644
--- a/libraries/base/common_mult/tb/vhdl/tb_tb_common_complex_mult.vhd
+++ b/libraries/base/common_mult/tb/vhdl/tb_tb_common_complex_mult.vhd
@@ -26,9 +26,9 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity tb_tb_common_complex_mult is
 end tb_tb_common_complex_mult;
diff --git a/libraries/base/common_mult/tb/vhdl/tb_tb_common_mult.vhd b/libraries/base/common_mult/tb/vhdl/tb_tb_common_mult.vhd
index 96dfc359e2..8fdf00b29b 100644
--- a/libraries/base/common_mult/tb/vhdl/tb_tb_common_mult.vhd
+++ b/libraries/base/common_mult/tb/vhdl/tb_tb_common_mult.vhd
@@ -24,7 +24,7 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_common_mult is
 end tb_tb_common_mult;
diff --git a/libraries/base/diag/src/vhdl/diag_block_gen.vhd b/libraries/base/diag/src/vhdl/diag_block_gen.vhd
index 75fa9d3177..5545ea8044 100644
--- a/libraries/base/diag/src/vhdl/diag_block_gen.vhd
+++ b/libraries/base/diag/src/vhdl/diag_block_gen.vhd
@@ -73,11 +73,11 @@
 --   . out_sosi.re(g_buf_dat_w/2-1:            0)
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity diag_block_gen is
   generic (
@@ -128,179 +128,179 @@ architecture rtl of diag_block_gen is
 
 begin
 
-    -- xon is not clk cycle timing critical, so can use register xon to ease timing closure
-    xon_reg <= out_siso.xon when rising_edge(clk);
-
-    p_comb : process(r, rst, ctrl, en_sync, out_siso, xon_reg)
-      variable v                    : reg_type;
-      variable v_samples_per_packet : natural;
-      variable v_gapsize            : natural;
-      variable v_blocks_per_sync    : natural;
-      variable v_mem_low_adrs       : natural;
-      variable v_mem_high_adrs      : natural;
-    begin
-
-      v_samples_per_packet := TO_UINT(r.ctrl_hold.samples_per_packet);
-      v_gapsize            := TO_UINT(r.ctrl_hold.gapsize);
-      v_blocks_per_sync    := TO_UINT(r.ctrl_hold.blocks_per_sync);
-      v_mem_low_adrs       := TO_UINT(r.ctrl_hold.mem_low_adrs);
-      v_mem_high_adrs      := TO_UINT(r.ctrl_hold.mem_high_adrs);
-
-      v                   := r;  -- default hold all r fields
-      v.pls_sync          := '0';
-      v.valid             := '0';
-      v.sop               := '0';
-      v.eop               := '0';
-      v.rd_ena            := '0';
-
-      -- Control block generator enable
-      if ctrl.enable_sync = '0' then
-        -- apply ctrl.enable immediately
+  -- xon is not clk cycle timing critical, so can use register xon to ease timing closure
+  xon_reg <= out_siso.xon when rising_edge(clk);
+
+  p_comb : process(r, rst, ctrl, en_sync, out_siso, xon_reg)
+    variable v                    : reg_type;
+    variable v_samples_per_packet : natural;
+    variable v_gapsize            : natural;
+    variable v_blocks_per_sync    : natural;
+    variable v_mem_low_adrs       : natural;
+    variable v_mem_high_adrs      : natural;
+  begin
+
+    v_samples_per_packet := TO_UINT(r.ctrl_hold.samples_per_packet);
+    v_gapsize            := TO_UINT(r.ctrl_hold.gapsize);
+    v_blocks_per_sync    := TO_UINT(r.ctrl_hold.blocks_per_sync);
+    v_mem_low_adrs       := TO_UINT(r.ctrl_hold.mem_low_adrs);
+    v_mem_high_adrs      := TO_UINT(r.ctrl_hold.mem_high_adrs);
+
+    v                   := r;  -- default hold all r fields
+    v.pls_sync          := '0';
+    v.valid             := '0';
+    v.sop               := '0';
+    v.eop               := '0';
+    v.rd_ena            := '0';
+
+    -- Control block generator enable
+    if ctrl.enable_sync = '0' then
+      -- apply ctrl.enable immediately
+      v.blk_en := ctrl.enable;
+    else
+      -- keep blk_en and apply ctrl.enable (on or off) at input sync pulse
+      if en_sync = '1' then
         v.blk_en := ctrl.enable;
-      else
-        -- keep blk_en and apply ctrl.enable (on or off) at input sync pulse
-        if en_sync = '1' then
-          v.blk_en := ctrl.enable;
-        end if;
       end if;
+    end if;
 
-      -- The pulse sync is high at the sop of the first block, the block sync is high during the entire block until the eop
-      if r.eop = '1' then
-        v.blk_sync := '0';
-      end if;
+    -- The pulse sync is high at the sop of the first block, the block sync is high during the entire block until the eop
+    if r.eop = '1' then
+      v.blk_sync := '0';
+    end if;
 
-      -- Increment the block sequence number counter after each block
-      if r.eop = '1' then
-        v.bsn_cnt := incr_uvec(r.bsn_cnt, 1);
-      end if;
+    -- Increment the block sequence number counter after each block
+    if r.eop = '1' then
+      v.bsn_cnt := incr_uvec(r.bsn_cnt, 1);
+    end if;
 
-      case r.state is
-        when s_idle =>
-          v.blk_xon     := xon_reg;
-          v.blk_sync    := '0';
-          v.samples_cnt := 0;
-          v.blocks_cnt  := 0;
-          v.mem_cnt     := v_mem_low_adrs;
-          if r.blk_en = '1' then  -- Wait until enabled
-            if xon_reg = '1' then  -- Wait until XON is 1
-              v.ctrl_hold   := ctrl;  -- hold new control settings while BG is enabled
-              v.bsn_cnt     := ctrl.bsn_init;
-              v.rd_ena      := '1';
-              v.state       := s_block;
-            end if;
-          end if;
-
-        when s_block =>
-          if out_siso.ready = '1' then
-
-            v.rd_ena := '1';  -- read next data
-            if r.samples_cnt = 0 and r.blocks_cnt = 0 then
-              v.pls_sync    := '1';  -- Always start with a pulse sync
-              v.blk_sync    := '1';
-              v.sop         := '1';
-              v.samples_cnt := v.samples_cnt + 1;
-            elsif r.samples_cnt = 0 then
-              v.sop         := '1';
-              v.samples_cnt := v.samples_cnt + 1;
-            elsif r.samples_cnt >= v_samples_per_packet - 1 and v_gapsize = 0 and r.blocks_cnt >= v_blocks_per_sync - 1 then
-              v.eop         := '1';
-              v.samples_cnt := 0;
-              v.blocks_cnt  := 0;
-            elsif r.samples_cnt >= v_samples_per_packet - 1 and v_gapsize = 0 then
-              v.eop         := '1';
-              v.samples_cnt := 0;
-              v.blocks_cnt  := r.blocks_cnt + 1;
-            elsif r.samples_cnt >= v_samples_per_packet - 1 then
-              v.eop         := '1';
-              v.samples_cnt := 0;
-              v.rd_ena      := '0';
-              v.state       := s_gap;
-            else
-              v.samples_cnt := r.samples_cnt + 1;
-            end if;
-            v.valid  := '1';  -- output pending data
-
-            if r.mem_cnt >= v_mem_high_adrs then
-              v.mem_cnt := v_mem_low_adrs;
-            else
-              v.mem_cnt := r.mem_cnt + 1;
-            end if;
-
-            if v.eop = '1' and r.blk_en = '0' then
-              v.state := s_idle;  -- accept disable after eop, not during block
-            end if;
-          end if;  -- out_siso.ready='1'
-          if r.eop = '1' then
-            v.blk_xon := xon_reg;  -- accept XOFF after eop, not during block
+    case r.state is
+      when s_idle =>
+        v.blk_xon     := xon_reg;
+        v.blk_sync    := '0';
+        v.samples_cnt := 0;
+        v.blocks_cnt  := 0;
+        v.mem_cnt     := v_mem_low_adrs;
+        if r.blk_en = '1' then  -- Wait until enabled
+          if xon_reg = '1' then  -- Wait until XON is 1
+            v.ctrl_hold   := ctrl;  -- hold new control settings while BG is enabled
+            v.bsn_cnt     := ctrl.bsn_init;
+            v.rd_ena      := '1';
+            v.state       := s_block;
           end if;
+        end if;
 
-        when s_gap =>
-          if r.samples_cnt >= v_gapsize-1 and r.blocks_cnt >= v_blocks_per_sync - 1 then
+      when s_block =>
+        if out_siso.ready = '1' then
+
+          v.rd_ena := '1';  -- read next data
+          if r.samples_cnt = 0 and r.blocks_cnt = 0 then
+            v.pls_sync    := '1';  -- Always start with a pulse sync
+            v.blk_sync    := '1';
+            v.sop         := '1';
+            v.samples_cnt := v.samples_cnt + 1;
+          elsif r.samples_cnt = 0 then
+            v.sop         := '1';
+            v.samples_cnt := v.samples_cnt + 1;
+          elsif r.samples_cnt >= v_samples_per_packet - 1 and v_gapsize = 0 and r.blocks_cnt >= v_blocks_per_sync - 1 then
+            v.eop         := '1';
             v.samples_cnt := 0;
             v.blocks_cnt  := 0;
-            v.rd_ena      := '1';
-            v.state       := s_block;
-          elsif r.samples_cnt >= v_gapsize-1 then
+          elsif r.samples_cnt >= v_samples_per_packet - 1 and v_gapsize = 0 then
+            v.eop         := '1';
             v.samples_cnt := 0;
             v.blocks_cnt  := r.blocks_cnt + 1;
-            v.rd_ena      := '1';
-            v.state       := s_block;
+          elsif r.samples_cnt >= v_samples_per_packet - 1 then
+            v.eop         := '1';
+            v.samples_cnt := 0;
+            v.rd_ena      := '0';
+            v.state       := s_gap;
           else
             v.samples_cnt := r.samples_cnt + 1;
           end if;
+          v.valid  := '1';  -- output pending data
 
-          if r.blk_en = '0' then
-            v.state := s_idle;
+          if r.mem_cnt >= v_mem_high_adrs then
+            v.mem_cnt := v_mem_low_adrs;
+          else
+            v.mem_cnt := r.mem_cnt + 1;
           end if;
-          v.blk_xon := xon_reg;
-
-        when others =>
-          v.state := s_idle;
-
-      end case;
-
-      if rst = '1' then
-        v.ctrl_hold    := c_diag_block_gen_rst;
-        v.blk_en      := '0';
-        v.blk_xon     := '0';
-        v.blk_sync    := '0';
-        v.pls_sync    := '0';
-        v.valid       := '0';
-        v.sop         := '0';
-        v.eop         := '0';
-        v.rd_ena      := '0';
-        v.samples_cnt := 0;
-        v.blocks_cnt  := 0;
-        v.bsn_cnt     := (others => '0');
-        v.mem_cnt     := 0;
-        v.state       := s_idle;
-      end if;
 
-      rin <= v;
+          if v.eop = '1' and r.blk_en = '0' then
+            v.state := s_idle;  -- accept disable after eop, not during block
+          end if;
+        end if;  -- out_siso.ready='1'
+        if r.eop = '1' then
+          v.blk_xon := xon_reg;  -- accept XOFF after eop, not during block
+        end if;
 
-    end process;
+      when s_gap =>
+        if r.samples_cnt >= v_gapsize-1 and r.blocks_cnt >= v_blocks_per_sync - 1 then
+          v.samples_cnt := 0;
+          v.blocks_cnt  := 0;
+          v.rd_ena      := '1';
+          v.state       := s_block;
+        elsif r.samples_cnt >= v_gapsize-1 then
+          v.samples_cnt := 0;
+          v.blocks_cnt  := r.blocks_cnt + 1;
+          v.rd_ena      := '1';
+          v.state       := s_block;
+        else
+          v.samples_cnt := r.samples_cnt + 1;
+        end if;
 
-    p_regs : process(rst, clk)
-    begin
-      if rising_edge(clk) then
-        r <= rin;
-      end if;
-    end process;
-
-    -- Connect to the outside world
-    out_sosi_i.sop   <= r.sop      and r.blk_xon;
-    out_sosi_i.eop   <= r.eop      and r.blk_xon;
-    out_sosi_i.sync  <= r.pls_sync and r.blk_xon when g_blk_sync = false else r.blk_sync and r.blk_xon;
-    out_sosi_i.valid <= r.valid    and r.blk_xon;
-    out_sosi_i.bsn   <= r.bsn_cnt;
-    out_sosi_i.re    <= RESIZE_DP_DSP_DATA(buf_rddat(g_buf_dat_w / 2 - 1 downto 0));  -- treat as signed
-    out_sosi_i.im    <= RESIZE_DP_DSP_DATA(buf_rddat(g_buf_dat_w - 1   downto g_buf_dat_w / 2));  -- treat as signed
-    out_sosi_i.data  <= RESIZE_DP_DATA(    buf_rddat(g_buf_dat_w - 1   downto 0));  -- treat as unsigned
-
-    out_sosi <= out_sosi_i;
-    buf_addr <= TO_UVEC(r.mem_cnt, g_buf_addr_w);
-    buf_rden <= r.rd_ena;
-
-    ctrl_hold <= r.ctrl_hold;
+        if r.blk_en = '0' then
+          v.state := s_idle;
+        end if;
+        v.blk_xon := xon_reg;
+
+      when others =>
+        v.state := s_idle;
+
+    end case;
+
+    if rst = '1' then
+      v.ctrl_hold    := c_diag_block_gen_rst;
+      v.blk_en      := '0';
+      v.blk_xon     := '0';
+      v.blk_sync    := '0';
+      v.pls_sync    := '0';
+      v.valid       := '0';
+      v.sop         := '0';
+      v.eop         := '0';
+      v.rd_ena      := '0';
+      v.samples_cnt := 0;
+      v.blocks_cnt  := 0;
+      v.bsn_cnt     := (others => '0');
+      v.mem_cnt     := 0;
+      v.state       := s_idle;
+    end if;
+
+    rin <= v;
+
+  end process;
+
+  p_regs : process(rst, clk)
+  begin
+    if rising_edge(clk) then
+      r <= rin;
+    end if;
+  end process;
+
+  -- Connect to the outside world
+  out_sosi_i.sop   <= r.sop      and r.blk_xon;
+  out_sosi_i.eop   <= r.eop      and r.blk_xon;
+  out_sosi_i.sync  <= r.pls_sync and r.blk_xon when g_blk_sync = false else r.blk_sync and r.blk_xon;
+  out_sosi_i.valid <= r.valid    and r.blk_xon;
+  out_sosi_i.bsn   <= r.bsn_cnt;
+  out_sosi_i.re    <= RESIZE_DP_DSP_DATA(buf_rddat(g_buf_dat_w / 2 - 1 downto 0));  -- treat as signed
+  out_sosi_i.im    <= RESIZE_DP_DSP_DATA(buf_rddat(g_buf_dat_w - 1   downto g_buf_dat_w / 2));  -- treat as signed
+  out_sosi_i.data  <= RESIZE_DP_DATA(    buf_rddat(g_buf_dat_w - 1   downto 0));  -- treat as unsigned
+
+  out_sosi <= out_sosi_i;
+  buf_addr <= TO_UVEC(r.mem_cnt, g_buf_addr_w);
+  buf_rden <= r.rd_ena;
+
+  ctrl_hold <= r.ctrl_hold;
 
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/diag_block_gen_reg.vhd b/libraries/base/diag/src/vhdl/diag_block_gen_reg.vhd
index 99425a108c..68e2ef8e51 100644
--- a/libraries/base/diag/src/vhdl/diag_block_gen_reg.vhd
+++ b/libraries/base/diag/src/vhdl/diag_block_gen_reg.vhd
@@ -21,11 +21,11 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
 
 entity diag_block_gen_reg is
   generic (
@@ -143,15 +143,15 @@ begin
     -- Assume diag BG enable gets written last, so when diag BG enable is transfered properly to the dp_clk domain, then
     -- the other diag BG control fields are stable as well
     u_bg_enable : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => dp_rst,
-      clk  => dp_clk,
-      din  => mm_bg_ctrl.enable,
-      dout => dp_bg_ctrl.enable
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => dp_rst,
+        clk  => dp_clk,
+        din  => mm_bg_ctrl.enable,
+        dout => dp_bg_ctrl.enable
+      );
     dp_bg_ctrl.enable_sync        <= mm_bg_ctrl.enable_sync;
     dp_bg_ctrl.samples_per_packet <= mm_bg_ctrl.samples_per_packet;
     dp_bg_ctrl.blocks_per_sync    <= mm_bg_ctrl.blocks_per_sync;
diff --git a/libraries/base/diag/src/vhdl/diag_bypass.vhd b/libraries/base/diag/src/vhdl/diag_bypass.vhd
index 481b79c224..315b4f56ea 100644
--- a/libraries/base/diag/src/vhdl/diag_bypass.vhd
+++ b/libraries/base/diag/src/vhdl/diag_bypass.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity diag_bypass is
@@ -116,7 +116,7 @@ begin
     if bypass_en_reg = '1' then
       mod_clk <= '0';
     end if;
-    -- synthesis translate_on
+  -- synthesis translate_on
   end process;
 
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/diag_data_buffer.vhd b/libraries/base/diag/src/vhdl/diag_data_buffer.vhd
index 6e1e27f9e8..a9d151426c 100644
--- a/libraries/base/diag/src/vhdl/diag_data_buffer.vhd
+++ b/libraries/base/diag/src/vhdl/diag_data_buffer.vhd
@@ -44,12 +44,12 @@
 --   a c_word_w parts.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity diag_data_buffer is
   generic (
@@ -87,23 +87,29 @@ architecture rtl of diag_data_buffer is
   constant c_nof_data_mm   : natural := g_nof_data * c_mm_factor;
   constant g_data_mm_w     : natural := g_data_w / c_mm_factor;
 
-  constant c_buf_mm        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_data_mm),
-                                         dat_w    => g_data_mm_w,
-                                         nof_dat  => c_nof_data_mm,
-                                         init_sl  => '0');
+  constant c_buf_mm        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_data_mm),
+    dat_w    => g_data_mm_w,
+    nof_dat  => c_nof_data_mm,
+    init_sl  => '0'
+  );
 
-  constant c_buf_st        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(g_nof_data),
-                                         dat_w    => g_data_w,
-                                         nof_dat  => g_nof_data,
-                                         init_sl  => '0');
+  constant c_buf_st        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_data),
+    dat_w    => g_data_w,
+    nof_dat  => g_nof_data,
+    init_sl  => '0'
+  );
 
-  constant c_reg           : t_c_mem := (latency  => 1,
-                                         adr_w    => c_diag_db_reg_adr_w,
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => c_diag_db_reg_nof_dat,  -- 1: word_cnt; 0:sync_cnt
-                                         init_sl  => '0');
+  constant c_reg           : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_diag_db_reg_adr_w,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_diag_db_reg_nof_dat,  -- 1: word_cnt; 0:sync_cnt
+    init_sl  => '0'
+  );
 
   signal i_ram_mm_miso   : t_mem_miso := c_mem_miso_rst;  -- used to avoid vsim-8684 error "No drivers exist" for the unused fields
 
@@ -138,17 +144,17 @@ begin
   -- Determine the write trigger
   use_rd_last : if g_use_in_sync = false generate
     u_wr_sync : entity common_lib.common_spulse
-    generic map (
-      g_delay_len => c_meta_delay_len
-    )
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => rd_last,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => wr_sync
-    );
+      generic map (
+        g_delay_len => c_meta_delay_len
+      )
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => rd_last,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => wr_sync
+      );
   end generate;
 
   use_in_sync : if g_use_in_sync = true generate
@@ -192,75 +198,75 @@ begin
   end process;
 
   u_buf : entity common_lib.common_ram_crw_crw_ratio
-  generic map (
-    g_technology => g_technology,
-    g_ram_a     => c_buf_mm,
-    g_ram_b     => c_buf_st,
-    g_init_file => "UNUSED"
-  )
-  port map (
-    -- MM read/write port clock domain
-    rst_a    => mm_rst,
-    clk_a    => mm_clk,
-    wr_en_a  => ram_mm_mosi.wr,
-    wr_dat_a => ram_mm_mosi.wrdata(c_buf_mm.dat_w - 1 downto 0),
-    adr_a    => ram_mm_mosi.address(c_buf_mm.adr_w - 1 downto 0),
-    rd_en_a  => ram_mm_mosi.rd,
-    rd_dat_a => i_ram_mm_miso.rddata(c_buf_mm.dat_w - 1 downto 0),
-    rd_val_a => i_ram_mm_miso.rdval,
-
-    -- ST write only port clock domain
-    rst_b     => st_rst,
-    clk_b     => st_clk,
-    wr_en_b   => wr_en,
-    wr_dat_b  => wr_data,
-    adr_b     => wr_addr,
-    rd_en_b   => '0',
-    rd_dat_b  => OPEN,
-    rd_val_b  => open
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram_a     => c_buf_mm,
+      g_ram_b     => c_buf_st,
+      g_init_file => "UNUSED"
+    )
+    port map (
+      -- MM read/write port clock domain
+      rst_a    => mm_rst,
+      clk_a    => mm_clk,
+      wr_en_a  => ram_mm_mosi.wr,
+      wr_dat_a => ram_mm_mosi.wrdata(c_buf_mm.dat_w - 1 downto 0),
+      adr_a    => ram_mm_mosi.address(c_buf_mm.adr_w - 1 downto 0),
+      rd_en_a  => ram_mm_mosi.rd,
+      rd_dat_a => i_ram_mm_miso.rddata(c_buf_mm.dat_w - 1 downto 0),
+      rd_val_a => i_ram_mm_miso.rdval,
+
+      -- ST write only port clock domain
+      rst_b     => st_rst,
+      clk_b     => st_clk,
+      wr_en_b   => wr_en,
+      wr_dat_b  => wr_data,
+      adr_b     => wr_addr,
+      rd_en_b   => '0',
+      rd_dat_b  => OPEN,
+      rd_val_b  => open
+    );
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_reg       => c_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_mm_mosi,
-    sla_out     => reg_mm_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => reg_rd_arr,
-    in_reg      => reg_slv,
-    out_reg     => open
-  );
+    generic map (
+      g_reg       => c_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_mm_mosi,
+      sla_out     => reg_mm_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => reg_rd_arr,
+      in_reg      => reg_slv,
+      out_reg     => open
+    );
 
   reg_slv <= word_cnt & sync_cnt;
 
   u_word_cnt : entity common_lib.common_counter
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    cnt_en  => wr_en,
-    cnt_clr => wr_sync,
-    count   => word_cnt
-  );
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      cnt_en  => wr_en,
+      cnt_clr => wr_sync,
+      count   => word_cnt
+    );
 
   u_sync_cnt : entity common_lib.common_counter
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    cnt_en  => wr_sync,
-    cnt_clr => sync_cnt_clr,
-    count   => sync_cnt
-  );
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      cnt_en  => wr_sync,
+      cnt_clr => sync_cnt_clr,
+      count   => sync_cnt
+    );
 
 end rtl;
 
diff --git a/libraries/base/diag/src/vhdl/diag_data_buffer_dev.vhd b/libraries/base/diag/src/vhdl/diag_data_buffer_dev.vhd
index ad85335ca7..99bbdf204e 100644
--- a/libraries/base/diag/src/vhdl/diag_data_buffer_dev.vhd
+++ b/libraries/base/diag/src/vhdl/diag_data_buffer_dev.vhd
@@ -65,12 +65,12 @@
 --   a c_word_w parts.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity diag_data_buffer_dev is
   generic (
@@ -110,23 +110,29 @@ architecture rtl of diag_data_buffer_dev is
   constant c_nof_data_mm   : natural := g_nof_data * c_mm_factor;
   constant g_data_mm_w     : natural := g_data_w / c_mm_factor;
 
-  constant c_buf_mm        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_nof_data_mm),
-                                         dat_w    => g_data_mm_w,
-                                         nof_dat  => c_nof_data_mm,
-                                         init_sl  => '0');
+  constant c_buf_mm        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_data_mm),
+    dat_w    => g_data_mm_w,
+    nof_dat  => c_nof_data_mm,
+    init_sl  => '0'
+  );
 
-  constant c_buf_st        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(g_nof_data),
-                                         dat_w    => g_data_w,
-                                         nof_dat  => g_nof_data,
-                                         init_sl  => '0');
+  constant c_buf_st        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_data),
+    dat_w    => g_data_w,
+    nof_dat  => g_nof_data,
+    init_sl  => '0'
+  );
 
-  constant c_reg           : t_c_mem := (latency  => 1,
-                                         adr_w    => c_diag_db_dev_reg_adr_w,
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => c_diag_db_dev_reg_nof_dat,  -- 3: reg_sync_delay 2: valid_cnt 1: word_cnt; 0:sync_cnt
-                                         init_sl  => '0');
+  constant c_reg           : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_diag_db_dev_reg_adr_w,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_diag_db_dev_reg_nof_dat,  -- 3: reg_sync_delay 2: valid_cnt 1: word_cnt; 0:sync_cnt
+    init_sl  => '0'
+  );
 
   signal i_ram_mm_miso   : t_mem_miso := c_mem_miso_rst;  -- used to avoid vsim-8684 error "No drivers exist" for the unused fields
 
@@ -176,17 +182,17 @@ begin
   rd_last <= '1' when unsigned(ram_mm_mosi.address(c_buf_mm.adr_w - 1 downto 0)) = c_nof_data_mm - 1 and ram_mm_mosi.rd = '1' else '0';
 
   u_rd_last_clock_cross : entity common_lib.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => rd_last,
-    out_rst   => st_rst,
-    out_clk   => st_clk,
-    out_pulse => rd_last_st
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => rd_last,
+      out_rst   => st_rst,
+      out_clk   => st_clk,
+      out_pulse => rd_last_st
+    );
 
   -- Determine the write trigger in NON-SYNC MODE
   use_rd_last : if g_use_in_sync = false generate
@@ -291,55 +297,55 @@ begin
   end process;
 
   u_buf : entity common_lib.common_ram_crw_crw_ratio
-  generic map (
-    g_technology => g_technology,
-    g_ram_a     => c_buf_mm,
-    g_ram_b     => c_buf_st,
-    g_init_file => "UNUSED"
-  )
-  port map (
-    -- MM read/write port clock domain
-    rst_a    => mm_rst,
-    clk_a    => mm_clk,
-    wr_en_a  => ram_mm_mosi.wr,
-    wr_dat_a => ram_mm_mosi.wrdata(c_buf_mm.dat_w - 1 downto 0),
-    adr_a    => ram_mm_mosi.address(c_buf_mm.adr_w - 1 downto 0),
-    rd_en_a  => ram_mm_mosi.rd,
-    rd_dat_a => i_ram_mm_miso.rddata(c_buf_mm.dat_w - 1 downto 0),
-    rd_val_a => i_ram_mm_miso.rdval,
-
-    -- ST write only port clock domain
-    rst_b     => st_rst,
-    clk_b     => st_clk,
-    wr_en_b   => wr_en,
-    wr_dat_b  => wr_data,
-    adr_b     => wr_addr,
-    rd_en_b   => '0',
-    rd_dat_b  => OPEN,
-    rd_val_b  => open
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram_a     => c_buf_mm,
+      g_ram_b     => c_buf_st,
+      g_init_file => "UNUSED"
+    )
+    port map (
+      -- MM read/write port clock domain
+      rst_a    => mm_rst,
+      clk_a    => mm_clk,
+      wr_en_a  => ram_mm_mosi.wr,
+      wr_dat_a => ram_mm_mosi.wrdata(c_buf_mm.dat_w - 1 downto 0),
+      adr_a    => ram_mm_mosi.address(c_buf_mm.adr_w - 1 downto 0),
+      rd_en_a  => ram_mm_mosi.rd,
+      rd_dat_a => i_ram_mm_miso.rddata(c_buf_mm.dat_w - 1 downto 0),
+      rd_val_a => i_ram_mm_miso.rdval,
+
+      -- ST write only port clock domain
+      rst_b     => st_rst,
+      clk_b     => st_clk,
+      wr_en_b   => wr_en,
+      wr_dat_b  => wr_data,
+      adr_b     => wr_addr,
+      rd_en_b   => '0',
+      rd_dat_b  => OPEN,
+      rd_val_b  => open
+    );
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_reg       => c_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_mm_mosi,
-    sla_out     => reg_mm_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => reg_wr_arr,
-    reg_rd_arr  => reg_rd_arr,
-    in_reg      => reg_slv_rd,
-    out_reg     => reg_slv_wr
-  );
+    generic map (
+      g_reg       => c_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_mm_mosi,
+      sla_out     => reg_mm_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => reg_wr_arr,
+      reg_rd_arr  => reg_rd_arr,
+      in_reg      => reg_slv_rd,
+      out_reg     => reg_slv_wr
+    );
 
   arm_enable     <= reg_wr_arr(2);
   reg_sync_delay <= reg_slv_wr(4 * c_word_w - 1 downto 3 * c_word_w);
@@ -347,31 +353,31 @@ begin
                     reg_sync_delay & valid_cnt & word_cnt & sync_cnt;
 
   u_word_cnt : entity common_lib.common_counter
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    cnt_en  => wr_en,
-    cnt_clr => wr_sync,
-    count   => word_cnt
-  );
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      cnt_en  => wr_en,
+      cnt_clr => wr_sync,
+      count   => word_cnt
+    );
 
   u_sync_cnt : entity common_lib.common_counter
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    cnt_en  => wr_sync,
-    cnt_clr => sync_cnt_clr,
-    count   => sync_cnt
-  );
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      cnt_en  => wr_sync,
+      cnt_clr => sync_cnt_clr,
+      count   => sync_cnt
+    );
 
   u_valid_cnt : entity common_lib.common_counter
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    cnt_en  => in_val,
-    cnt_clr => in_sync,
-    count   => valid_cnt
-  );
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      cnt_en  => in_val,
+      cnt_clr => in_sync,
+      count   => valid_cnt
+    );
 
 end rtl;
 
diff --git a/libraries/base/diag/src/vhdl/diag_frm_generator.vhd b/libraries/base/diag/src/vhdl/diag_frm_generator.vhd
index 85e13db8ba..2a337a4e06 100644
--- a/libraries/base/diag/src/vhdl/diag_frm_generator.vhd
+++ b/libraries/base/diag/src/vhdl/diag_frm_generator.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 -- Purpose: Generate a stream of frames with test sequence data.
@@ -43,7 +43,7 @@ entity diag_frm_generator is
     g_sel         : std_logic := '1';  -- '0' = PRSG, '1' = COUNTER
     g_frame_len   : natural := 2;  -- >= 2, test frame length in nof dat words
     g_sof_period  : natural := 1;  -- >= 1, nof cycles between sop that start a frame, typically >> g_frame_len
-                                       --       to generate frames with idle in between
+    --       to generate frames with idle in between
     g_frame_cnt_w : natural := 32;
     g_dat_w       : natural := 16;  -- >= 1, test data width
     g_symbol_w    : natural := 16;  -- >= 1, and nof_symbols_per_dat = g_dat_w/g_symbol_w, must be an integer
@@ -99,17 +99,17 @@ begin
 
   -- Signal begin of diag_en
   u_diag_en_revt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "RISING",
-    g_out_reg  => false
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_sig  => diag_en,
-    out_evt => diag_en_revt
-  );
+    generic map (
+      g_evt_type => "RISING",
+      g_out_reg  => false
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_sig  => diag_en,
+      out_evt => diag_en_revt
+    );
 
   p_clk : process (rst, clk)
   begin
@@ -127,63 +127,63 @@ begin
   nxt_diag_init <= TO_UVEC(c_init, g_dat_w) when diag_en = '0' else INCR_UVEC(diag_init, 1) when i_out_sop = '1';
 
   u_pulse_sop : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => g_sof_period
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => clken,
-    pulse_period   => diag_sof_period,
-    pulse_en       => diag_en,
-    pulse_clr      => diag_en_revt,
-    pulse_out      => diag_sop
-  );
+    generic map (
+      g_pulse_period => g_sof_period
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => clken,
+      pulse_period   => diag_sof_period,
+      pulse_en       => diag_en,
+      pulse_clr      => diag_en_revt,
+      pulse_out      => diag_sop
+    );
 
   -- Hold last frame count also when the generator is disabled, clear when it is restarted
   nxt_diag_frame_cnt <= (others => '0') when diag_en_revt = '1' else frame_cnt;
 
   u_frm_cnt : entity common_lib.common_counter
-  generic map (
-    g_width     => g_frame_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => diag_en_revt,
-    cnt_en  => i_out_eop,
-    count   => frame_cnt
-  );
+    generic map (
+      g_width     => g_frame_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => diag_en_revt,
+      cnt_en  => i_out_eop,
+      count   => frame_cnt
+    );
 
   u_tx_frm : entity work.diag_tx_frm
-  generic map (
-    g_sel       => g_sel,
-    g_init      => c_init,
-    g_frame_len => g_frame_len,
-    g_dat_w     => g_dat_w
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => clken,
-
-    -- Static control input (connect via MM or leave open to use default)
-    diag_sel       => diag_sel,
-    diag_dc        => diag_dc,
-    diag_frame_len => diag_frame_len,
-
-    -- Dynamic control input (connect via MM or via ST input or leave open to use defaults)
-    diag_ready     => diag_ready,
-    diag_init      => diag_init,
-    diag_sop       => diag_sop,
-
-    -- ST output
-    out_ready      => out_ready,
-    out_dat        => out_dat,
-    out_val        => out_val,
-    out_sop        => i_out_sop,
-    out_eop        => i_out_eop
-  );
+    generic map (
+      g_sel       => g_sel,
+      g_init      => c_init,
+      g_frame_len => g_frame_len,
+      g_dat_w     => g_dat_w
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => clken,
+
+      -- Static control input (connect via MM or leave open to use default)
+      diag_sel       => diag_sel,
+      diag_dc        => diag_dc,
+      diag_frame_len => diag_frame_len,
+
+      -- Dynamic control input (connect via MM or via ST input or leave open to use defaults)
+      diag_ready     => diag_ready,
+      diag_init      => diag_init,
+      diag_sop       => diag_sop,
+
+      -- ST output
+      out_ready      => out_ready,
+      out_dat        => out_dat,
+      out_val        => out_val,
+      out_sop        => i_out_sop,
+      out_eop        => i_out_eop
+    );
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/diag_frm_monitor.vhd b/libraries/base/diag/src/vhdl/diag_frm_monitor.vhd
index 9a01db05af..a253db5404 100644
--- a/libraries/base/diag/src/vhdl/diag_frm_monitor.vhd
+++ b/libraries/base/diag/src/vhdl/diag_frm_monitor.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 -- Purpose: Monitor a stream of frames with test sequence data.
@@ -93,17 +93,17 @@ begin
 
   -- Signal begin of diag_en
   u_diag_en_revt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "RISING",
-    g_out_reg  => false
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    in_sig  => diag_en,
-    out_evt => diag_en_revt
-  );
+    generic map (
+      g_evt_type => "RISING",
+      g_out_reg  => false
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      in_sig  => diag_en,
+      out_evt => diag_en_revt
+    );
 
   -- Hold last frame and error counts also when the monitor is disabled, clear when it is restarted
   nxt_diag_frame_cnt <= (others => '0') when diag_en_revt = '1' else frame_cnt;
@@ -111,32 +111,32 @@ begin
 
   -- Count all received frames
   u_frm_cnt : entity common_lib.common_counter
-  generic map (
-    g_width     => g_frame_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => diag_en_revt,
-    cnt_en  => in_eop,
-    count   => frame_cnt
-  );
+    generic map (
+      g_width     => g_frame_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => diag_en_revt,
+      cnt_en  => in_eop,
+      count   => frame_cnt
+    );
 
   -- Count the received frames that had an error
   frm_error <= in_eop and in_error;
 
   u_err_cnt : entity common_lib.common_counter
-  generic map (
-    g_width     => g_frame_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => diag_en_revt,
-    cnt_en  => frm_error,
-    count   => error_cnt
-  );
+    generic map (
+      g_width     => g_frame_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => diag_en_revt,
+      cnt_en  => frm_error,
+      count   => error_cnt
+    );
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/diag_pkg.vhd b/libraries/base/diag/src/vhdl/diag_pkg.vhd
index 9c72a414e2..a3c615fbbb 100644
--- a/libraries/base/diag/src/vhdl/diag_pkg.vhd
+++ b/libraries/base/diag/src/vhdl/diag_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
 
 package diag_pkg is
 
@@ -61,7 +61,7 @@ package diag_pkg is
   constant c_diag_wg_phase_w            : natural := 16;  -- =  c_diag_wg_nofsamples_w
   constant c_diag_wg_freq_w             : natural := 31;  -- >> c_diag_wg_nofsamples_w, determines the minimum frequency = Fs / 2**c_diag_wg_freq_w
   constant c_diag_wg_ampl_w             : natural := 17;  -- Typically fit DSP multiply 18x18 element so use <= 17, to fit unsigned in 18 bit signed,
-                                                          -- = waveform data width-1 (sign bit) to be able to make a 1 LSBit amplitude sinus
+  -- = waveform data width-1 (sign bit) to be able to make a 1 LSBit amplitude sinus
 
   constant c_diag_wg_mode_off           : natural := 0;
   constant c_diag_wg_mode_calc          : natural := 1;
@@ -77,23 +77,35 @@ package diag_pkg is
   end record;
 
   constant c_diag_wg_ampl_norm          : real := 1.0;  -- Use this default amplitude norm = 1.0 when WG data width = WG waveform buffer data width,
-                                                         -- else use extra amplitude unit scaling by (WG data max)/(WG data max + 1)
+  -- else use extra amplitude unit scaling by (WG data max)/(WG data max + 1)
   constant c_diag_wg_gain_w             : natural := 1;  -- Normalized range [0 1>  maps to fixed point range [0:2**c_diag_wg_ampl_w>
-                                                         -- . use gain 2**0             = 1 to have fulle scale without clipping
-                                                         -- . use gain 2**g_calc_gain_w > 1 to cause clipping
-                                                         -- For c_diag_wg_gain_w = 1 there is clipping from [1 2> For normalized values >= 2**c_diag_wg_gain_w = 2
-                                                         -- the behaviour becomes more or less undefined. Due to wrapping it appears that normalized values [2 3>
-                                                         -- result in a sinus again. Therefore use normalized range [0 2**c_diag_wg_gain_w>.
+  -- . use gain 2**0             = 1 to have fulle scale without clipping
+  -- . use gain 2**g_calc_gain_w > 1 to cause clipping
+  -- For c_diag_wg_gain_w = 1 there is clipping from [1 2> For normalized values >= 2**c_diag_wg_gain_w = 2
+  -- the behaviour becomes more or less undefined. Due to wrapping it appears that normalized values [2 3>
+  -- result in a sinus again. Therefore use normalized range [0 2**c_diag_wg_gain_w>.
   constant c_diag_wg_ampl_unit          : real := 2**REAL(c_diag_wg_ampl_w - c_diag_wg_gain_w) * c_diag_wg_ampl_norm;  -- ^= Full Scale range [-c_wg_full_scale +c_wg_full_scale] without clipping
   constant c_diag_wg_freq_unit          : real := 2**REAL(c_diag_wg_freq_w);  -- ^= c_clk_freq = Fs (sample frequency), assuming one sinus waveform in the buffer
   constant c_diag_wg_phase_unit         : real := 2**REAL(c_diag_wg_phase_w) / 360.0;  -- ^= 1 degree
 
   constant c_diag_wg_latency            : natural := 10;  -- WG starts 10 cycles after trigger
-  constant c_diag_wg_rst : t_diag_wg := (TO_UVEC(c_diag_wg_mode_off, c_diag_wg_mode_w),
-                                         TO_UVEC(              1024, c_diag_wg_nofsamples_w),
-                                         TO_UVEC(                 0, c_diag_wg_phase_w),
-                                         TO_UVEC(                 0, c_diag_wg_freq_w),
-                                         TO_UVEC(                 0, c_diag_wg_ampl_w));
+  constant c_diag_wg_rst : t_diag_wg := (
+    TO_UVEC(
+             c_diag_wg_mode_off,
+             c_diag_wg_mode_w),
+    TO_UVEC(
+                           1024,
+             c_diag_wg_nofsamples_w),
+    TO_UVEC(
+                              0,
+             c_diag_wg_phase_w),
+    TO_UVEC(
+                              0,
+             c_diag_wg_freq_w),
+    TO_UVEC(
+                              0,
+             c_diag_wg_ampl_w)
+  );
 
   type t_diag_wg_arr is array (integer range <>) of t_diag_wg;
 
@@ -141,32 +153,60 @@ package diag_pkg is
     bsn_init           : natural;
   end record;
 
-  constant c_diag_block_gen_rst     : t_diag_block_gen := (         '0',
-                                                                    '0',
-                                                           TO_UVEC( 256, c_diag_bg_samples_per_packet_w),
-                                                           TO_UVEC(  10, c_diag_bg_blocks_per_sync_w),
-                                                           TO_UVEC( 128, c_diag_bg_gapsize_w),
-                                                           TO_UVEC(   0, c_diag_bg_mem_low_adrs_w),
-                                                           TO_UVEC(   1, c_diag_bg_mem_high_adrs_w),
-                                                           TO_UVEC(   0, c_diag_bg_bsn_init_w));
-
-  constant c_diag_block_gen_enabled : t_diag_block_gen := (         '1',
-                                                                    '0',
-                                                           TO_UVEC(  50, c_diag_bg_samples_per_packet_w),
-                                                           TO_UVEC(  10, c_diag_bg_blocks_per_sync_w),
-                                                           TO_UVEC(   7, c_diag_bg_gapsize_w),
-                                                           TO_UVEC(   0, c_diag_bg_mem_low_adrs_w),
-                                                           TO_UVEC(  15, c_diag_bg_mem_high_adrs_w),  -- fits any BG buffer that has address width >= 4
-                                                           TO_UVEC(   0, c_diag_bg_bsn_init_w));
+  constant c_diag_block_gen_rst     : t_diag_block_gen := (
+             '0',
+    '0',
+    TO_UVEC(
+              256,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+               10,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+              128,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                0,
+             c_diag_bg_bsn_init_w)
+  );
+
+  constant c_diag_block_gen_enabled : t_diag_block_gen := (
+             '1',
+    '0',
+    TO_UVEC(
+               50,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+               10,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                7,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+               15,
+             c_diag_bg_mem_high_adrs_w),  -- fits any BG buffer that has address width >= 4
+    TO_UVEC(
+                0,
+             c_diag_bg_bsn_init_w)
+  );
 
   type t_diag_block_gen_arr is array (integer range <>) of t_diag_block_gen;
   type t_diag_block_gen_integer_arr is array (integer range <>) of t_diag_block_gen_integer;
 
   -- Overloaded sel_a_b (from common_pkg) for t_diag_block_gen
-  function sel_a_b(sel : boolean; a, b : t_diag_block_gen) return t_diag_block_gen;
+  function sel_a_b (sel : boolean; a, b : t_diag_block_gen) return t_diag_block_gen;
 
-  function func_diag_bg_ctrl_integer_to_slv(bg_ctrl_int : t_diag_block_gen_integer) return t_diag_block_gen;
-  function func_diag_bg_ctrl_slv_to_integer(bg_ctrl_slv : t_diag_block_gen) return t_diag_block_gen_integer;
+  function func_diag_bg_ctrl_integer_to_slv (bg_ctrl_int : t_diag_block_gen_integer) return t_diag_block_gen;
+  function func_diag_bg_ctrl_slv_to_integer (bg_ctrl_slv : t_diag_block_gen) return t_diag_block_gen_integer;
 
   -----------------------------------------------------------------------------
   -- Data buffer
@@ -225,7 +265,7 @@ end diag_pkg;
 
 package body diag_pkg is
 
-  function sel_a_b(sel : boolean; a, b : t_diag_block_gen) return t_diag_block_gen is
+  function sel_a_b (sel : boolean; a, b : t_diag_block_gen) return t_diag_block_gen is
   begin
     if sel = true then
       return a;
@@ -234,7 +274,7 @@ package body diag_pkg is
     end if;
   end;
 
-  function func_diag_bg_ctrl_integer_to_slv(bg_ctrl_int : t_diag_block_gen_integer) return t_diag_block_gen is
+  function func_diag_bg_ctrl_integer_to_slv (bg_ctrl_int : t_diag_block_gen_integer) return t_diag_block_gen is
   begin
     return (        bg_ctrl_int.enable,
                     bg_ctrl_int.enable_sync,
@@ -246,7 +286,7 @@ package body diag_pkg is
             TO_UVEC(bg_ctrl_int.bsn_init          , c_diag_bg_bsn_init_w));
   end;
 
-  function func_diag_bg_ctrl_slv_to_integer(bg_ctrl_slv : t_diag_block_gen) return t_diag_block_gen_integer is
+  function func_diag_bg_ctrl_slv_to_integer (bg_ctrl_slv : t_diag_block_gen) return t_diag_block_gen_integer is
   begin
     return (        bg_ctrl_slv.enable,
                     bg_ctrl_slv.enable_sync,
diff --git a/libraries/base/diag/src/vhdl/diag_rx_seq.vhd b/libraries/base/diag/src/vhdl/diag_rx_seq.vhd
index 617a63b397..60aa71a674 100644
--- a/libraries/base/diag/src/vhdl/diag_rx_seq.vhd
+++ b/libraries/base/diag/src/vhdl/diag_rx_seq.vhd
@@ -90,11 +90,11 @@
 --   in case they occur.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use work.diag_pkg.all;
 
 entity diag_rx_seq is
   generic (
@@ -215,19 +215,19 @@ begin
   -- Use initialisation to set initial diag_res to invalid
   diag_res <= diag_res_int;  -- use initialisation of internal signal diag_res_int rather than initialisation of entity output diag_res
 
---   -- Use rst to set initial diag_res to invalid
---   p_rst_clk : PROCESS (rst, clk)
---   BEGIN
---     IF rst='1' THEN
---       diag_res     <= c_diag_res_invalid;
---     ELSIF rising_edge(clk) THEN
---       IF clken='1' THEN
---         -- Internal.
---         diag_res     <= nxt_diag_res;
---         -- Outputs.
---       END IF;
---     END IF;
---   END PROCESS;
+  --   -- Use rst to set initial diag_res to invalid
+  --   p_rst_clk : PROCESS (rst, clk)
+  --   BEGIN
+  --     IF rst='1' THEN
+  --       diag_res     <= c_diag_res_invalid;
+  --     ELSIF rising_edge(clk) THEN
+  --       IF clken='1' THEN
+  --         -- Internal.
+  --         diag_res     <= nxt_diag_res;
+  --         -- Outputs.
+  --       END IF;
+  --     END IF;
+  --   END PROCESS;
 
   p_clk : process (clk)
   begin
@@ -272,24 +272,24 @@ begin
   ------------------------------------------------------------------------------
 
   u_in_val_1 : entity common_lib.common_switch
-  port map(
-    clk         => clk,
-    rst         => rst,
-    switch_high => in_val_reg,
-    switch_low  => diag_dis,
-    out_level   => in_val_1  -- first in_val has been detected, but this one was used as seed for common_lfsr_nxt_seq
-  );
+    port map(
+      clk         => clk,
+      rst         => rst,
+      switch_high => in_val_reg,
+      switch_low  => diag_dis,
+      out_level   => in_val_1  -- first in_val has been detected, but this one was used as seed for common_lfsr_nxt_seq
+    );
 
   in_val_act <= in_val_1 and in_val_reg;  -- Signal the second valid in_dat after diag_en='1'
 
   u_in_val_2 : entity common_lib.common_switch
-  port map(
-    clk         => clk,
-    rst         => rst,
-    switch_high => in_val_act,
-    switch_low  => diag_dis,
-    out_level   => in_val_2  -- second in_val has been detected, representing a true next sequence value
-  );
+    port map(
+      clk         => clk,
+      rst         => rst,
+      switch_high => in_val_act,
+      switch_low  => diag_dis,
+      out_level   => in_val_2  -- second in_val has been detected, representing a true next sequence value
+    );
 
   -- Use in_val_2_act instead of in_val_2 to have stable start in case diag_dis takes just a pulse and in_val is continue high
   in_val_2_act <= vector_and(in_val_2 & in_val_2_dly);
@@ -338,13 +338,13 @@ begin
 
       -- Hold any difference on the in_dat bus lines
       u_dat : entity common_lib.common_switch
-      port map(
-        clk         => clk,
-        rst         => rst,
-        switch_high => diff_dat(I),
-        switch_low  => diff_dis,
-        out_level   => diff_res(I)
-      );
+        port map(
+          clk         => clk,
+          rst         => rst,
+          switch_high => diff_dat(I),
+          switch_low  => diff_dis,
+          out_level   => diff_res(I)
+        );
     end generate;
   end generate;
 
@@ -388,13 +388,13 @@ begin
 
     -- hold detected diff detect
     u_dat : entity common_lib.common_switch
-    port map(
-      clk         => clk,
-      rst         => rst,
-      switch_high => diff_detect,
-      switch_low  => diff_dis,
-      out_level   => diff_hold
-    );
+      port map(
+        clk         => clk,
+        rst         => rst,
+        switch_high => diff_detect,
+        switch_low  => diff_dis,
+        out_level   => diff_hold
+      );
 
     diff_res <= (others => diff_hold);  -- convert diff_hold to diff_res slv format as used for g_use_steps=FALSE
   end generate;
@@ -422,16 +422,16 @@ begin
   -- Count number of valid input data
   ------------------------------------------------------------------------------
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_latency   => 1,  -- default 1 for registered count output, use 0 for immediate combinatorial count output
-    g_width     => g_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => diag_dis,  -- synchronous cnt_clr is only interpreted when clken is active
-    cnt_en  => in_val,
-    count   => in_cnt
-  );
+    generic map (
+      g_latency   => 1,  -- default 1 for registered count output, use 0 for immediate combinatorial count output
+      g_width     => g_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => diag_dis,  -- synchronous cnt_clr is only interpreted when clken is active
+      cnt_en  => in_val,
+      count   => in_cnt
+    );
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/diag_tx_frm.vhd b/libraries/base/diag/src/vhdl/diag_tx_frm.vhd
index 99abf4c043..1ae3f7d00a 100644
--- a/libraries/base/diag/src/vhdl/diag_tx_frm.vhd
+++ b/libraries/base/diag/src/vhdl/diag_tx_frm.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
 
 -- Purpose: Transmit a frame with PRSG or COUNTER test sequence data.
 -- Description:
@@ -142,33 +142,33 @@ begin
   nxt_out_eop <= cnt_done;
 
   u_cnt_len : entity common_lib.common_counter
-  generic map (
-    g_width => diag_frame_len'length
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_width => diag_frame_len'length
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
   u_frame_dat : entity work.diag_tx_seq
-  generic map (
-    g_dat_w    => g_dat_w
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    clken      => clken,
-    diag_en    => diag_en,
-    diag_sel   => diag_sel,
-    diag_dc    => diag_dc,
-    diag_init  => diag_init,
-    diag_req   => out_ready,
-    out_dat    => out_dat,
-    out_val    => open
-  );
+    generic map (
+      g_dat_w    => g_dat_w
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      clken      => clken,
+      diag_en    => diag_en,
+      diag_sel   => diag_sel,
+      diag_dc    => diag_dc,
+      diag_init  => diag_init,
+      diag_req   => out_ready,
+      out_dat    => out_dat,
+      out_val    => open
+    );
 
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/diag_tx_seq.vhd b/libraries/base/diag/src/vhdl/diag_tx_seq.vhd
index bb4be8bda0..cf3d463301 100644
--- a/libraries/base/diag/src/vhdl/diag_tx_seq.vhd
+++ b/libraries/base/diag/src/vhdl/diag_tx_seq.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
 
 -- Purpose: Transmit continuous PRSG or COUNTER test sequence data.
 -- Description:
@@ -137,17 +137,17 @@ begin
 
   -- Count number of valid output data
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_latency   => g_latency,  -- default 1 for registered count output, use 0 for immediate combinatorial count output
-    g_width     => g_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => clken,
-    cnt_clr => diag_dis,  -- synchronous cnt_clr is only interpreted when clken is active
-    cnt_en  => nxt_out_val,
-    count   => out_cnt
-  );
+    generic map (
+      g_latency   => g_latency,  -- default 1 for registered count output, use 0 for immediate combinatorial count output
+      g_width     => g_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => clken,
+      cnt_clr => diag_dis,  -- synchronous cnt_clr is only interpreted when clken is active
+      cnt_en  => nxt_out_val,
+      count   => out_cnt
+    );
 
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/diag_wg.vhd b/libraries/base/diag/src/vhdl/diag_wg.vhd
index 557165d10f..323f86e473 100644
--- a/libraries/base/diag/src/vhdl/diag_wg.vhd
+++ b/libraries/base/diag/src/vhdl/diag_wg.vhd
@@ -46,25 +46,25 @@
 --   CW with fractional frequency is SNR ~= 56 dB, even if g_calc_dat_w > 9.
 
 library IEEE, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity diag_wg is
   generic (
     g_technology        : natural := c_tech_select_default;
     g_buf_dat_w         : natural := 18;  -- Use >= g_calc_dat_w and typically <= DSP multiply 18x18 element
     g_buf_addr_w        : natural := 11;  -- Waveform buffer size 2**g_buf_addr_w nof samples
-                                             -- . in calc mode fill the entire buffer with one sinus wave, ctrl.phase and ctrl.freq will map on the entire range
-                                             -- . in single or repeat mode fill the buffer with an arbitrary signal and define actual the period via ctrl.nof_samples
+    -- . in calc mode fill the entire buffer with one sinus wave, ctrl.phase and ctrl.freq will map on the entire range
+    -- . in single or repeat mode fill the buffer with an arbitrary signal and define actual the period via ctrl.nof_samples
     g_rate_factor       : natural := 1;  -- Default 1 for unit frequency Fs, else g_rate_factor * Fs using g_rate_factor nof parallel outputs
     g_rate_offset       : natural := 0;  -- Selects which of the parallel outputs [0:g_rate_factor-1] this WG should generate
     g_calc_support      : boolean := true;  -- When FALSE then calc mode falls back to repeat mode to save logic.
     g_calc_gain_w       : natural := 1;  -- Normalized range [0 1>  maps to fixed point range [0:2**c_diag_wg_ampl_w>
-                                             -- . use gain 2**0             = 1 to have full scale without clipping
-                                             -- . use gain 2**g_calc_gain_w > 1 to cause clipping
+    -- . use gain 2**0             = 1 to have full scale without clipping
+    -- . use gain 2**g_calc_gain_w > 1 to cause clipping
     g_calc_dat_w        : natural := 12  -- Effective range of the WG out_dat
   );
   port (
@@ -231,7 +231,7 @@ begin
             when c_diag_wg_mode_single => if init_repeat_done = '1'                            then nxt_state <= s_single; nxt_init_sync <= '1'; end if;
             when c_diag_wg_mode_repeat => if init_repeat_done = '1'                            then nxt_state <= s_repeat; nxt_init_sync <= '1'; end if;
             when c_diag_wg_mode_calc   => if g_calc_support = false and init_repeat_done = '1' then nxt_state <= s_repeat; nxt_init_sync <= '1'; end if;
-                                          if g_calc_support = true  and init_calc_done  = '1' then nxt_state <= s_calc;   nxt_init_sync <= '1'; end if;
+              if g_calc_support = true  and init_calc_done  = '1' then nxt_state <= s_calc;   nxt_init_sync <= '1'; end if;
             when others                => nxt_state <= s_off;
           end case;
         when s_single =>
@@ -350,98 +350,98 @@ begin
   end process;
 
   mult : entity common_mult_lib.common_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => "RTL",
-    g_in_a_w           => g_buf_dat_w,
-    g_in_b_w           => c_ampl_w,
-    g_out_p_w          => c_mult_w,
-    g_pipeline_input   => c_mult_pipeline_input,
-    g_pipeline_product => c_mult_pipeline_product,
-    g_pipeline_output  => c_mult_pipeline_output
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    in_a       => buf_rddat,
-    in_b       => ctrl_ampl,
-    out_p      => mult_dat
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => "RTL",
+      g_in_a_w           => g_buf_dat_w,
+      g_in_b_w           => c_ampl_w,
+      g_out_p_w          => c_mult_w,
+      g_pipeline_input   => c_mult_pipeline_input,
+      g_pipeline_product => c_mult_pipeline_product,
+      g_pipeline_output  => c_mult_pipeline_output
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      in_a       => buf_rddat,
+      in_b       => ctrl_ampl,
+      out_p      => mult_dat
+    );
 
   -- Skip the double-sign bit
   prod_dat <= mult_dat(c_prod_w - 1 downto 0);
 
   u_round : entity common_lib.common_round
-  generic map(
-    g_representation  => "SIGNED",
-    g_round           => true,
-    g_round_clip      => true,
-    g_pipeline_input  => 0,
-    g_pipeline_output => c_round_pipeline,
-    g_in_dat_w        => c_prod_w,
-    g_out_dat_w       => c_round_w
-  )
-  port map (
-    clk        => clk,
-    in_dat     => prod_dat,
-    out_dat    => round_dat
-  );
+    generic map(
+      g_representation  => "SIGNED",
+      g_round           => true,
+      g_round_clip      => true,
+      g_pipeline_input  => 0,
+      g_pipeline_output => c_round_pipeline,
+      g_in_dat_w        => c_prod_w,
+      g_out_dat_w       => c_round_w
+    )
+    port map (
+      clk        => clk,
+      in_dat     => prod_dat,
+      out_dat    => round_dat
+    );
 
   u_clip : entity common_lib.common_clip
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_clip_pipeline,
-    g_full_scale     => to_unsigned(c_calc_full_scale, g_calc_dat_w)
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_dat   => round_dat,
-    out_dat  => clip_dat,
-    out_ovr  => clip_ovr
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_clip_pipeline,
+      g_full_scale     => to_unsigned(c_calc_full_scale, g_calc_dat_w)
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_dat   => round_dat,
+      out_dat  => clip_dat,
+      out_ovr  => clip_ovr
+    );
 
   u_rdval_delay : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline   => c_calc_pipeline,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-       rst      =>     rst,
-       clk      =>     clk,
-       in_clr   =>     idle,
-       in_dat   => slv(buf_rdval),
-    sl(out_dat) =>     buf_rdval_dly
-  );
+    generic map (
+      g_pipeline   => c_calc_pipeline,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      rst      =>     rst,
+      clk      =>     clk,
+      in_clr   =>     idle,
+      in_dat   => slv(buf_rdval),
+      sl(out_dat) =>     buf_rdval_dly
+    );
 
   u_sync_default_delay : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline   => c_sync_dly,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-       rst      =>     rst,
-       clk      =>     clk,
-       in_clr   =>     idle,
-       in_dat   => slv(init_sync),
-    sl(out_dat) =>     sync_dly_default
-  );
+    generic map (
+      g_pipeline   => c_sync_dly,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      rst      =>     rst,
+      clk      =>     clk,
+      in_clr   =>     idle,
+      in_dat   => slv(init_sync),
+      sl(out_dat) =>     sync_dly_default
+    );
 
   u_sync_calc_delay : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline   => c_calc_pipeline,
-    g_in_dat_w   => 1,
-    g_out_dat_w  => 1
-  )
-  port map (
-       rst      =>     rst,
-       clk      =>     clk,
-       in_clr   =>     idle,
-       in_dat   => slv(sync_dly_default),
-    sl(out_dat) =>     sync_dly_calc
-  );
+    generic map (
+      g_pipeline   => c_calc_pipeline,
+      g_in_dat_w   => 1,
+      g_out_dat_w  => 1
+    )
+    port map (
+      rst      =>     rst,
+      clk      =>     clk,
+      in_clr   =>     idle,
+      in_dat   => slv(sync_dly_default),
+      sl(out_dat) =>     sync_dly_calc
+    );
 
   output_proc : process(state, buf_rdval_dly, clip_dat, clip_ovr, buf_rdval, buf_rddat, sync_dly_default, sync_dly_calc)
   begin
diff --git a/libraries/base/diag/src/vhdl/diag_wg_wideband.vhd b/libraries/base/diag/src/vhdl/diag_wg_wideband.vhd
index 76c0f064a8..60af5e0039 100644
--- a/libraries/base/diag/src/vhdl/diag_wg_wideband.vhd
+++ b/libraries/base/diag/src/vhdl/diag_wg_wideband.vhd
@@ -25,12 +25,12 @@
 -- . For g_wideband_factor=1 this diag_wg_wideband defaults to diag_wg.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity diag_wg_wideband is
   generic (
@@ -78,14 +78,16 @@ end diag_wg_wideband;
 
 architecture str of diag_wg_wideband is
 
-  constant c_buf            : t_c_mem := (latency  => 1,
-                                          adr_w    => g_buf_addr_w,
-                                          dat_w    => g_buf_dat_w,  -- fit DSP multiply 18x18 element
-                                          nof_dat  => 2**g_buf_addr_w,  -- = 2**adr_w
-                                          init_sl  => '0');
+  constant c_buf            : t_c_mem := (
+    latency  => 1,
+    adr_w    => g_buf_addr_w,
+    dat_w    => g_buf_dat_w,  -- fit DSP multiply 18x18 element
+    nof_dat  => 2**g_buf_addr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
   constant c_buf_file       : string := sel_a_b(c_buf.adr_w = 11 and c_buf.dat_w = 18, g_buf_dir & "diag_sin_2048x18.hex",
-                                        sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 18, g_buf_dir & "diag_sin_1024x18.hex",
-                                        sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 8, g_buf_dir & "diag_sin_1024x8.hex", "UNUSED")));
+                                                sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 18, g_buf_dir & "diag_sin_1024x18.hex",
+                                                         sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 8, g_buf_dir & "diag_sin_1024x8.hex", "UNUSED")));
 
   type t_buf_dat_arr is array (natural range <>) of std_logic_vector(g_buf_dat_w - 1 downto 0);
   type t_buf_adr_arr is array (natural range <>) of std_logic_vector(g_buf_addr_w - 1 downto 0);
@@ -115,60 +117,60 @@ begin
   gen_wg : for I in 0 to g_wideband_factor - 1 generate
     -- Waveform buffer
     u_buf : entity common_lib.common_ram_crw_crw
-    generic map (
-      g_technology => g_technology,
-      g_ram       => c_buf,
-      g_init_file => c_buf_file
-    )
-    port map (
-      rst_a     => mm_rst,
-      clk_a     => mm_clk,
-      wr_dat_a  => mm_wrdata,
-      adr_a     => mm_address,
-      wr_en_a   => mm_wr,
-      rd_en_a   => mm_rd,
-      rd_val_a  => buf_rdval(I),
-      rd_dat_a  => buf_rddata(I),
-      rst_b     => st_rst,
-      clk_b     => st_clk,
-      wr_dat_b  => (others => '0'),
-      adr_b     => st_address(I),
-      wr_en_b   => '0',
-      rd_en_b   => st_rd(I),
-      rd_val_b  => st_rdval(I),
-      rd_dat_b  => st_rddata(I)
-    );
+      generic map (
+        g_technology => g_technology,
+        g_ram       => c_buf,
+        g_init_file => c_buf_file
+      )
+      port map (
+        rst_a     => mm_rst,
+        clk_a     => mm_clk,
+        wr_dat_a  => mm_wrdata,
+        adr_a     => mm_address,
+        wr_en_a   => mm_wr,
+        rd_en_a   => mm_rd,
+        rd_val_a  => buf_rdval(I),
+        rd_dat_a  => buf_rddata(I),
+        rst_b     => st_rst,
+        clk_b     => st_clk,
+        wr_dat_b  => (others => '0'),
+        adr_b     => st_address(I),
+        wr_en_b   => '0',
+        rd_en_b   => st_rd(I),
+        rd_val_b  => st_rdval(I),
+        rd_dat_b  => st_rddata(I)
+      );
 
     -- Waveform generator
     u_wg : entity work.diag_wg
-    generic map (
-      g_technology   => g_technology,
-      g_buf_dat_w    => g_buf_dat_w,
-      g_buf_addr_w   => g_buf_addr_w,
-      g_rate_factor  => g_wideband_factor,
-      g_rate_offset  => I,
-      g_calc_support => g_calc_support,
-      g_calc_gain_w  => g_calc_gain_w,
-      g_calc_dat_w   => g_calc_dat_w
-    )
-    port map (
-      rst            => st_rst,
-      clk            => st_clk,
-      restart        => st_restart,
-
-      buf_rddat      => st_rddata(I),
-      buf_rdval      => st_rdval(I),
-      buf_addr       => st_address(I),
-      buf_rden       => st_rd(I),
-
-      ctrl           => st_ctrl,
-      mon_ctrl       => st_mon_ctrl_arr(I),
-
-      out_ovr        => out_ovr(                                            g_wideband_factor - I - 1),
-      out_dat        => out_dat((g_wideband_factor - I) * g_buf_dat_w - 1 downto (g_wideband_factor - I - 1) * g_buf_dat_w),
-      out_val        => out_val(                                            g_wideband_factor - I - 1),
-      out_sync       => out_sync(                                           g_wideband_factor - I - 1)
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_buf_dat_w    => g_buf_dat_w,
+        g_buf_addr_w   => g_buf_addr_w,
+        g_rate_factor  => g_wideband_factor,
+        g_rate_offset  => I,
+        g_calc_support => g_calc_support,
+        g_calc_gain_w  => g_calc_gain_w,
+        g_calc_dat_w   => g_calc_dat_w
+      )
+      port map (
+        rst            => st_rst,
+        clk            => st_clk,
+        restart        => st_restart,
+
+        buf_rddat      => st_rddata(I),
+        buf_rdval      => st_rdval(I),
+        buf_addr       => st_address(I),
+        buf_rden       => st_rd(I),
+
+        ctrl           => st_ctrl,
+        mon_ctrl       => st_mon_ctrl_arr(I),
+
+        out_ovr        => out_ovr(                                            g_wideband_factor - I - 1),
+        out_dat        => out_dat((g_wideband_factor - I) * g_buf_dat_w - 1 downto (g_wideband_factor - I - 1) * g_buf_dat_w),
+        out_val        => out_val(                                            g_wideband_factor - I - 1),
+        out_sync       => out_sync(                                           g_wideband_factor - I - 1)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/diag_wg_wideband_reg.vhd b/libraries/base/diag/src/vhdl/diag_wg_wideband_reg.vhd
index 33c2b9598d..92e76b42fa 100644
--- a/libraries/base/diag/src/vhdl/diag_wg_wideband_reg.vhd
+++ b/libraries/base/diag/src/vhdl/diag_wg_wideband_reg.vhd
@@ -41,10 +41,10 @@
 --   diag_wg_reg.vhd.
 
 library IEEE, common_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity diag_wg_wideband_reg is
   generic (
@@ -71,11 +71,13 @@ end diag_wg_wideband_reg;
 architecture rtl of diag_wg_wideband_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 2,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 2**2,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 2,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2**2,
+    init_sl  => '0'
+  );
 
   -- Registers in mm_clk domain
   signal mm_wg_ctrl           : t_diag_wg;
@@ -83,7 +85,7 @@ architecture rtl of diag_wg_wideband_reg is
 
   signal mm_mon_ctrl          : t_diag_wg;
 
-  -- Registers in st_clk domain
+-- Registers in st_clk domain
 
 begin
 
@@ -185,17 +187,17 @@ begin
     -- Assume diag WG mode gets written last, so when diag WG mode is transfered properly to the st_clk domain, then
     -- the other diag WG control fields are stable as well
     u_mode : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_new      => mm_wg_ctrl_mode_wr,  -- when '1' then new in_dat is available after g_in_new_latency
-      in_dat      => mm_wg_ctrl.mode,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_wg_ctrl.mode,
-      out_new     => open  -- when '1' then the out_dat was updated with in_dat due to in_new
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_new      => mm_wg_ctrl_mode_wr,  -- when '1' then new in_dat is available after g_in_new_latency
+        in_dat      => mm_wg_ctrl.mode,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_wg_ctrl.mode,
+        out_new     => open  -- when '1' then the out_dat was updated with in_dat due to in_new
+      );
   end generate;
 
   -- The other wg_ctrl only take effect in diag_wg after the mode has been set
@@ -207,54 +209,54 @@ begin
   -- Read: ST to MM clock domain
   gen_cross_rd : if g_cross_clock_domain = true generate
     u_mode : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_mon_ctrl.mode,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_ctrl.mode
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_mon_ctrl.mode,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_ctrl.mode
+      );
 
     u_nof_samples : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_mon_ctrl.nof_samples,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_ctrl.nof_samples
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_mon_ctrl.nof_samples,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_ctrl.nof_samples
+      );
 
     u_freq : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_mon_ctrl.freq,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_ctrl.freq
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_mon_ctrl.freq,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_ctrl.freq
+      );
 
     u_phase : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_mon_ctrl.phase,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_ctrl.phase
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_mon_ctrl.phase,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_ctrl.phase
+      );
 
     u_ampl : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_mon_ctrl.ampl,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_ctrl.ampl
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_mon_ctrl.ampl,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_ctrl.ampl
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/mms_diag_block_gen.vhd b/libraries/base/diag/src/vhdl/mms_diag_block_gen.vhd
index 0a3d83d233..4a072e57f6 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_block_gen.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_block_gen.vhd
@@ -94,13 +94,13 @@
 
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_diag_block_gen is
   generic (
@@ -150,11 +150,13 @@ end mms_diag_block_gen;
 
 architecture rtl of mms_diag_block_gen is
 
-  constant c_buf                  : t_c_mem  := (latency  => 1,
-                                                 adr_w    => g_buf_addr_w,
-                                                 dat_w    => g_buf_dat_w,
-                                                 nof_dat  => 2**g_buf_addr_w,
-                                                 init_sl  => '0');
+  constant c_buf                  : t_c_mem  := (
+    latency  => 1,
+    adr_w    => g_buf_addr_w,
+    dat_w    => g_buf_dat_w,
+    nof_dat  => 2**g_buf_addr_w,
+    init_sl  => '0'
+  );
 
   constant c_post_buf_file        : string := ".hex";
 
@@ -205,32 +207,32 @@ begin
     mux_ctrl <= 0 when bg_ctrl.enable = '0' else 1;
 
     u_bg_ctrl : entity work.diag_block_gen_reg
-    generic map(
-      g_cross_clock_domain => true,  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
-      g_diag_block_gen_rst => g_diag_block_gen_rst
-    )
-    port map (
-      mm_rst  => mm_rst,  -- Clocks and reset
-      mm_clk  => mm_clk,
-      dp_rst  => dp_rst,
-      dp_clk  => dp_clk,
-      mm_mosi => reg_bg_ctrl_mosi,
-      mm_miso => reg_bg_ctrl_miso,
-      bg_ctrl => bg_ctrl
-    );
+      generic map(
+        g_cross_clock_domain => true,  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
+        g_diag_block_gen_rst => g_diag_block_gen_rst
+      )
+      port map (
+        mm_rst  => mm_rst,  -- Clocks and reset
+        mm_clk  => mm_clk,
+        dp_rst  => dp_rst,
+        dp_clk  => dp_clk,
+        mm_mosi => reg_bg_ctrl_mosi,
+        mm_miso => reg_bg_ctrl_miso,
+        bg_ctrl => bg_ctrl
+      );
 
     -- Combine the internal array of mm interfaces for the bg_data to one array that is connected to the port of the MM bus
     u_mem_mux_bg_data : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => g_nof_streams,
-      g_mult_addr_w => g_buf_addr_w
-    )
-    port map (
-      mosi     => ram_bg_data_mosi,
-      miso     => ram_bg_data_miso,
-      mosi_arr => ram_bg_data_mosi_arr,
-      miso_arr => ram_bg_data_miso_arr
-    );
+      generic map (
+        g_nof_mosi    => g_nof_streams,
+        g_mult_addr_w => g_buf_addr_w
+      )
+      port map (
+        mosi     => ram_bg_data_mosi,
+        miso     => ram_bg_data_miso,
+        mosi_arr => ram_bg_data_mosi_arr,
+        miso_arr => ram_bg_data_miso_arr
+      );
 
     gen_streams : for I in 0 to g_nof_streams - 1 generate
       no_buffer_ram : if g_use_bg_buffer_ram = false generate
@@ -243,53 +245,53 @@ begin
 
       gen_buffer_ram : if g_use_bg_buffer_ram = true generate
         u_buffer_ram : entity common_lib.common_ram_crw_crw
+          generic map (
+            g_technology => g_technology,
+            g_ram        => c_buf,
+            -- Sequence number and ".hex" extension are added to the relative path in case a ram file is provided.
+            g_init_file  => sel_a_b(g_file_name_prefix = "UNUSED", g_file_name_prefix, g_file_name_prefix & "_" & natural'image(g_file_index_arr(I)) & c_post_buf_file)
+          )
+          port map (
+            -- MM side
+            rst_a     => mm_rst,
+            clk_a     => mm_clk,
+            wr_en_a   => ram_bg_data_mosi_arr(I).wr,
+            wr_dat_a  => ram_bg_data_mosi_arr(I).wrdata(c_buf.dat_w - 1 downto 0),
+            adr_a     => ram_bg_data_mosi_arr(I).address(c_buf.adr_w - 1 downto 0),
+            rd_en_a   => ram_bg_data_mosi_arr(I).rd,
+            rd_dat_a  => ram_bg_data_miso_arr(I).rddata(c_buf.dat_w - 1 downto 0),
+            rd_val_a  => ram_bg_data_miso_arr(I).rdval,
+            -- Waveform side
+            rst_b     => dp_rst,
+            clk_b     => dp_clk,
+            wr_en_b   => '0',
+            wr_dat_b  => (others => '0'),
+            adr_b     => st_addr_arr(I),
+            rd_en_b   => st_rd_arr(I),
+            rd_dat_b  => st_rddata_arr(I),
+            rd_val_b  => st_rdval_arr(I)
+          );
+      end generate;
+
+      u_diag_block_gen : entity work.diag_block_gen
         generic map (
-          g_technology => g_technology,
-          g_ram        => c_buf,
-          -- Sequence number and ".hex" extension are added to the relative path in case a ram file is provided.
-          g_init_file  => sel_a_b(g_file_name_prefix = "UNUSED", g_file_name_prefix, g_file_name_prefix & "_" & natural'image(g_file_index_arr(I)) & c_post_buf_file)
+          g_blk_sync   => g_blk_sync,
+          g_buf_dat_w  => g_buf_dat_w,
+          g_buf_addr_w => g_buf_addr_w
         )
         port map (
-          -- MM side
-          rst_a     => mm_rst,
-          clk_a     => mm_clk,
-          wr_en_a   => ram_bg_data_mosi_arr(I).wr,
-          wr_dat_a  => ram_bg_data_mosi_arr(I).wrdata(c_buf.dat_w - 1 downto 0),
-          adr_a     => ram_bg_data_mosi_arr(I).address(c_buf.adr_w - 1 downto 0),
-          rd_en_a   => ram_bg_data_mosi_arr(I).rd,
-          rd_dat_a  => ram_bg_data_miso_arr(I).rddata(c_buf.dat_w - 1 downto 0),
-          rd_val_a  => ram_bg_data_miso_arr(I).rdval,
-          -- Waveform side
-          rst_b     => dp_rst,
-          clk_b     => dp_clk,
-          wr_en_b   => '0',
-          wr_dat_b  => (others => '0'),
-          adr_b     => st_addr_arr(I),
-          rd_en_b   => st_rd_arr(I),
-          rd_dat_b  => st_rddata_arr(I),
-          rd_val_b  => st_rdval_arr(I)
+          rst        => dp_rst,
+          clk        => dp_clk,
+          buf_addr   => st_addr_arr(I),
+          buf_rden   => st_rd_arr(I),
+          buf_rddat  => st_rddata_arr(I),
+          buf_rdval  => st_rdval_arr(I),
+          ctrl       => bg_ctrl,  -- same BG control for all streams
+          ctrl_hold  => bg_ctrl_hold_arr(I),  -- active BG control can differ in time per stream
+          en_sync    => en_sync,
+          out_siso   => bg_src_in_arr(I),
+          out_sosi   => bg_src_out_arr(I)
         );
-      end generate;
-
-      u_diag_block_gen : entity work.diag_block_gen
-      generic map (
-        g_blk_sync   => g_blk_sync,
-        g_buf_dat_w  => g_buf_dat_w,
-        g_buf_addr_w => g_buf_addr_w
-      )
-      port map (
-        rst        => dp_rst,
-        clk        => dp_clk,
-        buf_addr   => st_addr_arr(I),
-        buf_rden   => st_rd_arr(I),
-        buf_rddat  => st_rddata_arr(I),
-        buf_rdval  => st_rdval_arr(I),
-        ctrl       => bg_ctrl,  -- same BG control for all streams
-        ctrl_hold  => bg_ctrl_hold_arr(I),  -- active BG control can differ in time per stream
-        en_sync    => en_sync,
-        out_siso   => bg_src_in_arr(I),
-        out_sosi   => bg_src_out_arr(I)
-      );
     end generate;
   end generate;
 
@@ -305,7 +307,7 @@ begin
     -- User input only, BG only or no input
     mux_src_out_arr <= usr_sosi_arr             when g_use_usr_input = true else
                        bg_src_out_arr           when g_use_bg = true        else
-                      (others => c_dp_sosi_rst);
+                       (others => c_dp_sosi_rst);
   end generate;
 
 
@@ -316,19 +318,19 @@ begin
     gen_streams : for I in 0 to g_nof_streams - 1 generate
       -- Add user xon flow control if the user input does not already support it
       u_dp_xonoff : entity dp_lib.dp_xonoff
-      generic map (
-        g_bypass => g_usr_bypass_xonoff  -- if the user input already has xon flow control then bypass using g_usr_bypass_xonoff=TRUE
-      )
-      port map (
-        rst           => dp_rst,
-        clk           => dp_clk,
-        -- Frame in
-        in_siso       => usr_siso_arr(I),
-        in_sosi       => usr_sosi_arr(I),
-        -- Frame out
-        out_siso      => usr_xflow_src_in_arr(I),  -- flush control via out_siso.xon
-        out_sosi      => usr_xflow_src_out_arr(I)
-      );
+        generic map (
+          g_bypass => g_usr_bypass_xonoff  -- if the user input already has xon flow control then bypass using g_usr_bypass_xonoff=TRUE
+        )
+        port map (
+          rst           => dp_rst,
+          clk           => dp_clk,
+          -- Frame in
+          in_siso       => usr_siso_arr(I),
+          in_sosi       => usr_sosi_arr(I),
+          -- Frame out
+          out_siso      => usr_xflow_src_in_arr(I),  -- flush control via out_siso.xon
+          out_sosi      => usr_xflow_src_out_arr(I)
+        );
 
       -- Multiplex the inputs:
       -- . [0] = usr input
@@ -340,30 +342,30 @@ begin
       mux_snk_in_2arr_2(I)(1) <= bg_src_out_arr(I);
 
       u_dp_mux : entity dp_lib.dp_mux
-      generic map (
-        g_technology        => g_technology,
-        -- MUX
-        g_mode              => 4,  -- g_mode=4 for framed input select via sel_ctrl
-        g_nof_input         => c_mux_nof_input,  -- >= 1
-        g_append_channel_lo => false,
-        g_sel_ctrl_invert   => true,  -- Use default FALSE when stream array IO are indexed (0 TO g_nof_input-1), else use TRUE when indexed (g_nof_input-1 DOWNTO 0)
-        -- Input FIFO
-        g_use_fifo          => false,
-        g_fifo_size         => array_init(1024, c_mux_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
-        g_fifo_fill         => array_init(   0, c_mux_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
-      )
-      port map (
-        rst         => dp_rst,
-        clk         => dp_clk,
-        -- Control
-        sel_ctrl    => mux_ctrl,  -- 0 = usr, 1 = BG
-        -- ST sinks
-        snk_out_arr => mux_snk_out_2arr_2(I),  -- [c_mux_nof_input-1:0]
-        snk_in_arr  => mux_snk_in_2arr_2(I),  -- [c_mux_nof_input-1:0]
-        -- ST source
-        src_in      => mux_src_in_arr(I),
-        src_out     => mux_src_out_arr(I)
-      );
+        generic map (
+          g_technology        => g_technology,
+          -- MUX
+          g_mode              => 4,  -- g_mode=4 for framed input select via sel_ctrl
+          g_nof_input         => c_mux_nof_input,  -- >= 1
+          g_append_channel_lo => false,
+          g_sel_ctrl_invert   => true,  -- Use default FALSE when stream array IO are indexed (0 TO g_nof_input-1), else use TRUE when indexed (g_nof_input-1 DOWNTO 0)
+          -- Input FIFO
+          g_use_fifo          => false,
+          g_fifo_size         => array_init(1024, c_mux_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
+          g_fifo_fill         => array_init(   0, c_mux_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
+        )
+        port map (
+          rst         => dp_rst,
+          clk         => dp_clk,
+          -- Control
+          sel_ctrl    => mux_ctrl,  -- 0 = usr, 1 = BG
+          -- ST sinks
+          snk_out_arr => mux_snk_out_2arr_2(I),  -- [c_mux_nof_input-1:0]
+          snk_in_arr  => mux_snk_in_2arr_2(I),  -- [c_mux_nof_input-1:0]
+          -- ST source
+          src_in      => mux_src_in_arr(I),
+          src_out     => mux_src_out_arr(I)
+        );
     end generate;
   end generate;
 
@@ -376,29 +378,29 @@ begin
 
   gen_tx_seq : if g_use_tx_seq = true generate
     u_mms_diag_tx_seq : entity work.mms_diag_tx_seq
-    generic map (
-      g_use_usr_input => c_use_tx_seq_input,
-      g_mm_broadcast  => c_reg_tx_seq_broadcast,
-      g_nof_streams   => g_nof_streams,
-      g_seq_dat_w     => g_seq_dat_w
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- MM interface
-      reg_mosi       => reg_tx_seq_mosi,
-      reg_miso       => reg_tx_seq_miso,
-
-      -- DP streaming interface
-      usr_snk_out_arr => mux_src_in_arr,  -- connect when g_use_usr_input=TRUE, else leave not connected
-      usr_snk_in_arr  => mux_src_out_arr,
-      tx_src_out_arr  => out_sosi_arr,
-      tx_src_in_arr   => out_siso_arr
-    );
+      generic map (
+        g_use_usr_input => c_use_tx_seq_input,
+        g_mm_broadcast  => c_reg_tx_seq_broadcast,
+        g_nof_streams   => g_nof_streams,
+        g_seq_dat_w     => g_seq_dat_w
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- MM interface
+        reg_mosi       => reg_tx_seq_mosi,
+        reg_miso       => reg_tx_seq_miso,
+
+        -- DP streaming interface
+        usr_snk_out_arr => mux_src_in_arr,  -- connect when g_use_usr_input=TRUE, else leave not connected
+        usr_snk_in_arr  => mux_src_out_arr,
+        tx_src_out_arr  => out_sosi_arr,
+        tx_src_in_arr   => out_siso_arr
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/base/diag/src/vhdl/mms_diag_data_buffer.vhd b/libraries/base/diag/src/vhdl/mms_diag_data_buffer.vhd
index 750bea9c85..5ae37c1574 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_data_buffer.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_data_buffer.vhd
@@ -58,13 +58,13 @@
 --   capture some data before and after the trigger event.
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_diag_data_buffer is
   generic (
@@ -134,28 +134,28 @@ begin
   gen_db : if g_use_db = true generate
     -- Combine the internal array of mm interfaces for the data_buf to one array that is connected to the port of the MM bus
     u_mem_mux_data_buf : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => g_nof_streams,
-      g_mult_addr_w => c_buf_adr_w
-    )
-    port map (
-      mosi     => ram_data_buf_mosi,
-      miso     => ram_data_buf_miso,
-      mosi_arr => ram_data_buf_mosi_arr,
-      miso_arr => ram_data_buf_miso_arr
-    );
+      generic map (
+        g_nof_mosi    => g_nof_streams,
+        g_mult_addr_w => c_buf_adr_w
+      )
+      port map (
+        mosi     => ram_data_buf_mosi,
+        miso     => ram_data_buf_miso,
+        mosi_arr => ram_data_buf_mosi_arr,
+        miso_arr => ram_data_buf_miso_arr
+      );
 
     u_mem_mux_reg : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => g_nof_streams,
-      g_mult_addr_w => c_reg_adr_w
-    )
-    port map (
-      mosi     => reg_data_buf_mosi,
-      miso     => reg_data_buf_miso,
-      mosi_arr => reg_data_buf_mosi_arr,
-      miso_arr => reg_data_buf_miso_arr
-    );
+      generic map (
+        g_nof_mosi    => g_nof_streams,
+        g_mult_addr_w => c_reg_adr_w
+      )
+      port map (
+        mosi     => reg_data_buf_mosi,
+        miso     => reg_data_buf_miso,
+        mosi_arr => reg_data_buf_mosi_arr,
+        miso_arr => reg_data_buf_miso_arr
+      );
 
     gen_stream : for I in 0 to g_nof_streams - 1 generate
       in_data_arr(I) <= in_sosi_arr(I).im(g_data_w / 2 - 1 downto 0) & in_sosi_arr(I).re(g_data_w / 2 - 1 downto 0) when g_data_type = e_complex else
@@ -164,31 +164,31 @@ begin
                         in_sosi_arr(I).data(g_data_w - 1 downto 0);  -- g_data_type=e_data is default
 
       u_diag_data_buffer : entity work.diag_data_buffer
-      generic map (
-        g_technology  => g_technology,
-        g_data_w      => g_data_w,
-        g_nof_data    => g_buf_nof_data,
-        g_use_in_sync => g_buf_use_sync  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
-      )
-      port map (
-        -- Memory-mapped clock domain
-        mm_rst      => mm_rst,
-        mm_clk      => mm_clk,
-
-        ram_mm_mosi => ram_data_buf_mosi_arr(I),
-        ram_mm_miso => ram_data_buf_miso_arr(I),
-
-        reg_mm_mosi => reg_data_buf_mosi_arr(I),
-        reg_mm_miso => reg_data_buf_miso_arr(I),
-
-        -- Streaming clock domain
-        st_rst      => dp_rst,
-        st_clk      => dp_clk,
-
-        in_data     => in_data_arr(I),
-        in_sync     => in_sync,
-        in_val      => in_sosi_arr(I).valid
-      );
+        generic map (
+          g_technology  => g_technology,
+          g_data_w      => g_data_w,
+          g_nof_data    => g_buf_nof_data,
+          g_use_in_sync => g_buf_use_sync  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
+        )
+        port map (
+          -- Memory-mapped clock domain
+          mm_rst      => mm_rst,
+          mm_clk      => mm_clk,
+
+          ram_mm_mosi => ram_data_buf_mosi_arr(I),
+          ram_mm_miso => ram_data_buf_miso_arr(I),
+
+          reg_mm_mosi => reg_data_buf_mosi_arr(I),
+          reg_mm_miso => reg_data_buf_miso_arr(I),
+
+          -- Streaming clock domain
+          st_rst      => dp_rst,
+          st_clk      => dp_clk,
+
+          in_data     => in_data_arr(I),
+          in_sync     => in_sync,
+          in_val      => in_sosi_arr(I).valid
+        );
     end generate;
   end generate;
 
@@ -198,27 +198,27 @@ begin
 
   gen_rx_seq : if g_use_rx_seq = true generate
     u_mms_diag_rx_seq : entity work.mms_diag_rx_seq
-    generic map (
-      g_nof_streams => g_nof_streams,
-      g_use_steps   => g_use_steps,
-      g_nof_steps   => g_nof_steps,
-      g_seq_dat_w   => g_seq_dat_w,  -- >= 1, test sequence data width
-      g_data_w      => g_data_w  -- >= g_seq_dat_w, user data width
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Memory Mapped Slave
-      reg_mosi       => reg_rx_seq_mosi,  -- multiplexed port for g_nof_streams MM control/status registers
-      reg_miso       => reg_rx_seq_miso,
-
-      -- Streaming interface
-      rx_snk_in_arr  => in_sosi_arr
-    );
+      generic map (
+        g_nof_streams => g_nof_streams,
+        g_use_steps   => g_use_steps,
+        g_nof_steps   => g_nof_steps,
+        g_seq_dat_w   => g_seq_dat_w,  -- >= 1, test sequence data width
+        g_data_w      => g_data_w  -- >= g_seq_dat_w, user data width
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Memory Mapped Slave
+        reg_mosi       => reg_rx_seq_mosi,  -- multiplexed port for g_nof_streams MM control/status registers
+        reg_miso       => reg_rx_seq_miso,
+
+        -- Streaming interface
+        rx_snk_in_arr  => in_sosi_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/mms_diag_data_buffer_dev.vhd b/libraries/base/diag/src/vhdl/mms_diag_data_buffer_dev.vhd
index 799e3fdc23..0d08392cb9 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_data_buffer_dev.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_data_buffer_dev.vhd
@@ -58,13 +58,13 @@
 --   capture some data before and after the trigger event.
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_diag_data_buffer_dev is
   generic (
@@ -135,28 +135,28 @@ begin
   gen_db : if g_use_db = true generate
     -- Combine the internal array of mm interfaces for the data_buf to one array that is connected to the port of the MM bus
     u_mem_mux_data_buf : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => g_nof_streams,
-      g_mult_addr_w => c_buf_adr_w
-    )
-    port map (
-      mosi     => ram_data_buf_mosi,
-      miso     => ram_data_buf_miso,
-      mosi_arr => ram_data_buf_mosi_arr,
-      miso_arr => ram_data_buf_miso_arr
-    );
+      generic map (
+        g_nof_mosi    => g_nof_streams,
+        g_mult_addr_w => c_buf_adr_w
+      )
+      port map (
+        mosi     => ram_data_buf_mosi,
+        miso     => ram_data_buf_miso,
+        mosi_arr => ram_data_buf_mosi_arr,
+        miso_arr => ram_data_buf_miso_arr
+      );
 
     u_mem_mux_reg : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => g_nof_streams,
-      g_mult_addr_w => c_reg_adr_w
-    )
-    port map (
-      mosi     => reg_data_buf_mosi,
-      miso     => reg_data_buf_miso,
-      mosi_arr => reg_data_buf_mosi_arr,
-      miso_arr => reg_data_buf_miso_arr
-    );
+      generic map (
+        g_nof_mosi    => g_nof_streams,
+        g_mult_addr_w => c_reg_adr_w
+      )
+      port map (
+        mosi     => reg_data_buf_mosi,
+        miso     => reg_data_buf_miso,
+        mosi_arr => reg_data_buf_mosi_arr,
+        miso_arr => reg_data_buf_miso_arr
+      );
 
     gen_stream : for I in 0 to g_nof_streams - 1 generate
       in_data_arr(I) <= in_sosi_arr(I).im(g_data_w / 2 - 1 downto 0) & in_sosi_arr(I).re(g_data_w / 2 - 1 downto 0) when g_data_type = e_complex else
@@ -165,32 +165,32 @@ begin
                         in_sosi_arr(I).data(g_data_w - 1 downto 0);  -- g_data_type=e_data is default
 
       u_diag_data_buffer : entity work.diag_data_buffer_dev
-      generic map (
-        g_technology  => g_technology,
-        g_data_w      => g_data_w,
-        g_nof_data    => g_buf_nof_data,
-        g_use_in_sync => g_buf_use_sync  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
-      )
-      port map (
-        -- Memory-mapped clock domain
-        mm_rst      => mm_rst,
-        mm_clk      => mm_clk,
-
-        ram_mm_mosi => ram_data_buf_mosi_arr(I),
-        ram_mm_miso => ram_data_buf_miso_arr(I),
-
-        reg_mm_mosi => reg_data_buf_mosi_arr(I),
-        reg_mm_miso => reg_data_buf_miso_arr(I),
-
-        -- Streaming clock domain
-        st_rst      => dp_rst,
-        st_clk      => dp_clk,
-
-        in_data     => in_data_arr(I),
-        in_sync     => in_sync,
-        in_val      => in_sosi_arr(I).valid,
-        out_wr_done => out_wr_done_arr(I)
-      );
+        generic map (
+          g_technology  => g_technology,
+          g_data_w      => g_data_w,
+          g_nof_data    => g_buf_nof_data,
+          g_use_in_sync => g_buf_use_sync  -- when TRUE start filling the buffer at the in_sync, else after the last word was read
+        )
+        port map (
+          -- Memory-mapped clock domain
+          mm_rst      => mm_rst,
+          mm_clk      => mm_clk,
+
+          ram_mm_mosi => ram_data_buf_mosi_arr(I),
+          ram_mm_miso => ram_data_buf_miso_arr(I),
+
+          reg_mm_mosi => reg_data_buf_mosi_arr(I),
+          reg_mm_miso => reg_data_buf_miso_arr(I),
+
+          -- Streaming clock domain
+          st_rst      => dp_rst,
+          st_clk      => dp_clk,
+
+          in_data     => in_data_arr(I),
+          in_sync     => in_sync,
+          in_val      => in_sosi_arr(I).valid,
+          out_wr_done => out_wr_done_arr(I)
+        );
     end generate;
   end generate;
 
@@ -200,27 +200,27 @@ begin
 
   gen_rx_seq : if g_use_rx_seq = true generate
     u_mms_diag_rx_seq : entity work.mms_diag_rx_seq
-    generic map (
-      g_nof_streams => g_nof_streams,
-      g_use_steps   => g_use_steps,
-      g_nof_steps   => g_nof_steps,
-      g_seq_dat_w   => g_seq_dat_w,  -- >= 1, test sequence data width
-      g_data_w      => g_data_w  -- >= g_seq_dat_w, user data width
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Memory Mapped Slave
-      reg_mosi       => reg_rx_seq_mosi,  -- multiplexed port for g_nof_streams MM control/status registers
-      reg_miso       => reg_rx_seq_miso,
-
-      -- Streaming interface
-      rx_snk_in_arr  => in_sosi_arr
-    );
+      generic map (
+        g_nof_streams => g_nof_streams,
+        g_use_steps   => g_use_steps,
+        g_nof_steps   => g_nof_steps,
+        g_seq_dat_w   => g_seq_dat_w,  -- >= 1, test sequence data width
+        g_data_w      => g_data_w  -- >= g_seq_dat_w, user data width
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Memory Mapped Slave
+        reg_mosi       => reg_rx_seq_mosi,  -- multiplexed port for g_nof_streams MM control/status registers
+        reg_miso       => reg_rx_seq_miso,
+
+        -- Streaming interface
+        rx_snk_in_arr  => in_sosi_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/mms_diag_rx_seq.vhd b/libraries/base/diag/src/vhdl/mms_diag_rx_seq.vhd
index 6c879f1d14..89621734b2 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_rx_seq.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_rx_seq.vhd
@@ -95,13 +95,13 @@
 --   COUNTER increment values.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.diag_pkg.all;
 
 entity mms_diag_rx_seq is
   generic (
@@ -131,21 +131,23 @@ end mms_diag_rx_seq;
 architecture str of mms_diag_rx_seq is
 
   -- Define MM slave register size
-  constant c_mm_reg      : t_c_mem  := (latency  => 1,
-                                        adr_w    => c_diag_seq_rx_reg_adr_w,
-                                        dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                        nof_dat  => c_diag_seq_rx_reg_nof_dat,
-                                        init_sl  => '0');
+  constant c_mm_reg      : t_c_mem  := (
+    latency  => 1,
+    adr_w    => c_diag_seq_rx_reg_adr_w,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_diag_seq_rx_reg_nof_dat,
+    init_sl  => '0'
+  );
 
   -- Define MM slave register fields for Python peripheral using pi_common.py (specify MM register access per word, not per individual bit because mm_fields assumes 1 field per MM word)
   constant c_mm_reg_field_arr : t_common_field_arr(c_mm_reg.nof_dat - 1 downto 0) := ( ( field_name_pad("step_3"),    "RW", c_word_w, field_default(0) ),  -- [7] = diag_steps_arr[3], c_diag_seq_rx_reg_nof_steps = 4
-                                                                                     ( field_name_pad("step_2"),    "RW", c_word_w, field_default(0) ),  -- [6] = diag_steps_arr[2]
-                                                                                     ( field_name_pad("step_1"),    "RW", c_word_w, field_default(0) ),  -- [5] = diag_steps_arr[1]
-                                                                                     ( field_name_pad("step_0"),    "RW", c_word_w, field_default(0) ),  -- [4] = diag_steps_arr[0]
-                                                                                     ( field_name_pad("rx_sample"), "RO", c_word_w, field_default(0) ),  -- [3]
-                                                                                     ( field_name_pad("rx_cnt"),    "RO", c_word_w, field_default(0) ),  -- [2]
-                                                                                     ( field_name_pad("result"),    "RO",        2, field_default(0) ),  -- [1] = result[1:0]  = res_val_n & res_ok_n
-                                                                                     ( field_name_pad("control"),   "RW",        2, field_default(0) ));  -- [0] = control[1:0] = diag_sel & diag_en
+    ( field_name_pad("step_2"),    "RW", c_word_w, field_default(0) ),  -- [6] = diag_steps_arr[2]
+    ( field_name_pad("step_1"),    "RW", c_word_w, field_default(0) ),  -- [5] = diag_steps_arr[1]
+    ( field_name_pad("step_0"),    "RW", c_word_w, field_default(0) ),  -- [4] = diag_steps_arr[0]
+    ( field_name_pad("rx_sample"), "RO", c_word_w, field_default(0) ),  -- [3]
+    ( field_name_pad("rx_cnt"),    "RO", c_word_w, field_default(0) ),  -- [2]
+    ( field_name_pad("result"),    "RO",        2, field_default(0) ),  -- [1] = result[1:0]  = res_val_n & res_ok_n
+    ( field_name_pad("control"),   "RW",        2, field_default(0) ));  -- [0] = control[1:0] = diag_sel & diag_en
 
   constant c_reg_slv_w   : natural := c_mm_reg.nof_dat * c_mm_reg.dat_w;
   constant c_reg_dat_w   : natural := smallest(c_word_w, g_seq_dat_w);
@@ -217,34 +219,34 @@ begin
 
     -- detect rx sequence errors
     u_diag_rx_seq: entity WORK.diag_rx_seq
-    generic map (
-      g_use_steps       => g_use_steps,
-      g_nof_steps       => g_nof_steps,
-      g_cnt_w           => c_word_w,
-      g_dat_w           => g_seq_dat_w,
-      g_diag_res_w      => g_seq_dat_w  -- do not use g_seq_dat_w+1 to include NOT diag_res_val in MSbit, instead use diag_res_val output
-    )
-    port map (
-      rst               => dp_rst,
-      clk               => dp_clk,
-
-      -- Write and read back registers:
-      diag_en           => diag_en_arr(I),
-      diag_sel          => diag_sel_arr(I),
-      diag_steps_arr    => diag_steps_2arr(I),
-
-      -- Read only registers:
-      diag_res          => diag_res_arr(I),
-      diag_res_val      => diag_res_val_arr(I),
-      diag_sample       => rx_sample_arr(I),
-      diag_sample_diff  => rx_sample_diff_arr(I),
-      diag_sample_val   => rx_sample_val_arr(I),
-
-      -- Streaming
-      in_cnt            => rx_cnt_arr(I),
-      in_dat            => rx_seq_arr(I),
-      in_val            => rx_seq_val_arr(I)
-    );
+      generic map (
+        g_use_steps       => g_use_steps,
+        g_nof_steps       => g_nof_steps,
+        g_cnt_w           => c_word_w,
+        g_dat_w           => g_seq_dat_w,
+        g_diag_res_w      => g_seq_dat_w  -- do not use g_seq_dat_w+1 to include NOT diag_res_val in MSbit, instead use diag_res_val output
+      )
+      port map (
+        rst               => dp_rst,
+        clk               => dp_clk,
+
+        -- Write and read back registers:
+        diag_en           => diag_en_arr(I),
+        diag_sel          => diag_sel_arr(I),
+        diag_steps_arr    => diag_steps_2arr(I),
+
+        -- Read only registers:
+        diag_res          => diag_res_arr(I),
+        diag_res_val      => diag_res_val_arr(I),
+        diag_sample       => rx_sample_arr(I),
+        diag_sample_diff  => rx_sample_diff_arr(I),
+        diag_sample_val   => rx_sample_val_arr(I),
+
+        -- Streaming
+        in_cnt            => rx_cnt_arr(I),
+        in_dat            => rx_seq_arr(I),
+        in_val            => rx_seq_val_arr(I)
+      );
 
     -- Map diag_res to single bit and register it to ease timing closure
     stat_res_ok_n_arr(I)  <= orv(diag_res_arr(I))    when rising_edge(dp_clk);
@@ -272,40 +274,40 @@ begin
     end process;
 
     u_reg : entity common_lib.common_reg_r_w_dc
-    generic map (
-      g_cross_clock_domain => true,
-      g_readback           => false,  -- must use FALSE for write/read or read only register when g_cross_clock_domain=TRUE
-      g_reg                => c_mm_reg
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      st_rst      => dp_rst,
-      st_clk      => dp_clk,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in      => reg_mosi_arr(I),
-      sla_out     => reg_miso_arr(I),
-
-      -- MM registers in dp_clk domain
-      in_reg      => stat_reg_arr(I),
-      out_reg     => ctrl_reg_arr(I)
-    );
+      generic map (
+        g_cross_clock_domain => true,
+        g_readback           => false,  -- must use FALSE for write/read or read only register when g_cross_clock_domain=TRUE
+        g_reg                => c_mm_reg
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        st_rst      => dp_rst,
+        st_clk      => dp_clk,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in      => reg_mosi_arr(I),
+        sla_out     => reg_miso_arr(I),
+
+        -- MM registers in dp_clk domain
+        in_reg      => stat_reg_arr(I),
+        out_reg     => ctrl_reg_arr(I)
+      );
   end generate;
 
   -- Combine the internal array of mm interfaces for the bg_data to one array that is connected to the port of the MM bus
   u_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_mm_reg.adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_mm_reg.adr_w
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
 end str;
 
diff --git a/libraries/base/diag/src/vhdl/mms_diag_tx_seq.vhd b/libraries/base/diag/src/vhdl/mms_diag_tx_seq.vhd
index 24fdcbad24..11461f4029 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_tx_seq.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_tx_seq.vhd
@@ -151,12 +151,12 @@
 
 
 library IEEE, common_lib, dp_lib;  -- init value for out_dat when diag_en = '0'
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.diag_pkg.all;
 
 entity mms_diag_tx_seq is
   generic (
@@ -188,17 +188,19 @@ end mms_diag_tx_seq;
 architecture str of mms_diag_tx_seq is
 
   -- Define MM slave register size
-  constant c_mm_reg      : t_c_mem  := (latency  => 1,
-                                        adr_w    => c_diag_seq_tx_reg_adr_w,
-                                        dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                        nof_dat  => c_diag_seq_tx_reg_nof_dat,
-                                        init_sl  => '0');
+  constant c_mm_reg      : t_c_mem  := (
+    latency  => 1,
+    adr_w    => c_diag_seq_tx_reg_adr_w,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_diag_seq_tx_reg_nof_dat,
+    init_sl  => '0'
+  );
 
   -- Define MM slave register fields for Python peripheral using pi_common.py (specify MM register access per word, not per individual bit because mm_fields assumes 1 field per MM word)
   constant c_mm_reg_field_arr : t_common_field_arr(c_mm_reg.nof_dat - 1 downto 0) := ( ( field_name_pad("modulo"),  "RW", c_word_w, field_default(0) ),
-                                                                                     ( field_name_pad("tx_cnt"),  "RO", c_word_w, field_default(0) ),
-                                                                                     ( field_name_pad("init"),    "RW", c_word_w, field_default(0) ),
-                                                                                     ( field_name_pad("control"), "RW",        3, field_default(0) ));  -- control[2:0] = diag_dc & diag_sel & diag_en
+    ( field_name_pad("tx_cnt"),  "RO", c_word_w, field_default(0) ),
+    ( field_name_pad("init"),    "RW", c_word_w, field_default(0) ),
+    ( field_name_pad("control"), "RW",        3, field_default(0) ));  -- control[2:0] = diag_dc & diag_sel & diag_en
 
   constant c_reg_slv_w   : natural := c_mm_reg.nof_dat * c_mm_reg.dat_w;
 
@@ -243,28 +245,28 @@ begin
 
   gen_nof_streams: for I in 0 to g_nof_streams - 1 generate
     u_diag_tx_seq: entity WORK.diag_tx_seq
-    generic map (
-      g_latency  => c_latency,
-      g_cnt_w    => c_word_w,
-      g_dat_w    => g_seq_dat_w
-    )
-    port map (
-      rst       => dp_rst,
-      clk       => dp_clk,
-
-      -- Write and read back registers:
-      diag_en   => diag_en_arr(I),
-      diag_sel  => diag_sel_arr(I),
-      diag_dc   => diag_dc_arr(I),
-      diag_init => diag_init_arr(I),
-      diag_mod  => diag_mod_arr(I),
-
-      -- Streaming
-      diag_req  => tx_req_arr(I),
-      out_cnt   => tx_cnt_arr(I),
-      out_dat   => tx_dat_arr(I),
-      out_val   => tx_val_arr(I)
-    );
+      generic map (
+        g_latency  => c_latency,
+        g_cnt_w    => c_word_w,
+        g_dat_w    => g_seq_dat_w
+      )
+      port map (
+        rst       => dp_rst,
+        clk       => dp_clk,
+
+        -- Write and read back registers:
+        diag_en   => diag_en_arr(I),
+        diag_sel  => diag_sel_arr(I),
+        diag_dc   => diag_dc_arr(I),
+        diag_init => diag_init_arr(I),
+        diag_mod  => diag_mod_arr(I),
+
+        -- Streaming
+        diag_req  => tx_req_arr(I),
+        out_cnt   => tx_cnt_arr(I),
+        out_dat   => tx_dat_arr(I),
+        out_val   => tx_val_arr(I)
+      );
 
     tx_req_arr(I) <= tx_seq_src_in_arr(I).ready;
 
@@ -293,41 +295,41 @@ begin
     end process;
 
     u_reg : entity common_lib.common_reg_r_w_dc
-    generic map (
-      g_cross_clock_domain => true,
-      g_readback           => false,  -- must use FALSE for write/read or read only register when g_cross_clock_domain=TRUE
-      g_reg                => c_mm_reg
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      st_rst      => dp_rst,
-      st_clk      => dp_clk,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in      => reg_mosi_arr(I),
-      sla_out     => reg_miso_arr(I),
-
-      -- MM registers in dp_clk domain
-      in_reg      => stat_reg_arr(I),  -- connect out_reg to in_reg for write and readback register
-      out_reg     => ctrl_reg_arr(I)
-    );
+      generic map (
+        g_cross_clock_domain => true,
+        g_readback           => false,  -- must use FALSE for write/read or read only register when g_cross_clock_domain=TRUE
+        g_reg                => c_mm_reg
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        st_rst      => dp_rst,
+        st_clk      => dp_clk,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in      => reg_mosi_arr(I),
+        sla_out     => reg_miso_arr(I),
+
+        -- MM registers in dp_clk domain
+        in_reg      => stat_reg_arr(I),  -- connect out_reg to in_reg for write and readback register
+        out_reg     => ctrl_reg_arr(I)
+      );
   end generate;
 
   -- Combine the internal array of mm interfaces for the bg_data to one array that is connected to the port of the MM bus
   u_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_broadcast   => g_mm_broadcast,
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_mm_reg.adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_broadcast   => g_mm_broadcast,
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_mm_reg.adr_w
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   ignore_usr_input : if g_use_usr_input = false generate
     -- flow control
@@ -370,19 +372,19 @@ begin
 
     -- Pipeline the streams by 1 to register the mux_seq_src_out_arr data to ease timing closure given that c_tx_seq_latency=0
     u_dp_pipeline_arr : entity dp_lib.dp_pipeline_arr
-    generic map (
-      g_nof_streams => g_nof_streams
-    )
-    port map (
-      rst          => dp_rst,
-      clk          => dp_clk,
-      -- ST sink
-      snk_out_arr  => mux_seq_src_in_arr,
-      snk_in_arr   => mux_seq_src_out_arr,
-      -- ST source
-      src_in_arr   => tx_src_in_arr,
-      src_out_arr  => tx_src_out_arr
-    );
+      generic map (
+        g_nof_streams => g_nof_streams
+      )
+      port map (
+        rst          => dp_rst,
+        clk          => dp_clk,
+        -- ST sink
+        snk_out_arr  => mux_seq_src_in_arr,
+        snk_in_arr   => mux_seq_src_out_arr,
+        -- ST source
+        src_in_arr   => tx_src_in_arr,
+        src_out_arr  => tx_src_out_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/mms_diag_wg_wideband.vhd b/libraries/base/diag/src/vhdl/mms_diag_wg_wideband.vhd
index dfa264de60..e0a0d49bf5 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_wg_wideband.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_wg_wideband.vhd
@@ -28,12 +28,12 @@
 --
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_diag_wg_wideband is
   generic (
@@ -86,65 +86,65 @@ architecture str of mms_diag_wg_wideband is
 begin
 
   u_mm_reg : entity work.diag_wg_wideband_reg
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_mosi,
-    sla_out     => reg_miso,
-
-    -- MM registers in st_clk domain
-    st_wg_ctrl  => st_wg_ctrl,
-    st_mon_ctrl => st_mon_ctrl
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_mosi,
+      sla_out     => reg_miso,
+
+      -- MM registers in st_clk domain
+      st_wg_ctrl  => st_wg_ctrl,
+      st_mon_ctrl => st_mon_ctrl
+    );
 
   u_wg_wideband : entity work.diag_wg_wideband
-  generic map (
-    g_technology        => g_technology,
-    -- Use g_buf_dir to be able to have different path to waveform file for sim and for synth
-    g_buf_dir           => g_buf_dir,
-
-    -- Wideband parameters
-    g_wideband_factor   => g_wideband_factor,
-
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w         => g_buf_dat_w,
-    g_buf_addr_w        => g_buf_addr_w,
-    g_calc_support      => g_calc_support,
-    g_calc_gain_w       => g_calc_gain_w,
-    g_calc_dat_w        => g_calc_dat_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-
-    mm_wrdata            => buf_mosi.wrdata(g_buf_dat_w - 1 downto 0),
-    mm_address           => buf_mosi.address(g_buf_addr_w - 1 downto 0),
-    mm_wr                => buf_mosi.wr,
-    mm_rd                => buf_mosi.rd,
-    mm_rdval             => buf_miso.rdval,
-    mm_rddata            => buf_miso.rddata(g_buf_dat_w - 1 downto 0),
-
-    -- Streaming clock domain
-    st_rst               => st_rst,
-    st_clk               => st_clk,
-    st_restart           => st_restart,
-
-    st_ctrl              => st_wg_ctrl,
-    st_mon_ctrl          => st_mon_ctrl,
-
-    out_ovr              => out_ovr,
-    out_dat              => out_dat,
-    out_val              => out_val,
-    out_sync             => out_sync
-  );
+    generic map (
+      g_technology        => g_technology,
+      -- Use g_buf_dir to be able to have different path to waveform file for sim and for synth
+      g_buf_dir           => g_buf_dir,
+
+      -- Wideband parameters
+      g_wideband_factor   => g_wideband_factor,
+
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w         => g_buf_dat_w,
+      g_buf_addr_w        => g_buf_addr_w,
+      g_calc_support      => g_calc_support,
+      g_calc_gain_w       => g_calc_gain_w,
+      g_calc_dat_w        => g_calc_dat_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+
+      mm_wrdata            => buf_mosi.wrdata(g_buf_dat_w - 1 downto 0),
+      mm_address           => buf_mosi.address(g_buf_addr_w - 1 downto 0),
+      mm_wr                => buf_mosi.wr,
+      mm_rd                => buf_mosi.rd,
+      mm_rdval             => buf_miso.rdval,
+      mm_rddata            => buf_miso.rddata(g_buf_dat_w - 1 downto 0),
+
+      -- Streaming clock domain
+      st_rst               => st_rst,
+      st_clk               => st_clk,
+      st_restart           => st_restart,
+
+      st_ctrl              => st_wg_ctrl,
+      st_mon_ctrl          => st_mon_ctrl,
+
+      out_ovr              => out_ovr,
+      out_dat              => out_dat,
+      out_val              => out_val,
+      out_sync             => out_sync
+    );
 
 end str;
diff --git a/libraries/base/diag/src/vhdl/mms_diag_wg_wideband_arr.vhd b/libraries/base/diag/src/vhdl/mms_diag_wg_wideband_arr.vhd
index f7d439ef96..1c8e5f84d7 100644
--- a/libraries/base/diag/src/vhdl/mms_diag_wg_wideband_arr.vhd
+++ b/libraries/base/diag/src/vhdl/mms_diag_wg_wideband_arr.vhd
@@ -27,13 +27,13 @@
 --   no need to make a mms_diag_wg.vhd.
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_diag_wg_wideband_arr is
   generic (
@@ -93,63 +93,63 @@ architecture str of mms_diag_wg_wideband_arr is
 begin
 
   u_common_mem_mux_reg : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_reg_adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_reg_adr_w
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   u_common_mem_mux_buf : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => g_buf_addr_w
-  )
-  port map (
-    mosi     => buf_mosi,
-    miso     => buf_miso,
-    mosi_arr => buf_mosi_arr,
-    miso_arr => buf_miso_arr
-  );
-
-  gen_wg : for I in 0 to g_nof_streams - 1 generate
-    u_mms_diag_wg_wideband : entity work.mms_diag_wg_wideband
     generic map (
-      g_technology         => g_technology,
-      g_cross_clock_domain => g_cross_clock_domain,
-      g_buf_dir            => g_buf_dir,
-      g_wideband_factor    => g_wideband_factor,
-      g_buf_dat_w          => g_buf_dat_w,
-      g_buf_addr_w         => g_buf_addr_w,
-      g_calc_support       => g_calc_support,
-      g_calc_gain_w        => g_calc_gain_w,
-      g_calc_dat_w         => g_calc_dat_w
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => g_buf_addr_w
     )
     port map (
-   -- Memory-mapped clock domain
-      mm_rst               => mm_rst,
-      mm_clk               => mm_clk,
-
-      reg_mosi             => reg_mosi_arr(I),
-      reg_miso             => reg_miso_arr(I),
-
-      buf_mosi             => buf_mosi_arr(I),
-      buf_miso             => buf_miso_arr(I),
-
-      -- Streaming clock domain
-      st_rst               => st_rst,
-      st_clk               => st_clk,
-      st_restart           => st_restart,
+      mosi     => buf_mosi,
+      miso     => buf_miso,
+      mosi_arr => buf_mosi_arr,
+      miso_arr => buf_miso_arr
+    );
 
-      out_ovr              => wg_ovr( (I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            ),
-      out_dat              => wg_dat( (I + 1) * g_wideband_factor * g_buf_dat_w - 1 downto I * g_wideband_factor * g_buf_dat_w),
-      out_val              => wg_val( (I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            ),
-      out_sync             => wg_sync((I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            )
-  );
+  gen_wg : for I in 0 to g_nof_streams - 1 generate
+    u_mms_diag_wg_wideband : entity work.mms_diag_wg_wideband
+      generic map (
+        g_technology         => g_technology,
+        g_cross_clock_domain => g_cross_clock_domain,
+        g_buf_dir            => g_buf_dir,
+        g_wideband_factor    => g_wideband_factor,
+        g_buf_dat_w          => g_buf_dat_w,
+        g_buf_addr_w         => g_buf_addr_w,
+        g_calc_support       => g_calc_support,
+        g_calc_gain_w        => g_calc_gain_w,
+        g_calc_dat_w         => g_calc_dat_w
+      )
+      port map (
+        -- Memory-mapped clock domain
+        mm_rst               => mm_rst,
+        mm_clk               => mm_clk,
+
+        reg_mosi             => reg_mosi_arr(I),
+        reg_miso             => reg_miso_arr(I),
+
+        buf_mosi             => buf_mosi_arr(I),
+        buf_miso             => buf_miso_arr(I),
+
+        -- Streaming clock domain
+        st_rst               => st_rst,
+        st_clk               => st_clk,
+        st_restart           => st_restart,
+
+        out_ovr              => wg_ovr( (I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            ),
+        out_dat              => wg_dat( (I + 1) * g_wideband_factor * g_buf_dat_w - 1 downto I * g_wideband_factor * g_buf_dat_w),
+        out_val              => wg_val( (I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            ),
+        out_sync             => wg_sync((I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            )
+      );
 
 
     -- wire the wg signals to sosi outputs
@@ -160,8 +160,8 @@ begin
     out_sosi_arr(I).valid <=       vector_or(wg_val( (I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            ));
     out_sosi_arr(I).sync  <=       vector_or(wg_sync((I + 1) * g_wideband_factor            - 1 downto I * g_wideband_factor            ));
     out_sosi_arr(I).err   <= TO_DP_ERROR(0) when
-                                  vector_or(wg_ovr( (I + 1) * g_wideband_factor             - 1 downto I * g_wideband_factor            )) = '0' else
-                            TO_DP_ERROR(2**7);  -- pass ADC or WG overflow info on as an error signal
+                               vector_or(wg_ovr( (I + 1) * g_wideband_factor             - 1 downto I * g_wideband_factor            )) = '0' else
+                             TO_DP_ERROR(2**7);  -- pass ADC or WG overflow info on as an error signal
 
 
   end generate;
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_block_gen.vhd b/libraries/base/diag/tb/vhdl/tb_diag_block_gen.vhd
index e35441f5e3..f1d432634b 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_block_gen.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_block_gen.vhd
@@ -28,17 +28,17 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_math_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_math_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.diag_pkg.all;
 
 entity tb_diag_block_gen is
   generic (
@@ -51,7 +51,7 @@ entity tb_diag_block_gen is
     g_buf_adr_w      : natural := 7;  -- Waveform buffer address width (requires corresponding c_buf_file)
     g_buf_dat_w      : natural := 32;  -- Waveform buffer stored data width (requires corresponding c_buf_file)
     g_try_phasor     : boolean := false  -- use TRUE to see BG phasor in wave window with out_sosi.re/im in radix
-                                         -- decimal and analogue format, no self test
+  -- decimal and analogue format, no self test
   );
 end tb_diag_block_gen;
 
@@ -64,11 +64,13 @@ architecture tb of tb_diag_block_gen is
   constant c_runtime        : natural := 1500;
 
   -- Default settings
-  constant c_buf            : t_c_mem := (latency  => 1,
-                                          adr_w    => g_buf_adr_w,
-                                          dat_w    => g_buf_dat_w,
-                                          nof_dat  => 2**g_buf_adr_w,  -- = 2**adr_w
-                                          init_sl  => '0');
+  constant c_buf            : t_c_mem := (
+    latency  => 1,
+    adr_w    => g_buf_adr_w,
+    dat_w    => g_buf_dat_w,
+    nof_dat  => 2**g_buf_adr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
 
   constant c_buf_file   : string := sel_a_b(c_buf.adr_w = 7 and c_buf.dat_w = 32, "data/diag_block.hex", "UNUSED");
 
@@ -93,14 +95,28 @@ architecture tb of tb_diag_block_gen is
                                                                                             c_phasor_phase);
 
   -- Default BG control
-  constant c_bg_ctrl    : t_diag_block_gen := (        '0',
-                                                       '0',
-                                               TO_UVEC(g_nof_samples_per_packet, c_diag_bg_samples_per_packet_w),
-                                               TO_UVEC(g_nof_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                               TO_UVEC(g_gapsize, c_diag_bg_gapsize_w),
-                                               TO_UVEC( 0, c_diag_bg_mem_low_adrs_w),
-                                               TO_UVEC(95, c_diag_bg_mem_high_adrs_w),
-                                               TO_UVEC(42, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl    : t_diag_block_gen := (
+            '0',
+    '0',
+    TO_UVEC(
+             g_nof_samples_per_packet,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_nof_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+             g_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+              0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+             95,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+             42,
+             c_diag_bg_bsn_init_w)
+  );
   constant c_bg_period  : natural := TO_UINT(c_bg_ctrl.samples_per_packet) + TO_UINT(c_bg_ctrl.gapsize);
 
   -- Some alternative BG control settings
@@ -109,14 +125,28 @@ architecture tb of tb_diag_block_gen is
   constant c_alternative_data_gap           : natural := 1 + c_alternative_mem_low_adrs;
 
   -- Another BG control for verifying XON
-  constant c_bg_ctrl2   : t_diag_block_gen := (        '0',
-                                                       '0',
-                                               TO_UVEC(17, c_diag_bg_samples_per_packet_w),
-                                               TO_UVEC(g_nof_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                               TO_UVEC( 0, c_diag_bg_gapsize_w),
-                                               TO_UVEC( 0, c_diag_bg_mem_low_adrs_w),
-                                               TO_UVEC(16, c_diag_bg_mem_high_adrs_w),
-                                               TO_UVEC( 0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl2   : t_diag_block_gen := (
+            '0',
+    '0',
+    TO_UVEC(
+             17,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             g_nof_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+              0,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+              0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+             16,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+              0,
+             c_diag_bg_bsn_init_w)
+  );
 
   constant c_bg_period2 : natural := TO_UINT(c_bg_ctrl2.samples_per_packet) + TO_UINT(c_bg_ctrl2.gapsize);
 
@@ -231,46 +261,46 @@ begin
 
   -- Waveform buffer
   u_buf : entity common_lib.common_ram_crw_crw
-  generic map (
-    g_ram       => c_buf,
-    g_init_file => c_buf_file
-  )
-  port map (
-    rst_a     => '0',
-    rst_b     => '0',
-    clk_a     => clk,
-    clk_b     => clk,
-    wr_en_a   => mm_buf_mosi.wr,
-    wr_en_b   => '0',
-    wr_dat_a  => mm_buf_mosi.wrdata(c_buf.dat_w - 1 downto 0),
-    wr_dat_b  => (others => '0'),
-    adr_a     => mm_buf_mosi.address(c_buf.adr_w - 1 downto 0),
-    adr_b     => bg_buf_mosi.address(c_buf.adr_w - 1 downto 0),
-    rd_en_a   => mm_buf_mosi.rd,
-    rd_en_b   => bg_buf_mosi.rd,
-    rd_dat_a  => mm_buf_miso.rddata(c_buf.dat_w - 1 downto 0),
-    rd_dat_b  => bg_buf_miso.rddata(c_buf.dat_w - 1 downto 0),
-    rd_val_a  => mm_buf_miso.rdval,
-    rd_val_b  => bg_buf_miso.rdval
-  );
+    generic map (
+      g_ram       => c_buf,
+      g_init_file => c_buf_file
+    )
+    port map (
+      rst_a     => '0',
+      rst_b     => '0',
+      clk_a     => clk,
+      clk_b     => clk,
+      wr_en_a   => mm_buf_mosi.wr,
+      wr_en_b   => '0',
+      wr_dat_a  => mm_buf_mosi.wrdata(c_buf.dat_w - 1 downto 0),
+      wr_dat_b  => (others => '0'),
+      adr_a     => mm_buf_mosi.address(c_buf.adr_w - 1 downto 0),
+      adr_b     => bg_buf_mosi.address(c_buf.adr_w - 1 downto 0),
+      rd_en_a   => mm_buf_mosi.rd,
+      rd_en_b   => bg_buf_mosi.rd,
+      rd_dat_a  => mm_buf_miso.rddata(c_buf.dat_w - 1 downto 0),
+      rd_dat_b  => bg_buf_miso.rddata(c_buf.dat_w - 1 downto 0),
+      rd_val_a  => mm_buf_miso.rdval,
+      rd_val_b  => bg_buf_miso.rdval
+    );
 
   u_dut : entity work.diag_block_gen
-  generic map(
-    g_buf_dat_w  => c_buf.dat_w,
-    g_buf_addr_w => c_buf.adr_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    buf_addr    => bg_buf_mosi.address(c_buf.adr_w - 1 downto 0),
-    buf_rden    => bg_buf_mosi.rd,
-    buf_rddat   => bg_buf_miso.rddata(c_buf.dat_w - 1 downto 0),
-    buf_rdval   => bg_buf_miso.rdval,
-    ctrl        => bg_ctrl,
-    ctrl_hold   => bg_ctrl_hold,
-    out_siso    => out_siso_bg,
-    out_sosi    => out_sosi
-  );
+    generic map(
+      g_buf_dat_w  => c_buf.dat_w,
+      g_buf_addr_w => c_buf.adr_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      buf_addr    => bg_buf_mosi.address(c_buf.adr_w - 1 downto 0),
+      buf_rden    => bg_buf_mosi.rd,
+      buf_rddat   => bg_buf_miso.rddata(c_buf.dat_w - 1 downto 0),
+      buf_rdval   => bg_buf_miso.rdval,
+      ctrl        => bg_ctrl,
+      ctrl_hold   => bg_ctrl_hold,
+      out_siso    => out_siso_bg,
+      out_sosi    => out_sosi
+    );
 
   random <= func_common_random(random) when rising_edge(clk);
   toggle <= not toggle when rising_edge(clk);
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_data_buffer_dev.vhd b/libraries/base/diag/tb/vhdl/tb_diag_data_buffer_dev.vhd
index 6a0e60f20e..de95569b0b 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_data_buffer_dev.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_data_buffer_dev.vhd
@@ -39,17 +39,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.diag_pkg.all;
 
 
 entity tb_diag_data_buffer is
@@ -114,14 +114,28 @@ architecture tb of tb_diag_data_buffer is
   constant c_bg_nof_blocks_per_sync : natural := 8;
   constant c_bg_mem_high_addr       : natural := g_nof_data - 1;
 
-  constant c_bg_ctrl                : t_diag_block_gen := ( '0',  -- enable: On by default in simulation; MM enable required on hardware.
-                                                            '0',  -- enable_sync
-                                                TO_UVEC(            c_block_size, c_diag_bg_samples_per_packet_w),
-                                                TO_UVEC(c_bg_nof_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                TO_UVEC(            c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                TO_UVEC(                       0, c_diag_bg_mem_low_adrs_w),
-                                                TO_UVEC(      c_bg_mem_high_addr, c_diag_bg_mem_high_adrs_w),
-                                                TO_UVEC(                       0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                : t_diag_block_gen := (
+     '0',  -- enable: On by default in simulation; MM enable required on hardware.
+    '0',  -- enable_sync
+    TO_UVEC(
+                         c_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_nof_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                         c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                    0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                   c_bg_mem_high_addr,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                    0,
+             c_diag_bg_bsn_init_w)
+  );
 
   -- Configuration of the databuffers:
   constant c_db_nof_streams         : positive := g_nof_streams;
@@ -156,7 +170,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -219,10 +233,10 @@ begin
     end loop;
 
     nof_valids <= 1;
---    WHILE nof_valids /= 0 LOOP
-      proc_mem_mm_bus_rd( 2, mm_clk, reg_diag_data_buf_mosi);
-      nof_valids <= TO_UINT(reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0));
---    END LOOP;
+    --    WHILE nof_valids /= 0 LOOP
+    proc_mem_mm_bus_rd( 2, mm_clk, reg_diag_data_buf_mosi);
+    nof_valids <= TO_UINT(reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0));
+    --    END LOOP;
     proc_common_wait_some_cycles(mm_clk, 10);
 
     -- Arm the databuffer
@@ -235,56 +249,56 @@ begin
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity work.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_diag_block_gen_rst => c_bg_ctrl,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_diag_block_gen_rst => c_bg_ctrl,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    -- ST interface
-    in_sync           => bg_sosi_arr(0).sync,
-    in_sosi_arr       => bg_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      -- ST interface
+      in_sync           => bg_sosi_arr(0).sync,
+      in_sosi_arr       => bg_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_frm_generator.vhd b/libraries/base/diag/tb/vhdl/tb_diag_frm_generator.vhd
index 321cc22b9f..ef32bf68c1 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_frm_generator.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_frm_generator.vhd
@@ -26,9 +26,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_diag_frm_generator is
 end tb_diag_frm_generator;
@@ -127,35 +127,35 @@ begin
   end process;
 
   u_frm_gen : entity work.diag_frm_generator
-  generic map (
-    g_sel         => c_sel,
-    g_frame_len   => c_frame_len,
-    g_sof_period  => c_sof_period,
-    g_frame_cnt_w => c_frame_cnt_w,
-    g_dat_w       => c_dat_w,
-    g_symbol_w    => c_symbol_w,
-    g_empty       => c_empty
-  )
-  port map (
-    rst             => rst,
-    clk             => clk,
-    clken           => '1',
-
-    -- Static control input (connect via MM or leave open to use default)
-    diag_en         => diag_en,
-    diag_sel        => diag_sel,
-    diag_frame_len  => diag_frame_len,
-    diag_sof_period => diag_sof_period,
-    diag_frame_cnt  => diag_frame_cnt,
-
-    -- ST output
-    out_ready       => seq_req,
-    out_dat         => seq_dat,
-    out_val         => seq_val,
-    out_sop         => seq_sop,
-    out_eop         => seq_eop,
-    out_empty       => seq_empty
-  );
+    generic map (
+      g_sel         => c_sel,
+      g_frame_len   => c_frame_len,
+      g_sof_period  => c_sof_period,
+      g_frame_cnt_w => c_frame_cnt_w,
+      g_dat_w       => c_dat_w,
+      g_symbol_w    => c_symbol_w,
+      g_empty       => c_empty
+    )
+    port map (
+      rst             => rst,
+      clk             => clk,
+      clken           => '1',
+
+      -- Static control input (connect via MM or leave open to use default)
+      diag_en         => diag_en,
+      diag_sel        => diag_sel,
+      diag_frame_len  => diag_frame_len,
+      diag_sof_period => diag_sof_period,
+      diag_frame_cnt  => diag_frame_cnt,
+
+      -- ST output
+      out_ready       => seq_req,
+      out_dat         => seq_dat,
+      out_val         => seq_val,
+      out_sop         => seq_sop,
+      out_eop         => seq_eop,
+      out_empty       => seq_empty
+    );
 
   prev_seq_dat <= seq_dat when rising_edge(clk) and seq_val = '1';
 
@@ -171,7 +171,7 @@ begin
       if seq_sop = '1' then assert seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat)               report "Unexpected seq_sop";  end if;
       if seq_eop = '1' then assert seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat) + c_frame_len - 1 report "Unexpected seq_eop";  end if;
 
-      if seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat)               then assert seq_sop = '1' report "Missing seq_sop";  end if;
+      if seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat) then assert seq_sop = '1' report "Missing seq_sop";  end if;
       if seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat) + c_frame_len - 1 then assert seq_eop = '1' report "Missing seq_eop";  end if;
 
       if seq_sop = '1'                                          then assert unsigned(seq_dat) = unsigned(init_dat)       report "Wrong first seq_dat"; end if;
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_frm_monitor.vhd b/libraries/base/diag/tb/vhdl/tb_diag_frm_monitor.vhd
index 723af72104..b6c6f9bb9c 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_frm_monitor.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_frm_monitor.vhd
@@ -26,9 +26,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_diag_frm_monitor is
 end tb_diag_frm_monitor;
@@ -128,54 +128,54 @@ begin
   end process;
 
   u_frm_gen : entity work.diag_frm_generator
-  generic map (
-    g_sel         => c_sel,
-    g_frame_len   => c_frame_len,
-    g_sof_period  => c_sof_period,
-    g_frame_cnt_w => c_frame_cnt_w,
-    g_dat_w       => c_dat_w,
-    g_symbol_w    => c_dat_w,
-    g_empty       => 0
-  )
-  port map (
-    rst             => rst,
-    clk             => clk,
-    clken           => '1',
-
-    -- Static control input (connect via MM or leave open to use default)
-    diag_en         => gen_diag_en,
-    diag_sel        => gen_diag_sel,
-    diag_frame_len  => gen_diag_frame_len,
-    diag_sof_period => gen_diag_sof_period,
-    diag_frame_cnt  => gen_diag_frame_cnt,
-
-    -- ST output
-    out_ready       => seq_req,
-    out_dat         => seq_dat,
-    out_val         => seq_val,
-    out_sop         => seq_sop,
-    out_eop         => seq_eop
-  );
+    generic map (
+      g_sel         => c_sel,
+      g_frame_len   => c_frame_len,
+      g_sof_period  => c_sof_period,
+      g_frame_cnt_w => c_frame_cnt_w,
+      g_dat_w       => c_dat_w,
+      g_symbol_w    => c_dat_w,
+      g_empty       => 0
+    )
+    port map (
+      rst             => rst,
+      clk             => clk,
+      clken           => '1',
+
+      -- Static control input (connect via MM or leave open to use default)
+      diag_en         => gen_diag_en,
+      diag_sel        => gen_diag_sel,
+      diag_frame_len  => gen_diag_frame_len,
+      diag_sof_period => gen_diag_sof_period,
+      diag_frame_cnt  => gen_diag_frame_cnt,
+
+      -- ST output
+      out_ready       => seq_req,
+      out_dat         => seq_dat,
+      out_val         => seq_val,
+      out_sop         => seq_sop,
+      out_eop         => seq_eop
+    );
 
 
   u_frm_mon : entity work.diag_frm_monitor
-  generic map (
-    g_frame_cnt_w => c_frame_cnt_w
-  )
-  port map (
-    rst             => rst,
-    clk             => clk,
-    clken           => '1',
+    generic map (
+      g_frame_cnt_w => c_frame_cnt_w
+    )
+    port map (
+      rst             => rst,
+      clk             => clk,
+      clken           => '1',
 
-    -- Static control input (connect via MM)
-    diag_en         => mon_diag_en,
-    diag_frame_cnt  => mon_diag_frame_cnt,
-    diag_error_cnt  => mon_diag_error_cnt,
+      -- Static control input (connect via MM)
+      diag_en         => mon_diag_en,
+      diag_frame_cnt  => mon_diag_frame_cnt,
+      diag_error_cnt  => mon_diag_error_cnt,
 
-    -- ST input
-    in_eop          => seq_eop,
-    in_error        => seq_error
-  );
+      -- ST input
+      in_eop          => seq_eop,
+      in_error        => seq_error
+    );
 
 
   prev_seq_dat <= seq_dat when rising_edge(clk) and seq_val = '1';
@@ -192,7 +192,7 @@ begin
       if seq_sop = '1' then assert seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat)               report "Unexpected seq_sop";  end if;
       if seq_eop = '1' then assert seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat) + c_frame_len - 1 report "Unexpected seq_eop";  end if;
 
-      if seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat)               then assert seq_sop = '1' report "Missing seq_sop";  end if;
+      if seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat) then assert seq_sop = '1' report "Missing seq_sop";  end if;
       if seq_val = '1' and unsigned(seq_dat) = unsigned(init_dat) + c_frame_len - 1 then assert seq_eop = '1' report "Missing seq_eop";  end if;
 
       if seq_sop = '1'                                          then assert unsigned(seq_dat) = unsigned(init_dat)       report "Wrong first seq_dat"; end if;
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd b/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd
index 4990dd0672..9f7b8d6413 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd
@@ -23,16 +23,16 @@
 -- Purpose: Test bench package for diag library
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.diag_pkg.all;
 
 package tb_diag_pkg is
 
@@ -44,162 +44,175 @@ package tb_diag_pkg is
     s_expect_no_result
   );
 
-  procedure proc_diag_seq_read_all(constant c_stream : in  natural;
-                                   signal   mm_clk   : in  std_logic;
-                                   signal   tx_miso  : in  t_mem_miso;
-                                   signal   tx_mosi  : out t_mem_mosi;
-                                   signal   rx_miso  : in  t_mem_miso;
-                                   signal   rx_mosi  : out t_mem_mosi;
-                                   signal   rd_reg   : out t_diag_seq_mm_reg);  -- read all MM reg
-
-  procedure proc_diag_seq_tx_enable(constant c_stream  : in  natural;
-                                    constant c_pattern : in  string;  -- "PSRG", "CNTR"
-                                    constant c_tx_init : in  natural;
-                                    constant c_tx_mod  : in  natural;
-                                    signal   mm_clk    : in  std_logic;
-                                    signal   dp_clk    : in  std_logic;
-                                    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
-                                    signal   tx_mosi   : out t_mem_mosi;
-                                    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
-                                    signal   rx_mosi   : out t_mem_mosi;
-                                    signal   rd_reg    : out t_diag_seq_mm_reg);  -- read all MM reg
-
-  procedure proc_diag_seq_rx_enable(constant c_stream  : in  natural;
-                                    constant c_pattern : in  string;  -- "PSRG", "CNTR"
-                                    signal   mm_clk    : in  std_logic;
-                                    signal   dp_clk    : in  std_logic;
-                                    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
-                                    signal   tx_mosi   : out t_mem_mosi;
-                                    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
-                                    signal   rx_mosi   : out t_mem_mosi;
-                                    signal   rd_reg    : out t_diag_seq_mm_reg);  -- read all MM reg
-
-  procedure proc_diag_seq_tx_disable(constant c_stream : in  natural;
-                                     signal   mm_clk   : in  std_logic;
-                                     signal   dp_clk   : in  std_logic;
-                                     signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
-                                     signal   tx_mosi  : out t_mem_mosi;
-                                     signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
-                                     signal   rx_mosi  : out t_mem_mosi;
-                                     signal   rd_reg   : out t_diag_seq_mm_reg);  -- read all MM reg
-
-  procedure proc_diag_seq_rx_disable(constant c_stream : in  natural;
-                                     signal   mm_clk   : in  std_logic;
-                                     signal   dp_clk   : in  std_logic;
-                                     signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
-                                     signal   tx_mosi  : out t_mem_mosi;
-                                     signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
-                                     signal   rx_mosi  : out t_mem_mosi;
-                                     signal   rd_reg   : out t_diag_seq_mm_reg);  -- read all MM reg
-
-  procedure proc_diag_seq_rx_write_steps(constant c_stream    : in  natural;
-                                         constant c_steps_arr : in  t_integer_arr(c_diag_seq_rx_reg_nof_steps - 1 downto 0);
-                                         signal   mm_clk      : in  std_logic;
-                                         signal   dp_clk      : in  std_logic;
-                                         signal   tx_miso     : in  t_mem_miso;  -- tx ctrl
-                                         signal   tx_mosi     : out t_mem_mosi;
-                                         signal   rx_miso     : in  t_mem_miso;  -- rx ctrl
-                                         signal   rx_mosi     : out t_mem_mosi;
-                                         signal   rd_reg      : out t_diag_seq_mm_reg);  -- read all MM reg
-
-  procedure proc_diag_seq_verify(constant c_stream  : in    natural;
-                                 signal   mm_clk    : in    std_logic;
-                                 signal   tx_miso   : in    t_mem_miso;
-                                 signal   tx_mosi   : out   t_mem_mosi;
-                                 signal   rx_miso   : in    t_mem_miso;
-                                 signal   rx_mosi   : out   t_mem_mosi;
-                                 signal   tb_mode   : inout t_tb_diag_seq_mode_enum;
-                                 signal   tb_verify : out   std_logic;
-                                 signal   rd_reg    : inout t_diag_seq_mm_reg);  -- read all MM reg
+  procedure proc_diag_seq_read_all (
+    constant c_stream : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   tx_miso  : in  t_mem_miso;
+    signal   tx_mosi  : out t_mem_mosi;
+    signal   rx_miso  : in  t_mem_miso;
+    signal   rx_mosi  : out t_mem_mosi;
+    signal   rd_reg   : out t_diag_seq_mm_reg);  -- read all MM reg
+
+  procedure proc_diag_seq_tx_enable (
+    constant c_stream  : in  natural;
+    constant c_pattern : in  string;  -- "PSRG", "CNTR"
+    constant c_tx_init : in  natural;
+    constant c_tx_mod  : in  natural;
+    signal   mm_clk    : in  std_logic;
+    signal   dp_clk    : in  std_logic;
+    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi   : out t_mem_mosi;
+    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi   : out t_mem_mosi;
+    signal   rd_reg    : out t_diag_seq_mm_reg);  -- read all MM reg
+
+  procedure proc_diag_seq_rx_enable (
+    constant c_stream  : in  natural;
+    constant c_pattern : in  string;  -- "PSRG", "CNTR"
+    signal   mm_clk    : in  std_logic;
+    signal   dp_clk    : in  std_logic;
+    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi   : out t_mem_mosi;
+    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi   : out t_mem_mosi;
+    signal   rd_reg    : out t_diag_seq_mm_reg);  -- read all MM reg
+
+  procedure proc_diag_seq_tx_disable (
+    constant c_stream : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   dp_clk   : in  std_logic;
+    signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi  : out t_mem_mosi;
+    signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi  : out t_mem_mosi;
+    signal   rd_reg   : out t_diag_seq_mm_reg);  -- read all MM reg
+
+  procedure proc_diag_seq_rx_disable (
+    constant c_stream : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   dp_clk   : in  std_logic;
+    signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi  : out t_mem_mosi;
+    signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi  : out t_mem_mosi;
+    signal   rd_reg   : out t_diag_seq_mm_reg);  -- read all MM reg
+
+  procedure proc_diag_seq_rx_write_steps (
+    constant c_stream    : in  natural;
+    constant c_steps_arr : in  t_integer_arr(c_diag_seq_rx_reg_nof_steps - 1 downto 0);
+    signal   mm_clk      : in  std_logic;
+    signal   dp_clk      : in  std_logic;
+    signal   tx_miso     : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi     : out t_mem_mosi;
+    signal   rx_miso     : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi     : out t_mem_mosi;
+    signal   rd_reg      : out t_diag_seq_mm_reg);  -- read all MM reg
+
+  procedure proc_diag_seq_verify (
+    constant c_stream  : in    natural;
+    signal   mm_clk    : in    std_logic;
+    signal   tx_miso   : in    t_mem_miso;
+    signal   tx_mosi   : out   t_mem_mosi;
+    signal   rx_miso   : in    t_mem_miso;
+    signal   rx_mosi   : out   t_mem_mosi;
+    signal   tb_mode   : inout t_tb_diag_seq_mode_enum;
+    signal   tb_verify : out   std_logic;
+    signal   rd_reg    : inout t_diag_seq_mm_reg);  -- read all MM reg
 
   -- Measure ADC/WG input power and determine effective sine amplitude
-  procedure proc_diag_measure_cw_statistics(constant c_nof_samples : in natural;  -- number of samples per in_start interval
-                                            signal dp_clk          : in std_logic;
-                                            signal in_dat          : in std_logic_vector;
-                                            signal in_start        : in std_logic;  -- start of interval, e.g. sop or sync
-                                            signal in_val          : in std_logic;
-                                            signal track_max       : inout real;  -- store local tracker in signal
-                                            signal track_min       : inout real;  -- store local tracker in signal
-                                            signal accum_mean      : inout real;  -- store local accumulator in signal
-                                            signal accum_power     : inout real;  -- store local accumulator in signal
-                                            signal measured_max    : out real;  -- maximum sample value
-                                            signal measured_min    : out real;  -- minimum sample value
-                                            signal measured_mean   : out real;  -- average sample value (DC)
-                                            signal measured_power  : out real;  -- average sample power
-                                            signal measured_ampl   : out real);  -- corresponding sine amplitude
+  procedure proc_diag_measure_cw_statistics (
+    constant c_nof_samples : in natural;  -- number of samples per in_start interval
+    signal dp_clk          : in std_logic;
+    signal in_dat          : in std_logic_vector;
+    signal in_start        : in std_logic;  -- start of interval, e.g. sop or sync
+    signal in_val          : in std_logic;
+    signal track_max       : inout real;  -- store local tracker in signal
+    signal track_min       : inout real;  -- store local tracker in signal
+    signal accum_mean      : inout real;  -- store local accumulator in signal
+    signal accum_power     : inout real;  -- store local accumulator in signal
+    signal measured_max    : out real;  -- maximum sample value
+    signal measured_min    : out real;  -- minimum sample value
+    signal measured_mean   : out real;  -- average sample value (DC)
+    signal measured_power  : out real;  -- average sample power
+    signal measured_ampl   : out real);  -- corresponding sine amplitude
 
   -- Measure ADC/WG amplitude and phase using local sin and cos
-  procedure proc_diag_measure_cw_ampl_and_phase(constant c_nof_samples   : in natural;  -- number of samples per in_start interval
-                                                constant c_fft_size      : in natural;  -- number of points of FFT
-                                                constant c_sub           : in real;  -- subband index
-                                                signal dp_clk            : in std_logic;
-                                                signal in_dat            : in std_logic_vector;
-                                                signal in_start          : in std_logic;  -- start of integration interval, e.g. sop or sync
-                                                signal in_val            : in std_logic;
-                                                signal in_cnt            : in natural;  -- sample index in c_fft_size
-                                                signal ref_I             : out real;  -- output local I as signal for debugging in wave window
-                                                signal ref_Q             : out real;  -- output local Q as signal for debugging in wave window
-                                                signal accum_I           : inout real;  -- store local I accumulator in signal
-                                                signal accum_Q           : inout real;  -- store local Q accumulator in signal
-                                                signal measured_ampl     : out real;  -- measured CW amplitude
-                                                signal measured_phase    : out real;  -- measured CW phase in radials
-                                                signal measured_phase_Ts : out real);  -- measured CW phase in sample periods
-
-  procedure proc_diag_measure_cw_ampl_and_phase(constant c_fft_size      : in natural;  -- number of points of FFT = number of samples per in_start interval
-                                                constant c_sub           : in real;
-                                                signal dp_clk            : in std_logic;
-                                                signal in_dat            : in std_logic_vector;
-                                                signal in_start          : in std_logic;
-                                                signal in_val            : in std_logic;
-                                                signal in_cnt            : in natural;
-                                                signal ref_I             : out real;
-                                                signal ref_Q             : out real;
-                                                signal accum_I           : inout real;
-                                                signal accum_Q           : inout real;
-                                                signal measured_ampl     : out real;
-                                                signal measured_phase    : out real;
-                                                signal measured_phase_Ts : out real);
+  procedure proc_diag_measure_cw_ampl_and_phase (
+    constant c_nof_samples   : in natural;  -- number of samples per in_start interval
+    constant c_fft_size      : in natural;  -- number of points of FFT
+    constant c_sub           : in real;  -- subband index
+    signal dp_clk            : in std_logic;
+    signal in_dat            : in std_logic_vector;
+    signal in_start          : in std_logic;  -- start of integration interval, e.g. sop or sync
+    signal in_val            : in std_logic;
+    signal in_cnt            : in natural;  -- sample index in c_fft_size
+    signal ref_I             : out real;  -- output local I as signal for debugging in wave window
+    signal ref_Q             : out real;  -- output local Q as signal for debugging in wave window
+    signal accum_I           : inout real;  -- store local I accumulator in signal
+    signal accum_Q           : inout real;  -- store local Q accumulator in signal
+    signal measured_ampl     : out real;  -- measured CW amplitude
+    signal measured_phase    : out real;  -- measured CW phase in radials
+    signal measured_phase_Ts : out real);  -- measured CW phase in sample periods
+
+  procedure proc_diag_measure_cw_ampl_and_phase (
+    constant c_fft_size      : in natural;  -- number of points of FFT = number of samples per in_start interval
+    constant c_sub           : in real;
+    signal dp_clk            : in std_logic;
+    signal in_dat            : in std_logic_vector;
+    signal in_start          : in std_logic;
+    signal in_val            : in std_logic;
+    signal in_cnt            : in natural;
+    signal ref_I             : out real;
+    signal ref_Q             : out real;
+    signal accum_I           : inout real;
+    signal accum_Q           : inout real;
+    signal measured_ampl     : out real;
+    signal measured_phase    : out real;
+    signal measured_phase_Ts : out real);
 
   -- Use estimated CW to determine noise power in input sine (e.g. WG sine)
-  procedure proc_diag_measure_cw_noise_power(constant c_nof_samples      : in natural;  -- number of samples per integration interval
-                                             constant c_fft_size         : in natural;  -- number of points of FFT
-                                             constant c_sub              : in real;  -- subband index
-                                             signal dp_clk               : in std_logic;
-                                             signal in_dat               : in std_logic_vector;
-                                             signal in_start             : in std_logic;  -- start of integration interval, e.g. sop or sync
-                                             signal in_val               : in std_logic;
-                                             signal in_cnt               : in natural;  -- sample index in c_fft_size
-                                             signal cw_ampl              : in real;  -- estimated CW amplitude of in_dat
-                                             signal cw_phase             : in real;  -- estimated CW phase of in_dat
-                                             signal cw_dat               : out integer;  -- estimated CW
-                                             signal cw_noise             : out real;  -- estimated CW quantization noise
-                                             signal accum_noise_power    : inout real;  -- store noise power accumulator in signal
-                                             signal measured_noise_power : out real) ;  -- measured noise power in in_dat
-
-  procedure proc_diag_measure_cw_noise_power(constant c_fft_size         : in natural;  -- number of points of FFT = number of samples per in_start interval
-                                             constant c_sub              : in real;
-                                             signal dp_clk               : in std_logic;
-                                             signal in_dat               : in std_logic_vector;
-                                             signal in_start             : in std_logic;
-                                             signal in_val               : in std_logic;
-                                             signal in_cnt               : in natural;
-                                             signal cw_ampl              : in real;
-                                             signal cw_phase             : in real;
-                                             signal cw_dat               : out integer;
-                                             signal cw_noise             : out real;
-                                             signal accum_noise_power    : inout real;
-                                             signal measured_noise_power : out real);
+  procedure proc_diag_measure_cw_noise_power (
+    constant c_nof_samples      : in natural;  -- number of samples per integration interval
+    constant c_fft_size         : in natural;  -- number of points of FFT
+    constant c_sub              : in real;  -- subband index
+    signal dp_clk               : in std_logic;
+    signal in_dat               : in std_logic_vector;
+    signal in_start             : in std_logic;  -- start of integration interval, e.g. sop or sync
+    signal in_val               : in std_logic;
+    signal in_cnt               : in natural;  -- sample index in c_fft_size
+    signal cw_ampl              : in real;  -- estimated CW amplitude of in_dat
+    signal cw_phase             : in real;  -- estimated CW phase of in_dat
+    signal cw_dat               : out integer;  -- estimated CW
+    signal cw_noise             : out real;  -- estimated CW quantization noise
+    signal accum_noise_power    : inout real;  -- store noise power accumulator in signal
+    signal measured_noise_power : out real) ;  -- measured noise power in in_dat
+
+  procedure proc_diag_measure_cw_noise_power (
+    constant c_fft_size         : in natural;  -- number of points of FFT = number of samples per in_start interval
+    constant c_sub              : in real;
+    signal dp_clk               : in std_logic;
+    signal in_dat               : in std_logic_vector;
+    signal in_start             : in std_logic;
+    signal in_val               : in std_logic;
+    signal in_cnt               : in natural;
+    signal cw_ampl              : in real;
+    signal cw_phase             : in real;
+    signal cw_dat               : out integer;
+    signal cw_noise             : out real;
+    signal accum_noise_power    : inout real;
+    signal measured_noise_power : out real);
 end tb_diag_pkg;
 
 package body tb_diag_pkg is
 
-  procedure proc_diag_seq_read_all(constant c_stream : in  natural;
-                                   signal   mm_clk   : in  std_logic;
-                                   signal   tx_miso  : in  t_mem_miso;
-                                   signal   tx_mosi  : out t_mem_mosi;
-                                   signal   rx_miso  : in  t_mem_miso;
-                                   signal   rx_mosi  : out t_mem_mosi;
-                                   signal   rd_reg   : out t_diag_seq_mm_reg) is  -- read all MM reg
+  procedure proc_diag_seq_read_all (
+    constant c_stream : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   tx_miso  : in  t_mem_miso;
+    signal   tx_mosi  : out t_mem_mosi;
+    signal   rx_miso  : in  t_mem_miso;
+    signal   rx_mosi  : out t_mem_mosi;
+    signal   rd_reg   : out t_diag_seq_mm_reg) is  -- read all MM reg
     constant c_tx_offset : natural := c_stream * 2**c_diag_seq_tx_reg_adr_w;
     constant c_rx_offset : natural := c_stream * 2**c_diag_seq_rx_reg_adr_w;
   begin
@@ -253,17 +266,18 @@ package body tb_diag_pkg is
     rd_reg.rx_sample <= rx_miso.rddata(c_word_w - 1 downto 0);
   end proc_diag_seq_read_all;
 
-  procedure proc_diag_seq_tx_enable(constant c_stream  : in  natural;
-                                    constant c_pattern : in  string;  -- "PSRG", "CNTR"
-                                    constant c_tx_init : in  natural;
-                                    constant c_tx_mod  : in  natural;
-                                    signal   mm_clk    : in  std_logic;
-                                    signal   dp_clk    : in  std_logic;
-                                    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
-                                    signal   tx_mosi   : out t_mem_mosi;
-                                    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
-                                    signal   rx_mosi   : out t_mem_mosi;
-                                    signal   rd_reg    : out t_diag_seq_mm_reg) is  -- read all MM reg
+  procedure proc_diag_seq_tx_enable (
+    constant c_stream  : in  natural;
+    constant c_pattern : in  string;  -- "PSRG", "CNTR"
+    constant c_tx_init : in  natural;
+    constant c_tx_mod  : in  natural;
+    signal   mm_clk    : in  std_logic;
+    signal   dp_clk    : in  std_logic;
+    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi   : out t_mem_mosi;
+    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi   : out t_mem_mosi;
+    signal   rd_reg    : out t_diag_seq_mm_reg) is  -- read all MM reg
     constant c_tx_offset : natural := c_stream * 2**c_diag_seq_tx_reg_adr_w;
     constant c_en        : natural := 1;
     variable v_sel       : natural;
@@ -283,15 +297,16 @@ package body tb_diag_pkg is
     proc_diag_seq_read_all(c_stream, mm_clk, tx_miso, tx_mosi, rx_miso, rx_mosi, rd_reg);
   end proc_diag_seq_tx_enable;
 
-  procedure proc_diag_seq_rx_enable(constant c_stream  : in  natural;
-                                    constant c_pattern : in  string;  -- "PSRG", "CNTR"
-                                    signal   mm_clk    : in  std_logic;
-                                    signal   dp_clk    : in  std_logic;
-                                    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
-                                    signal   tx_mosi   : out t_mem_mosi;
-                                    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
-                                    signal   rx_mosi   : out t_mem_mosi;
-                                    signal   rd_reg    : out t_diag_seq_mm_reg) is  -- read all MM reg
+  procedure proc_diag_seq_rx_enable (
+    constant c_stream  : in  natural;
+    constant c_pattern : in  string;  -- "PSRG", "CNTR"
+    signal   mm_clk    : in  std_logic;
+    signal   dp_clk    : in  std_logic;
+    signal   tx_miso   : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi   : out t_mem_mosi;
+    signal   rx_miso   : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi   : out t_mem_mosi;
+    signal   rd_reg    : out t_diag_seq_mm_reg) is  -- read all MM reg
     constant c_rx_offset : natural := c_stream * 2**c_diag_seq_rx_reg_adr_w;
     constant c_en        : natural := 1;
     variable v_sel       : natural;
@@ -308,14 +323,15 @@ package body tb_diag_pkg is
     proc_diag_seq_read_all(c_stream, mm_clk, tx_miso, tx_mosi, rx_miso, rx_mosi, rd_reg);
   end proc_diag_seq_rx_enable;
 
-  procedure proc_diag_seq_tx_disable(constant c_stream : in  natural;
-                                     signal   mm_clk   : in  std_logic;
-                                     signal   dp_clk   : in  std_logic;
-                                     signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
-                                     signal   tx_mosi  : out t_mem_mosi;
-                                     signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
-                                     signal   rx_mosi  : out t_mem_mosi;
-                                     signal   rd_reg   : out t_diag_seq_mm_reg) is  -- read all MM reg
+  procedure proc_diag_seq_tx_disable (
+    constant c_stream : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   dp_clk   : in  std_logic;
+    signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi  : out t_mem_mosi;
+    signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi  : out t_mem_mosi;
+    signal   rd_reg   : out t_diag_seq_mm_reg) is  -- read all MM reg
     constant c_tx_offset : natural := c_stream * 2**c_diag_seq_tx_reg_adr_w;
   begin
     proc_mem_mm_bus_wr(c_tx_offset + 0, 0, mm_clk, tx_miso, tx_mosi);
@@ -323,14 +339,15 @@ package body tb_diag_pkg is
     proc_diag_seq_read_all(c_stream, mm_clk, tx_miso, tx_mosi, rx_miso, rx_mosi, rd_reg);
   end proc_diag_seq_tx_disable;
 
-  procedure proc_diag_seq_rx_disable(constant c_stream : in  natural;
-                                     signal   mm_clk   : in  std_logic;
-                                     signal   dp_clk   : in  std_logic;
-                                     signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
-                                     signal   tx_mosi  : out t_mem_mosi;
-                                     signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
-                                     signal   rx_mosi  : out t_mem_mosi;
-                                     signal   rd_reg   : out t_diag_seq_mm_reg) is  -- read all MM reg
+  procedure proc_diag_seq_rx_disable (
+    constant c_stream : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   dp_clk   : in  std_logic;
+    signal   tx_miso  : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi  : out t_mem_mosi;
+    signal   rx_miso  : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi  : out t_mem_mosi;
+    signal   rd_reg   : out t_diag_seq_mm_reg) is  -- read all MM reg
     constant c_rx_offset : natural := c_stream * 2**c_diag_seq_rx_reg_adr_w;
   begin
     proc_mem_mm_bus_wr(c_rx_offset + 0, 0, mm_clk, rx_miso, rx_mosi);
@@ -338,15 +355,16 @@ package body tb_diag_pkg is
     proc_diag_seq_read_all(c_stream, mm_clk, tx_miso, tx_mosi, rx_miso, rx_mosi, rd_reg);
   end proc_diag_seq_rx_disable;
 
-  procedure proc_diag_seq_rx_write_steps(constant c_stream    : in  natural;
-                                         constant c_steps_arr : in  t_integer_arr(c_diag_seq_rx_reg_nof_steps - 1 downto 0);
-                                         signal   mm_clk      : in  std_logic;
-                                         signal   dp_clk      : in  std_logic;
-                                         signal   tx_miso     : in  t_mem_miso;  -- tx ctrl
-                                         signal   tx_mosi     : out t_mem_mosi;
-                                         signal   rx_miso     : in  t_mem_miso;  -- rx ctrl
-                                         signal   rx_mosi     : out t_mem_mosi;
-                                         signal   rd_reg      : out t_diag_seq_mm_reg) is  -- read all MM reg
+  procedure proc_diag_seq_rx_write_steps (
+    constant c_stream    : in  natural;
+    constant c_steps_arr : in  t_integer_arr(c_diag_seq_rx_reg_nof_steps - 1 downto 0);
+    signal   mm_clk      : in  std_logic;
+    signal   dp_clk      : in  std_logic;
+    signal   tx_miso     : in  t_mem_miso;  -- tx ctrl
+    signal   tx_mosi     : out t_mem_mosi;
+    signal   rx_miso     : in  t_mem_miso;  -- rx ctrl
+    signal   rx_mosi     : out t_mem_mosi;
+    signal   rd_reg      : out t_diag_seq_mm_reg) is  -- read all MM reg
     constant c_rx_offset : natural := c_stream * 2**c_diag_seq_rx_reg_adr_w;
     constant c_en        : natural := 1;
     variable v_sel       : natural;
@@ -360,19 +378,20 @@ package body tb_diag_pkg is
     proc_diag_seq_read_all(c_stream, mm_clk, tx_miso, tx_mosi, rx_miso, rx_mosi, rd_reg);
   end proc_diag_seq_rx_write_steps;
 
-  procedure proc_diag_seq_verify(constant c_stream  : in    natural;
-                                 signal   mm_clk    : in    std_logic;
-                                 signal   tx_miso   : in    t_mem_miso;
-                                 signal   tx_mosi   : out   t_mem_mosi;
-                                 signal   rx_miso   : in    t_mem_miso;
-                                 signal   rx_mosi   : out   t_mem_mosi;
-                                 signal   tb_mode   : inout t_tb_diag_seq_mode_enum;
-                                 signal   tb_verify : out   std_logic;
-                                 signal   rd_reg    : inout t_diag_seq_mm_reg) is  -- read all MM reg
-   variable v_rx_stat   : std_logic_vector(c_word_w - 1 downto 0);
-   variable v_rx_sample : std_logic_vector(c_word_w - 1 downto 0);
-   variable v_rx_cnt    : natural;
-   variable v_tx_cnt    : natural;
+  procedure proc_diag_seq_verify (
+    constant c_stream  : in    natural;
+    signal   mm_clk    : in    std_logic;
+    signal   tx_miso   : in    t_mem_miso;
+    signal   tx_mosi   : out   t_mem_mosi;
+    signal   rx_miso   : in    t_mem_miso;
+    signal   rx_mosi   : out   t_mem_mosi;
+    signal   tb_mode   : inout t_tb_diag_seq_mode_enum;
+    signal   tb_verify : out   std_logic;
+    signal   rd_reg    : inout t_diag_seq_mm_reg) is  -- read all MM reg
+    variable v_rx_stat   : std_logic_vector(c_word_w - 1 downto 0);
+    variable v_rx_sample : std_logic_vector(c_word_w - 1 downto 0);
+    variable v_rx_cnt    : natural;
+    variable v_tx_cnt    : natural;
   begin
     -- Read all
     proc_diag_seq_read_all(c_stream, mm_clk, tx_miso, tx_mosi, rx_miso, rx_mosi, rd_reg);
@@ -461,20 +480,21 @@ package body tb_diag_pkg is
   --   measure DC.
   -- . accumulate samples during interval and calculate effective amplitude.
   ---------------------------------------------------------------------------
-  procedure proc_diag_measure_cw_statistics(constant c_nof_samples : in natural;  -- number of samples per in_start interval
-                                            signal dp_clk          : in std_logic;
-                                            signal in_dat          : in std_logic_vector;
-                                            signal in_start        : in std_logic;  -- start of interval, e.g. sop or sync
-                                            signal in_val          : in std_logic;
-                                            signal track_max       : inout real;  -- store local tracker in signal
-                                            signal track_min       : inout real;  -- store local tracker in signal
-                                            signal accum_mean      : inout real;  -- store local accumulator in signal
-                                            signal accum_power     : inout real;  -- store local accumulator in signal
-                                            signal measured_max    : out real;  -- maximum sample value
-                                            signal measured_min    : out real;  -- minimum sample value
-                                            signal measured_mean   : out real;  -- average sample value (DC)
-                                            signal measured_power  : out real;  -- average sample power
-                                            signal measured_ampl   : out real) is  -- corresponding sine amplitude
+  procedure proc_diag_measure_cw_statistics (
+    constant c_nof_samples : in natural;  -- number of samples per in_start interval
+    signal dp_clk          : in std_logic;
+    signal in_dat          : in std_logic_vector;
+    signal in_start        : in std_logic;  -- start of interval, e.g. sop or sync
+    signal in_val          : in std_logic;
+    signal track_max       : inout real;  -- store local tracker in signal
+    signal track_min       : inout real;  -- store local tracker in signal
+    signal accum_mean      : inout real;  -- store local accumulator in signal
+    signal accum_power     : inout real;  -- store local accumulator in signal
+    signal measured_max    : out real;  -- maximum sample value
+    signal measured_min    : out real;  -- minimum sample value
+    signal measured_mean   : out real;  -- average sample value (DC)
+    signal measured_power  : out real;  -- average sample power
+    signal measured_ampl   : out real) is  -- corresponding sine amplitude
     constant c_Nsamples : real := real(c_nof_samples);
     constant c_dat      : real := real(TO_SINT(in_dat));
     constant c_mean     : real := accum_mean / c_Nsamples;
@@ -541,21 +561,22 @@ package body tb_diag_pkg is
   --   . the sine power of the perfect reference CW (carrier wave) is:
   --       cwPower = (A**2)/2
   ---------------------------------------------------------------------------
-  procedure proc_diag_measure_cw_ampl_and_phase(constant c_nof_samples   : in natural;  -- number of samples per in_start interval
-                                                constant c_fft_size      : in natural;  -- number of points of FFT
-                                                constant c_sub           : in real;  -- subband index
-                                                signal dp_clk            : in std_logic;
-                                                signal in_dat            : in std_logic_vector;
-                                                signal in_start          : in std_logic;  -- start of integration interval, e.g. sop or sync
-                                                signal in_val            : in std_logic;
-                                                signal in_cnt            : in natural;  -- sample index in c_fft_size
-                                                signal ref_I             : out real;  -- output local I as signal for debugging in wave window
-                                                signal ref_Q             : out real;  -- output local Q as signal for debugging in wave window
-                                                signal accum_I           : inout real;  -- store local I accumulator in signal
-                                                signal accum_Q           : inout real;  -- store local Q accumulator in signal
-                                                signal measured_ampl     : out real;  -- measured CW amplitude
-                                                signal measured_phase    : out real;  -- measured CW phase in radials
-                                                signal measured_phase_Ts : out real) is  -- measured CW phase in sample periods
+  procedure proc_diag_measure_cw_ampl_and_phase (
+    constant c_nof_samples   : in natural;  -- number of samples per in_start interval
+    constant c_fft_size      : in natural;  -- number of points of FFT
+    constant c_sub           : in real;  -- subband index
+    signal dp_clk            : in std_logic;
+    signal in_dat            : in std_logic_vector;
+    signal in_start          : in std_logic;  -- start of integration interval, e.g. sop or sync
+    signal in_val            : in std_logic;
+    signal in_cnt            : in natural;  -- sample index in c_fft_size
+    signal ref_I             : out real;  -- output local I as signal for debugging in wave window
+    signal ref_Q             : out real;  -- output local Q as signal for debugging in wave window
+    signal accum_I           : inout real;  -- store local I accumulator in signal
+    signal accum_Q           : inout real;  -- store local Q accumulator in signal
+    signal measured_ampl     : out real;  -- measured CW amplitude
+    signal measured_phase    : out real;  -- measured CW phase in radials
+    signal measured_phase_Ts : out real) is  -- measured CW phase in sample periods
     constant c_Nsamples    : real := real(c_nof_samples);
     constant c_Nfft        : real := real(c_fft_size);
     constant c_omega : real := MATH_2_PI * c_sub / c_Nfft;
@@ -590,20 +611,21 @@ package body tb_diag_pkg is
     end if;
   end proc_diag_measure_cw_ampl_and_phase;
 
-  procedure proc_diag_measure_cw_ampl_and_phase(constant c_fft_size      : in natural;  -- number of points of FFT = number of samples per in_start interval
-                                                constant c_sub           : in real;
-                                                signal dp_clk            : in std_logic;
-                                                signal in_dat            : in std_logic_vector;
-                                                signal in_start          : in std_logic;
-                                                signal in_val            : in std_logic;
-                                                signal in_cnt            : in natural;
-                                                signal ref_I             : out real;
-                                                signal ref_Q             : out real;
-                                                signal accum_I           : inout real;
-                                                signal accum_Q           : inout real;
-                                                signal measured_ampl     : out real;
-                                                signal measured_phase    : out real;
-                                                signal measured_phase_Ts : out real) is
+  procedure proc_diag_measure_cw_ampl_and_phase (
+    constant c_fft_size      : in natural;  -- number of points of FFT = number of samples per in_start interval
+    constant c_sub           : in real;
+    signal dp_clk            : in std_logic;
+    signal in_dat            : in std_logic_vector;
+    signal in_start          : in std_logic;
+    signal in_val            : in std_logic;
+    signal in_cnt            : in natural;
+    signal ref_I             : out real;
+    signal ref_Q             : out real;
+    signal accum_I           : inout real;
+    signal accum_Q           : inout real;
+    signal measured_ampl     : out real;
+    signal measured_phase    : out real;
+    signal measured_phase_Ts : out real) is
   begin
     proc_diag_measure_cw_ampl_and_phase(c_fft_size, c_fft_size, c_sub, dp_clk,
                                         in_dat, in_start, in_val, in_cnt,
@@ -631,20 +653,21 @@ package body tb_diag_pkg is
   --
   --       SNR = 10*log10(cwPower / noisePower) [dB]
   ---------------------------------------------------------------------------
-  procedure proc_diag_measure_cw_noise_power(constant c_nof_samples      : in natural;  -- number of samples per integration interval
-                                             constant c_fft_size         : in natural;  -- number of points of FFT
-                                             constant c_sub              : in real;  -- subband index
-                                             signal dp_clk               : in std_logic;
-                                             signal in_dat               : in std_logic_vector;
-                                             signal in_start             : in std_logic;  -- start of integration interval, e.g. sop or sync
-                                             signal in_val               : in std_logic;
-                                             signal in_cnt               : in natural;  -- sample index in c_fft_size
-                                             signal cw_ampl              : in real;  -- estimated CW amplitude of in_dat
-                                             signal cw_phase             : in real;  -- estimated CW phase of in_dat
-                                             signal cw_dat               : out integer;  -- estimated CW
-                                             signal cw_noise             : out real;  -- estimated CW quantization noise
-                                             signal accum_noise_power    : inout real;  -- store noise power accumulator in signal
-                                             signal measured_noise_power : out real) is  -- measured noise power in in_dat
+  procedure proc_diag_measure_cw_noise_power (
+    constant c_nof_samples      : in natural;  -- number of samples per integration interval
+    constant c_fft_size         : in natural;  -- number of points of FFT
+    constant c_sub              : in real;  -- subband index
+    signal dp_clk               : in std_logic;
+    signal in_dat               : in std_logic_vector;
+    signal in_start             : in std_logic;  -- start of integration interval, e.g. sop or sync
+    signal in_val               : in std_logic;
+    signal in_cnt               : in natural;  -- sample index in c_fft_size
+    signal cw_ampl              : in real;  -- estimated CW amplitude of in_dat
+    signal cw_phase             : in real;  -- estimated CW phase of in_dat
+    signal cw_dat               : out integer;  -- estimated CW
+    signal cw_noise             : out real;  -- estimated CW quantization noise
+    signal accum_noise_power    : inout real;  -- store noise power accumulator in signal
+    signal measured_noise_power : out real) is  -- measured noise power in in_dat
     constant c_Nsamples    : real := real(c_nof_samples);
     constant c_Nfft        : real := real(c_fft_size);
     constant c_omega       : real := MATH_2_PI * c_sub / c_Nfft;
@@ -673,19 +696,20 @@ package body tb_diag_pkg is
     end if;
   end proc_diag_measure_cw_noise_power;
 
-  procedure proc_diag_measure_cw_noise_power(constant c_fft_size         : in natural;  -- number of points of FFT = number of samples per in_start interval
-                                             constant c_sub              : in real;
-                                             signal dp_clk               : in std_logic;
-                                             signal in_dat               : in std_logic_vector;
-                                             signal in_start             : in std_logic;
-                                             signal in_val               : in std_logic;
-                                             signal in_cnt               : in natural;
-                                             signal cw_ampl              : in real;
-                                             signal cw_phase             : in real;
-                                             signal cw_dat               : out integer;
-                                             signal cw_noise             : out real;
-                                             signal accum_noise_power    : inout real;
-                                             signal measured_noise_power : out real) is
+  procedure proc_diag_measure_cw_noise_power (
+    constant c_fft_size         : in natural;  -- number of points of FFT = number of samples per in_start interval
+    constant c_sub              : in real;
+    signal dp_clk               : in std_logic;
+    signal in_dat               : in std_logic_vector;
+    signal in_start             : in std_logic;
+    signal in_val               : in std_logic;
+    signal in_cnt               : in natural;
+    signal cw_ampl              : in real;
+    signal cw_phase             : in real;
+    signal cw_dat               : out integer;
+    signal cw_noise             : out real;
+    signal accum_noise_power    : inout real;
+    signal measured_noise_power : out real) is
   begin
     proc_diag_measure_cw_noise_power(c_fft_size, c_fft_size, c_sub, dp_clk,
                                      in_dat, in_start, in_val, in_cnt,
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_regression.vhd b/libraries/base/diag/tb/vhdl/tb_diag_regression.vhd
index 1bc0566e9e..5f22f28ff1 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_regression.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_regression.vhd
@@ -30,7 +30,7 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_diag_regression is
 end tb_diag_regression;
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_rx_seq.vhd b/libraries/base/diag/tb/vhdl/tb_diag_rx_seq.vhd
index 0355c249d6..6f6f15117e 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_rx_seq.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_rx_seq.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.diag_pkg.all;
 
 -- Purpose: test bench for diag_rx_seq control
 -- Usage:
@@ -258,39 +258,39 @@ begin
   end process;
 
   u_diag_tx_seq : entity work.diag_tx_seq
-  generic map (
-    g_cnt_incr => g_tx_cnt_incr,
-    g_dat_w    => g_seq_dat_w
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    diag_en  => tx_diag_en,
-    diag_sel => tx_diag_sel,
-    diag_mod => tx_diag_mod,
-    diag_req => tx_diag_req,
-    out_dat  => seq_dat,
-    out_val  => seq_val
-  );
+    generic map (
+      g_cnt_incr => g_tx_cnt_incr,
+      g_dat_w    => g_seq_dat_w
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      diag_en  => tx_diag_en,
+      diag_sel => tx_diag_sel,
+      diag_mod => tx_diag_mod,
+      diag_req => tx_diag_req,
+      out_dat  => seq_dat,
+      out_val  => seq_val
+    );
 
   u_diag_rx_seq : entity work.diag_rx_seq
-  generic map (
-    g_use_steps  => g_rx_use_steps,
-    g_nof_steps  => c_rx_nof_steps,
-    g_dat_w      => g_seq_dat_w,
-    g_diag_res_w => c_diag_res_w
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-    in_dat         => seq_dat,
-    in_val         => seq_val,
-    diag_en        => rx_diag_en,
-    diag_sel       => rx_diag_sel,
-    diag_steps_arr => rx_diag_steps_arr,
-    diag_res       => diag_res,
-    diag_res_val   => diag_res_val
-  );
+    generic map (
+      g_use_steps  => g_rx_use_steps,
+      g_nof_steps  => c_rx_nof_steps,
+      g_dat_w      => g_seq_dat_w,
+      g_diag_res_w => c_diag_res_w
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+      in_dat         => seq_dat,
+      in_val         => seq_val,
+      diag_en        => rx_diag_en,
+      diag_sel       => rx_diag_sel,
+      diag_steps_arr => rx_diag_steps_arr,
+      diag_res       => diag_res,
+      diag_res_val   => diag_res_val
+    );
 
   p_report : process (clk)
   begin
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_tx_frm.vhd b/libraries/base/diag/tb/vhdl/tb_diag_tx_frm.vhd
index ce4be53f02..60bdaf9aeb 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_tx_frm.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_tx_frm.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_diag_tx_frm is
 end tb_diag_tx_frm;
@@ -156,30 +156,30 @@ begin
   end process;
 
   u_diag_tx_frm : entity work.diag_tx_frm
-  generic map (
-    g_sel       => c_sel,
-    g_init      => c_init,
-    g_frame_len => c_frame_len,
-    g_dat_w     => c_dat_w
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    clken          => '1',
-    -- Static control input (connect via MM or leave open to use default)
-    diag_sel       => diag_sel,
-    diag_frame_len => diag_frame_len,
-    -- Dynamic control input (connect via MM or via ST input or leave open to use defaults)
-    diag_ready     => diag_ready,
-    diag_init      => diag_init,
-    diag_sop       => diag_sop,
-    -- ST output
-    out_ready      => seq_req,
-    out_dat        => seq_dat,
-    out_val        => seq_val,
-    out_sop        => seq_sop,
-    out_eop        => seq_eop
-  );
+    generic map (
+      g_sel       => c_sel,
+      g_init      => c_init,
+      g_frame_len => c_frame_len,
+      g_dat_w     => c_dat_w
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      clken          => '1',
+      -- Static control input (connect via MM or leave open to use default)
+      diag_sel       => diag_sel,
+      diag_frame_len => diag_frame_len,
+      -- Dynamic control input (connect via MM or via ST input or leave open to use defaults)
+      diag_ready     => diag_ready,
+      diag_init      => diag_init,
+      diag_sop       => diag_sop,
+      -- ST output
+      out_ready      => seq_req,
+      out_dat        => seq_dat,
+      out_val        => seq_val,
+      out_sop        => seq_sop,
+      out_eop        => seq_eop
+    );
 
 
   prev_seq_dat <= seq_dat when rising_edge(clk) and seq_val = '1';
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_tx_seq.vhd b/libraries/base/diag/tb/vhdl/tb_diag_tx_seq.vhd
index 0247eb2740..bea94e2b3a 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_tx_seq.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_tx_seq.vhd
@@ -26,9 +26,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_diag_tx_seq is
 end tb_diag_tx_seq;
@@ -107,18 +107,18 @@ begin
   end process;
 
   u_diag_tx_seq : entity work.diag_tx_seq
-  generic map (
-    g_dat_w  => c_dat_w
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    diag_en  => diag_en,
-    diag_sel => diag_sel,
-    diag_req => diag_req,
-    out_dat  => seq_dat,
-    out_val  => seq_val
-  );
+    generic map (
+      g_dat_w  => c_dat_w
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      diag_en  => diag_en,
+      diag_sel => diag_sel,
+      diag_req => diag_req,
+      out_dat  => seq_dat,
+      out_val  => seq_val
+    );
 
 end tb;
 
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_wg.vhd b/libraries/base/diag/tb/vhdl/tb_diag_wg.vhd
index 9853faafcf..07c888133b 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_wg.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_wg.vhd
@@ -21,12 +21,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.diag_pkg.all;
 
 -- Usage:
 -- > do wave_diag_wg_wideband.do
@@ -51,20 +51,22 @@ architecture tb of tb_diag_wg is
   constant c_clk_period     : time    := (10**9 / c_clk_freq) * 1 ns;
 
   -- Default settings
-  constant c_buf            : t_c_mem := (latency  => 1,
-                                          adr_w    => g_buf_adr_w,
-                                          dat_w    => g_buf_dat_w,  -- fit DSP multiply 18x18 element
-                                          nof_dat  => 2**g_buf_adr_w,  -- = 2**adr_w
-                                          init_sl  => '0');
+  constant c_buf            : t_c_mem := (
+    latency  => 1,
+    adr_w    => g_buf_adr_w,
+    dat_w    => g_buf_dat_w,  -- fit DSP multiply 18x18 element
+    nof_dat  => 2**g_buf_adr_w,  -- = 2**adr_w
+    init_sl  => '0'
+  );
   constant c_buf_file       : string := sel_a_b(c_buf.adr_w = 11 and c_buf.dat_w = 18, "data/diag_sin_2048x18.hex",
-                                        sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 18, "data/diag_sin_1024x18.hex",
-                                        sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 8, "data/diag_sin_1024x8.hex", "UNUSED")));
+                                                sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 18, "data/diag_sin_1024x18.hex",
+                                                         sel_a_b(c_buf.adr_w = 10 and c_buf.dat_w = 8, "data/diag_sin_1024x8.hex", "UNUSED")));
 
 
   constant c_wg_nof_samples : natural := c_buf.nof_dat;  -- must be <= c_buf.nof_dat
   constant c_wg_gain_w      : natural := 1;  -- Normalized range [0 1>  maps to fixed point range [0:2**c_diag_wg_ampl_w>
-                                              -- . use gain 2**0             = 1 to have fulle scale without clipping
-                                              -- . use gain 2**g_calc_gain_w > 1 to cause clipping
+  -- . use gain 2**0             = 1 to have fulle scale without clipping
+  -- . use gain 2**g_calc_gain_w > 1 to cause clipping
 
   constant c_buf_full_scale : natural := 2**(g_buf_dat_w - 1) - 1;  -- The stored waveform range should also be [-c_buf_full_scale +c_buf_full_scale], so not including -c_buf_full_scale-1
   constant c_wg_full_scale  : natural := 2**(g_wg_dat_w - 1) - 1;
@@ -124,13 +126,13 @@ begin
 
     -- >>> CALC mode
     -- Cosinus with frequency Fs/2 (note sinus Fs/2 yields DC = 0)
---     wg_freq        <= INTEGER(0.5 * c_freq_unit);
---     wg_phase       <= INTEGER(90.0 * c_phase_unit);
+    --     wg_freq        <= INTEGER(0.5 * c_freq_unit);
+    --     wg_phase       <= INTEGER(90.0 * c_phase_unit);
 
     -- Choosing 1.0*Fs to select Fs which is equivalent to DC, hence the DC value is then determined by phase
     -- this also applies to 2.0, 3.0, 4.0 etc
---     wg_freq        <= INTEGER(1.0 * c_freq_unit);
---     wg_phase       <= INTEGER(45.0 * c_phase_unit);
+    --     wg_freq        <= INTEGER(1.0 * c_freq_unit);
+    --     wg_phase       <= INTEGER(45.0 * c_phase_unit);
 
     -- Sinus Fs/16
     wg_freq        <= integer(0.0625 * c_freq_unit);
@@ -140,12 +142,12 @@ begin
     wg_phase       <= integer(0.0 * c_phase_unit);
 
     -- Sinus Fs/17
---     wg_freq        <= INTEGER(1.0/17.0 * c_freq_unit);
---     wg_phase       <= INTEGER(0.0 * c_phase_unit);
+    --     wg_freq        <= INTEGER(1.0/17.0 * c_freq_unit);
+    --     wg_phase       <= INTEGER(0.0 * c_phase_unit);
 
     wg_ampl        <= integer(1.0 * c_ampl_unit);  -- yields amplitude of c_wg_full_scale
---     wg_ampl        <= INTEGER(1.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 1
---     wg_ampl        <= INTEGER(3.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 3
+    --     wg_ampl        <= INTEGER(1.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 1
+    --     wg_ampl        <= INTEGER(3.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 3
 
     wait until rising_edge(clk);  -- align to rising edge
     wait for c_clk_period * 200;
@@ -209,55 +211,55 @@ begin
 
   -- Waveform buffer
   u_buf : entity common_lib.common_ram_crw_crw
-  generic map (
-    g_ram       => c_buf,
-    g_init_file => c_buf_file
-  )
-  port map (
-    rst_a     => '0',
-    rst_b     => '0',
-    clk_a     => clk,
-    clk_b     => clk,
-    wr_en_a   => '0',
-    wr_en_b   => '0',
-    wr_dat_a  => (others => '0'),
-    wr_dat_b  => (others => '0'),
-    adr_a     => (others => '0'),
-    adr_b     => buf_addr,
-    rd_en_a   => '0',
-    rd_en_b   => buf_rden,
-    rd_dat_a  => OPEN,
-    rd_dat_b  => buf_rddat,
-    rd_val_a  => OPEN,
-    rd_val_b  => buf_rdval
-  );
+    generic map (
+      g_ram       => c_buf,
+      g_init_file => c_buf_file
+    )
+    port map (
+      rst_a     => '0',
+      rst_b     => '0',
+      clk_a     => clk,
+      clk_b     => clk,
+      wr_en_a   => '0',
+      wr_en_b   => '0',
+      wr_dat_a  => (others => '0'),
+      wr_dat_b  => (others => '0'),
+      adr_a     => (others => '0'),
+      adr_b     => buf_addr,
+      rd_en_a   => '0',
+      rd_en_b   => buf_rden,
+      rd_dat_a  => OPEN,
+      rd_dat_b  => buf_rddat,
+      rd_val_a  => OPEN,
+      rd_val_b  => buf_rdval
+    );
 
   -- Waveform generator
   u_wg : entity work.diag_wg
-  generic map (
-    g_buf_dat_w    => c_buf.dat_w,
-    g_buf_addr_w   => c_buf.adr_w,
-    g_calc_support => true,
-    g_calc_gain_w  => c_wg_gain_w,
-    g_calc_dat_w   => g_wg_dat_w
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    restart        => restart,
-
-    buf_rddat      => buf_rddat,
-    buf_rdval      => buf_rdval,
-    buf_addr       => buf_addr,
-    buf_rden       => buf_rden,
-
-    ctrl           => wg_ctrl,
-
-    out_ovr        => wg_ovr,
-    out_dat        => wg_dat,
-    out_val        => wg_val,
-    out_sync       => wg_sync
-  );
+    generic map (
+      g_buf_dat_w    => c_buf.dat_w,
+      g_buf_addr_w   => c_buf.adr_w,
+      g_calc_support => true,
+      g_calc_gain_w  => c_wg_gain_w,
+      g_calc_dat_w   => g_wg_dat_w
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      restart        => restart,
+
+      buf_rddat      => buf_rddat,
+      buf_rdval      => buf_rdval,
+      buf_addr       => buf_addr,
+      buf_rden       => buf_rden,
+
+      ctrl           => wg_ctrl,
+
+      out_ovr        => wg_ovr,
+      out_dat        => wg_dat,
+      out_val        => wg_val,
+      out_sync       => wg_sync
+    );
 
 end tb;
 
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_wg_wideband.vhd b/libraries/base/diag/tb/vhdl/tb_diag_wg_wideband.vhd
index e91f7354c6..844e7bf3a5 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_wg_wideband.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_wg_wideband.vhd
@@ -29,17 +29,17 @@
 -- . Observe state in diag_wg(0).
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use work.diag_pkg.all;
 
 entity tb_diag_wg_wideband is
   generic (
     -- Wideband parameters
     g_wideband_factor  : natural := 4;  -- Wideband rate factor >= 1 for unit frequency of g_wideband_factor * Fs
-                                            -- Take care that the g_wideband_factor and c_clk_freq match the simulation time resolution of integer 1 ps
+    -- Take care that the g_wideband_factor and c_clk_freq match the simulation time resolution of integer 1 ps
     -- Basic WG parameters, see diag_wg.vhd for their meaning
     g_buf_addr_w       : natural := 10;
     g_buf_dat_w        : natural := 8;
@@ -56,8 +56,8 @@ architecture tb of tb_diag_wg_wideband is
   constant c_buf_nof_dat    : natural := 2**g_buf_addr_w;
 
   constant c_wg_gain_w      : natural := 1;  -- Normalized range [0 1>  maps to fixed point range [0:2**c_diag_wg_ampl_w>
-                                              -- . use gain 2**0             = 1 to have fulle scale without clipping
-                                              -- . use gain 2**g_calc_gain_w > 1 to cause clipping
+  -- . use gain 2**0             = 1 to have fulle scale without clipping
+  -- . use gain 2**g_calc_gain_w > 1 to cause clipping
 
   constant c_buf_full_scale : natural := 2**(g_buf_dat_w - 1) - 1;  -- The stored waveform range should also be [-c_buf_full_scale +c_buf_full_scale], so not including -c_buf_full_scale-1
   constant c_wg_full_scale  : natural := 2**(g_wg_dat_w - 1) - 1;
@@ -133,13 +133,13 @@ begin
 
     -- >>> CALC mode
     -- Cosinus with frequency Fs/2 (note sinus Fs/2 yields DC = 0)
---     wg_freq        <= INTEGER(0.5 * c_freq_unit);
---     wg_phase       <= INTEGER(90.0 * c_phase_unit);
+    --     wg_freq        <= INTEGER(0.5 * c_freq_unit);
+    --     wg_phase       <= INTEGER(90.0 * c_phase_unit);
 
     -- Choosing 1.0*Fs to select Fs which is equivalent to DC, hence the DC value is then determined by phase
     -- this also applies to 2.0, 3.0, 4.0 etc
---     wg_freq        <= INTEGER(1.0 * c_freq_unit);
---     wg_phase       <= INTEGER(45.0 * c_phase_unit);
+    --     wg_freq        <= INTEGER(1.0 * c_freq_unit);
+    --     wg_phase       <= INTEGER(45.0 * c_phase_unit);
 
     -- Sinus Fs/16
     wg_freq        <= integer(0.0625 * c_freq_unit);
@@ -149,12 +149,12 @@ begin
     wg_phase       <= integer(0.0 * c_phase_unit);
 
     -- Sinus Fs/17
---     wg_freq        <= INTEGER(1.0/17.0 * c_freq_unit);
---     wg_phase       <= INTEGER(0.0 * c_phase_unit);
+    --     wg_freq        <= INTEGER(1.0/17.0 * c_freq_unit);
+    --     wg_phase       <= INTEGER(0.0 * c_phase_unit);
 
     wg_ampl        <= integer(1.0 * c_ampl_unit);  -- yields amplitude of c_wg_full_scale
---     wg_ampl        <= INTEGER(1.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 1
---     wg_ampl        <= INTEGER(3.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 3
+    --     wg_ampl        <= INTEGER(1.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 1
+    --     wg_ampl        <= INTEGER(3.0/REAL(c_wg_full_scale) * c_ampl_unit);  -- yields amplitude of 3
 
     wait until rising_edge(clk);  -- align to rising edge
     cur_ctrl       <= wg_ctrl;
@@ -265,41 +265,41 @@ begin
 
 
   u_wideband_wg : entity work.diag_wg_wideband
-  generic map (
-    -- Wideband parameters
-    g_wideband_factor   => g_wideband_factor,
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w         => g_buf_dat_w,
-    g_buf_addr_w        => g_buf_addr_w,
-    g_calc_support      => true,
-    g_calc_gain_w       => c_wg_gain_w,
-    g_calc_dat_w        => g_wg_dat_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst               => '0',
-    mm_clk               => '0',
-
-    mm_wrdata            => (others => '0'),
-    mm_address           => (others => '0'),
-    mm_wr                => '0',
-    mm_rd                => '0',
-    mm_rdval             => OPEN,
-    mm_rddata            => OPEN,
-
-    -- Streaming clock domain
-    st_rst               => rst,
-    st_clk               => clk,
-    st_restart           => restart,
-
-    st_ctrl              => wg_ctrl,
-    st_mon_ctrl          => mon_ctrl,
-
-    out_ovr              => out_ovr,
-    out_dat              => out_dat,
-    out_val              => out_val,
-    out_sync             => out_sync
-  );
+    generic map (
+      -- Wideband parameters
+      g_wideband_factor   => g_wideband_factor,
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w         => g_buf_dat_w,
+      g_buf_addr_w        => g_buf_addr_w,
+      g_calc_support      => true,
+      g_calc_gain_w       => c_wg_gain_w,
+      g_calc_dat_w        => g_wg_dat_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst               => '0',
+      mm_clk               => '0',
+
+      mm_wrdata            => (others => '0'),
+      mm_address           => (others => '0'),
+      mm_wr                => '0',
+      mm_rd                => '0',
+      mm_rdval             => OPEN,
+      mm_rddata            => OPEN,
+
+      -- Streaming clock domain
+      st_rst               => rst,
+      st_clk               => clk,
+      st_restart           => restart,
+
+      st_ctrl              => wg_ctrl,
+      st_mon_ctrl          => mon_ctrl,
+
+      out_ovr              => out_ovr,
+      out_dat              => out_dat,
+      out_val              => out_val,
+      out_sync             => out_sync
+    );
 
   -- Map wideband WG out_* slv to wg_* arrays to ease interpretation in wave window
   gen_wires : for I in 0 to g_wideband_factor - 1 generate
diff --git a/libraries/base/diag/tb/vhdl/tb_mms_diag_block_gen.vhd b/libraries/base/diag/tb/vhdl/tb_mms_diag_block_gen.vhd
index 05e007d5bb..bbd0b6e7ba 100644
--- a/libraries/base/diag/tb/vhdl/tb_mms_diag_block_gen.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_mms_diag_block_gen.vhd
@@ -27,17 +27,17 @@
 --   Observe tb_state and check the out_sosi_0 fields if data is OK.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.diag_pkg.all;
 
 entity tb_mms_diag_block_gen is
   generic (
@@ -216,24 +216,24 @@ begin
   -- User input modelled by another BG
   -------------------------------------------------
   u_user : entity work.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_buf_dat_w          => c_buf_dat_w,
-    g_buf_addr_w         => c_buf_addr_w,
-    g_file_name_prefix   => c_file_name_prefix,
-    g_diag_block_gen_rst => c_diag_block_gen_enabled  -- user BG is default enabled
-  )
-  port map (
-    -- System
-    mm_rst           => rst,
-    mm_clk           => clk,
-    dp_rst           => rst,
-    dp_clk           => clk,
-    en_sync          => '0',
-    -- ST interface
-    out_siso_arr     => usr_src_in_arr,
-    out_sosi_arr     => usr_src_out_arr
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_buf_dat_w          => c_buf_dat_w,
+      g_buf_addr_w         => c_buf_addr_w,
+      g_file_name_prefix   => c_file_name_prefix,
+      g_diag_block_gen_rst => c_diag_block_gen_enabled  -- user BG is default enabled
+    )
+    port map (
+      -- System
+      mm_rst           => rst,
+      mm_clk           => clk,
+      dp_rst           => rst,
+      dp_clk           => clk,
+      en_sync          => '0',
+      -- ST interface
+      out_siso_arr     => usr_src_in_arr,
+      out_sosi_arr     => usr_src_out_arr
+    );
 
   -- Use sufficiently large FIFO to provide siso.ready flow control to the user input
   no_user_fifo : if c_use_user_fifo = false generate
@@ -244,37 +244,37 @@ begin
   gen_user_fifo : if c_use_user_fifo = true generate
     gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
       u_user_fifo : entity dp_lib.dp_fifo_sc
-      generic map (
-        g_data_w         => c_buf_dat_w,
-        g_bsn_w          => c_dp_stream_bsn_w,
-        g_empty_w        => c_dp_stream_empty_w,
-        g_channel_w      => c_dp_stream_channel_w,
-        g_error_w        => c_dp_stream_error_w,
-        g_use_bsn        => true,
-        g_use_empty      => true,
-        g_use_channel    => true,
-        g_use_error      => true,
-        g_use_sync       => true,
-        g_use_ctrl       => true,
-        g_use_complex    => false,
-        g_fifo_size      => c_usr_fifo_size,
-        g_fifo_af_margin => 4,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- Monitor FIFO filling
-        wr_ful      => OPEN,
-        usedw       => OPEN,
-        rd_emp      => OPEN,
-        -- ST sink
-        snk_out     => usr_src_in_arr(I),
-        snk_in      => usr_src_out_arr(I),
-        -- ST source
-        src_in      => usr_fifo_src_in_arr(I),
-        src_out     => usr_fifo_src_out_arr(I)
-      );
+        generic map (
+          g_data_w         => c_buf_dat_w,
+          g_bsn_w          => c_dp_stream_bsn_w,
+          g_empty_w        => c_dp_stream_empty_w,
+          g_channel_w      => c_dp_stream_channel_w,
+          g_error_w        => c_dp_stream_error_w,
+          g_use_bsn        => true,
+          g_use_empty      => true,
+          g_use_channel    => true,
+          g_use_error      => true,
+          g_use_sync       => true,
+          g_use_ctrl       => true,
+          g_use_complex    => false,
+          g_fifo_size      => c_usr_fifo_size,
+          g_fifo_af_margin => 4,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- Monitor FIFO filling
+          wr_ful      => OPEN,
+          usedw       => OPEN,
+          rd_emp      => OPEN,
+          -- ST sink
+          snk_out     => usr_src_in_arr(I),
+          snk_in      => usr_src_out_arr(I),
+          -- ST source
+          src_in      => usr_fifo_src_in_arr(I),
+          src_out     => usr_fifo_src_out_arr(I)
+        );
     end generate;
   end generate;
 
@@ -303,44 +303,44 @@ begin
   -- Device under test
   -------------------------------------------------
   u_dut : entity work.mms_diag_block_gen
-  generic map (
-    -- Generate configurations
-    g_use_usr_input      => g_use_usr_input,
-    g_use_bg             => g_use_bg,
-    g_use_tx_seq         => g_use_tx_seq,
-    -- General
-    g_nof_streams        => g_nof_streams,
-    -- BG settings
-    g_use_bg_buffer_ram  => g_use_bg_buffer_ram,
-    g_buf_dat_w          => c_buf_dat_w,
-    g_buf_addr_w         => c_buf_addr_w,
-    g_file_name_prefix   => c_file_name_prefix,
-    g_diag_block_gen_rst => c_diag_block_gen_rst,  -- user BG is default disabled, MM controlled by p_dut_bg_ctrl
-    -- User input multiplexer option
-    g_usr_bypass_xonoff  => g_usr_bypass_xonoff,
-    -- Tx_seq
-    g_seq_dat_w          => c_buf_dat_w  -- >= 1, test sequence data width. Choose g_seq_dat_w <= g_buf_dat_w
-  )
-  port map (
-    -- System
-    mm_rst           => rst,
-    mm_clk           => clk,
-    dp_rst           => rst,
-    dp_clk           => clk,
-    en_sync          => en_sync,
-    -- MM interface
-    ram_bg_data_mosi => ram_bg_data_mosi,
-    ram_bg_data_miso => ram_bg_data_miso,
-    reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-    reg_bg_ctrl_miso => reg_bg_ctrl_miso,
-    reg_tx_seq_mosi  => reg_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_tx_seq_miso,
-    -- ST interface
-    usr_siso_arr     => usr_fifo_src_in_arr,
-    usr_sosi_arr     => usr_fifo_src_out_arr,
-    out_siso_arr     => out_siso_arr,
-    out_sosi_arr     => out_sosi_arr
-  );
+    generic map (
+      -- Generate configurations
+      g_use_usr_input      => g_use_usr_input,
+      g_use_bg             => g_use_bg,
+      g_use_tx_seq         => g_use_tx_seq,
+      -- General
+      g_nof_streams        => g_nof_streams,
+      -- BG settings
+      g_use_bg_buffer_ram  => g_use_bg_buffer_ram,
+      g_buf_dat_w          => c_buf_dat_w,
+      g_buf_addr_w         => c_buf_addr_w,
+      g_file_name_prefix   => c_file_name_prefix,
+      g_diag_block_gen_rst => c_diag_block_gen_rst,  -- user BG is default disabled, MM controlled by p_dut_bg_ctrl
+      -- User input multiplexer option
+      g_usr_bypass_xonoff  => g_usr_bypass_xonoff,
+      -- Tx_seq
+      g_seq_dat_w          => c_buf_dat_w  -- >= 1, test sequence data width. Choose g_seq_dat_w <= g_buf_dat_w
+    )
+    port map (
+      -- System
+      mm_rst           => rst,
+      mm_clk           => clk,
+      dp_rst           => rst,
+      dp_clk           => clk,
+      en_sync          => en_sync,
+      -- MM interface
+      ram_bg_data_mosi => ram_bg_data_mosi,
+      ram_bg_data_miso => ram_bg_data_miso,
+      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+      reg_bg_ctrl_miso => reg_bg_ctrl_miso,
+      reg_tx_seq_mosi  => reg_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_tx_seq_miso,
+      -- ST interface
+      usr_siso_arr     => usr_fifo_src_in_arr,
+      usr_sosi_arr     => usr_fifo_src_out_arr,
+      out_siso_arr     => out_siso_arr,
+      out_sosi_arr     => out_sosi_arr
+    );
 
   -------------------------------------------------
   -- Verification
diff --git a/libraries/base/diag/tb/vhdl/tb_mms_diag_seq.vhd b/libraries/base/diag/tb/vhdl/tb_mms_diag_seq.vhd
index 0efb8a4a81..8321460d57 100644
--- a/libraries/base/diag/tb/vhdl/tb_mms_diag_seq.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_mms_diag_seq.vhd
@@ -28,17 +28,17 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.diag_pkg.all;
-use work.tb_diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.diag_pkg.all;
+  use work.tb_diag_pkg.all;
 
 entity tb_mms_diag_seq is
   generic (
@@ -287,48 +287,48 @@ begin
 
 
   u_mms_diag_tx_seq: entity WORK.mms_diag_tx_seq
-  generic map(
-    g_mm_broadcast => g_mm_broadcast_tx,
-    g_nof_streams  => g_nof_streams,
-    g_seq_dat_w    => g_seq_dat_w
-  )
-  port map(
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-
-    -- MM interface
-    reg_mosi       => reg_tx_mosi,
-    reg_miso       => reg_tx_miso,
-
-    -- DP streaming interface
-    tx_src_out_arr => tx_src_out_arr,
-    tx_src_in_arr  => tx_src_in_arr
-  );
+    generic map(
+      g_mm_broadcast => g_mm_broadcast_tx,
+      g_nof_streams  => g_nof_streams,
+      g_seq_dat_w    => g_seq_dat_w
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+
+      -- MM interface
+      reg_mosi       => reg_tx_mosi,
+      reg_miso       => reg_tx_miso,
+
+      -- DP streaming interface
+      tx_src_out_arr => tx_src_out_arr,
+      tx_src_in_arr  => tx_src_in_arr
+    );
 
   u_mms_diag_rx_seq: entity WORK.mms_diag_rx_seq
-  generic map(
-    g_nof_streams => g_nof_streams,
-    g_use_steps   => g_use_steps,
-    g_seq_dat_w   => g_seq_dat_w,
-    g_data_w      => g_data_w
-  )
-  port map(
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-
-    -- MM interface
-    reg_mosi       => reg_rx_mosi,
-    reg_miso       => reg_rx_miso,
-
-    -- DP streaming interface
-    rx_snk_in_arr  => rx_snk_in_arr
-  );
+    generic map(
+      g_nof_streams => g_nof_streams,
+      g_use_steps   => g_use_steps,
+      g_seq_dat_w   => g_seq_dat_w,
+      g_data_w      => g_data_w
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+
+      -- MM interface
+      reg_mosi       => reg_rx_mosi,
+      reg_miso       => reg_rx_miso,
+
+      -- DP streaming interface
+      rx_snk_in_arr  => rx_snk_in_arr
+    );
 
   p_connect : process(tx_src_out_arr, force_low_error, force_replicate_error)
   begin
diff --git a/libraries/base/diag/tb/vhdl/tb_tb_diag_block_gen.vhd b/libraries/base/diag/tb/vhdl/tb_tb_diag_block_gen.vhd
index 7dc5753126..3333725f5f 100644
--- a/libraries/base/diag/tb/vhdl/tb_tb_diag_block_gen.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_tb_diag_block_gen.vhd
@@ -29,8 +29,8 @@
 --   > run -all
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tb_diag_block_gen is
 end tb_tb_diag_block_gen;
@@ -51,7 +51,7 @@ begin
   -- g_buf_adr_w      : NATURAL := 7;   -- Waveform buffer address width (requires corresponding c_buf_file)
   -- g_buf_dat_w      : NATURAL := 32   -- Waveform buffer stored data width (requires corresponding c_buf_file)
   -- g_try_phasor     : BOOLEAN := FALSE  -- use TRUE to see BG phasor in wave window with out_sosi.re/im in radix
-                                          -- decimal and analogue format, no self test
+  -- decimal and analogue format, no self test
 
   u_bg               : entity work.tb_diag_block_gen generic map (e_active, 96, 10, 32, 7, 32, false);
   u_bg_ready         : entity work.tb_diag_block_gen generic map (e_random, 96, 10, 32, 7, 32, false);
diff --git a/libraries/base/diag/tb/vhdl/tb_tb_diag_rx_seq.vhd b/libraries/base/diag/tb/vhdl/tb_tb_diag_rx_seq.vhd
index 2eca397d4c..a9f4524dad 100644
--- a/libraries/base/diag/tb/vhdl/tb_tb_diag_rx_seq.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_tb_diag_rx_seq.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tb_diag_rx_seq is
 end tb_tb_diag_rx_seq;
diff --git a/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_block_gen.vhd b/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_block_gen.vhd
index 5365f236bc..6b45dcd30f 100644
--- a/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_block_gen.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_block_gen.vhd
@@ -28,8 +28,8 @@
 --   > run -all
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tb_mms_diag_block_gen is
 end tb_tb_mms_diag_block_gen;
@@ -43,15 +43,15 @@ architecture tb of tb_tb_mms_diag_block_gen is
 
 begin
 
--- g_use_usr_input        : BOOLEAN := TRUE;
--- g_use_bg               : BOOLEAN := TRUE;
--- g_use_tx_seq           : BOOLEAN := FALSE;
--- g_use_bg_buffer_ram    : BOOLEAN := TRUE;
--- g_usr_bypass_xonoff    : BOOLEAN := FALSE;
--- g_flow_control_verify  : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
--- g_nof_repeat           : NATURAL := 2;
--- g_nof_streams          : NATURAL := 16;
--- g_gap_size             : NATURAL := 160
+  -- g_use_usr_input        : BOOLEAN := TRUE;
+  -- g_use_bg               : BOOLEAN := TRUE;
+  -- g_use_tx_seq           : BOOLEAN := FALSE;
+  -- g_use_bg_buffer_ram    : BOOLEAN := TRUE;
+  -- g_usr_bypass_xonoff    : BOOLEAN := FALSE;
+  -- g_flow_control_verify  : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
+  -- g_nof_repeat           : NATURAL := 2;
+  -- g_nof_streams          : NATURAL := 16;
+  -- g_gap_size             : NATURAL := 160
 
   u_bg_one_stream               : entity work.tb_mms_diag_block_gen generic map (false,  true, false,  true, false, e_active, 1, 1,   0);
   u_bg_gap_0                    : entity work.tb_mms_diag_block_gen generic map (false,  true, false,  true, false, e_active, 1, 3,   0);
diff --git a/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_seq.vhd b/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_seq.vhd
index edb55d1e35..8d12bb0fa8 100644
--- a/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_seq.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_tb_mms_diag_seq.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tb_mms_diag_seq is
 end tb_tb_mms_diag_seq;
diff --git a/libraries/base/diagnostics/src/vhdl/diagnostics.vhd b/libraries/base/diagnostics/src/vhdl/diagnostics.vhd
index 969c2edca0..f6c4c3636b 100644
--- a/libraries/base/diagnostics/src/vhdl/diagnostics.vhd
+++ b/libraries/base/diagnostics/src/vhdl/diagnostics.vhd
@@ -32,11 +32,11 @@
 -- All control inputs and status outputs apply to an individual stream.
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity diagnostics is
   generic (
@@ -46,7 +46,7 @@ entity diagnostics is
     g_src_latency   : natural := 1;
     g_snk_latency   : natural := 1;
     g_separate_clk  : boolean := false  -- Use separate SRC and SNK clock domains
-   );
+  );
   port (
     rst              : in  std_logic := '0';
     clk              : in  std_logic := '0';
@@ -137,57 +137,57 @@ begin
     snk_diag_res_val(i) <= andv(substream_snk_diag_res_val(i));  -- If all substream diag results are valid, the stream's diag_res is valid.
 
     u_tx_latency_adpt: entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => g_src_latency
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => g_src_latency
       )
-    port map (
-      rst      => tx_rst(i),
-      clk      => tx_clk(i),
+      port map (
+        rst      => tx_rst(i),
+        clk      => tx_clk(i),
 
-      snk_out  => tx_dpmon_siso_arr(i),
-      snk_in   => tx_dpmon_sosi_arr(i),
+        snk_out  => tx_dpmon_siso_arr(i),
+        snk_in   => tx_dpmon_sosi_arr(i),
 
-      src_out  => src_out_arr(i),
-      src_in   => src_in_arr(i)
-    );
+        src_out  => src_out_arr(i),
+        src_in   => src_in_arr(i)
+      );
 
     u_rx_latency_adpt: entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => g_snk_latency,
-      g_out_latency => 1
-    )
-    port map (
-      rst      => rx_rst(i),
-      clk      => rx_clk(i),
+      generic map (
+        g_in_latency  => g_snk_latency,
+        g_out_latency => 1
+      )
+      port map (
+        rst      => rx_rst(i),
+        clk      => rx_clk(i),
 
-      snk_out  => snk_out_arr(i),
-      snk_in   => snk_in_arr(i),
+        snk_out  => snk_out_arr(i),
+        snk_in   => snk_in_arr(i),
 
-      src_out  => rx_dpmon_sosi_arr(i),
-      src_in   => rx_dpmon_siso_arr(i)
-    );
+        src_out  => rx_dpmon_sosi_arr(i),
+        src_in   => rx_dpmon_siso_arr(i)
+      );
 
     tx_diag_req(i) <= tx_siso_arr(i).ready and tx_siso_arr(i).xon;
 
     gen_bg : if g_block_len > 0 generate
 
       u_dp_block_gen: entity dp_lib.dp_block_gen
-      generic map (
-        g_nof_data => g_block_len,
-        g_empty    => 0,
-        g_channel  => 0,
-        g_error    => 0
-      )
-      port map (
-        rst        => tx_rst(i),
-        clk        => tx_clk(i),
+        generic map (
+          g_nof_data => g_block_len,
+          g_empty    => 0,
+          g_channel  => 0,
+          g_error    => 0
+        )
+        port map (
+          rst        => tx_rst(i),
+          clk        => tx_clk(i),
 
-        src_in     => tx_bg_siso_arr(i),
-        src_out    => tx_bg_sosi_arr(i),
+          src_in     => tx_bg_siso_arr(i),
+          src_out    => tx_bg_sosi_arr(i),
 
-        en         => src_diag_en(i)
-      );
+          en         => src_diag_en(i)
+        );
 
       tx_sosi_arr(i).sop      <= tx_bg_sosi_arr(i).sop;
       tx_sosi_arr(i).eop      <= tx_bg_sosi_arr(i).eop;
@@ -201,41 +201,41 @@ begin
 
     gen_nof_substreams : for j in c_nof_substreams - 1 downto 0 generate
       u_diag_tx_seq: entity diag_lib.diag_tx_seq
-      generic map (
-        g_dat_w  => c_sub_stream_dat_w
+        generic map (
+          g_dat_w  => c_sub_stream_dat_w
         )
-      port map (
-        rst      => tx_rst(i),
-        clk      => tx_clk(i),
-        clken    => tb_clken(i),
+        port map (
+          rst      => tx_rst(i),
+          clk      => tx_clk(i),
+          clken    => tb_clken(i),
 
-        diag_en  => src_diag_en(i),
-        diag_sel => src_diag_md(i),
-        diag_init => (others => '0'),
+          diag_en  => src_diag_en(i),
+          diag_sel => src_diag_md(i),
+          diag_init => (others => '0'),
 
-        diag_req => tx_diag_req(i),
-        out_dat  => tx_sosi_arr(i).data( (j + 1) * c_sub_stream_dat_w - 1 downto (j + 1) * c_sub_stream_dat_w - c_sub_stream_dat_w ),
-        out_val  => tx_seq_out_val(i)(j)
-      );
+          diag_req => tx_diag_req(i),
+          out_dat  => tx_sosi_arr(i).data( (j + 1) * c_sub_stream_dat_w - 1 downto (j + 1) * c_sub_stream_dat_w - c_sub_stream_dat_w ),
+          out_val  => tx_seq_out_val(i)(j)
+        );
 
       u_diag_rx_seq: entity diag_lib.diag_rx_seq
-      generic map (
-        g_dat_w      => c_sub_stream_dat_w,
-        g_diag_res_w => c_sub_stream_dat_w
+        generic map (
+          g_dat_w      => c_sub_stream_dat_w,
+          g_diag_res_w => c_sub_stream_dat_w
         )
-      port map (
-        rst          => rx_rst(i),
-        clk          => rx_clk(i),
+        port map (
+          rst          => rx_rst(i),
+          clk          => rx_clk(i),
 
-        diag_en      => snk_diag_en(i),
-        diag_sel     => snk_diag_md(i),
+          diag_en      => snk_diag_en(i),
+          diag_sel     => snk_diag_md(i),
 
-        orv(diag_res) => substream_snk_diag_res(i)(j),  -- vector-wise OR to create a one-bit diag_result per substream
-        diag_res_val => substream_snk_diag_res_val(i)(j),
+          orv(diag_res) => substream_snk_diag_res(i)(j),  -- vector-wise OR to create a one-bit diag_result per substream
+          diag_res_val => substream_snk_diag_res_val(i)(j),
 
-        in_dat       => rx_sosi_arr(i).data( (j + 1) * c_sub_stream_dat_w - 1 downto (j + 1) * c_sub_stream_dat_w - c_sub_stream_dat_w),
-        in_val       => rx_sosi_arr(i).valid
-      );
+          in_dat       => rx_sosi_arr(i).data( (j + 1) * c_sub_stream_dat_w - 1 downto (j + 1) * c_sub_stream_dat_w - c_sub_stream_dat_w),
+          in_val       => rx_sosi_arr(i).valid
+        );
     end generate;
 
     tx_sosi_arr(i).valid <= tx_seq_out_val(i)(0);
@@ -245,42 +245,42 @@ begin
 
 
     u_tx_dpmon : entity dp_lib.dp_mon
-    generic map (
-      g_latency  => 1
-    )
-    port map (
-      rst      => tx_rst(i),
-      clk      => tx_clk(i),
-      en       => src_diag_en(i),
+      generic map (
+        g_latency  => 1
+      )
+      port map (
+        rst      => tx_rst(i),
+        clk      => tx_clk(i),
+        en       => src_diag_en(i),
 
-      snk_out  => tx_siso_arr(i),
-      snk_in   => tx_sosi_arr(i),
+        snk_out  => tx_siso_arr(i),
+        snk_in   => tx_sosi_arr(i),
 
-      src_in   => tx_dpmon_siso_arr(i),
-      src_out  => tx_dpmon_sosi_arr(i),
+        src_in   => tx_dpmon_siso_arr(i),
+        src_out  => tx_dpmon_sosi_arr(i),
 
-      clr      => src_val_cnt_clr(i),
-      word_cnt => src_val_cnt(i)
-    );
+        clr      => src_val_cnt_clr(i),
+        word_cnt => src_val_cnt(i)
+      );
 
     u_rx_dpmon : entity dp_lib.dp_mon
-    generic map (
-      g_latency  => 1
-    )
-    port map (
-      rst      => rx_rst(i),
-      clk      => rx_clk(i),
-      en       => snk_diag_en(i),
-
-      snk_out  => rx_dpmon_siso_arr(i),
-      snk_in   => rx_dpmon_sosi_arr(i),
-
-      src_in   => rx_siso_arr(i),
-      src_out  => rx_sosi_arr(i),
-
-      clr      => snk_val_cnt_clr(i),
-      word_cnt => snk_val_cnt(i)
-    );
+      generic map (
+        g_latency  => 1
+      )
+      port map (
+        rst      => rx_rst(i),
+        clk      => rx_clk(i),
+        en       => snk_diag_en(i),
+
+        snk_out  => rx_dpmon_siso_arr(i),
+        snk_in   => rx_dpmon_sosi_arr(i),
+
+        src_in   => rx_siso_arr(i),
+        src_out  => rx_sosi_arr(i),
+
+        clr      => snk_val_cnt_clr(i),
+        word_cnt => snk_val_cnt(i)
+      );
 
   end generate;
 
diff --git a/libraries/base/diagnostics/src/vhdl/diagnostics_reg.vhd b/libraries/base/diagnostics/src/vhdl/diagnostics_reg.vhd
index 30a9492f49..a76ee316d9 100644
--- a/libraries/base/diagnostics/src/vhdl/diagnostics_reg.vhd
+++ b/libraries/base/diagnostics/src/vhdl/diagnostics_reg.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity diagnostics_reg is
   generic (
     g_nof_streams        : natural;
     g_separate_clk       : boolean := false  -- Use separate SRC and SNK clock domains
- );
+  );
   port (
     -- Clocks and reset
     mm_rst               : in  std_logic;  -- reset synchronous with mm_clk
@@ -60,7 +60,7 @@ entity diagnostics_reg is
     st_snk_cnt_clr_evt   : out std_logic_vector(g_nof_streams - 1 downto 0);
     st_snk_diag_val      : in  std_logic_vector(g_nof_streams - 1 downto 0);
     st_snk_diag_res      : in  std_logic_vector(g_nof_streams - 1 downto 0)
-   );
+  );
 end diagnostics_reg;
 
 
@@ -68,11 +68,13 @@ architecture rtl of diagnostics_reg is
 
   constant c_nof_registers       : natural := 40;  -- src_cnt and snk_cnt registers should be variable in size....but the CASE process makes that difficult.
 
-  constant c_mm_reg              : t_c_mem := (latency  => 1,
-                                            adr_w    => ceil_log2(c_nof_registers),
-                                            dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                            nof_dat  => c_nof_registers,
-                                            init_sl  => '0');
+  constant c_mm_reg              : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_registers),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_registers,
+    init_sl  => '0'
+  );
 
   -- Registers in mm_clk domain
   signal mm_src_en            : std_logic_vector(g_nof_streams - 1 downto 0);
@@ -352,11 +354,11 @@ begin
         out_pulse => st_snk_cnt_clr_evt(i)
       );
 
-    -- Diag_res and related signals are polled after a certain nof words has been
-    -- received - data will be stable.
+  -- Diag_res and related signals are polled after a certain nof words has been
+  -- received - data will be stable.
 
---    mm_snk_diag_val(i)     <= st_snk_diag_val(i);
---    mm_snk_diag_res(i)     <= st_snk_diag_res(i);
+  --    mm_snk_diag_val(i)     <= st_snk_diag_val(i);
+  --    mm_snk_diag_res(i)     <= st_snk_diag_res(i);
 
   end generate;
 
diff --git a/libraries/base/diagnostics/src/vhdl/mm_rx_logger.vhd b/libraries/base/diagnostics/src/vhdl/mm_rx_logger.vhd
index 19e0c35d62..01c10a1a5f 100644
--- a/libraries/base/diagnostics/src/vhdl/mm_rx_logger.vhd
+++ b/libraries/base/diagnostics/src/vhdl/mm_rx_logger.vhd
@@ -21,20 +21,20 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mm_rx_logger is
   generic (
     g_technology    : natural := c_tech_select_default;
     g_dat_w         : natural;
     g_fifo_wr_depth : natural := 128  -- Only put powers of 2 here.
-   );
+  );
   port (
     rx_rst             : in  std_logic;
     rx_clk             : in  std_logic;
@@ -94,7 +94,7 @@ architecture str of mm_rx_logger is
   signal trig_log_en             : std_logic;
   signal log_en                  : std_logic;
   signal mm_ovr                  : std_logic := '0';  -- Normal operation (mm_over='0')    : trigger enable/disable inputs control log_en
-                                                     -- Overridden operation (mm_over='1'): MM master controls log_en
+  -- Overridden operation (mm_over='1'): MM master controls log_en
 
   signal mm_trig_on              : std_logic;
   signal mm_trig_one_shot        : std_logic;
@@ -123,17 +123,17 @@ begin
 
   -- FSM to enable/disable logging based on trigger inputs
   u_mm_rx_logger_trig : entity work.mm_rx_logger_trig
-  port map (
-    clk           => rx_clk,
-    rst           => rx_rst,
-    trig_on       => mm_trig_on,
-    one_shot      => mm_trig_one_shot,
-    log_en_evt    => trig_en_evt,
-    log_dis_evt   => trig_dis_evt,
-    log_nof_words => mm_trig_nof_words,
-    log_cnt       => mm_trig_nof_logged_words,
-    log_en        => trig_log_en
-  );
+    port map (
+      clk           => rx_clk,
+      rst           => rx_rst,
+      trig_on       => mm_trig_on,
+      one_shot      => mm_trig_one_shot,
+      log_en_evt    => trig_en_evt,
+      log_dis_evt   => trig_dis_evt,
+      log_nof_words => mm_trig_nof_words,
+      log_cnt       => mm_trig_nof_logged_words,
+      log_en        => trig_log_en
+    );
 
   log_en     <= trig_log_en or sla_log_en;  -- Allow slave input to enable logging
   mst_log_en <= log_en;  -- Forward log_en signal to master output
@@ -145,41 +145,41 @@ begin
   -- The FIFO's almost_full will de-assert it's snk ready signal. We'll use
   -- that to flush the FIFO on the src side.
   u_data_log_fifo : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_technology        => g_technology,
-    g_data_w            => g_dat_w,
-    g_use_ctrl          => false,
-    g_fifo_size         => g_fifo_wr_depth
-  )
-  port map (
-    rst      => rx_rst,
-    clk      => rx_clk,
-
-    snk_out  => data_log_fifo_siso,
-    snk_in   => data_log_fifo_sosi,
-
-    src_in   => data_flusher_siso,
-    src_out  => data_flusher_sosi
-  );
+    generic map (
+      g_technology        => g_technology,
+      g_data_w            => g_dat_w,
+      g_use_ctrl          => false,
+      g_fifo_size         => g_fifo_wr_depth
+    )
+    port map (
+      rst      => rx_rst,
+      clk      => rx_clk,
+
+      snk_out  => data_log_fifo_siso,
+      snk_in   => data_log_fifo_sosi,
+
+      src_in   => data_flusher_siso,
+      src_out  => data_flusher_sosi
+    );
 
   -- dp_flush to flush data log FIFO when almost full.
   u_data_flush: entity dp_lib.dp_flush
-  generic map (
-    g_framed_xon    => false,
-    g_framed_xoff   => false
-  )
-  port map (
-    rst          => rx_rst,
-    clk          => rx_clk,
-    -- ST sink
-    snk_in       => data_flusher_sosi,
-    snk_out      => data_flusher_siso,
-    -- ST source
-    src_in       => ovr_data_dpmm_fifo_siso,
-    src_out      => data_dpmm_fifo_sosi,
-    -- Enable flush
-    flush_en     => flush_en
-  );
+    generic map (
+      g_framed_xon    => false,
+      g_framed_xoff   => false
+    )
+    port map (
+      rst          => rx_rst,
+      clk          => rx_clk,
+      -- ST sink
+      snk_in       => data_flusher_sosi,
+      snk_out      => data_flusher_siso,
+      -- ST source
+      src_in       => ovr_data_dpmm_fifo_siso,
+      src_out      => data_dpmm_fifo_sosi,
+      -- Enable flush
+      flush_en     => flush_en
+    );
 
   flush_en <= not data_log_fifo_siso.ready;  -- We'll flush when the data log fifo is almost full.
 
@@ -190,47 +190,47 @@ begin
   ovr_data_dpmm_fifo_siso.xon   <= data_dpmm_fifo_siso.xon;  -- leave xon as it is
 
   u_data_dpmm_fifo : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_technology        => g_technology,
-    g_wr_data_w         => g_dat_w,
-    g_rd_data_w         => c_word_w,
-    g_use_ctrl          => false,
-    g_wr_fifo_size      => c_dpmm_fifo_wr_depth
-  )
-  port map (
-    wr_rst   => rx_rst,
-    wr_clk   => rx_clk,
-    rd_rst   => mm_rst,
-    rd_clk   => mm_clk,
-
-    snk_out  => data_dpmm_fifo_siso,
-    snk_in   => data_dpmm_fifo_sosi,
-
-    wr_usedw => OPEN,
-    rd_usedw => data_dpmm_fifo_rd_usedw,
-    rd_emp   => OPEN,
-
-    src_in   => data_mm_siso,
-    src_out  => data_mm_sosi
-  );
+    generic map (
+      g_technology        => g_technology,
+      g_wr_data_w         => g_dat_w,
+      g_rd_data_w         => c_word_w,
+      g_use_ctrl          => false,
+      g_wr_fifo_size      => c_dpmm_fifo_wr_depth
+    )
+    port map (
+      wr_rst   => rx_rst,
+      wr_clk   => rx_clk,
+      rd_rst   => mm_rst,
+      rd_clk   => mm_clk,
+
+      snk_out  => data_dpmm_fifo_siso,
+      snk_in   => data_dpmm_fifo_sosi,
+
+      wr_usedw => OPEN,
+      rd_usedw => data_dpmm_fifo_rd_usedw,
+      rd_emp   => OPEN,
+
+      src_in   => data_mm_siso,
+      src_out  => data_mm_sosi
+    );
 
   u_data_fifo_to_mm : entity dp_lib.dp_fifo_to_mm
-  generic map(
-    g_fifo_size =>  c_data_dpmm_fifo_rd_depth
-  )
-  port map (
-     rst       => mm_rst,
-     clk       => mm_clk,
-
-     snk_out   => data_mm_siso,
-     snk_in    => data_mm_sosi,
-     usedw     => data_dpmm_fifo_rd_usedw,  -- used words from the clock crossing FIFO (NOT the logging FIFO)
-
-     mm_rd     => data_mm_rd,
-     mm_rddata => data_mm_rd_data,
-     mm_rdval  => data_mm_rd_val,
-     mm_usedw  => data_mm_rd_usedw  -- used words resized to 32 bits
-  );
+    generic map(
+      g_fifo_size =>  c_data_dpmm_fifo_rd_depth
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+
+      snk_out   => data_mm_siso,
+      snk_in    => data_mm_sosi,
+      usedw     => data_dpmm_fifo_rd_usedw,  -- used words from the clock crossing FIFO (NOT the logging FIFO)
+
+      mm_rd     => data_mm_rd,
+      mm_rddata => data_mm_rd_data,
+      mm_rdval  => data_mm_rd_val,
+      mm_usedw  => data_mm_rd_usedw  -- used words resized to 32 bits
+    );
 
   -- data read output to mm bus
   data_miso.rddata(c_word_w - 1 downto 0) <= data_mm_rd_data;
@@ -240,24 +240,24 @@ begin
   -- ============== MM control ========================================================================
 
   u_ctrl_reg : entity work.mm_rx_logger_reg
-  port map (
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    rx_rst                   => rx_rst,
-    rx_clk                   => rx_clk,
-
-    sla_in                   => ctrl_mosi,
-    sla_out                  => ctrl_miso,
-
-    rx_trig_on               => mm_trig_on,
-    rx_trig_one_shot         => mm_trig_one_shot,
-    rx_mm_ovr                => mm_ovr,
-    rx_log_en_evt            => mm_trig_en_evt,
-    rx_log_dis_evt           => mm_trig_dis_evt,
-    rx_trig_nof_words        => mm_trig_nof_words,
-    rx_trig_nof_logged_words => mm_trig_nof_logged_words,
-    mm_data_usedw            => data_mm_rd_usedw
-  );
+    port map (
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      rx_rst                   => rx_rst,
+      rx_clk                   => rx_clk,
+
+      sla_in                   => ctrl_mosi,
+      sla_out                  => ctrl_miso,
+
+      rx_trig_on               => mm_trig_on,
+      rx_trig_one_shot         => mm_trig_one_shot,
+      rx_mm_ovr                => mm_ovr,
+      rx_log_en_evt            => mm_trig_en_evt,
+      rx_log_dis_evt           => mm_trig_dis_evt,
+      rx_trig_nof_words        => mm_trig_nof_words,
+      rx_trig_nof_logged_words => mm_trig_nof_logged_words,
+      mm_data_usedw            => data_mm_rd_usedw
+    );
 
 
 
diff --git a/libraries/base/diagnostics/src/vhdl/mm_rx_logger_reg.vhd b/libraries/base/diagnostics/src/vhdl/mm_rx_logger_reg.vhd
index fa51795373..06c69f465c 100644
--- a/libraries/base/diagnostics/src/vhdl/mm_rx_logger_reg.vhd
+++ b/libraries/base/diagnostics/src/vhdl/mm_rx_logger_reg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_rx_logger_reg is
   port (
@@ -48,17 +48,19 @@ entity mm_rx_logger_reg is
 
     -- MM registers
     mm_data_usedw            : in  std_logic_vector(31 downto 0)
-   );
+  );
 end mm_rx_logger_reg;
 
 
 architecture rtl of mm_rx_logger_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(8),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 8,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(8),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 8,
+    init_sl  => '0'
+  );
   -- Registers in mm_clk domain
   signal mm_trig_on               : std_logic;
   signal mm_trig_one_shot         : std_logic;
@@ -128,7 +130,7 @@ begin
             sla_out.rddata(c_word_w - 1 downto 0) <= mm_trig_nof_logged_words;
           when 4 =>
             sla_out.rddata(c_word_w - 1 downto 0) <= mm_data_usedw;
-           when others => null;  -- unused MM addresses
+          when others => null;  -- unused MM addresses
         end case;
       end if;
     end if;
@@ -152,59 +154,59 @@ begin
   ------------------------------------------------------------------------------
 
   u_spulse_log_en_evt : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_log_en_evt,
-    in_busy   => OPEN,
-    out_rst   => rx_rst,
-    out_clk   => rx_clk,
-    out_pulse => rx_log_en_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_log_en_evt,
+      in_busy   => OPEN,
+      out_rst   => rx_rst,
+      out_clk   => rx_clk,
+      out_pulse => rx_log_en_evt
+    );
 
   u_spulse_log_dis_evt : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_log_dis_evt,
-    in_busy   => OPEN,
-    out_rst   => rx_rst,
-    out_clk   => rx_clk,
-    out_pulse => rx_log_dis_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_log_dis_evt,
+      in_busy   => OPEN,
+      out_rst   => rx_rst,
+      out_clk   => rx_clk,
+      out_pulse => rx_log_dis_evt
+    );
 
   u_async_mm_ovr : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => rx_rst,
-    clk  => rx_clk,
-    din  => mm_ovr,
-    dout => rx_mm_ovr
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => rx_rst,
+      clk  => rx_clk,
+      din  => mm_ovr,
+      dout => rx_mm_ovr
+    );
 
   u_async_mm_trig_on : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => rx_rst,
-    clk  => rx_clk,
-    din  => mm_trig_on,
-    dout => rx_trig_on
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => rx_rst,
+      clk  => rx_clk,
+      din  => mm_trig_on,
+      dout => rx_trig_on
+    );
 
   u_async_mm_trig_one_shot : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => rx_rst,
-    clk  => rx_clk,
-    din  => mm_trig_one_shot,
-    dout => rx_trig_one_shot
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => rx_rst,
+      clk  => rx_clk,
+      din  => mm_trig_one_shot,
+      dout => rx_trig_one_shot
+    );
 
   rx_trig_nof_words <= mm_trig_nof_words;
 
diff --git a/libraries/base/diagnostics/src/vhdl/mm_rx_logger_trig.vhd b/libraries/base/diagnostics/src/vhdl/mm_rx_logger_trig.vhd
index d1334a75b7..fbf5ad2cd7 100644
--- a/libraries/base/diagnostics/src/vhdl/mm_rx_logger_trig.vhd
+++ b/libraries/base/diagnostics/src/vhdl/mm_rx_logger_trig.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity mm_rx_logger_trig is
 
@@ -37,7 +37,7 @@ entity mm_rx_logger_trig is
     log_nof_words      : in  std_logic_vector(c_word_w - 1 downto 0);  -- unlimited (as long as there's no dis_evt) when zero (log FIFO keeps flushing then)
     log_cnt            : out std_logic_vector(c_word_w - 1 downto 0);
     log_en             : out std_logic
-   );
+  );
 end mm_rx_logger_trig;
 
 
diff --git a/libraries/base/diagnostics/src/vhdl/mm_tx_framer.vhd b/libraries/base/diagnostics/src/vhdl/mm_tx_framer.vhd
index 634096505c..7bc0ace661 100644
--- a/libraries/base/diagnostics/src/vhdl/mm_tx_framer.vhd
+++ b/libraries/base/diagnostics/src/vhdl/mm_tx_framer.vhd
@@ -21,19 +21,19 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mm_tx_framer is
   generic(
     g_technology    : natural := c_tech_select_default;
     g_dat_out_w     : natural;
     g_rd_fifo_depth : natural := 128
-    );
+  );
   port (
     mm_clk                  : in  std_logic;
     mm_rst                  : in  std_logic;
@@ -52,8 +52,8 @@ entity mm_tx_framer is
 
     master_release          : out std_logic;  -- If used this instance will provide master release control for other instance(s)
     slave_release           : in  std_logic := '0'  -- If this instance is slave of another instance, the an MM write to the master's MM release will
-                                                   -- also release the frames in the slave(s)
-    );
+  -- also release the frames in the slave(s)
+  );
 end mm_tx_framer;
 
 
@@ -76,65 +76,65 @@ begin
   master_release <= release;
 
   u_data_dp_fifo_from_mm : entity dp_lib.dp_fifo_from_mm
-  generic map (
-    g_fifo_size  => c_wr_fifo_depth
-  )
-  port map (
-    rst           => mm_rst,
-    clk           => mm_clk,
-    -- ST soure connected to FIFO input
-    src_out       => mm_to_fifo_sosi,
-    usedw         => wr_usedw,
-    -- Control for FIFO read access
-    mm_wr         => data_mosi.wr,
-    mm_wrdata     => data_mosi.wrdata(c_word_w - 1 downto 0),
-    mm_usedw      => OPEN,
-    mm_availw     => mm_availw
-  );
+    generic map (
+      g_fifo_size  => c_wr_fifo_depth
+    )
+    port map (
+      rst           => mm_rst,
+      clk           => mm_clk,
+      -- ST soure connected to FIFO input
+      src_out       => mm_to_fifo_sosi,
+      usedw         => wr_usedw,
+      -- Control for FIFO read access
+      mm_wr         => data_mosi.wr,
+      mm_wrdata     => data_mosi.wrdata(c_word_w - 1 downto 0),
+      mm_usedw      => OPEN,
+      mm_availw     => mm_availw
+    );
 
   u_mm_to_dp_fifo : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_technology        => g_technology,
-    g_wr_data_w         => c_word_w,
-    g_rd_data_w         => g_dat_out_w,
-    g_use_ctrl          => false,
-    g_wr_fifo_size      => c_wr_fifo_depth
-  )
-  port map (
-    wr_rst   => mm_rst,
-    wr_clk   => mm_clk,
-    rd_rst   => tx_rst,
-    rd_clk   => tx_clk,
-
-    snk_out  => OPEN,
-    snk_in   => mm_to_fifo_sosi,
-
-    wr_usedw => wr_usedw,  -- Using wr_usedw because that side is in mm_clk domain
-    rd_usedw => OPEN,
-    rd_emp   => OPEN,
-
-    src_in   => fifo_siso,
-    src_out  => fifo_out_sosi
-  );
+    generic map (
+      g_technology        => g_technology,
+      g_wr_data_w         => c_word_w,
+      g_rd_data_w         => g_dat_out_w,
+      g_use_ctrl          => false,
+      g_wr_fifo_size      => c_wr_fifo_depth
+    )
+    port map (
+      wr_rst   => mm_rst,
+      wr_clk   => mm_clk,
+      rd_rst   => tx_rst,
+      rd_clk   => tx_clk,
+
+      snk_out  => OPEN,
+      snk_in   => mm_to_fifo_sosi,
+
+      wr_usedw => wr_usedw,  -- Using wr_usedw because that side is in mm_clk domain
+      rd_usedw => OPEN,
+      rd_emp   => OPEN,
+
+      src_in   => fifo_siso,
+      src_out  => fifo_out_sosi
+    );
 
   data_out <= fifo_out_sosi.data(g_dat_out_w - 1 downto 0) when fifo_out_sosi.valid = '1' and (release = '1' or slave_release = '1') else data_out_default;
   fifo_siso.ready <= release or slave_release;
 
   u_reg : entity work.mm_tx_framer_reg
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
 
-    tx_clk            => tx_clk,
-    tx_rst            => tx_rst,
+      tx_clk            => tx_clk,
+      tx_rst            => tx_rst,
 
-    sla_in            => ctrl_mosi,
-    sla_out           => ctrl_miso,
+      sla_in            => ctrl_mosi,
+      sla_out           => ctrl_miso,
 
-    mm_availw         => mm_availw,
-    tx_release        => release
+      mm_availw         => mm_availw,
+      tx_release        => release
 
-  );
+    );
 
 
 end str;
diff --git a/libraries/base/diagnostics/src/vhdl/mm_tx_framer_reg.vhd b/libraries/base/diagnostics/src/vhdl/mm_tx_framer_reg.vhd
index 04b094cc99..7e210578f9 100644
--- a/libraries/base/diagnostics/src/vhdl/mm_tx_framer_reg.vhd
+++ b/libraries/base/diagnostics/src/vhdl/mm_tx_framer_reg.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_tx_framer_reg is
   port (
@@ -43,17 +43,19 @@ entity mm_tx_framer_reg is
     -- MM registers
     mm_availw         : in  std_logic_vector(c_word_w - 1 downto 0)
 
-   );
+  );
 end mm_tx_framer_reg;
 
 
 architecture rtl of mm_tx_framer_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(2),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 2,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(2),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2,
+    init_sl  => '0'
+  );
   -- Registers in mm_clk domain
   signal mm_release         : std_logic;
 
@@ -87,7 +89,7 @@ begin
           -- Write Block Sync
           when 1 =>
             mm_release <= sla_in.wrdata(0);
-           when others => null;  -- unused MM addresses
+          when others => null;  -- unused MM addresses
         end case;
 
       -- Read access: get register value
@@ -98,7 +100,7 @@ begin
           -- Read Block Sync
           when 0 =>
             sla_out.rddata(c_word_w - 1 downto 0) <= mm_availw;
-           when others => null;  -- unused MM addresses
+          when others => null;  -- unused MM addresses
         end case;
       end if;
     end if;
@@ -122,15 +124,15 @@ begin
   ------------------------------------------------------------------------------
 
   u_async_release : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => tx_rst,
-    clk  => tx_clk,
-    din  => mm_release,
-    dout => tx_release
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => tx_rst,
+      clk  => tx_clk,
+      din  => mm_release,
+      dout => tx_release
+    );
 
 end rtl;
 
diff --git a/libraries/base/diagnostics/src/vhdl/mms_diagnostics.vhd b/libraries/base/diagnostics/src/vhdl/mms_diagnostics.vhd
index 5d3278f5c1..7f0910955e 100644
--- a/libraries/base/diagnostics/src/vhdl/mms_diagnostics.vhd
+++ b/libraries/base/diagnostics/src/vhdl/mms_diagnostics.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mms_diagnostics is
   generic (
@@ -89,74 +89,74 @@ begin
   snk_en_out <= snk_diag_en;
 
   u_diagnostics: entity work.diagnostics
-  generic map (
-    g_dat_w          => g_data_w,
-    g_block_len      => g_block_len,
-    g_nof_streams    => g_nof_streams,
-    g_src_latency    => g_src_latency,
-    g_snk_latency    => g_snk_latency,
-    g_separate_clk   => g_separate_clk
-     )
-  port map (
-    rst              => st_rst,
-    clk              => st_clk,
-
-    src_rst          => src_rst,
-    src_clk          => src_clk,
-
-    snk_rst          => snk_rst,
-    snk_clk          => snk_clk,
-
-    snk_out_arr      => snk_out_arr,
-    snk_in_arr       => snk_in_arr,
-    snk_diag_en      => snk_diag_en,
-    snk_diag_md      => snk_diag_md,
-    snk_diag_res     => snk_diag_res,
-    snk_diag_res_val => snk_diag_res_val,
-    snk_val_cnt      => snk_val_cnt,
-    snk_val_cnt_clr  => snk_val_cnt_clr,
-
-    src_out_arr      => src_out_arr,
-    src_in_arr       => src_in_arr,
-    src_diag_en      => src_diag_en,
-    src_diag_md      => src_diag_md,
-    src_val_cnt      => src_val_cnt,
-    src_val_cnt_clr  => src_val_cnt_clr
-  );
+    generic map (
+      g_dat_w          => g_data_w,
+      g_block_len      => g_block_len,
+      g_nof_streams    => g_nof_streams,
+      g_src_latency    => g_src_latency,
+      g_snk_latency    => g_snk_latency,
+      g_separate_clk   => g_separate_clk
+    )
+    port map (
+      rst              => st_rst,
+      clk              => st_clk,
+
+      src_rst          => src_rst,
+      src_clk          => src_clk,
+
+      snk_rst          => snk_rst,
+      snk_clk          => snk_clk,
+
+      snk_out_arr      => snk_out_arr,
+      snk_in_arr       => snk_in_arr,
+      snk_diag_en      => snk_diag_en,
+      snk_diag_md      => snk_diag_md,
+      snk_diag_res     => snk_diag_res,
+      snk_diag_res_val => snk_diag_res_val,
+      snk_val_cnt      => snk_val_cnt,
+      snk_val_cnt_clr  => snk_val_cnt_clr,
+
+      src_out_arr      => src_out_arr,
+      src_in_arr       => src_in_arr,
+      src_diag_en      => src_diag_en,
+      src_diag_md      => src_diag_md,
+      src_val_cnt      => src_val_cnt,
+      src_val_cnt_clr  => src_val_cnt_clr
+    );
 
   u_diagnostics_reg: entity work.diagnostics_reg
-  generic map(
-    g_nof_streams  => g_nof_streams,
-    g_separate_clk => g_separate_clk
-  )
-  port map (
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-
-    st_rst              => st_rst,
-    st_clk              => st_clk,
-
-    src_rst             => src_rst,
-    src_clk             => src_clk,
-
-    snk_rst             => snk_rst,
-    snk_clk             => snk_clk,
-
-    sla_in              => mm_mosi,
-    sla_out             => mm_miso,
-
-    st_src_en           => src_diag_en,
-    st_src_md           => src_diag_md,
-    st_src_cnt          => src_val_cnt,
-    st_src_cnt_clr_evt  => src_val_cnt_clr,
-
-    st_snk_en           => snk_diag_en,
-    st_snk_md           => snk_diag_md,
-    st_snk_cnt          => snk_val_cnt,
-    st_snk_cnt_clr_evt  => snk_val_cnt_clr,
-    st_snk_diag_val     => snk_diag_res_val,
-    st_snk_diag_res     => snk_diag_res
-  );
+    generic map(
+      g_nof_streams  => g_nof_streams,
+      g_separate_clk => g_separate_clk
+    )
+    port map (
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+
+      st_rst              => st_rst,
+      st_clk              => st_clk,
+
+      src_rst             => src_rst,
+      src_clk             => src_clk,
+
+      snk_rst             => snk_rst,
+      snk_clk             => snk_clk,
+
+      sla_in              => mm_mosi,
+      sla_out             => mm_miso,
+
+      st_src_en           => src_diag_en,
+      st_src_md           => src_diag_md,
+      st_src_cnt          => src_val_cnt,
+      st_src_cnt_clr_evt  => src_val_cnt_clr,
+
+      st_snk_en           => snk_diag_en,
+      st_snk_md           => snk_diag_md,
+      st_snk_cnt          => snk_val_cnt,
+      st_snk_cnt_clr_evt  => snk_val_cnt_clr,
+      st_snk_diag_val     => snk_diag_res_val,
+      st_snk_diag_res     => snk_diag_res
+    );
 
 
 end str;
diff --git a/libraries/base/diagnostics/tb/vhdl/tb_diagnostics.vhd b/libraries/base/diagnostics/tb/vhdl/tb_diagnostics.vhd
index b77389110e..dfd4811f0b 100644
--- a/libraries/base/diagnostics/tb/vhdl/tb_diagnostics.vhd
+++ b/libraries/base/diagnostics/tb/vhdl/tb_diagnostics.vhd
@@ -27,12 +27,12 @@
 -- > run -a
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.std_logic_unsigned.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_unsigned.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_diagnostics is
 end entity tb_diagnostics;
@@ -60,7 +60,7 @@ architecture str of tb_diagnostics is
   signal src_diag_en      : std_logic_vector(c_nof_streams - 1 downto 0);
   signal src_val_cnt      : t_slv_32_arr(c_nof_streams - 1 downto 0);
 
- begin
+begin
 
   -- Run for 1us
 
@@ -109,7 +109,7 @@ architecture str of tb_diagnostics is
     generic map (
       g_dat_w       => c_dat_w,
       g_nof_streams => c_nof_streams
-       )
+    )
     port map (
       rst              => rst,
       clk              => clk,
diff --git a/libraries/base/diagnostics/tb/vhdl/tb_diagnostics_trnb_pkg.vhd b/libraries/base/diagnostics/tb/vhdl/tb_diagnostics_trnb_pkg.vhd
index 384ec35117..8295686738 100644
--- a/libraries/base/diagnostics/tb/vhdl/tb_diagnostics_trnb_pkg.vhd
+++ b/libraries/base/diagnostics/tb/vhdl/tb_diagnostics_trnb_pkg.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 package tb_diagnostics_trnb_pkg is
 
@@ -34,32 +34,37 @@ package tb_diagnostics_trnb_pkg is
 
   -- Global procedures
 
-  procedure proc_diagnostics_trnb_tx_set_mode_prbs(   signal   mm_clk    : in  std_logic;
-                                                      signal   mm_mosi   : out t_mem_mosi);
+  procedure proc_diagnostics_trnb_tx_set_mode_prbs (
+    signal   mm_clk    : in  std_logic;
+    signal   mm_mosi   : out t_mem_mosi);
 
-  procedure proc_diagnostics_trnb_rx_set_mode_prbs(   signal   mm_clk    : in  std_logic;
-                                                      signal   mm_mosi   : out t_mem_mosi);
+  procedure proc_diagnostics_trnb_rx_set_mode_prbs (
+    signal   mm_clk    : in  std_logic;
+    signal   mm_mosi   : out t_mem_mosi);
 
-  procedure proc_diagnostics_trnb_tx_set_mode_counter(constant c_nof_gx_mask : in  natural;
-                                                      signal   mm_clk        : in  std_logic;
-                                                      signal   mm_mosi       : out t_mem_mosi);
+  procedure proc_diagnostics_trnb_tx_set_mode_counter (
+    constant c_nof_gx_mask : in  natural;
+    signal   mm_clk        : in  std_logic;
+    signal   mm_mosi       : out t_mem_mosi);
 
-  procedure proc_diagnostics_trnb_rx_set_mode_counter(constant c_nof_gx_mask : in  natural;
-                                                      signal   mm_clk        : in  std_logic;
-                                                      signal   mm_mosi       : out t_mem_mosi);
+  procedure proc_diagnostics_trnb_rx_set_mode_counter (
+    constant c_nof_gx_mask : in  natural;
+    signal   mm_clk        : in  std_logic;
+    signal   mm_mosi       : out t_mem_mosi);
 
-  procedure proc_diagnostics_trnb_run_and_verify(constant c_chip_id           : in  natural;
-                                                 constant c_nof_gx            : in  natural;
-                                                 constant c_nof_gx_mask       : in  integer;
-                                                 constant c_link_delay        : in  real;
-                                                 constant c_diag_on_interval  : in  real;
-                                                 constant c_diag_off_interval : in  real;
-                                                 constant c_mm_clk_1us        : in  real;
-                                                 signal   mm_clk              : in  std_logic;
-                                                 signal   mm_miso             : in  t_mem_miso;
-                                                 signal   mm_mosi             : out t_mem_mosi);
+  procedure proc_diagnostics_trnb_run_and_verify (
+    constant c_chip_id           : in  natural;
+    constant c_nof_gx            : in  natural;
+    constant c_nof_gx_mask       : in  integer;
+    constant c_link_delay        : in  real;
+    constant c_diag_on_interval  : in  real;
+    constant c_diag_off_interval : in  real;
+    constant c_mm_clk_1us        : in  real;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
 
-  -- Private procedures
+-- Private procedures
 
 
 end tb_diagnostics_trnb_pkg;
@@ -71,14 +76,16 @@ package body tb_diagnostics_trnb_pkg is
   -- PROCEDURE: Set all gx to default mode PRBS
   ------------------------------------------------------------------------------
 
-  procedure proc_diagnostics_trnb_tx_set_mode_prbs(signal mm_clk  : in  std_logic;
-                                                   signal mm_mosi : out t_mem_mosi) is
+  procedure proc_diagnostics_trnb_tx_set_mode_prbs (
+    signal mm_clk  : in  std_logic;
+    signal mm_mosi : out t_mem_mosi) is
   begin
     proc_mem_mm_bus_wr( 1, 0, mm_clk, mm_mosi);  -- set source mode 0 = PRBS, 1 = COUNTER
   end procedure proc_diagnostics_trnb_tx_set_mode_prbs;
 
-  procedure proc_diagnostics_trnb_rx_set_mode_prbs(signal mm_clk  : in  std_logic;
-                                                   signal mm_mosi : out t_mem_mosi) is
+  procedure proc_diagnostics_trnb_rx_set_mode_prbs (
+    signal mm_clk  : in  std_logic;
+    signal mm_mosi : out t_mem_mosi) is
   begin
     proc_mem_mm_bus_wr(20, 0, mm_clk, mm_mosi);  -- set sink   mode 0 = PRBS, 1 = COUNTER
   end procedure proc_diagnostics_trnb_rx_set_mode_prbs;
@@ -89,16 +96,18 @@ package body tb_diagnostics_trnb_pkg is
   --             default mode PRBS
   ------------------------------------------------------------------------------
 
-  procedure proc_diagnostics_trnb_tx_set_mode_counter(constant c_nof_gx_mask : in  natural;
-                                                      signal   mm_clk        : in  std_logic;
-                                                      signal   mm_mosi       : out t_mem_mosi) is
+  procedure proc_diagnostics_trnb_tx_set_mode_counter (
+    constant c_nof_gx_mask : in  natural;
+    signal   mm_clk        : in  std_logic;
+    signal   mm_mosi       : out t_mem_mosi) is
   begin
     proc_mem_mm_bus_wr( 1, c_nof_gx_mask, mm_clk, mm_mosi);  -- set source mode 0 = PRBS, 1 = COUNTER
   end procedure proc_diagnostics_trnb_tx_set_mode_counter;
 
-  procedure proc_diagnostics_trnb_rx_set_mode_counter(constant c_nof_gx_mask : in  natural;
-                                                      signal   mm_clk        : in  std_logic;
-                                                      signal   mm_mosi       : out t_mem_mosi) is
+  procedure proc_diagnostics_trnb_rx_set_mode_counter (
+    constant c_nof_gx_mask : in  natural;
+    signal   mm_clk        : in  std_logic;
+    signal   mm_mosi       : out t_mem_mosi) is
   begin
     proc_mem_mm_bus_wr(20, c_nof_gx_mask, mm_clk, mm_mosi);  -- set source mode 0 = PRBS, 1 = COUNTER
   end procedure proc_diagnostics_trnb_rx_set_mode_counter;
@@ -108,16 +117,17 @@ package body tb_diagnostics_trnb_pkg is
   -- PROCEDURE:  Run a diagnostic measurement and verify the result
   ------------------------------------------------------------------------------
 
-  procedure proc_diagnostics_trnb_run_and_verify(constant c_chip_id           : in  natural;
-                                                 constant c_nof_gx            : in  natural;
-                                                 constant c_nof_gx_mask       : in  integer;
-                                                 constant c_link_delay        : in  real;
-                                                 constant c_diag_on_interval  : in  real;
-                                                 constant c_diag_off_interval : in  real;
-                                                 constant c_mm_clk_1us        : in  real;
-                                                 signal   mm_clk              : in  std_logic;
-                                                 signal   mm_miso             : in  t_mem_miso;
-                                                 signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_diagnostics_trnb_run_and_verify (
+    constant c_chip_id           : in  natural;
+    constant c_nof_gx            : in  natural;
+    constant c_nof_gx_mask       : in  integer;
+    constant c_link_delay        : in  real;
+    constant c_diag_on_interval  : in  real;
+    constant c_diag_off_interval : in  real;
+    constant c_mm_clk_1us        : in  real;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
     constant c_nof_gx_mask_slv    : std_logic_vector(c_nof_gx - 1 downto 0) := TO_UVEC(c_nof_gx_mask, c_nof_gx);
     variable v_diag_results_valid : std_logic_vector(c_nof_gx - 1 downto 0);
     variable v_diag_results       : std_logic_vector(c_nof_gx - 1 downto 0);
diff --git a/libraries/base/diagnostics/tb/vhdl/tb_mm_tx_framer.vhd b/libraries/base/diagnostics/tb/vhdl/tb_mm_tx_framer.vhd
index 6bbfe25053..eabd3f538f 100644
--- a/libraries/base/diagnostics/tb/vhdl/tb_mm_tx_framer.vhd
+++ b/libraries/base/diagnostics/tb/vhdl/tb_mm_tx_framer.vhd
@@ -21,14 +21,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_mm_tx_framer is
 end tb_mm_tx_framer;
@@ -139,43 +139,43 @@ begin
   -- simultaneously.
   ------------------------------------------------------------------------------
   u_mm_tx_framer_mst: entity work.mm_tx_framer
-  generic map(
-    g_dat_out_w  => 64
-  )
-  port map(
-    tx_rst       => tx_rst,
-    tx_clk       => tx_clk,
+    generic map(
+      g_dat_out_w  => 64
+    )
+    port map(
+      tx_rst       => tx_rst,
+      tx_clk       => tx_clk,
 
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
 
-    ctrl_mosi    => ctrl_mosi,
-    ctrl_miso    => ctrl_miso,
+      ctrl_mosi    => ctrl_mosi,
+      ctrl_miso    => ctrl_miso,
 
-    data_mosi    => mst_data_mosi,
-    data_miso    => mst_data_miso,
+      data_mosi    => mst_data_mosi,
+      data_miso    => mst_data_miso,
 
-    data_out     => mst_data_out,
-    master_release => mst_release
-  );
+      data_out     => mst_data_out,
+      master_release => mst_release
+    );
 
   u_mm_tx_framer_sla: entity work.mm_tx_framer
-  generic map(
-    g_dat_out_w  => 32
-  )
-  port map(
-    tx_rst       => tx_rst,
-    tx_clk       => tx_clk,
-
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    data_mosi    => sla_data_mosi,
-    data_miso    => sla_data_miso,
-
-    data_out     => sla_data_out,
-    slave_release => mst_release
-  );
+    generic map(
+      g_dat_out_w  => 32
+    )
+    port map(
+      tx_rst       => tx_rst,
+      tx_clk       => tx_clk,
+
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      data_mosi    => sla_data_mosi,
+      data_miso    => sla_data_miso,
+
+      data_out     => sla_data_out,
+      slave_release => mst_release
+    );
 
 end tb;
 
diff --git a/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/mmm_unb1_dp_offload.vhd b/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/mmm_unb1_dp_offload.vhd
index 937e8c43bb..8658801d80 100644
--- a/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/mmm_unb1_dp_offload.vhd
+++ b/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/mmm_unb1_dp_offload.vhd
@@ -38,22 +38,22 @@
 --     used to target hardware (--unb # --fn # --bn #)
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, tech_tse_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
-use common_lib.common_network_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
 
 entity mmm_unb1_dp_offload is
   generic (
@@ -190,40 +190,40 @@ begin
     eth1g_ram_mosi <= c_mem_mosi_rst;
 
     u_mm_file_reg_unb_system_info       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                                     port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                                     port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi                   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                                     port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens              : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                                     port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_eth                   : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                                     port map(mm_rst, i_mm_clk, i_eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, i_eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_reg_diag_bg               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-                                                     port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
     u_mm_file_ram_diag_bg               : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-                                                     port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
     u_mm_file_reg_dp_offload_tx_hdr_dat : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_HDR_DAT")
-                                                     port map(mm_rst, i_mm_clk, reg_dp_offload_tx_hdr_dat_mosi, reg_dp_offload_tx_hdr_dat_miso );
+      port map(mm_rst, i_mm_clk, reg_dp_offload_tx_hdr_dat_mosi, reg_dp_offload_tx_hdr_dat_miso );
 
     u_mm_file_reg_dp_offload_rx_hdr_dat : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_HDR_DAT")
-                                                     port map(mm_rst, i_mm_clk, reg_dp_offload_rx_hdr_dat_mosi, reg_dp_offload_rx_hdr_dat_miso );
+      port map(mm_rst, i_mm_clk, reg_dp_offload_rx_hdr_dat_mosi, reg_dp_offload_rx_hdr_dat_miso );
 
     u_mm_file_reg_bsn_monitor           : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR")
-                                                     port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
+      port map(mm_rst, i_mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
 
     u_mm_file_ram_diag_data_buffer      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUF")
-                                                     port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
     u_mm_file_reg_diag_data_buffer      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUF")
-                                                     port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+      port map(mm_rst, i_mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -247,10 +247,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_reg_mosi, i_eth1g_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_reg_mosi <= sim_eth1g_reg_mosi;
-        else
-          eth1g_reg_mosi <= i_eth1g_reg_mosi;
-        end if;
+        eth1g_reg_mosi <= sim_eth1g_reg_mosi;
+      else
+        eth1g_reg_mosi <= i_eth1g_reg_mosi;
+      end if;
     end process;
 
     ----------------------------------------------------------------------------
@@ -266,145 +266,145 @@ begin
   ----------------------------------------------------------------------------
   gen_sopc : if g_sim = false generate
     u_sopc : entity work.sopc_unb1_dp_offload
-    port map (
-      clk_0                                                   => xo_clk,  -- 25 MHz from ETH_clk pin
-      reset_n                                                 => xo_rst_n,
-      mm_clk                                                  => i_mm_clk,  -- 125 MHz system clock
-      tse_clk                                                 => i_tse_clk,  -- PLL clk[2] = 125 MHz calibration clock for the TSE
-      dp_clk                                                  => i_dp_clk,
-      cal_reconf_clk                                          => OPEN,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                                => mm_locked,
-      phasedone_from_the_altpll_0                             => OPEN,
-      areset_to_the_altpll_0                                  => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0                       => OPEN,
-      coe_reset_export_from_the_avs_eth_0                     => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0               => eth1g_tse_mosi.address(c_tech_tse_byte_addr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0                 => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0             => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0                  => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0                => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0             => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0               => eth1g_reg_mosi.address(c_eth_reg_addr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0                 => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0             => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0                  => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0                => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0                         => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0               => eth1g_ram_mosi.address(c_eth_ram_addr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0                 => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0             => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0                  => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0                => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens                    => OPEN,
-      coe_reset_export_from_the_reg_unb_sens                  => OPEN,
-      coe_address_export_from_the_reg_unb_sens                => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens                   => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens                 => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens                  => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave                        => OPEN,
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info                 => OPEN,
-      coe_reset_export_from_the_pio_system_info               => OPEN,
-      coe_address_export_from_the_pio_system_info             => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info                => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info              => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info               => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info                 => OPEN,
-      coe_reset_export_from_the_rom_system_info               => OPEN,
-      coe_address_export_from_the_rom_system_info             => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info                => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info              => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info               => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi
-      out_port_from_the_pio_wdi                               => pout_wdi,
-
-      -- the_reg_wdi
-      coe_clk_export_from_the_reg_wdi                         => OPEN,
-      coe_reset_export_from_the_reg_wdi                       => OPEN,
-      coe_address_export_from_the_reg_wdi                     => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi                        => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi                      => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi                       => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi                   => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_bg
-      coe_address_export_from_the_reg_diag_bg                 => reg_diag_bg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_diag_bg                     => OPEN,
-      coe_read_export_from_the_reg_diag_bg                    => reg_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_bg                  => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_diag_bg                   => OPEN,
-      coe_write_export_from_the_reg_diag_bg                   => reg_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_bg               => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_bg
-      coe_address_export_from_the_ram_diag_bg                 => ram_diag_bg_mosi.address(c_ram_diag_bg_addr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_diag_bg                     => OPEN,
-      coe_read_export_from_the_ram_diag_bg                    => ram_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_bg                  => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_diag_bg                   => OPEN,
-      coe_write_export_from_the_ram_diag_bg                   => ram_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_bg               => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dp_offload_tx_hdr_dat
-      coe_address_export_from_the_reg_dp_offload_tx_hdr_dat   => reg_dp_offload_tx_hdr_dat_mosi.address(c_reg_dp_offload_tx_hdr_dat_multi_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_dp_offload_tx_hdr_dat       => OPEN,
-      coe_read_export_from_the_reg_dp_offload_tx_hdr_dat      => reg_dp_offload_tx_hdr_dat_mosi.rd,
-      coe_readdata_export_to_the_reg_dp_offload_tx_hdr_dat    => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_dp_offload_tx_hdr_dat     => OPEN,
-      coe_write_export_from_the_reg_dp_offload_tx_hdr_dat     => reg_dp_offload_tx_hdr_dat_mosi.wr,
-      coe_writedata_export_from_the_reg_dp_offload_tx_hdr_dat => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dp_offload_rx_hdr_dat
-      coe_address_export_from_the_reg_dp_offload_rx_hdr_dat   => reg_dp_offload_rx_hdr_dat_mosi.address(c_reg_dp_offload_rx_hdr_dat_multi_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_dp_offload_rx_hdr_dat       => OPEN,
-      coe_read_export_from_the_reg_dp_offload_rx_hdr_dat      => reg_dp_offload_rx_hdr_dat_mosi.rd,
-      coe_readdata_export_to_the_reg_dp_offload_rx_hdr_dat    => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_dp_offload_rx_hdr_dat     => OPEN,
-      coe_write_export_from_the_reg_dp_offload_rx_hdr_dat     => reg_dp_offload_rx_hdr_dat_mosi.wr,
-      coe_writedata_export_from_the_reg_dp_offload_rx_hdr_dat => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_bsn_monitor
-      coe_address_export_from_the_reg_bsn_monitor             => reg_bsn_monitor_mosi.address(c_reg_rsp_bsn_monitor_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_bsn_monitor                 => OPEN,
-      coe_read_export_from_the_reg_bsn_monitor                => reg_bsn_monitor_mosi.rd,
-      coe_readdata_export_to_the_reg_bsn_monitor              => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_bsn_monitor               => OPEN,
-      coe_write_export_from_the_reg_bsn_monitor               => reg_bsn_monitor_mosi.wr,
-      coe_writedata_export_from_the_reg_bsn_monitor           => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_data_buffer
-      coe_address_export_from_the_ram_diag_data_buffer        => ram_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_diag_data_buffer            => OPEN,
-      coe_read_export_from_the_ram_diag_data_buffer           => ram_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_data_buffer         => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_diag_data_buffer          => OPEN,
-      coe_write_export_from_the_ram_diag_data_buffer          => ram_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_data_buffer      => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_diag_data_buffer
-      coe_address_export_from_the_reg_diag_data_buffer        => reg_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_diag_data_buffer            => OPEN,
-      coe_read_export_from_the_reg_diag_data_buffer           => reg_diag_data_buf_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_data_buffer         => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_diag_data_buffer          => OPEN,
-      coe_write_export_from_the_reg_diag_data_buffer          => reg_diag_data_buf_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_data_buffer      => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        clk_0                                                   => xo_clk,  -- 25 MHz from ETH_clk pin
+        reset_n                                                 => xo_rst_n,
+        mm_clk                                                  => i_mm_clk,  -- 125 MHz system clock
+        tse_clk                                                 => i_tse_clk,  -- PLL clk[2] = 125 MHz calibration clock for the TSE
+        dp_clk                                                  => i_dp_clk,
+        cal_reconf_clk                                          => OPEN,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                                => mm_locked,
+        phasedone_from_the_altpll_0                             => OPEN,
+        areset_to_the_altpll_0                                  => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0                       => OPEN,
+        coe_reset_export_from_the_avs_eth_0                     => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0               => eth1g_tse_mosi.address(c_tech_tse_byte_addr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0                 => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0             => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0                  => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0                => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0             => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0               => eth1g_reg_mosi.address(c_eth_reg_addr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0                 => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0             => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0                  => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0                => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0                         => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0               => eth1g_ram_mosi.address(c_eth_ram_addr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0                 => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0             => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0                  => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0                => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens                    => OPEN,
+        coe_reset_export_from_the_reg_unb_sens                  => OPEN,
+        coe_address_export_from_the_reg_unb_sens                => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens                   => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens                 => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens                  => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens              => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave                        => OPEN,
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info                 => OPEN,
+        coe_reset_export_from_the_pio_system_info               => OPEN,
+        coe_address_export_from_the_pio_system_info             => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info                => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info              => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info               => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info           => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info                 => OPEN,
+        coe_reset_export_from_the_rom_system_info               => OPEN,
+        coe_address_export_from_the_rom_system_info             => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info                => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info              => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info               => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info           => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi
+        out_port_from_the_pio_wdi                               => pout_wdi,
+
+        -- the_reg_wdi
+        coe_clk_export_from_the_reg_wdi                         => OPEN,
+        coe_reset_export_from_the_reg_wdi                       => OPEN,
+        coe_address_export_from_the_reg_wdi                     => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi                        => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi                      => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi                       => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi                   => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_bg
+        coe_address_export_from_the_reg_diag_bg                 => reg_diag_bg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_bg_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_diag_bg                     => OPEN,
+        coe_read_export_from_the_reg_diag_bg                    => reg_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_bg                  => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_diag_bg                   => OPEN,
+        coe_write_export_from_the_reg_diag_bg                   => reg_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_bg               => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_bg
+        coe_address_export_from_the_ram_diag_bg                 => ram_diag_bg_mosi.address(c_ram_diag_bg_addr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_diag_bg                     => OPEN,
+        coe_read_export_from_the_ram_diag_bg                    => ram_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_bg                  => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_diag_bg                   => OPEN,
+        coe_write_export_from_the_ram_diag_bg                   => ram_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_bg               => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dp_offload_tx_hdr_dat
+        coe_address_export_from_the_reg_dp_offload_tx_hdr_dat   => reg_dp_offload_tx_hdr_dat_mosi.address(c_reg_dp_offload_tx_hdr_dat_multi_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_dp_offload_tx_hdr_dat       => OPEN,
+        coe_read_export_from_the_reg_dp_offload_tx_hdr_dat      => reg_dp_offload_tx_hdr_dat_mosi.rd,
+        coe_readdata_export_to_the_reg_dp_offload_tx_hdr_dat    => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_dp_offload_tx_hdr_dat     => OPEN,
+        coe_write_export_from_the_reg_dp_offload_tx_hdr_dat     => reg_dp_offload_tx_hdr_dat_mosi.wr,
+        coe_writedata_export_from_the_reg_dp_offload_tx_hdr_dat => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dp_offload_rx_hdr_dat
+        coe_address_export_from_the_reg_dp_offload_rx_hdr_dat   => reg_dp_offload_rx_hdr_dat_mosi.address(c_reg_dp_offload_rx_hdr_dat_multi_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_dp_offload_rx_hdr_dat       => OPEN,
+        coe_read_export_from_the_reg_dp_offload_rx_hdr_dat      => reg_dp_offload_rx_hdr_dat_mosi.rd,
+        coe_readdata_export_to_the_reg_dp_offload_rx_hdr_dat    => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_dp_offload_rx_hdr_dat     => OPEN,
+        coe_write_export_from_the_reg_dp_offload_rx_hdr_dat     => reg_dp_offload_rx_hdr_dat_mosi.wr,
+        coe_writedata_export_from_the_reg_dp_offload_rx_hdr_dat => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_bsn_monitor
+        coe_address_export_from_the_reg_bsn_monitor             => reg_bsn_monitor_mosi.address(c_reg_rsp_bsn_monitor_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_bsn_monitor                 => OPEN,
+        coe_read_export_from_the_reg_bsn_monitor                => reg_bsn_monitor_mosi.rd,
+        coe_readdata_export_to_the_reg_bsn_monitor              => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_bsn_monitor               => OPEN,
+        coe_write_export_from_the_reg_bsn_monitor               => reg_bsn_monitor_mosi.wr,
+        coe_writedata_export_from_the_reg_bsn_monitor           => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_data_buffer
+        coe_address_export_from_the_ram_diag_data_buffer        => ram_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_diag_db_adr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_diag_data_buffer            => OPEN,
+        coe_read_export_from_the_ram_diag_data_buffer           => ram_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_data_buffer         => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_diag_data_buffer          => OPEN,
+        coe_write_export_from_the_ram_diag_data_buffer          => ram_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_data_buffer      => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_diag_data_buffer
+        coe_address_export_from_the_reg_diag_data_buffer        => reg_diag_data_buf_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_diag_db_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_diag_data_buffer            => OPEN,
+        coe_read_export_from_the_reg_diag_data_buffer           => reg_diag_data_buf_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_data_buffer         => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_diag_data_buffer          => OPEN,
+        coe_write_export_from_the_reg_diag_data_buffer          => reg_diag_data_buf_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_data_buffer      => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/unb1_dp_offload.vhd b/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/unb1_dp_offload.vhd
index 8586285c28..1c14784fff 100644
--- a/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/unb1_dp_offload.vhd
+++ b/libraries/base/dp/designs/unb1_dp_offload/src/vhdl/unb1_dp_offload.vhd
@@ -27,19 +27,19 @@
 --   instances via 1GbE (32b user interface)
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, tech_tse_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use diag_lib.diag_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity unb1_dp_offload is
   generic (
@@ -52,7 +52,7 @@ entity unb1_dp_offload is
   );
   port (
     -- GENERAL
---  CLK           : IN    STD_LOGIC; -- dp_clk is generated by SOPC altpll
+    --  CLK           : IN    STD_LOGIC; -- dp_clk is generated by SOPC altpll
     PPS           : in    std_logic;
     WDI           : out   std_logic;
     INTA          : inout std_logic;
@@ -85,46 +85,60 @@ architecture str of unb1_dp_offload is
   constant c_bg_block_size              : natural := 900;
   constant c_bg_gapsize                 : natural := 100;
   constant c_bg_blocks_per_sync         : natural := sel_a_b(g_sim, 10, 200000);  -- 200000*(900+100) = 200000000 cycles = 1 second
-  constant c_bg_ctrl                    : t_diag_block_gen := ('0',  -- enable
-                                                               '0',  -- enable_sync
-                                                              TO_UVEC(     c_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(c_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   c_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                    : t_diag_block_gen := (
+    '0',  -- enable
+    '0',  -- enable_sync
+    TO_UVEC(
+                  c_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                c_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
   -- dp_offload_tx
   constant c_nof_hdr_fields : natural := 4 + 12 + 4 + 9;  -- Total header bits = 512
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := ( ( field_name_pad("eth_word_align"     ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(x"002286080000") ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(128) ),
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(4000) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(4000) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(108) ),
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ) );
+    ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(x"002286080000") ),
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(128) ),
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(4000) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(4000) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(108) ),
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(0) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ) );
 
   constant c_hdr_field_ovr_init         : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := "1101" & "111111111100" & "1111" & "001111111";
 
@@ -210,28 +224,28 @@ begin
   -- TX: Block generator
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_name_prefix   => "hex/counter_data_" & natural'image(c_data_w),
-    g_diag_block_gen_rst => c_bg_ctrl
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso
-  );
+    generic map (
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_name_prefix   => "hex/counter_data_" & natural'image(c_data_w),
+      g_diag_block_gen_rst => c_bg_ctrl
+    )
+    port map (
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso
+    );
 
   ---------------------------------------------------------------------------------------
   -- Use a FIFO when the source has no flow control
@@ -239,56 +253,56 @@ begin
   ---------------------------------------------------------------------------------------
   gen_dp_fifo_sc : for i in 0 to c_nof_streams - 1 generate
     u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-    generic map (
-      g_data_w    => c_data_w,
-      g_use_bsn   => true,
-      g_bsn_w     => 64,
-      g_use_sync  => true,
-      g_fifo_size => 100
-     )
-    port map (
-      rst        => dp_rst,
-      clk        => dp_clk,
-
-      snk_in     => block_gen_src_out_arr(i),
-      snk_out    => block_gen_src_in_arr(i),
-
-      src_out    => dp_offload_tx_snk_in_arr(i),
-      src_in     => dp_offload_tx_snk_out_arr(i)
-    );
+      generic map (
+        g_data_w    => c_data_w,
+        g_use_bsn   => true,
+        g_bsn_w     => 64,
+        g_use_sync  => true,
+        g_fifo_size => 100
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+
+        snk_in     => block_gen_src_out_arr(i),
+        snk_out    => block_gen_src_in_arr(i),
+
+        src_out    => dp_offload_tx_snk_in_arr(i),
+        src_in     => dp_offload_tx_snk_out_arr(i)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_nof_streams               => c_nof_streams,
-    g_data_w                    => c_data_w,
-    g_use_complex               => false,
-    g_nof_words_per_block       => c_nof_words_per_block,
-    g_nof_blocks_per_packet     => c_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_tx_snk_in_arr,
-    snk_out_arr           => dp_offload_tx_snk_out_arr,
-
-    src_out_arr           => dp_offload_tx_src_out_arr,
-    src_in_arr            => dp_offload_tx_src_in_arr,
-
-    hdr_fields_in_arr     => hdr_fields_in_arr
-  );
+    generic map (
+      g_nof_streams               => c_nof_streams,
+      g_data_w                    => c_data_w,
+      g_use_complex               => false,
+      g_nof_words_per_block       => c_nof_words_per_block,
+      g_nof_blocks_per_packet     => c_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_tx_snk_in_arr,
+      snk_out_arr           => dp_offload_tx_snk_out_arr,
+
+      src_out_arr           => dp_offload_tx_src_out_arr,
+      src_in_arr            => dp_offload_tx_src_in_arr,
+
+      hdr_fields_in_arr     => hdr_fields_in_arr
+    );
 
   gen_hdr_in_fields : for i in 0 to c_nof_streams - 1 generate
     hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "eth_src_mac" ) downto field_lo(c_hdr_field_arr, "eth_src_mac")) <= x"00228608" & B"000" & ID(7 downto 3) & RESIZE_UVEC(ID(2 downto 0), c_byte_w);
@@ -304,30 +318,30 @@ begin
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => c_nof_streams,
-    g_data_w              => c_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => true,
-    g_crc_nof_words       => 1
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
-
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
-
-    hdr_fields_out_arr    => hdr_fields_out_arr
+    generic map (
+      g_nof_streams         => c_nof_streams,
+      g_data_w              => c_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => true,
+      g_crc_nof_words       => 1
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
     );
 
   gen_hdr_out_fields : for i in 0 to c_nof_streams - 1 generate
@@ -349,200 +363,200 @@ begin
   end generate;
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => c_nof_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => 2 * c_bg_blocks_per_sync * (c_bg_block_size + c_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(c_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(c_bg_blocks_per_sync * c_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => diag_data_buf_snk_out_arr,
-    in_sosi_arr => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => 2 * c_bg_blocks_per_sync * (c_bg_block_size + c_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(c_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(c_bg_blocks_per_sync * c_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => diag_data_buf_snk_out_arr,
+      in_sosi_arr => diag_data_buf_snk_in_arr
+    );
 
   diag_data_buf_snk_out_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => c_data_w,
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-
-    in_sync           => diag_data_buf_snk_in_arr(0).sop,
-    in_sosi_arr       => diag_data_buf_snk_in_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => c_data_w,
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+
+      in_sync           => diag_data_buf_snk_in_arr(0).sop,
+      in_sosi_arr       => diag_data_buf_snk_in_arr
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl_unb1_board : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim                     => g_sim,
-    g_design_name             => c_design_name,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
-    g_udp_offload             => true,
-    g_udp_offload_nof_streams => c_nof_streams,
-    g_dp_clk_use_pll          => false
-  )
-  port map (
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => OPEN,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  dp_offload_tx_src_out_arr,
-    udp_tx_siso_arr          =>  dp_offload_tx_src_in_arr,
-    udp_rx_sosi_arr          =>  dp_offload_rx_snk_in_arr,
-    udp_rx_siso_arr          =>  dp_offload_rx_snk_out_arr,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- UniBoard FPGA pins
-    CLK                      => '0',
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_design_name             => c_design_name,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
+      g_udp_offload             => true,
+      g_udp_offload_nof_streams => c_nof_streams,
+      g_dp_clk_use_pll          => false
+    )
+    port map (
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => OPEN,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- eth1g UDP streaming ports
+      udp_tx_sosi_arr          =>  dp_offload_tx_src_out_arr,
+      udp_tx_siso_arr          =>  dp_offload_tx_src_in_arr,
+      udp_rx_sosi_arr          =>  dp_offload_rx_snk_in_arr,
+      udp_rx_siso_arr          =>  dp_offload_rx_snk_out_arr,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- UniBoard FPGA pins
+      CLK                      => '0',
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm_unb1_dp_offload : entity work.mmm_unb1_dp_offload
-  generic map (
-    g_sim           => g_sim,
-    g_sim_unb_nr    => g_sim_unb_nr,
-    g_sim_node_nr   => g_sim_node_nr,
-    g_nof_streams   => c_nof_streams,
-    g_bg_block_size => c_bg_block_size,
-    g_hdr_field_arr => c_hdr_field_arr
-   )
-  port map(
-    xo_clk                         => xo_clk,
-    xo_rst_n                       => xo_rst_n,
-    xo_rst                         => xo_rst,
-
-    mm_rst                         => mm_rst,
-    mm_clk                         => mm_clk,
-    mm_locked                      => mm_locked,
-
-    dp_clk                         => dp_clk,
-
-    -- PIOs
-    pout_wdi                       => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi                   => reg_wdi_mosi,
-    reg_wdi_miso                   => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi       => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso       => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi       => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso       => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi              => reg_unb_sens_mosi,
-    reg_unb_sens_miso              => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk                  => eth1g_tse_clk,
-    eth1g_mm_rst                   => eth1g_mm_rst,
-    eth1g_tse_mosi                 => eth1g_tse_mosi,
-    eth1g_tse_miso                 => eth1g_tse_miso,
-    eth1g_reg_mosi                 => eth1g_reg_mosi,
-    eth1g_reg_miso                 => eth1g_reg_miso,
-    eth1g_reg_interrupt            => eth1g_reg_interrupt,
-    eth1g_ram_mosi                 => eth1g_ram_mosi,
-    eth1g_ram_miso                 => eth1g_ram_miso,
-
-    ram_diag_bg_mosi               => ram_diag_bg_mosi,
-    ram_diag_bg_miso               => ram_diag_bg_miso,
-    reg_diag_bg_mosi               => reg_diag_bg_mosi,
-    reg_diag_bg_miso               => reg_diag_bg_miso,
-
-    reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_hdr_dat_miso,
-
-    reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_hdr_dat_miso,
-
-    reg_bsn_monitor_mosi           => reg_bsn_monitor_mosi,
-    reg_bsn_monitor_miso           => reg_bsn_monitor_miso,
-
-    ram_diag_data_buf_mosi         => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso         => ram_diag_data_buf_miso,
-    reg_diag_data_buf_mosi         => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso         => reg_diag_data_buf_miso
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_sim_unb_nr    => g_sim_unb_nr,
+      g_sim_node_nr   => g_sim_node_nr,
+      g_nof_streams   => c_nof_streams,
+      g_bg_block_size => c_bg_block_size,
+      g_hdr_field_arr => c_hdr_field_arr
+    )
+    port map(
+      xo_clk                         => xo_clk,
+      xo_rst_n                       => xo_rst_n,
+      xo_rst                         => xo_rst,
+
+      mm_rst                         => mm_rst,
+      mm_clk                         => mm_clk,
+      mm_locked                      => mm_locked,
+
+      dp_clk                         => dp_clk,
+
+      -- PIOs
+      pout_wdi                       => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi                   => reg_wdi_mosi,
+      reg_wdi_miso                   => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi       => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso       => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi       => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso       => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi              => reg_unb_sens_mosi,
+      reg_unb_sens_miso              => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk                  => eth1g_tse_clk,
+      eth1g_mm_rst                   => eth1g_mm_rst,
+      eth1g_tse_mosi                 => eth1g_tse_mosi,
+      eth1g_tse_miso                 => eth1g_tse_miso,
+      eth1g_reg_mosi                 => eth1g_reg_mosi,
+      eth1g_reg_miso                 => eth1g_reg_miso,
+      eth1g_reg_interrupt            => eth1g_reg_interrupt,
+      eth1g_ram_mosi                 => eth1g_ram_mosi,
+      eth1g_ram_miso                 => eth1g_ram_miso,
+
+      ram_diag_bg_mosi               => ram_diag_bg_mosi,
+      ram_diag_bg_miso               => ram_diag_bg_miso,
+      reg_diag_bg_mosi               => reg_diag_bg_mosi,
+      reg_diag_bg_miso               => reg_diag_bg_miso,
+
+      reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_hdr_dat_miso,
+
+      reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_hdr_dat_miso,
+
+      reg_bsn_monitor_mosi           => reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso           => reg_bsn_monitor_miso,
+
+      ram_diag_data_buf_mosi         => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso         => ram_diag_data_buf_miso,
+      reg_diag_data_buf_mosi         => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso         => reg_diag_data_buf_miso
+    );
 
 end str;
diff --git a/libraries/base/dp/designs/unb1_dp_offload/tb/vhdl/tb_unb1_dp_offload.vhd b/libraries/base/dp/designs/unb1_dp_offload/tb/vhdl/tb_unb1_dp_offload.vhd
index 6b2673d5ee..a392f6297a 100644
--- a/libraries/base/dp/designs/unb1_dp_offload/tb/vhdl/tb_unb1_dp_offload.vhd
+++ b/libraries/base/dp/designs/unb1_dp_offload/tb/vhdl/tb_unb1_dp_offload.vhd
@@ -39,11 +39,11 @@
 -- . run -a
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_dp_offload is
 end tb_unb1_dp_offload;
@@ -98,6 +98,6 @@ begin
       ETH_clk     => eth_clk,
       ETH_SGIN    => eth_lpbk,
       ETH_SGOUT   => eth_lpbk
-      );
+    );
 
 end tb;
diff --git a/libraries/base/dp/src/vhdl/dp_barrel_shift.vhd b/libraries/base/dp/src/vhdl/dp_barrel_shift.vhd
index 18d0aa9b68..9526aa7d24 100644
--- a/libraries/base/dp/src/vhdl/dp_barrel_shift.vhd
+++ b/libraries/base/dp/src/vhdl/dp_barrel_shift.vhd
@@ -23,10 +23,10 @@
 -- Description:
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_barrel_shift is
   generic (
@@ -53,8 +53,10 @@ architecture str of dp_barrel_shift is
     shift_out   : std_logic_vector(ceil_log2(g_nof_streams) - 1 downto 0);
   end record;
 
-  constant c_reg_defaults : t_reg := ( (others => c_dp_sosi_rst),
-                                       (others => '0') );
+  constant c_reg_defaults : t_reg := (
+     (others => c_dp_sosi_rst),
+    (others => '0') 
+  );
 
   signal r, nxt_r : t_reg := c_reg_defaults;
 
diff --git a/libraries/base/dp/src/vhdl/dp_block_from_mm.vhd b/libraries/base/dp/src/vhdl/dp_block_from_mm.vhd
index 057eaddc33..ee440cb29c 100644
--- a/libraries/base/dp/src/vhdl/dp_block_from_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_from_mm.vhd
@@ -64,11 +64,11 @@
 -- --------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_from_mm is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_block_from_mm_dc.vhd b/libraries/base/dp/src/vhdl/dp_block_from_mm_dc.vhd
index 92774c9804..b29e331fc8 100644
--- a/libraries/base/dp/src/vhdl/dp_block_from_mm_dc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_from_mm_dc.vhd
@@ -40,11 +40,11 @@
 -- --------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_from_mm_dc is
   generic (
@@ -113,17 +113,17 @@ begin
   -- if mm_start_pulse arrive one clock cycle early and mm_sync_hi arrives one
   -- clock cycle late.
   u_common_spulse_start_pulse : entity common_lib.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay_len + 4
-  )
-  port map (
-    in_rst      => dp_rst,
-    in_clk      => dp_clk,
-    in_pulse    => start_pulse,
-    out_rst     => mm_rst,
-    out_clk     => mm_clk,
-    out_pulse   => mm_start_pulse
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len + 4
+    )
+    port map (
+      in_rst      => dp_rst,
+      in_clk      => dp_clk,
+      in_pulse    => start_pulse,
+      out_rst     => mm_rst,
+      out_clk     => mm_clk,
+      out_pulse   => mm_start_pulse
+    );
 
   -- The synchronous start_pulse and sync_in in the dp_clk domain cannot be
   -- passed on via two separate common_spulse instances, because then they may
@@ -131,17 +131,17 @@ begin
   -- dp_clk and mm_clk are asynchronous on HW. Therefore use mm_sync_level to
   -- pass on sync_in.
   u_common_spulse_sync : entity common_lib.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst      => dp_rst,
-    in_clk      => dp_clk,
-    in_pulse    => sync_in,
-    out_rst     => mm_rst,
-    out_clk     => mm_clk,
-    out_pulse   => mm_sync_hi
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst      => dp_rst,
+      in_clk      => dp_clk,
+      in_pulse    => sync_in,
+      out_rst     => mm_rst,
+      out_clk     => mm_clk,
+      out_pulse   => mm_sync_hi
+    );
 
   p_mm_sync : process(mm_clk)
   begin
@@ -161,75 +161,75 @@ begin
   mm_start_address <= TO_UINT(mm_start_address_slv);
 
   u_common_async_slv_start_address : entity common_lib.common_async_slv
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => start_address_slv,
-    dout => mm_start_address_slv
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => start_address_slv,
+      dout => mm_start_address_slv
+    );
 
   u_common_async_slv_bsn : entity common_lib.common_async_slv
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => bsn_at_sync,
-    dout => mm_bsn_at_sync
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => bsn_at_sync,
+      dout => mm_bsn_at_sync
+    );
 
   u_dp_fifo_fill_eop : entity work.dp_fifo_fill_eop
-  generic map (
-    g_use_dual_clock => true,
-    g_data_w         => c_word_w,
-    g_bsn_w          => g_bsn_w,
-    g_use_bsn        => true,
-    g_use_sync       => true,
-    g_fifo_fill      => c_fifo_fill,
-    g_fifo_size      => c_fifo_size
-  )
-  port map (
-    wr_rst      => mm_rst,
-    wr_clk      => mm_clk,
-    rd_rst      => dp_rst,
-    rd_clk      => dp_clk,
-    -- ST sink
-    snk_in      => mm_fifo_sosi,
-    snk_out     => mm_fifo_siso,
-    -- ST source
-    src_out     => dp_out_sosi,
-    src_in      => dp_out_siso
-  );
+    generic map (
+      g_use_dual_clock => true,
+      g_data_w         => c_word_w,
+      g_bsn_w          => g_bsn_w,
+      g_use_bsn        => true,
+      g_use_sync       => true,
+      g_fifo_fill      => c_fifo_fill,
+      g_fifo_size      => c_fifo_size
+    )
+    port map (
+      wr_rst      => mm_rst,
+      wr_clk      => mm_clk,
+      rd_rst      => dp_rst,
+      rd_clk      => dp_clk,
+      -- ST sink
+      snk_in      => mm_fifo_sosi,
+      snk_out     => mm_fifo_siso,
+      -- ST source
+      src_out     => dp_out_sosi,
+      src_in      => dp_out_siso
+    );
 
   u_dp_block_from_mm : entity work.dp_block_from_mm
-  generic map (
-    g_user_size          => g_user_size,
-    g_data_size          => g_data_size,
-    g_step_size          => g_step_size,
-    g_nof_data           => g_nof_data,
-    g_word_w             => g_word_w,
-    g_reverse_word_order => g_reverse_word_order,
-    g_bsn_w              => g_bsn_w,
-    g_bsn_incr_enable    => g_bsn_incr_enable
-  )
-  port map (
-    clk         => mm_clk,
-    rst         => mm_rst,
-
-    start_pulse   => mm_start_pulse,
-    sync_in       => mm_sync,
-    bsn_at_sync   => mm_bsn_at_sync,
-    start_address => mm_start_address,
-    mm_done       => mm_done,  -- = mm_fifo_sosi.eop
-    mm_mosi       => mm_mosi,
-    mm_miso       => mm_miso,
-    out_sosi      => mm_fifo_sosi,
-    out_siso      => mm_fifo_siso
-  );
+    generic map (
+      g_user_size          => g_user_size,
+      g_data_size          => g_data_size,
+      g_step_size          => g_step_size,
+      g_nof_data           => g_nof_data,
+      g_word_w             => g_word_w,
+      g_reverse_word_order => g_reverse_word_order,
+      g_bsn_w              => g_bsn_w,
+      g_bsn_incr_enable    => g_bsn_incr_enable
+    )
+    port map (
+      clk         => mm_clk,
+      rst         => mm_rst,
+
+      start_pulse   => mm_start_pulse,
+      sync_in       => mm_sync,
+      bsn_at_sync   => mm_bsn_at_sync,
+      start_address => mm_start_address,
+      mm_done       => mm_done,  -- = mm_fifo_sosi.eop
+      mm_mosi       => mm_mosi,
+      mm_miso       => mm_miso,
+      out_sosi      => mm_fifo_sosi,
+      out_siso      => mm_fifo_siso
+    );
 
   -- Wire output
   out_sop <= dp_out_sosi.sop;
diff --git a/libraries/base/dp/src/vhdl/dp_block_gen.vhd b/libraries/base/dp/src/vhdl/dp_block_gen.vhd
index b5df7fc890..c9fc9763ce 100644
--- a/libraries/base/dp/src/vhdl/dp_block_gen.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_gen.vhd
@@ -64,10 +64,10 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_gen is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_block_gen_valid_arr.vhd b/libraries/base/dp/src/vhdl/dp_block_gen_valid_arr.vhd
index 8d2d582a9e..27dd9c6674 100644
--- a/libraries/base/dp/src/vhdl/dp_block_gen_valid_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_gen_valid_arr.vhd
@@ -140,10 +140,10 @@
 --   allow for a fractional amount of blocks per sync period.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_gen_valid_arr is
   generic (
@@ -171,15 +171,15 @@ end dp_block_gen_valid_arr;
 architecture rtl of dp_block_gen_valid_arr is
 
   -- Check consistancy of the parameters, the function return value is void, because always true or abort due to failure
-  function parameter_asserts(g_check_input_sync : boolean; g_nof_pages_bsn : natural) return boolean is
+  function parameter_asserts (g_check_input_sync : boolean; g_nof_pages_bsn : natural) return boolean is
   begin
     if g_check_input_sync = true then
       assert g_nof_pages_bsn = 0 report "When g_check_input_sync=TRUE then g_nof_pages_bsn must be 0." severity FAILURE;
-      -- because snk_in.sync and snk_in.bsn are then already aligned with the first snk_in.valid
+    -- because snk_in.sync and snk_in.bsn are then already aligned with the first snk_in.valid
     end if;
     if g_nof_pages_bsn > 0 then
       assert g_check_input_sync = false report "When g_nof_pages_bsn>0 then g_check_input_sync must be false." severity FAILURE;
-      -- because snk_in.sync and snk_in.bsn are then NOT aligned with the first snk_in.valid
+    -- because snk_in.sync and snk_in.bsn are then NOT aligned with the first snk_in.valid
     end if;
     return true;
   end parameter_asserts;
@@ -230,17 +230,17 @@ begin
     in_sync_wr_en <= (others => snk_in.sync);
 
     u_paged_bsn : entity common_lib.common_paged_reg
-    generic map (
-      g_data_w    => c_dp_stream_bsn_w,
-      g_nof_pages => g_nof_pages_bsn
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      wr_en        => in_sync_wr_en,
-      wr_dat       => snk_in.bsn,
-      out_dat      => in_bsn_buffer
-    );
+      generic map (
+        g_data_w    => c_dp_stream_bsn_w,
+        g_nof_pages => g_nof_pages_bsn
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        wr_en        => in_sync_wr_en,
+        wr_dat       => snk_in.bsn,
+        out_dat      => in_bsn_buffer
+      );
 
     p_snk_in : process(snk_in, in_bsn_buffer)
     begin
@@ -336,18 +336,18 @@ begin
 
   use_global_bsn : if g_restore_global_bsn = true generate
     u_dp_bsn_restore_global : entity work.dp_bsn_restore_global
-    generic map (
-      g_bsn_w    => c_dp_stream_bsn_w,
-      g_pipeline => 0  -- pipeline registering is done via nxt_src_out_arr
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_in       => nxt_r.reg_sosi,
-      -- ST source
-      src_out      => out_sosi
-    );
+      generic map (
+        g_bsn_w    => c_dp_stream_bsn_w,
+        g_pipeline => 0  -- pipeline registering is done via nxt_src_out_arr
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_in       => nxt_r.reg_sosi,
+        -- ST source
+        src_out      => out_sosi
+      );
   end generate;
 
   -- Combine input data with the same out_put info and output ctrl for all streams
diff --git a/libraries/base/dp/src/vhdl/dp_block_reshape.vhd b/libraries/base/dp/src/vhdl/dp_block_reshape.vhd
index 46e39a01fb..45436704e8 100644
--- a/libraries/base/dp/src/vhdl/dp_block_reshape.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_reshape.vhd
@@ -72,10 +72,10 @@
 --     an input block stream.
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_reshape is
   generic (
@@ -101,7 +101,7 @@ end dp_block_reshape;
 architecture str of dp_block_reshape is
 
   constant c_nof_counters       : natural := 2;  -- counter [0] is used for block reshape and valid index,
-                                                 -- counter [1] is only used for sop_index
+  -- counter [1] is only used for sop_index
   constant c_nof_block_per_sync : natural := sel_a_b(g_input_nof_data_per_sync > g_reshape_nof_data_per_blk,
                                                      g_input_nof_data_per_sync / g_reshape_nof_data_per_blk, 1);
 
@@ -115,26 +115,26 @@ architecture str of dp_block_reshape is
 begin
 
   u_dp_counter : entity work.dp_counter
-  generic map (
-    g_nof_counters     => c_nof_counters,
-    g_range_start      => c_range_start,
-    g_range_stop       => c_range_stop,
-    g_range_step       => c_range_step,
-    g_pipeline_src_out => g_pipeline_src_out,
-    g_pipeline_src_in  => g_pipeline_src_in
-  )
-  port map (
-    clk               => clk,
-    rst               => rst,
-
-    snk_in            => snk_in,
-    snk_out           => snk_out,
-
-    src_out           => input_src_out,
-    src_in            => src_in,
-
-    count_src_out_arr => cnt_sosi_arr
-  );
+    generic map (
+      g_nof_counters     => c_nof_counters,
+      g_range_start      => c_range_start,
+      g_range_stop       => c_range_stop,
+      g_range_step       => c_range_step,
+      g_pipeline_src_out => g_pipeline_src_out,
+      g_pipeline_src_in  => g_pipeline_src_in
+    )
+    port map (
+      clk               => clk,
+      rst               => rst,
+
+      snk_in            => snk_in,
+      snk_out           => snk_out,
+
+      src_out           => input_src_out,
+      src_in            => src_in,
+
+      count_src_out_arr => cnt_sosi_arr
+    );
 
   src_index_arr(1) <= TO_UINT(cnt_sosi_arr(1).data);  -- sop index
   src_index_arr(0) <= TO_UINT(cnt_sosi_arr(0).data);  -- valid index
diff --git a/libraries/base/dp/src/vhdl/dp_block_reshape_arr.vhd b/libraries/base/dp/src/vhdl/dp_block_reshape_arr.vhd
index d5af701f4c..1f37667739 100644
--- a/libraries/base/dp/src/vhdl/dp_block_reshape_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_reshape_arr.vhd
@@ -33,10 +33,10 @@
 --
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_reshape_arr is
   generic (
@@ -129,23 +129,23 @@ begin
   -- Instantiate dp_block_reshape per stream
   gen_streams : for I in 0 to g_nof_streams - 1 generate
     u_block_reshape : entity work.dp_block_reshape
-    generic map (
-      g_input_nof_data_per_sync  => g_input_nof_data_per_sync,
-      g_reshape_nof_data_per_blk => g_reshape_nof_data_per_blk,
-      g_pipeline_src_out         => g_pipeline_src_out,
-      g_pipeline_src_in          => g_pipeline_src_in
-    )
-    port map (
-      clk           => clk,
-      rst           => rst,
-
-      snk_in        => in_sosi_arr(I),
-      snk_out       => in_siso_arr(I),
-
-      src_out       => out_sosi_arr(I),
-      src_in        => out_siso_arr(I),
-      src_index_arr => out_index_2arr_2(I)
-    );
+      generic map (
+        g_input_nof_data_per_sync  => g_input_nof_data_per_sync,
+        g_reshape_nof_data_per_blk => g_reshape_nof_data_per_blk,
+        g_pipeline_src_out         => g_pipeline_src_out,
+        g_pipeline_src_in          => g_pipeline_src_in
+      )
+      port map (
+        clk           => clk,
+        rst           => rst,
+
+        snk_in        => in_sosi_arr(I),
+        snk_out       => in_siso_arr(I),
+
+        src_out       => out_sosi_arr(I),
+        src_in        => out_siso_arr(I),
+        src_index_arr => out_index_2arr_2(I)
+      );
   end generate;
 
   -- Wire index arr
diff --git a/libraries/base/dp/src/vhdl/dp_block_reshape_sync.vhd b/libraries/base/dp/src/vhdl/dp_block_reshape_sync.vhd
index ca7a6337cd..36894decd4 100644
--- a/libraries/base/dp/src/vhdl/dp_block_reshape_sync.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_reshape_sync.vhd
@@ -65,10 +65,10 @@
 --   dp_sync_insert.vhd.
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_reshape_sync is
   generic (
@@ -108,8 +108,8 @@ architecture str of dp_block_reshape_sync is
   constant c_nof_counters  : natural := sel_a_b(c_nof_output_sync_per_input_sync > 1, 3, 2);
   constant c_range_start   : t_nat_natural_arr(c_nof_counters - 1 downto 0) := (others => 0);
   constant c_range_stop    : t_nat_natural_arr(c_nof_counters - 1 downto 0) := sel_a_b(c_nof_output_sync_per_input_sync > 1,
-                                    (c_nof_output_sync_per_input_sync, g_reshape_nof_blk_per_sync, g_reshape_nof_data_per_blk),
-                                                                      (g_reshape_nof_blk_per_sync, g_reshape_nof_data_per_blk));
+                                                                                       (c_nof_output_sync_per_input_sync, g_reshape_nof_blk_per_sync, g_reshape_nof_data_per_blk),
+                                                                                       (g_reshape_nof_blk_per_sync, g_reshape_nof_data_per_blk));
   constant c_range_step    : t_nat_natural_arr(c_nof_counters - 1 downto 0) := (others => 1);
 
   signal cnt_sosi_arr      : t_dp_sosi_arr(c_nof_counters - 1 downto 0);
@@ -135,26 +135,26 @@ architecture str of dp_block_reshape_sync is
 begin
 
   u_dp_counter : entity work.dp_counter
-  generic map (
-    g_nof_counters     => c_nof_counters,
-    g_range_start      => c_range_start,
-    g_range_stop       => c_range_stop,
-    g_range_step       => c_range_step,
-    g_pipeline_src_out => g_pipeline_src_out,
-    g_pipeline_src_in  => g_pipeline_src_in
-  )
-  port map (
-    clk               => clk,
-    rst               => rst,
-
-    snk_in            => snk_in,
-    snk_out           => snk_out,
-
-    src_out           => input_sosi,
-    src_in            => input_siso,
-
-    count_src_out_arr => cnt_sosi_arr
-  );
+    generic map (
+      g_nof_counters     => c_nof_counters,
+      g_range_start      => c_range_start,
+      g_range_stop       => c_range_stop,
+      g_range_step       => c_range_step,
+      g_pipeline_src_out => g_pipeline_src_out,
+      g_pipeline_src_in  => g_pipeline_src_in
+    )
+    port map (
+      clk               => clk,
+      rst               => rst,
+
+      snk_in            => snk_in,
+      snk_out           => snk_out,
+
+      src_out           => input_sosi,
+      src_in            => input_siso,
+
+      count_src_out_arr => cnt_sosi_arr
+    );
 
   gen_sync_index : if c_nof_output_sync_per_input_sync > 1 generate
     sync_index <= TO_UINT(cnt_sosi_arr(2).data);
diff --git a/libraries/base/dp/src/vhdl/dp_block_resize.vhd b/libraries/base/dp/src/vhdl/dp_block_resize.vhd
index 1ffc044acc..1db28628cf 100644
--- a/libraries/base/dp/src/vhdl/dp_block_resize.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_resize.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 -- Author: Eric Kooistra, 16 Mar 2018
 -- Purpose:
@@ -63,8 +63,8 @@ end dp_block_resize;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 architecture rtl of dp_block_resize is
 
@@ -130,18 +130,18 @@ begin
 
   -- Register block_sosi to easy timing closure
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => block_sosi,
-    -- ST source
-    src_in       => src_in,
-    src_out      => src_out
-  );
+    generic map (
+      g_pipeline   => 1  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => block_sosi,
+      -- ST source
+      src_in       => src_in,
+      src_out      => src_out
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_block_select.vhd b/libraries/base/dp/src/vhdl/dp_block_select.vhd
index 0682c23741..9728eca6b8 100644
--- a/libraries/base/dp/src/vhdl/dp_block_select.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_select.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author: Eric Kooistra, 14 Dec 2018
 -- Purpose:
@@ -75,8 +75,8 @@ end dp_block_select;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 architecture rtl of dp_block_select is
 
@@ -144,18 +144,18 @@ begin
 
   -- Register block_sosi to easy timing closure
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => g_pipeline  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => block_sosi,
-    -- ST source
-    src_in       => src_in,
-    src_out      => src_out
-  );
+    generic map (
+      g_pipeline   => g_pipeline  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => block_sosi,
+      -- ST source
+      src_in       => src_in,
+      src_out      => src_out
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_block_to_mm.vhd b/libraries/base/dp/src/vhdl/dp_block_to_mm.vhd
index 530ee3a465..e046775bb1 100644
--- a/libraries/base/dp/src/vhdl/dp_block_to_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_to_mm.vhd
@@ -26,11 +26,11 @@
 -- --------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_to_mm is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd b/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd
index 983aba1db1..0c436734cf 100644
--- a/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd
@@ -61,11 +61,11 @@
 --  =====================================================================
 -------------------------------------------------------------------------------
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_block_validate_bsn_at_sync is
   generic (
@@ -94,11 +94,13 @@ architecture rtl of dp_block_validate_bsn_at_sync is
   constant c_nof_regs   : natural := 3;
   constant c_clear_adr  : natural := c_nof_regs - 1;
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(c_nof_regs),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => c_nof_regs,  -- total counter + discarded counter
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,  -- total counter + discarded counter
+    init_sl  => '0'
+  );
 
   -- Registers in st_clk domain
   signal count_reg        : std_logic_vector(c_mm_reg.nof_dat * c_mm_reg.dat_w - 1 downto 0) := (others => '0');
@@ -134,61 +136,61 @@ begin
 
   -- discarded counter
   u_discarded_counter : entity common_lib.common_counter
-  generic map (
-    g_width => c_word_w,
-    g_clip  => true
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
+    generic map (
+      g_width => c_word_w,
+      g_clip  => true
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
 
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_discarded_en,
-    count   => cnt_discarded
-  );
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_discarded_en,
+      count   => cnt_discarded
+    );
 
   -- sync counter
   u_blk_counter : entity common_lib.common_counter
-  generic map (
-    g_width => c_word_w,
-    g_clip  => true
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
+    generic map (
+      g_width => c_word_w,
+      g_clip  => true
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
 
-    cnt_clr => cnt_clr,
-    cnt_en  => in_sosi.sync,
-    count   => cnt_sync
-  );
+      cnt_clr => cnt_clr,
+      cnt_en  => in_sosi.sync,
+      count   => cnt_sync
+    );
 
   -- Register mapping
   count_reg(  c_word_w - 1 downto        0 ) <= cnt_discarded;
   count_reg(2 * c_word_w - 1 downto c_word_w ) <= cnt_sync;
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => dp_rst,
-    st_clk      => dp_clk,
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => dp_rst,
+      st_clk      => dp_clk,
 
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_mosi,
-    sla_out     => reg_miso,
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_mosi,
+      sla_out     => reg_miso,
 
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_reg      => count_reg,  -- read only
-    out_reg     => open  -- no write
-  );
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_reg      => count_reg,  -- read only
+      out_reg     => open  -- no write
+    );
 
   -- Process to check the bsn at sync. It captures the bsn at the sync of bs_sosi. Then compares that bsn to
   -- the bsn at sync of in_sosi. If they are unequal all packets during that sync period with in_sosi.channel
@@ -258,16 +260,16 @@ begin
   end process;
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => block_sosi,
-    -- ST source
-    src_out      => out_sosi
-  );
+    generic map (
+      g_pipeline   => 1  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => block_sosi,
+      -- ST source
+      src_out      => out_sosi
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_block_validate_channel.vhd b/libraries/base/dp/src/vhdl/dp_block_validate_channel.vhd
index 505401f8be..66e3e3469f 100644
--- a/libraries/base/dp/src/vhdl/dp_block_validate_channel.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_validate_channel.vhd
@@ -32,11 +32,11 @@
 -- Remarks:
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_block_validate_channel is
   generic (
@@ -67,16 +67,16 @@ begin
 
   assert g_mode = "=" or g_mode = "<" or g_mode = ">" report "g_mode must be one of three options: '=', '<' or '>'" severity ERROR;
   gen_equal   : if g_mode = "=" generate  -- remove all blocks with ch = remove_channel
-   remove_blk  <= remove_blk_reg when in_sosi.sop = '0' else
-                             '1' when unsigned(in_sosi.channel) = unsigned(remove_channel) else '0';
+    remove_blk  <= remove_blk_reg when in_sosi.sop = '0' else
+                   '1' when unsigned(in_sosi.channel) = unsigned(remove_channel) else '0';
   end generate;
   gen_smaller : if g_mode = "<" generate  -- remove all blocks with ch < remove_channel
-   remove_blk  <= remove_blk_reg when in_sosi.sop = '0' else
-                             '1' when unsigned(in_sosi.channel) < unsigned(remove_channel) else '0';
+    remove_blk  <= remove_blk_reg when in_sosi.sop = '0' else
+                   '1' when unsigned(in_sosi.channel) < unsigned(remove_channel) else '0';
   end generate;
   gen_larger  : if g_mode = ">" generate  -- remove all blocks with ch > remove_channel
-   remove_blk  <= remove_blk_reg when in_sosi.sop = '0' else
-                             '1' when unsigned(in_sosi.channel) > unsigned(remove_channel) else '0';
+    remove_blk  <= remove_blk_reg when in_sosi.sop = '0' else
+                   '1' when unsigned(in_sosi.channel) > unsigned(remove_channel) else '0';
   end generate;
 
   p_dp_clk : process(dp_rst, dp_clk)
@@ -106,29 +106,29 @@ begin
   end process;
 
   u_pipe_remove : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => remove_sosi,
-    -- ST source
-    src_out      => out_remove_sosi
-  );
+    generic map (
+      g_pipeline   => 1  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => remove_sosi,
+      -- ST source
+      src_out      => out_remove_sosi
+    );
 
   u_pipe_keep : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => keep_sosi,
-    -- ST source
-    src_out      => out_keep_sosi
-  );
+    generic map (
+      g_pipeline   => 1  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => keep_sosi,
+      -- ST source
+      src_out      => out_keep_sosi
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd b/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd
index e56d4b1444..8670de86b3 100644
--- a/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd
@@ -72,11 +72,11 @@
 --  ====================================================================================
 -------------------------------------------------------------------------------
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_block_validate_err is
   generic (
@@ -127,11 +127,13 @@ architecture rtl of dp_block_validate_err is
   type t_cnt_err_arr is array (integer range <>) of std_logic_vector(g_cnt_w - 1 downto 0);
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(c_nof_regs),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => c_nof_regs,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   -- Registers in st_clk domain
   signal ref_sync_reg  : std_logic := '0';
@@ -191,39 +193,22 @@ begin
   -- block counter
   cnt_blk_en <= cnt_this_eop;
   u_blk_counter : entity common_lib.common_counter
-  generic map (
-    g_width => g_blk_cnt_w,
-    g_clip  => true
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_blk_en,
-    count   => cnt_blk
-  );
+    generic map (
+      g_width => g_blk_cnt_w,
+      g_clip  => true
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_blk_en,
+      count   => cnt_blk
+    );
 
   -- discarded block counter
   cnt_discarded_en <= cnt_this_eop when TO_UINT(snk_in.err(g_nof_err_counts - 1 downto 0)) > 0 else '0';
   u_discarded_counter : entity common_lib.common_counter
-  generic map (
-    g_width => g_cnt_w,
-    g_clip  => true
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_discarded_en,
-    count   => cnt_discarded
-  );
-
-  -- error counters
-  gen_err_counters : for I in 0 to g_nof_err_counts - 1 generate
-    cnt_err_en_arr(I) <= cnt_this_eop and snk_in.err(I);
-    u_blk_counter : entity common_lib.common_counter
     generic map (
       g_width => g_cnt_w,
       g_clip  => true
@@ -233,9 +218,26 @@ begin
       clk => dp_clk,
 
       cnt_clr => cnt_clr,
-      cnt_en  => cnt_err_en_arr(I),
-      count   => cnt_err_arr(I)
+      cnt_en  => cnt_discarded_en,
+      count   => cnt_discarded
     );
+
+  -- error counters
+  gen_err_counters : for I in 0 to g_nof_err_counts - 1 generate
+    cnt_err_en_arr(I) <= cnt_this_eop and snk_in.err(I);
+    u_blk_counter : entity common_lib.common_counter
+      generic map (
+        g_width => g_cnt_w,
+        g_clip  => true
+      )
+      port map (
+        rst => dp_rst,
+        clk => dp_clk,
+
+        cnt_clr => cnt_clr,
+        cnt_en  => cnt_err_en_arr(I),
+        count   => cnt_err_arr(I)
+      );
   end generate;
 
   -- Hold counter values at ref_sync_reg to have stable values for MM read for comparision between nodes
@@ -272,71 +274,71 @@ begin
   end generate;
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => dp_rst,
-    st_clk      => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_mosi,
-    sla_out     => reg_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_reg      => count_reg,  -- read only
-    out_reg     => open  -- no write
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => dp_rst,
+      st_clk      => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_mosi,
+      sla_out     => reg_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_reg      => count_reg,  -- read only
+      out_reg     => open  -- no write
+    );
 
   u_fifo_fill_eop : entity work.dp_fifo_fill_eop
-  generic map (
-    g_data_w       => g_data_w,
-    g_bsn_w        => g_bsn_w,
-    g_empty_w      => g_empty_w,
-    g_channel_w    => g_channel_w,
-    g_use_bsn      => g_use_bsn,
-    g_use_empty    => g_use_empty,
-    g_use_channel  => g_use_channel,
-    g_use_sync     => g_use_sync,
-    g_use_complex  => g_use_complex,
-    g_fifo_fill    => g_max_block_size,
-    g_fifo_size    => g_fifo_size
-  )
-  port map (
-    wr_rst => dp_rst,
-    wr_clk => dp_clk,
-    rd_rst => dp_rst,
-    rd_clk => dp_clk,
-
-    -- ST sink
-    snk_out => snk_out,
-    snk_in  => snk_in,
-    -- ST source
-    src_in  => block_siso,
-    src_out => block_sosi
-  );
+    generic map (
+      g_data_w       => g_data_w,
+      g_bsn_w        => g_bsn_w,
+      g_empty_w      => g_empty_w,
+      g_channel_w    => g_channel_w,
+      g_use_bsn      => g_use_bsn,
+      g_use_empty    => g_use_empty,
+      g_use_channel  => g_use_channel,
+      g_use_sync     => g_use_sync,
+      g_use_complex  => g_use_complex,
+      g_fifo_fill    => g_max_block_size,
+      g_fifo_size    => g_fifo_size
+    )
+    port map (
+      wr_rst => dp_rst,
+      wr_clk => dp_clk,
+      rd_rst => dp_rst,
+      rd_clk => dp_clk,
+
+      -- ST sink
+      snk_out => snk_out,
+      snk_in  => snk_in,
+      -- ST source
+      src_in  => block_siso,
+      src_out => block_sosi
+    );
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => block_siso,
-    snk_in       => block_sosi,
-    -- ST source
-    src_in       => src_in,
-    src_out      => block_sosi_piped
-  );
+    generic map (
+      g_pipeline   => 1  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => block_siso,
+      snk_in       => block_sosi,
+      -- ST source
+      src_in       => src_in,
+      src_out      => block_sosi_piped
+    );
 
   p_dp_clk : process(dp_rst, dp_clk)
   begin
@@ -352,19 +354,19 @@ begin
   err_ok <= not vector_or(snk_in.err(g_nof_err_counts - 1 downto 0)) when snk_in.eop = '1' else err_ok_reg;
 
   u_fifo_err_ok : entity common_lib.common_fifo_sc
-  generic map (
-    g_dat_w => 1,
-    g_nof_words => c_nof_err_ok
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    wr_dat(0) => err_ok,
-    wr_req    => snk_in.eop,
-    rd_req    => block_sosi.sop,
-    rd_dat(0) => fifo_err_ok,
-    rd_val    => fifo_err_ok_val
-  );
+    generic map (
+      g_dat_w => 1,
+      g_nof_words => c_nof_err_ok
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      wr_dat(0) => err_ok,
+      wr_req    => snk_in.eop,
+      rd_req    => block_sosi.sop,
+      rd_dat(0) => fifo_err_ok,
+      rd_val    => fifo_err_ok_val
+    );
 
   out_valid <= fifo_err_ok when fifo_err_ok_val = '1' else out_valid_reg;
 
diff --git a/libraries/base/dp/src/vhdl/dp_block_validate_length.vhd b/libraries/base/dp/src/vhdl/dp_block_validate_length.vhd
index cd6316d9a2..f59123d64f 100644
--- a/libraries/base/dp/src/vhdl/dp_block_validate_length.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_validate_length.vhd
@@ -43,8 +43,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_block_validate_length is
   generic (
@@ -114,18 +114,18 @@ begin
 
   -- Register block_sosi to easy timing closure
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => block_sosi,
-    -- ST source
-    src_in       => src_in,
-    src_out      => src_out
-  );
+    generic map (
+      g_pipeline   => 1  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => block_sosi,
+      -- ST source
+      src_in       => src_in,
+      src_out      => src_out
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_align.vhd b/libraries/base/dp/src/vhdl/dp_bsn_align.vhd
index 7f12910cd4..2684b5c169 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_align.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_align.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Future note:
 --   The dp_bsn_align aligns at BSN level. In retrospect it is probably
@@ -237,67 +237,67 @@ begin
 
   -- control user input enable updates to not occur during a block
   u_in_en_new : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '0',
-    g_priority_lo  => false,
-    g_or_high      => false,
-    g_and_low      => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => in_en_evt,
-    switch_low  => in_en_ack,
-    out_level   => in_en_new
-  );
+    generic map (
+      g_rst_level    => '0',
+      g_priority_lo  => false,
+      g_or_high      => false,
+      g_and_low      => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => in_en_evt,
+      switch_low  => in_en_ack,
+      out_level   => in_en_new
+    );
 
   -- block reference
   u_block_gen : entity work.dp_block_gen
-  generic map (
-    g_nof_data => g_block_size,
-    g_empty    => 0,
-    g_channel  => 0,
-    g_error    => 0
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    -- Streaming source
-    src_in     => blk_siso,
-    src_out    => blk_sosi,
-    -- MM control
-    en         => blk_en
-  );
+    generic map (
+      g_nof_data => g_block_size,
+      g_empty    => 0,
+      g_channel  => 0,
+      g_error    => 0
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      -- Streaming source
+      src_in     => blk_siso,
+      src_out    => blk_sosi,
+      -- MM control
+      en         => blk_en
+    );
 
   -- Hold the sink input to be able to register the source output
   u_block_hold : entity work.dp_hold_input
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => blk_siso,  -- wired blk_siso = hold_blk_in
-    snk_in       => blk_sosi,
-    -- ST source
-    src_in       => hold_blk_in,
-    next_src_out => next_blk_buf,
-    pend_src_out => OPEN,
-    src_out_reg  => r.blk_buf
-  );
-
-  gen_inputs : for I in g_nof_input - 1 downto 0 generate
-    u_hold : entity work.dp_hold_input
     port map (
       rst          => rst,
       clk          => clk,
       -- ST sink
-      snk_out      => OPEN,
-      snk_in       => snk_in_arr(I),
+      snk_out      => blk_siso,  -- wired blk_siso = hold_blk_in
+      snk_in       => blk_sosi,
       -- ST source
-      src_in       => hold_src_in_arr(I),
-      next_src_out => next_src_buf_arr(I),
-      pend_src_out => pend_src_buf_arr(I),
-      src_out_reg  => r.src_buf_arr(I)
+      src_in       => hold_blk_in,
+      next_src_out => next_blk_buf,
+      pend_src_out => OPEN,
+      src_out_reg  => r.blk_buf
     );
+
+  gen_inputs : for I in g_nof_input - 1 downto 0 generate
+    u_hold : entity work.dp_hold_input
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => OPEN,
+        snk_in       => snk_in_arr(I),
+        -- ST source
+        src_in       => hold_src_in_arr(I),
+        next_src_out => next_src_buf_arr(I),
+        pend_src_out => pend_src_buf_arr(I),
+        src_out_reg  => r.src_buf_arr(I)
+      );
   end generate;
 
   -- This is not Erlang or Haskell, but that does not mean that we can not do some functional programming in VHDL as well.
@@ -328,38 +328,38 @@ begin
   -- Use tree instead of folding to ease timing closure:
   --   pend_bsn_max <= func_dp_stream_arr_bsn_max(pend_src_buf_arr, r.in_en_arr, c_bsn_align_w);
   u_pend_bsn_max : entity common_lib.common_operation_tree
-  generic map (
-    g_operation      => "MAX",
-    g_representation => "UNSIGNED",
-    g_pipeline       => c_bsn_stage_pipeline,  -- amount of output pipelining per stage
-    g_pipeline_mod   => c_bsn_stage_pipeline_mod,  -- only pipeline the stage output by g_pipeline when the stage number MOD g_pipeline_mod = 0
-    g_nof_inputs     => g_nof_input,
-    g_dat_w          => c_bsn_align_w
-  )
-  port map (
-    clk         => clk,
-    in_data_vec => pend_bsn_vec,
-    in_en_vec   => r.in_en_arr,
-    result      => pend_bsn_max
-  );
+    generic map (
+      g_operation      => "MAX",
+      g_representation => "UNSIGNED",
+      g_pipeline       => c_bsn_stage_pipeline,  -- amount of output pipelining per stage
+      g_pipeline_mod   => c_bsn_stage_pipeline_mod,  -- only pipeline the stage output by g_pipeline when the stage number MOD g_pipeline_mod = 0
+      g_nof_inputs     => g_nof_input,
+      g_dat_w          => c_bsn_align_w
+    )
+    port map (
+      clk         => clk,
+      in_data_vec => pend_bsn_vec,
+      in_en_vec   => r.in_en_arr,
+      result      => pend_bsn_max
+    );
 
   -- Use tree instead of folding to ease timing closure:
   --   pend_bsn_min <= func_dp_stream_arr_bsn_min(pend_src_buf_arr, r.in_en_arr, c_bsn_align_w);
   u_pend_bsn_min : entity common_lib.common_operation_tree
-  generic map (
-    g_operation      => "MIN",
-    g_representation => "UNSIGNED",
-    g_pipeline       => c_bsn_stage_pipeline,  -- amount of output pipelining per stage
-    g_pipeline_mod   => c_bsn_stage_pipeline_mod,  -- only pipeline the stage output by g_pipeline when the stage number MOD g_pipeline_mod = 0
-    g_nof_inputs     => g_nof_input,
-    g_dat_w          => c_bsn_align_w
-  )
-  port map (
-    clk         => clk,
-    in_data_vec => pend_bsn_vec,
-    in_en_vec   => r.in_en_arr,
-    result      => pend_bsn_min
-  );
+    generic map (
+      g_operation      => "MIN",
+      g_representation => "UNSIGNED",
+      g_pipeline       => c_bsn_stage_pipeline,  -- amount of output pipelining per stage
+      g_pipeline_mod   => c_bsn_stage_pipeline_mod,  -- only pipeline the stage output by g_pipeline when the stage number MOD g_pipeline_mod = 0
+      g_nof_inputs     => g_nof_input,
+      g_dat_w          => c_bsn_align_w
+    )
+    port map (
+      clk         => clk,
+      in_data_vec => pend_bsn_vec,
+      in_en_vec   => r.in_en_arr,
+      result      => pend_bsn_min
+    );
 
   -- Hold input registers
   nxt_r.blk_buf     <= next_blk_buf;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_align_reg.vhd b/libraries/base/dp/src/vhdl/dp_bsn_align_reg.vhd
index f33ad05275..ed6c533b6d 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_align_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_align_reg.vhd
@@ -41,9 +41,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_bsn_align_reg is
   generic (
@@ -71,11 +71,13 @@ end dp_bsn_align_reg;
 architecture str of dp_bsn_align_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(g_nof_input),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => g_nof_input,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_input),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => g_nof_input,
+    init_sl  => '0'
+  );
 
   --  FUNCTION array_init(init : NATURAL;   nof, width : NATURAL) RETURN STD_LOGIC_VECTOR;  -- useful to init an unconstrained std_logic_vector with repetitive content
   constant c_reg_init    : std_logic_vector(g_nof_input * c_word_w - 1 downto 0) := array_init(1, g_nof_input, c_word_w);
@@ -87,32 +89,32 @@ architecture str of dp_bsn_align_reg is
 begin
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 1,
-    g_readback           => true,
-    g_reg                => c_mm_reg,
-    g_init_reg           => RESIZE_UVEC(c_reg_init, c_mem_reg_init_w)  -- RESIZE_UVEC(TO_UVEC(1, c_word_w), c_mem_reg_init_w)
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => sla_in,
-    sla_out     => sla_out,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => reg_wr_arr,
-    reg_rd_arr  => OPEN,
-    in_new      => OPEN,
-    in_reg      => out_en_arr_reg,  -- read
-    out_reg     => out_en_arr_reg,  -- write
-    out_new     => out_en_evt
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 1,
+      g_readback           => true,
+      g_reg                => c_mm_reg,
+      g_init_reg           => RESIZE_UVEC(c_reg_init, c_mem_reg_init_w)  -- RESIZE_UVEC(TO_UVEC(1, c_word_w), c_mem_reg_init_w)
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => sla_in,
+      sla_out     => sla_out,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => reg_wr_arr,
+      reg_rd_arr  => OPEN,
+      in_new      => OPEN,
+      in_reg      => out_en_arr_reg,  -- read
+      out_reg     => out_en_arr_reg,  -- write
+      out_new     => out_en_evt
+    );
 
   gen_out_arr : for I in 0 to g_nof_input - 1 generate
     out_en_arr(I) <= out_en_arr_reg(I * c_word_w);
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_align_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_align_v2.vhd
index f2b7d843e4..64a92b68ee 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_align_v2.vhd
@@ -48,11 +48,11 @@
 --   robust.
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity dp_bsn_align_v2 is
@@ -99,11 +99,13 @@ architecture rtl of dp_bsn_align_v2 is
   constant c_buffer_nof_blocks : natural :=  true_log_pow2(1 + g_nof_aligners_max * g_bsn_latency_max);
 
   constant c_ram_size       : natural := c_buffer_nof_blocks * g_block_size;
-  constant c_ram_buf        : t_c_mem := (latency  => 1,
-                                          adr_w    => ceil_log2(c_ram_size),
-                                          dat_w    => g_data_w,
-                                          nof_dat  => c_ram_size,
-                                          init_sl  => '0');
+  constant c_ram_buf        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_ram_size),
+    dat_w    => g_data_w,
+    nof_dat  => c_ram_size,
+    init_sl  => '0'
+  );
 
   -- Use +1 to ensure that g_block_size that is power of 2 also fits in c_block_size_slv
   constant c_block_size_w   : natural := ceil_log2(g_block_size + 1);
@@ -158,27 +160,31 @@ architecture rtl of dp_bsn_align_v2 is
     out_sosi_arr        : t_dp_sosi_arr(g_nof_streams - 1 downto 0);
   end record;
 
-  constant c_reg_rst  : t_reg := (0,
-                                  (others => c_mem_copi_rst),
-                                  (others => (others => '0')),
-                                  (others => '0'),
-                                  (others => '0'),
-                                  (others => (others => '0')),
-                                  c_dp_sosi_rst,
-                                  c_dp_sosi_rst,
-                                  0,
-                                  (others => '0'),
-                                  c_mem_copi_rst,
-                                  (others => c_mem_cipo_rst),
-                                  (others => '0'),
-                                  (others => (others => '0')),
-                                  (others => '0'));
-
-  constant c_comb_rst  : t_comb := (c_dp_sosi_rst,
-                                   (others => '0'),
-                                   (others => '0'),
-                                   (others => '0'),
-                                   (others => c_dp_sosi_rst));
+  constant c_reg_rst  : t_reg := (
+    0,
+    (others => c_mem_copi_rst),
+    (others => (others => '0')),
+    (others => '0'),
+    (others => '0'),
+    (others => (others => '0')),
+    c_dp_sosi_rst,
+    c_dp_sosi_rst,
+    0,
+    (others => '0'),
+    c_mem_copi_rst,
+    (others => c_mem_cipo_rst),
+    (others => '0'),
+    (others => (others => '0')),
+    (others => '0')
+  );
+
+  constant c_comb_rst  : t_comb := (
+    c_dp_sosi_rst,
+    (others => '0'),
+    (others => '0'),
+    (others => '0'),
+    (others => c_dp_sosi_rst)
+  );
 
   -- State registers for p_comb
   signal r                 : t_reg;
@@ -369,7 +375,7 @@ begin
 
       -- . pass on input data from the buffer
       w.out_sosi_arr := rd_sosi_arr;  -- = v.fill_cipo_arr in streaming format, contains the
-                                      -- input data from the buffer or replacement data
+      -- input data from the buffer or replacement data
       if rd_sosi_arr(0).sop = '1' then
         -- . at sop pass on input info from r.dp_sosi to all streams in out_sosi_arr
         w.out_sosi_arr := func_dp_stream_arr_set(w.out_sosi_arr, r.dp_sosi.sync, "SYNC");
@@ -388,8 +394,8 @@ begin
         -- . until next sop pass on BSN to all streams, to ease view in wave window
         w.out_sosi_arr := func_dp_stream_arr_set(w.out_sosi_arr, r.out_bsn, "BSN");
         for I in 0 to g_nof_streams - 1 loop
-           -- . until next sop pass on channel bit 0 per stream, to ease view in wave window
-           w.out_sosi_arr(I).channel := RESIZE_DP_CHANNEL(r.out_channel_arr(I));
+          -- . until next sop pass on channel bit 0 per stream, to ease view in wave window
+          w.out_sosi_arr(I).channel := RESIZE_DP_CHANNEL(r.out_channel_arr(I));
         end loop;
       end if;
 
@@ -415,20 +421,20 @@ begin
 
   gen_data_buffer : for I in 0 to g_nof_streams - 1 generate
     u_data_buffer : entity common_lib.common_ram_r_w
-    generic map (
-      g_ram     => c_ram_buf
-    )
-    port map (
-      rst       => dp_rst,
-      clk       => dp_clk,
-      wr_en     => r.wr_copi_arr(I).wr,
-      wr_adr    => r.wr_copi_arr(I).address(c_ram_buf.adr_w - 1 downto 0),
-      wr_dat    => r.wr_copi_arr(I).wrdata(c_ram_buf.dat_w - 1 downto 0),
-      rd_en     => rd_copi.rd,
-      rd_adr    => rd_copi.address(c_ram_buf.adr_w - 1 downto 0),
-      rd_dat    => rd_cipo_arr(I).rddata(c_ram_buf.dat_w - 1 downto 0),
-      rd_val    => rd_cipo_arr(I).rdval
-    );
+      generic map (
+        g_ram     => c_ram_buf
+      )
+      port map (
+        rst       => dp_rst,
+        clk       => dp_clk,
+        wr_en     => r.wr_copi_arr(I).wr,
+        wr_adr    => r.wr_copi_arr(I).address(c_ram_buf.adr_w - 1 downto 0),
+        wr_dat    => r.wr_copi_arr(I).wrdata(c_ram_buf.dat_w - 1 downto 0),
+        rd_en     => rd_copi.rd,
+        rd_adr    => rd_copi.address(c_ram_buf.adr_w - 1 downto 0),
+        rd_dat    => rd_cipo_arr(I).rddata(c_ram_buf.dat_w - 1 downto 0),
+        rd_val    => rd_cipo_arr(I).rdval
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -438,26 +444,26 @@ begin
   gen_streaming_output : if not g_use_mm_output generate
     gen_mm_to_dp : for I in 0 to g_nof_streams - 1 generate
       u_mm_to_dp: entity work.dp_block_from_mm
-      generic map (
-        g_user_size          => 1,
-        g_data_size          => 1,
-        g_step_size          => 1,
-        g_nof_data           => g_block_size,
-        g_word_w             => g_data_w,
-        g_mm_rd_latency      => g_rd_latency,
-        g_reverse_word_order => false
-      )
-      port map (
-        rst           => dp_rst,
-        clk           => dp_clk,
-        start_pulse   => r.mm_sosi.sop,
-        start_address => 0,
-        mm_done       => dp_done_arr(I),
-        mm_mosi       => dp_copi_arr(I),
-        mm_miso       => nxt_r.fill_cipo_arr(I),
-        out_sosi      => rd_sosi_arr(I),
-        out_siso      => c_dp_siso_rdy
-      );
+        generic map (
+          g_user_size          => 1,
+          g_data_size          => 1,
+          g_step_size          => 1,
+          g_nof_data           => g_block_size,
+          g_word_w             => g_data_w,
+          g_mm_rd_latency      => g_rd_latency,
+          g_reverse_word_order => false
+        )
+        port map (
+          rst           => dp_rst,
+          clk           => dp_clk,
+          start_pulse   => r.mm_sosi.sop,
+          start_address => 0,
+          mm_done       => dp_done_arr(I),
+          mm_mosi       => dp_copi_arr(I),
+          mm_miso       => nxt_r.fill_cipo_arr(I),
+          out_sosi      => rd_sosi_arr(I),
+          out_siso      => c_dp_siso_rdy
+        );
     end generate;
 
     -- Use dp_copi_arr(0) to read same addresses in parallel for all streams
@@ -470,16 +476,16 @@ begin
   ------------------------------------------------------------------------------
   gen_cnt_replace : for I in 0 to g_nof_streams - 1 generate
     u_cnt_replace : entity common_lib.common_counter
-    generic map (
-      g_width => c_word_w
-    )
-    port map (
-      rst     => dp_rst,
-      clk     => dp_clk,
-      cnt_clr => in_sosi_arr_p(0).sync,
-      cnt_en  => r.replace_cnt_en_arr(I),
-      count   => replace_cnt_arr(I)
-    );
+      generic map (
+        g_width => c_word_w
+      )
+      port map (
+        rst     => dp_rst,
+        clk     => dp_clk,
+        cnt_clr => in_sosi_arr_p(0).sync,
+        cnt_en  => r.replace_cnt_en_arr(I),
+        count   => replace_cnt_arr(I)
+      );
   end generate;
 
   nxt_hold_replace_cnt_arr <= replace_cnt_arr when in_sosi_arr_p(0).sync = '1' else hold_replace_cnt_arr;
@@ -492,35 +498,35 @@ begin
 
   -- . input streams
   u_in_sosi_arr_p : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => g_pipeline_input  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in_arr   => in_sosi_arr,
-    -- ST source
-    src_out_arr  => in_sosi_arr_p
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => g_pipeline_input  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in_arr   => in_sosi_arr,
+      -- ST source
+      src_out_arr  => in_sosi_arr_p
+    );
 
   -- . read RAM
   rd_copi <= nxt_r.rd_copi when g_rd_latency = 1 else r.rd_copi;
 
   -- . output streams
   u_out_sosi_arr_p : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => g_pipeline_output
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in_arr   => comb_out_sosi_arr,
-    -- ST source
-    src_out_arr  => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => g_pipeline_output
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in_arr   => comb_out_sosi_arr,
+      -- ST source
+      src_out_arr  => out_sosi_arr
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_delay.vhd b/libraries/base/dp/src/vhdl/dp_bsn_delay.vhd
index 3b3ca696b9..3fe36bdb80 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_delay.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_delay.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose : Delay the input sync and BSN so they remain aligned to the data
 --           path processing.
@@ -113,18 +113,18 @@ begin
 
   -- Hold the in_sync during the block until the in_eop
   u_hold_sync : entity common_lib.common_switch
-  generic map (
-    g_priority_lo  => false,  -- in_sync has priority over in_eop, because they may occur simultaneously
-    g_or_high      => false,  -- hold_sync goes high after in_sync
-    g_and_low      => false  -- hold_sync goes low  after in_eop
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => in_sync,
-    switch_low  => in_eop,
-    out_level   => hold_sync
-  );
+    generic map (
+      g_priority_lo  => false,  -- in_sync has priority over in_eop, because they may occur simultaneously
+      g_or_high      => false,  -- hold_sync goes high after in_sync
+      g_and_low      => false  -- hold_sync goes low  after in_eop
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => in_sync,
+      switch_low  => in_eop,
+      out_level   => hold_sync
+    );
 
   -- Delay line for in_sync and in_bsn at block level
   sync_dly(0)  <= hold_sync;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_monitor.vhd b/libraries/base/dp/src/vhdl/dp_bsn_monitor.vhd
index 93f37c4079..5ba1d8857a 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_monitor.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_monitor.vhd
@@ -41,10 +41,10 @@
 --   valid remains active until an acknowledge by ready)
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity dp_bsn_monitor is
@@ -167,33 +167,33 @@ begin
   nof_valid <= INCR_UVEC(cnt_valid, 1);  -- +1 because the valid at the sync also counts
 
   u_sync_timeout_cnt : entity common_lib.common_counter
-  generic map (
-    g_width => c_sync_timeout_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => sync,
-    cnt_en  => sync_timeout_n,
-    count   => sync_timeout_cnt
-  );
+    generic map (
+      g_width => c_sync_timeout_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => sync,
+      cnt_en  => sync_timeout_n,
+      count   => sync_timeout_cnt
+    );
 
   sync_timeout_n <= not nxt_sync_timeout;
 
   nxt_sync_timeout <= '1' when unsigned(sync_timeout_cnt) >= g_sync_timeout else '0';
 
   u_sync_timeout_revt : entity common_lib.common_evt
-  generic map (
-    g_evt_type   => "RISING",
-    g_out_invert => false,
-    g_out_reg    => false
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => sync_timeout,
-    out_evt  => sync_timeout_revt
-  );
+    generic map (
+      g_evt_type   => "RISING",
+      g_out_invert => false,
+      g_out_reg    => false
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => sync_timeout,
+      out_evt  => sync_timeout_revt
+    );
 
   p_clk : process(rst, clk)
   begin
@@ -248,24 +248,24 @@ begin
   nxt_xon   <= in_siso.xon;
 
   u_ready_stable : entity common_lib.common_stable_monitor
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- MM
-    r_in         => ready,
-    r_stable     => ready_stable,
-    r_stable_ack => sync
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- MM
+      r_in         => ready,
+      r_stable     => ready_stable,
+      r_stable_ack => sync
+    );
 
   u_xon_stable : entity common_lib.common_stable_monitor
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- MM
-    r_in         => xon,
-    r_stable     => xon_stable,
-    r_stable_ack => sync
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- MM
+      r_in         => xon,
+      r_stable     => xon_stable,
+      r_stable_ack => sync
+    );
 
   -- Sample the BSN, because BSN is only valid during sop.
   nxt_current_bsn <= in_sosi.bsn(c_bsn_w - 1 downto 0) when in_sosi.sop = '1' else i_current_bsn;
@@ -292,52 +292,52 @@ begin
   end generate;
 
   u_cnt_sop : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_sop_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => sync,
-    cnt_en  => sop,
-    count   => cnt_sop
-  );
+    generic map (
+      g_width => c_cnt_sop_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => sync,
+      cnt_en  => sop,
+      count   => cnt_sop
+    );
 
   u_nof_err : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_sop_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => sync,
-    cnt_en  => err,
-    count   => cnt_err
-  );
+    generic map (
+      g_width => c_cnt_sop_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => sync,
+      cnt_en  => err,
+      count   => cnt_err
+    );
 
   u_cnt_valid : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_valid_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => sync,
-    cnt_en  => valid,
-    count   => cnt_valid
-  );
+    generic map (
+      g_width => c_cnt_valid_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => sync,
+      cnt_en  => valid,
+      count   => cnt_valid
+    );
 
   u_cnt_cycle : entity common_lib.common_counter
-  generic map (
-    g_width => c_word_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => in_sosi.sop,
-    cnt_en  => '1',
-    count   => cnt_cycle
-  );
+    generic map (
+      g_width => c_word_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => in_sosi.sop,
+      cnt_en  => '1',
+      count   => cnt_cycle
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg.vhd b/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg.vhd
index 39e9921aeb..97a42fa249 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg.vhd
@@ -47,9 +47,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_bsn_monitor_reg is
   generic (
@@ -86,11 +86,13 @@ end dp_bsn_monitor_reg;
 architecture str of dp_bsn_monitor_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 4,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 9,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 4,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 9,
+    init_sl  => '0'
+  );
 
   -- Registers in st_clk domain
   signal mon_reg      : std_logic_vector(c_mm_reg.nof_dat * c_mm_reg.dat_w - 1 downto 0) := (others => '0');
@@ -108,29 +110,29 @@ begin
   mon_reg(9 * c_word_w - 1 downto 8 * c_word_w) <= RESIZE_UVEC(mon_bsn_first_cycle_cnt, c_word_w);
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 1,  -- mon_evt to mon_reg has latency 1 in dp_bsn_monitor
-    g_readback           => false,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => sla_in,
-    sla_out     => sla_out,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_new      => mon_evt,
-    in_reg      => mon_reg,  -- read only
-    out_reg     => open  -- no write
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 1,  -- mon_evt to mon_reg has latency 1 in dp_bsn_monitor
+      g_readback           => false,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => sla_in,
+      sla_out     => sla_out,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_new      => mon_evt,
+      in_reg      => mon_reg,  -- read only
+      out_reg     => open  -- no write
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd
index fb0a4c4edf..39e7402635 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd
@@ -43,9 +43,9 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_bsn_monitor_reg_v2 is
   generic (
@@ -81,11 +81,13 @@ end dp_bsn_monitor_reg_v2;
 architecture str of dp_bsn_monitor_reg_v2 is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 3,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 7,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 3,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 7,
+    init_sl  => '0'
+  );
 
   -- Registers in st_clk domain
   signal mon_reg      : std_logic_vector(c_mm_reg.nof_dat * c_mm_reg.dat_w - 1 downto 0) := (others => '0');
@@ -102,29 +104,29 @@ begin
   mon_reg(7 * c_word_w - 1 downto 6 * c_word_w) <= RESIZE_UVEC(mon_latency,     c_word_w);
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 1,  -- mon_evt to mon_reg has latency 1 in dp_bsn_monitor
-    g_readback           => false,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 1,  -- mon_evt to mon_reg has latency 1 in dp_bsn_monitor
+      g_readback           => false,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
 
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => sla_in,
-    sla_out     => sla_out,
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => sla_in,
+      sla_out     => sla_out,
 
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_new      => mon_evt,
-    in_reg      => mon_reg,  -- read only
-    out_reg     => open  -- no write
-  );
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_new      => mon_evt,
+      in_reg      => mon_reg,  -- read only
+      out_reg     => open  -- no write
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd
index fc3841cc1c..88949f85a6 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd
@@ -40,10 +40,10 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity dp_bsn_monitor_v2 is
@@ -178,33 +178,33 @@ begin
   ref_sync_reg <= ref_sync when rising_edge(clk);
 
   u_sync_timeout_cnt : entity common_lib.common_counter
-  generic map (
-    g_width => c_sync_timeout_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => sync,
-    cnt_en  => sync_timeout_n,
-    count   => sync_timeout_cnt
-  );
+    generic map (
+      g_width => c_sync_timeout_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => sync,
+      cnt_en  => sync_timeout_n,
+      count   => sync_timeout_cnt
+    );
 
   sync_timeout_n <= not nxt_sync_timeout;
 
   nxt_sync_timeout <= '1' when unsigned(sync_timeout_cnt) >= g_sync_timeout else '0';
 
   u_sync_timeout_revt : entity common_lib.common_evt
-  generic map (
-    g_evt_type   => "RISING",
-    g_out_invert => false,
-    g_out_reg    => false
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => sync_timeout,
-    out_evt  => sync_timeout_revt
-  );
+    generic map (
+      g_evt_type   => "RISING",
+      g_out_invert => false,
+      g_out_reg    => false
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => sync_timeout,
+      out_evt  => sync_timeout_revt
+    );
 
   p_clk : process(rst, clk)
   begin
@@ -260,24 +260,24 @@ begin
   nxt_xon   <= in_siso.xon;
 
   u_ready_stable : entity common_lib.common_stable_monitor
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- MM
-    r_in         => ready,
-    r_stable     => ready_stable,
-    r_stable_ack => sync
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- MM
+      r_in         => ready,
+      r_stable     => ready_stable,
+      r_stable_ack => sync
+    );
 
   u_xon_stable : entity common_lib.common_stable_monitor
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- MM
-    r_in         => xon,
-    r_stable     => xon_stable,
-    r_stable_ack => sync
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- MM
+      r_in         => xon,
+      r_stable     => xon_stable,
+      r_stable_ack => sync
+    );
 
   -- Sample the BSN, because BSN is only valid during sop.
   nxt_current_bsn <= in_sosi.bsn(c_bsn_w - 1 downto 0) when in_sosi.sop = '1' else i_current_bsn;
@@ -292,54 +292,54 @@ begin
   nxt_bsn                     <= in_sosi.bsn(c_bsn_w - 1 downto 0) when in_sosi.sop = '1' else bsn;  -- keep bsn as defined at sop
 
   u_cnt_sop : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_sop_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_ld  => sync,  -- using cnt_ld instead of cnt clr to reset to 1 as the sop at the sync counts too.
-    cnt_en  => sop,
-    load    => TO_SVEC(1, c_cnt_sop_w),
-    count   => cnt_sop
-  );
+    generic map (
+      g_width => c_cnt_sop_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_ld  => sync,  -- using cnt_ld instead of cnt clr to reset to 1 as the sop at the sync counts too.
+      cnt_en  => sop,
+      load    => TO_SVEC(1, c_cnt_sop_w),
+      count   => cnt_sop
+    );
 
   u_nof_err : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_sop_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => sync,
-    cnt_en  => err,
-    count   => cnt_err
-  );
+    generic map (
+      g_width => c_cnt_sop_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => sync,
+      cnt_en  => err,
+      count   => cnt_err
+    );
 
   u_cnt_valid : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_valid_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_ld  => sync,  -- using cnt_ld instead of cnt clr to reset to 1 as the valid at the sync counts too.
-    cnt_en  => valid,
-    load    => TO_SVEC(1, c_cnt_valid_w),
-    count   => cnt_valid
-  );
+    generic map (
+      g_width => c_cnt_valid_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_ld  => sync,  -- using cnt_ld instead of cnt clr to reset to 1 as the valid at the sync counts too.
+      cnt_en  => valid,
+      load    => TO_SVEC(1, c_cnt_valid_w),
+      count   => cnt_valid
+    );
 
   u_cnt_latency : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_latency_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => ref_sync_reg,
-    cnt_en  => '1',
-    count   => cnt_latency
-  );
+    generic map (
+      g_width => c_cnt_latency_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => ref_sync_reg,
+      cnt_en  => '1',
+      count   => cnt_latency
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_restore_global.vhd b/libraries/base/dp/src/vhdl/dp_bsn_restore_global.vhd
index 11f30ee777..c633eebe81 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_restore_global.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_restore_global.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author: Eric Kooistra, 17 nov 2017
 -- Purpose:
@@ -83,19 +83,19 @@ begin
 
   -- Create block sync from snk_in.sync, this blk_sync is active during entire first sop-eop block of sync interval
   u_common_switch : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '0',  -- Defines the output level at reset.
-    g_priority_lo  => false,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
-    g_or_high      => true,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
-    g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => snk_in.sync,  -- A pulse on switch_high makes the out_level go high
-    switch_low  => snk_in.eop,  -- A pulse on switch_low makes the out_level go low
-    out_level   => blk_sync
-  );
+    generic map (
+      g_rst_level    => '0',  -- Defines the output level at reset.
+      g_priority_lo  => false,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
+      g_or_high      => true,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
+      g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => snk_in.sync,  -- A pulse on switch_high makes the out_level go high
+      switch_low  => snk_in.eop,  -- A pulse on switch_low makes the out_level go low
+      out_level   => blk_sync
+    );
 
   -- Use stored global BSN at sync and add local BSN to restore the global BSN for every next sop
   bsn_restored <= snk_in.bsn when blk_sync = '1' else ADD_UVEC(bsn_at_sync, snk_in.bsn, g_bsn_w);
@@ -104,18 +104,18 @@ begin
 
   -- Add pipeline to ensure timing closure for the restored BSN summation
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline => g_pipeline  -- 0 for wires, > 0 for registers
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in_restored,
-    -- ST source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    generic map (
+      g_pipeline => g_pipeline  -- 0 for wires, > 0 for registers
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in_restored,
+      -- ST source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_scheduler.vhd b/libraries/base/dp/src/vhdl/dp_bsn_scheduler.vhd
index 2bb5db1000..13ef44fdc9 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_scheduler.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_scheduler.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose : Schedule an output trigger based on the Data Path BSN[]
 -- Description:
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_scheduler_reg.vhd b/libraries/base/dp/src/vhdl/dp_bsn_scheduler_reg.vhd
index d90b7705c3..20d93ad9e3 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_scheduler_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_scheduler_reg.vhd
@@ -32,9 +32,9 @@
 --  |-----------------------------------------------------------------------|
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_bsn_scheduler_reg is
   generic (
@@ -63,11 +63,13 @@ architecture rtl of dp_bsn_scheduler_reg is
   constant c_bsn_w : natural := st_current_bsn'length;
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 1,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 2,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2,
+    init_sl  => '0'
+  );
 
   -- Registers in mm_clk domain
   signal mm_current_bsn      : std_logic_vector(c_longword_w - 1 downto 0) := (others => '0');
@@ -168,31 +170,31 @@ begin
 
     -- write occurs with sufficient margin before it is used, still use common_reg_cross_domain nonetheless
     u_init_bsn : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_new      => mm_scheduled_bsn_wr,  -- use wr of mm_scheduled_bsn high part for in_new to ensure proper transfer of double word
-      in_dat      => mm_scheduled_bsn(c_bsn_w - 1 downto 0),
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_scheduled_bsn,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_new      => mm_scheduled_bsn_wr,  -- use wr of mm_scheduled_bsn high part for in_new to ensure proper transfer of double word
+        in_dat      => mm_scheduled_bsn(c_bsn_w - 1 downto 0),
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_scheduled_bsn,
+        out_new     => open
+      );
 
     -- thanks to mm_current_bsn_hi the double word can be read reliably
     u_current_bsn : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_new      => '1',  -- could use t_dp_sosi sop here to indicate in_new, but using default '1' is fine too
-      in_dat      => st_current_bsn,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_current_bsn(c_bsn_w - 1 downto 0),
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_new      => '1',  -- could use t_dp_sosi sop here to indicate in_new, but using default '1' is fine too
+        in_dat      => st_current_bsn,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_current_bsn(c_bsn_w - 1 downto 0),
+        out_new     => open
+      );
 
   end generate;  -- gen_cross
 
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_source.vhd b/libraries/base/dp/src/vhdl/dp_bsn_source.vhd
index 5dc319ffda..2034b516c9 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_source.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_source.vhd
@@ -33,10 +33,10 @@
 --   has to disable (dp_on='0') the data path before restarting it.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_bsn_source is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_source_reg.vhd b/libraries/base/dp/src/vhdl/dp_bsn_source_reg.vhd
index 4e0beb0889..ad7d03155a 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_source_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_source_reg.vhd
@@ -39,9 +39,9 @@
 --  ====================================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_bsn_source_reg is
   generic (
@@ -75,11 +75,13 @@ architecture rtl of dp_bsn_source_reg is
   constant c_bsn_w                : natural := st_init_bsn'length;
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 2,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 2**2,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 2,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2**2,
+    init_sl  => '0'
+  );
 
   -- Registers in mm_clk domain
   signal mm_on            : std_logic;
@@ -95,7 +97,7 @@ architecture rtl of dp_bsn_source_reg is
   signal mm_current_bsn        : std_logic_vector(c_longword_w - 1 downto 0) := (others => '0');
   signal mm_current_bsn_hi     : std_logic_vector(c_word_w - 1 downto 0) := (others => '0');
 
-  -- Registers in st_clk domain
+-- Registers in st_clk domain
 
 begin
 
@@ -211,16 +213,16 @@ begin
   gen_cross : if g_cross_clock_domain = true generate
     -- Block sync registers
     u_dp_on_ctrl : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_on_ctrl,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_on_ctrl,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_on_ctrl,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_on_ctrl,
+        out_new     => open
+      );
 
     mm_on_ctrl(0) <= mm_on;
     mm_on_ctrl(1) <= mm_on_pps;
@@ -229,56 +231,56 @@ begin
     st_on_pps <= st_on_ctrl(1);
 
     u_mm_on_status : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_on_status,
-      dout => mm_on_status
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_on_status,
+        dout => mm_on_status
+      );
 
     -- write occurs with sufficient margin before it is used, still use common_reg_cross_domain nonetheless
     u_nof_block_per_sync : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_nof_block_per_sync,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_nof_block_per_sync,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_nof_block_per_sync,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_nof_block_per_sync,
+        out_new     => open
+      );
 
     -- write occurs with sufficient margin before it is used, still use common_reg_cross_domain nonetheless
     u_init_bsn : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_new      => mm_init_bsn_wr,  -- use wr of mm_init_bsn high part for in_new to ensure proper transfer of double word
-      in_dat      => mm_init_bsn(c_bsn_w - 1 downto 0),
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_init_bsn,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_new      => mm_init_bsn_wr,  -- use wr of mm_init_bsn high part for in_new to ensure proper transfer of double word
+        in_dat      => mm_init_bsn(c_bsn_w - 1 downto 0),
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_init_bsn,
+        out_new     => open
+      );
 
     -- thanks to mm_current_bsn_hi the double word can be read reliably
     u_current_bsn : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_new      => '1',  -- could use t_dp_sosi sop here to indicate in_new, but using default '1' is fine too
-      in_dat      => st_current_bsn,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_current_bsn(c_bsn_w - 1 downto 0),
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_new      => '1',  -- could use t_dp_sosi sop here to indicate in_new, but using default '1' is fine too
+        in_dat      => st_current_bsn,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_current_bsn(c_bsn_w - 1 downto 0),
+        out_new     => open
+      );
 
   end generate;  -- gen_cross
 
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_source_reg_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_source_reg_v2.vhd
index 872ffa66a5..56f5f5f714 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_source_reg_v2.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_source_reg_v2.vhd
@@ -40,9 +40,9 @@
 --  ====================================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_bsn_source_reg_v2 is
   generic (
@@ -78,11 +78,13 @@ architecture rtl of dp_bsn_source_reg_v2 is
   constant c_bsn_time_offset_w    : natural := st_bsn_time_offset'length;
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 3,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 2**3,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 3,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2**3,
+    init_sl  => '0'
+  );
 
   -- Registers in mm_clk domain
   signal mm_on            : std_logic;
@@ -101,7 +103,7 @@ architecture rtl of dp_bsn_source_reg_v2 is
   signal mm_bsn_time_offset    : std_logic_vector(c_bsn_time_offset_w - 1 downto 0) := (others => '0');
   signal mm_bsn_time_offset_wr : std_logic;
 
-  -- Registers in st_clk domain
+-- Registers in st_clk domain
 
 begin
 
@@ -235,16 +237,16 @@ begin
   gen_cross : if g_cross_clock_domain = true generate
     -- Block sync registers
     u_dp_on_ctrl : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_on_ctrl,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_on_ctrl,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_on_ctrl,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_on_ctrl,
+        out_new     => open
+      );
 
     mm_on_ctrl(0) <= mm_on;
     mm_on_ctrl(1) <= mm_on_pps;
@@ -253,70 +255,70 @@ begin
     st_on_pps <= st_on_ctrl(1);
 
     u_mm_on_status : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_on_status,
-      dout => mm_on_status
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_on_status,
+        dout => mm_on_status
+      );
 
     -- write occurs with sufficient margin before it is used, still use common_reg_cross_domain nonetheless
     u_nof_block_per_sync : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_nof_clk_per_sync,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_nof_clk_per_sync,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_nof_clk_per_sync,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_nof_clk_per_sync,
+        out_new     => open
+      );
 
     -- write occurs with sufficient margin before it is used, still use common_reg_cross_domain nonetheless
     u_bsn_init : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_new      => mm_bsn_init_wr,  -- use wr of mm_bsn_init high part for in_new to ensure proper transfer of double word
-      in_dat      => mm_bsn_init(c_bsn_w - 1 downto 0),
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_bsn_init,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_new      => mm_bsn_init_wr,  -- use wr of mm_bsn_init high part for in_new to ensure proper transfer of double word
+        in_dat      => mm_bsn_init(c_bsn_w - 1 downto 0),
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_bsn_init,
+        out_new     => open
+      );
 
     -- thanks to mm_current_bsn_hi the double word can be read reliably
     u_current_bsn : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_new      => '1',  -- could use t_dp_sosi sop here to indicate in_new, but using default '1' is fine too
-      in_dat      => st_current_bsn,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_current_bsn(c_bsn_w - 1 downto 0),
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_new      => '1',  -- could use t_dp_sosi sop here to indicate in_new, but using default '1' is fine too
+        in_dat      => st_current_bsn,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_current_bsn(c_bsn_w - 1 downto 0),
+        out_new     => open
+      );
 
     -- write occurs with sufficient margin before it is used, still use common_reg_cross_domain nonetheless
     u_bsn_time_offset : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_new      => mm_bsn_time_offset_wr,
-      in_dat      => mm_bsn_time_offset,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_bsn_time_offset,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_new      => mm_bsn_time_offset_wr,
+        in_dat      => mm_bsn_time_offset,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_bsn_time_offset,
+        out_new     => open
+      );
 
   end generate;  -- gen_cross
 
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_source_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_source_v2.vhd
index c6fb19795c..b44f5d9ab3 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_source_v2.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_source_v2.vhd
@@ -55,10 +55,10 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L2+STAT+Decision%3A+Timing+in+Station
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_bsn_source_v2 is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_sync_scheduler.vhd b/libraries/base/dp/src/vhdl/dp_bsn_sync_scheduler.vhd
index b826cc3eb1..56af43986b 100644
--- a/libraries/base/dp/src/vhdl/dp_bsn_sync_scheduler.vhd
+++ b/libraries/base/dp/src/vhdl/dp_bsn_sync_scheduler.vhd
@@ -111,10 +111,10 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L2+STAT+Decision%3A+Timing+in+Station
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_bsn_sync_scheduler is
   generic (
@@ -357,9 +357,9 @@ begin
       -- Assume output_sync_bsn is in future
       v.update_bsn := '0';
 
-      -- If output_sync_bsn is still in past, due to lost input blocks, then
-      -- last r.input_bsn will be used to keep update_bsn active for more clk
-      -- cycles to catch up for lost input blocks.
+    -- If output_sync_bsn is still in past, due to lost input blocks, then
+    -- last r.input_bsn will be used to keep update_bsn active for more clk
+    -- cycles to catch up for lost input blocks.
     end if;
 
     -- Hold input bsn
@@ -429,17 +429,17 @@ begin
   -- Pipeline output to avoid timing closure problems due to use of output_enable
   -----------------------------------------------------------------------------
   u_out_sosi : entity work.dp_pipeline
-  generic map (
-    g_pipeline  => g_pipeline
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_in       => output_sosi,
-    -- ST source
-    src_out      => out_sosi
-  );
+    generic map (
+      g_pipeline  => g_pipeline
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_in       => output_sosi,
+      -- ST source
+      src_out      => out_sosi
+    );
 
   gen_pipe_out_start : if g_pipeline = 1 generate
     out_start <= output_start when rising_edge(clk);
diff --git a/libraries/base/dp/src/vhdl/dp_calculate_crc.vhd b/libraries/base/dp/src/vhdl/dp_calculate_crc.vhd
index fd3d15755d..750c6b0be3 100644
--- a/libraries/base/dp/src/vhdl/dp_calculate_crc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_calculate_crc.vhd
@@ -26,15 +26,15 @@
 --   snk_in.eop of that block.
 
 library IEEE, common_lib, easics_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
-use easics_lib.PCK_CRC8_D8.all;
-use easics_lib.PCK_CRC16_D16.all;
-use easics_lib.PCK_CRC28_D28.all;
-use easics_lib.PCK_CRC32_D32.all;
-use easics_lib.PCK_CRC32_D64.all;
+  use easics_lib.PCK_CRC8_D8.all;
+  use easics_lib.PCK_CRC16_D16.all;
+  use easics_lib.PCK_CRC28_D28.all;
+  use easics_lib.PCK_CRC32_D32.all;
+  use easics_lib.PCK_CRC32_D64.all;
 
 
 entity dp_calculate_crc is
@@ -56,7 +56,7 @@ architecture rtl of dp_calculate_crc is
 
   constant c_crc_init : std_logic_vector(g_crc_w - 1 downto 0) := (others => '1');
 
-  function func_next_crc(data, crc : std_logic_vector) return std_logic_vector is
+  function func_next_crc (data, crc : std_logic_vector) return std_logic_vector is
     variable v_crc : std_logic_vector(g_crc_w - 1 downto 0) := c_crc_init;
   begin
     if    g_data_w =  8 and g_crc_w =  8 then v_crc := nextCRC8_D8(data, crc);
diff --git a/libraries/base/dp/src/vhdl/dp_complex_add.vhd b/libraries/base/dp/src/vhdl/dp_complex_add.vhd
index ab564fbffe..f9535c5b1b 100644
--- a/libraries/base/dp/src/vhdl/dp_complex_add.vhd
+++ b/libraries/base/dp/src/vhdl/dp_complex_add.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Author:
 -- . Daniel van der Schuur
@@ -38,7 +38,7 @@ entity dp_complex_add is
     g_technology  : natural := c_tech_select_default;
     g_nof_inputs  : natural;
     g_data_w      : natural  -- Complex input data width
-   );
+  );
   port (
     rst        : in  std_logic;
     clk        : in  std_logic;
@@ -78,33 +78,33 @@ begin
 
   -- One adder tree for the real part
   u_adder_tree_re : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_nof_inputs     => g_nof_inputs,
-    g_dat_w          => g_data_w,
-    g_sum_w          => c_common_adder_tree_sum_w
-  )
-  port map (
-    clk    => clk,
-    in_dat => common_adder_tree_re_in_dat,
-    sum    => common_adder_tree_re_sum
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_nof_inputs     => g_nof_inputs,
+      g_dat_w          => g_data_w,
+      g_sum_w          => c_common_adder_tree_sum_w
+    )
+    port map (
+      clk    => clk,
+      in_dat => common_adder_tree_re_in_dat,
+      sum    => common_adder_tree_re_sum
+    );
 
   -- One adder tree for the imaginary part
   u_adder_tree_im : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_nof_inputs     => g_nof_inputs,
-    g_dat_w          => g_data_w,
-    g_sum_w          => c_common_adder_tree_sum_w
-  )
-  port map (
-    clk    => clk,
-    in_dat => common_adder_tree_im_in_dat,
-    sum    => common_adder_tree_im_sum
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_nof_inputs     => g_nof_inputs,
+      g_dat_w          => g_data_w,
+      g_sum_w          => c_common_adder_tree_sum_w
+    )
+    port map (
+      clk    => clk,
+      in_dat => common_adder_tree_im_in_dat,
+      sum    => common_adder_tree_im_sum
+    );
 
   p_src_out : process(snk_in_pipe, common_adder_tree_re_sum, common_adder_tree_im_sum)
   begin
@@ -121,16 +121,16 @@ begin
   snk_in <= snk_in_arr(0);
 
   u_dp_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_pipeline_adder_tree
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    -- ST sink
-    snk_in  => snk_in,
-    -- ST source
-    src_out => snk_in_pipe
-  );
+    generic map (
+      g_pipeline   => c_pipeline_adder_tree
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      -- ST sink
+      snk_in  => snk_in,
+      -- ST source
+      src_out => snk_in_pipe
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_complex_mult.vhd b/libraries/base/dp/src/vhdl/dp_complex_mult.vhd
index 38abb50d56..3d64131ea4 100644
--- a/libraries/base/dp/src/vhdl/dp_complex_mult.vhd
+++ b/libraries/base/dp/src/vhdl/dp_complex_mult.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Author:
 -- . Daniel van der Schuur
@@ -43,7 +43,7 @@ entity dp_complex_mult is
     g_conjugate_b     : boolean := false;  -- Conjugate input 1 of snk_in_2arr2(i)(1 DOWNTO 0)
     g_data_w          : natural;  -- Input data width. Output data width = 2*input data width.
     g_variant         : string := "IP"
-   );
+  );
   port (
     rst            : in  std_logic;
     clk            : in  std_logic;
@@ -68,27 +68,27 @@ begin
   -----------------------------------------------------------------------------
   gen_common_complex_mult : for i in 0 to g_nof_multipliers - 1 generate
     u_common_complex_mult : entity common_mult_lib.common_complex_mult
-    generic map (
-      g_technology       => g_technology,
-      g_variant          => g_variant,
-      g_in_a_w           => g_data_w,
-      g_in_b_w           => g_data_w,
-      g_out_p_w          => 2 * g_data_w,  -- default use g_out_p_w = g_in_a_w+g_in_b_w
-      g_conjugate_b      => g_conjugate_b
-    )
-    port map (
-      clk        => clk,
-      clken      => '1',
-      rst        => '0',
-      in_ar      => snk_in_2arr_2(i)(0).re(g_data_w - 1 downto 0),
-      in_ai      => snk_in_2arr_2(i)(0).im(g_data_w - 1 downto 0),
-      in_br      => snk_in_2arr_2(i)(1).re(g_data_w - 1 downto 0),
-      in_bi      => snk_in_2arr_2(i)(1).im(g_data_w - 1 downto 0),
-      in_val     => snk_in_2arr_2(i)(0).valid,
-      out_pr     => common_complex_mult_src_out_arr(i).re(2 * g_data_w - 1 downto 0),
-      out_pi     => common_complex_mult_src_out_arr(i).im(2 * g_data_w - 1 downto 0),
-      out_val    => common_complex_mult_src_out_arr(i).valid
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_variant          => g_variant,
+        g_in_a_w           => g_data_w,
+        g_in_b_w           => g_data_w,
+        g_out_p_w          => 2 * g_data_w,  -- default use g_out_p_w = g_in_a_w+g_in_b_w
+        g_conjugate_b      => g_conjugate_b
+      )
+      port map (
+        clk        => clk,
+        clken      => '1',
+        rst        => '0',
+        in_ar      => snk_in_2arr_2(i)(0).re(g_data_w - 1 downto 0),
+        in_ai      => snk_in_2arr_2(i)(0).im(g_data_w - 1 downto 0),
+        in_br      => snk_in_2arr_2(i)(1).re(g_data_w - 1 downto 0),
+        in_bi      => snk_in_2arr_2(i)(1).im(g_data_w - 1 downto 0),
+        in_val     => snk_in_2arr_2(i)(0).valid,
+        out_pr     => common_complex_mult_src_out_arr(i).re(2 * g_data_w - 1 downto 0),
+        out_pi     => common_complex_mult_src_out_arr(i).im(2 * g_data_w - 1 downto 0),
+        out_val    => common_complex_mult_src_out_arr(i).valid
+      );
 
     src_out_arr(i).re    <= RESIZE_DP_DSP_DATA(common_complex_mult_src_out_arr(i).re(2 * g_data_w - 1 downto 0));
     src_out_arr(i).im    <= RESIZE_DP_DSP_DATA(common_complex_mult_src_out_arr(i).im(2 * g_data_w - 1 downto 0));
@@ -99,15 +99,15 @@ begin
   -- Forward the input sync with the correct latency
   -----------------------------------------------------------------------------
   u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-   generic map (
-     g_pipeline  => c_pipeline
-   )
-   port map (
-     rst     => rst,
-     clk     => clk,
+    generic map (
+      g_pipeline  => c_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
 
-     in_dat  => snk_in_2arr_2(0)(0).sync,
-     out_dat => src_out_arr(0).sync
-   );
+      in_dat  => snk_in_2arr_2(0)(0).sync,
+      out_dat => src_out_arr(0).sync
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_components_pkg.vhd b/libraries/base/dp/src/vhdl/dp_components_pkg.vhd
index 697fb8fba2..206f88d64b 100644
--- a/libraries/base/dp/src/vhdl/dp_components_pkg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_components_pkg.vhd
@@ -24,9 +24,9 @@
 -- Description:
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package dp_components_pkg is
 
diff --git a/libraries/base/dp/src/vhdl/dp_concat.vhd b/libraries/base/dp/src/vhdl/dp_concat.vhd
index 39714a6101..956f53c805 100644
--- a/libraries/base/dp/src/vhdl/dp_concat.vhd
+++ b/libraries/base/dp/src/vhdl/dp_concat.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Concat two frames into one frame.
@@ -158,18 +158,18 @@ begin
   gen_input : for I in c_head to c_tail generate
     -- Hold the sink input to be able to register the source output
     u_hold : entity work.dp_hold_input
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => OPEN,
-      snk_in       => snk_in_arr(I),
-      -- ST source
-      src_in       => hold_src_in_arr(I),
-      next_src_out => next_src_out_arr(I),
-      pend_src_out => pend_src_out_arr(I),
-      src_out_reg  => src_out_buf_arr(I)
-    );
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => OPEN,
+        snk_in       => snk_in_arr(I),
+        -- ST source
+        src_in       => hold_src_in_arr(I),
+        next_src_out => next_src_out_arr(I),
+        pend_src_out => pend_src_out_arr(I),
+        src_out_reg  => src_out_buf_arr(I)
+      );
   end generate;
 
   -- default ready for hold input when ready for sink input or also ready for hold input when the eop is there
diff --git a/libraries/base/dp/src/vhdl/dp_concat_field_blk.vhd b/libraries/base/dp/src/vhdl/dp_concat_field_blk.vhd
index f896d428f9..b5de2a8a92 100644
--- a/libraries/base/dp/src/vhdl/dp_concat_field_blk.vhd
+++ b/libraries/base/dp/src/vhdl/dp_concat_field_blk.vhd
@@ -37,13 +37,13 @@
 
 
 library IEEE, common_lib, technology_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_concat_field_blk is
   generic (
@@ -128,31 +128,31 @@ begin
 
     -- Create multi-cycle header block from single-cycle wide header SLV
     u_dp_field_blk : entity work.dp_field_blk
-    generic map (
-      g_field_arr      => field_arr_set_mode(g_hdr_field_arr , "RW"),
-      g_field_sel      => g_hdr_field_sel,
-      g_snk_data_w     => c_dp_field_blk_snk_data_w,
-      g_src_data_w     => c_dp_field_blk_src_data_w,
-      g_in_symbol_w    => g_symbol_w,
-      g_out_symbol_w   => g_symbol_w,
-      g_pipeline_ready => g_pipeline_ready
-    )
-    port map (
-      dp_clk       => dp_clk,
-      dp_rst       => dp_rst,
-
-      mm_clk       => mm_clk,
-      mm_rst       => mm_rst,
-
-      snk_in       => dp_field_blk_snk_in_arr(i),
-      snk_out      => dp_field_blk_snk_out_arr(i),
-
-      src_out      => dp_field_blk_src_out_arr(i),
-      src_in       => dp_field_blk_src_in_arr(i),
-
-      reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
-      reg_slv_miso => reg_hdr_dat_miso_arr(i)
-    );
+      generic map (
+        g_field_arr      => field_arr_set_mode(g_hdr_field_arr , "RW"),
+        g_field_sel      => g_hdr_field_sel,
+        g_snk_data_w     => c_dp_field_blk_snk_data_w,
+        g_src_data_w     => c_dp_field_blk_src_data_w,
+        g_in_symbol_w    => g_symbol_w,
+        g_out_symbol_w   => g_symbol_w,
+        g_pipeline_ready => g_pipeline_ready
+      )
+      port map (
+        dp_clk       => dp_clk,
+        dp_rst       => dp_rst,
+
+        mm_clk       => mm_clk,
+        mm_rst       => mm_rst,
+
+        snk_in       => dp_field_blk_snk_in_arr(i),
+        snk_out      => dp_field_blk_snk_out_arr(i),
+
+        src_out      => dp_field_blk_src_out_arr(i),
+        src_in       => dp_field_blk_src_in_arr(i),
+
+        reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
+        reg_slv_miso => reg_hdr_dat_miso_arr(i)
+      );
 
     dp_field_blk_src_in_arr(i) <= dp_concat_snk_out_2arr(i)(1);
 
@@ -165,35 +165,35 @@ begin
     dp_concat_snk_in_2arr(i)(1) <= dp_field_blk_src_out_arr(i);
 
     u_dp_concat : entity work.dp_concat
-    generic map (
-      g_data_w    => g_data_w,
-      g_symbol_w  => g_symbol_w
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      snk_out_arr => dp_concat_snk_out_2arr(i),
-      snk_in_arr  => dp_concat_snk_in_2arr(i),
-
-      src_in      => src_in_arr(i),
-      src_out     => src_out_arr(i)
-    );
+      generic map (
+        g_data_w    => g_data_w,
+        g_symbol_w  => g_symbol_w
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_out_arr => dp_concat_snk_out_2arr(i),
+        snk_in_arr  => dp_concat_snk_in_2arr(i),
+
+        src_in      => src_in_arr(i),
+        src_out     => src_out_arr(i)
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
   -- MM control & monitoring
   ---------------------------------------------------------------------------------------
   u_common_mem_mux_hdr_dat : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
-  )
-  port map (
-    mosi     => reg_hdr_dat_mosi,
-    miso     => reg_hdr_dat_miso,
-    mosi_arr => reg_hdr_dat_mosi_arr,
-    miso_arr => reg_hdr_dat_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
+    )
+    port map (
+      mosi     => reg_hdr_dat_mosi,
+      miso     => reg_hdr_dat_miso,
+      mosi_arr => reg_hdr_dat_mosi_arr,
+      miso_arr => reg_hdr_dat_miso_arr
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_counter.vhd b/libraries/base/dp/src/vhdl/dp_counter.vhd
index e84b4ee2a8..488893513c 100644
--- a/libraries/base/dp/src/vhdl/dp_counter.vhd
+++ b/libraries/base/dp/src/vhdl/dp_counter.vhd
@@ -63,10 +63,10 @@
 --     Any other useage will break counters >= stage i
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_counter is
   generic (
@@ -112,55 +112,55 @@ begin
   -- dp_counter_func
   ------------------------------------------------------------------------------
   u_dp_counter_func : entity work.dp_counter_func
-  generic map (
-    g_nof_counters => g_nof_counters,
-    g_range_start  => c_range_start,
-    g_range_stop   => c_range_stop,
-    g_range_step   => c_range_step
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-
-    count_en  => snk_in.valid,
-
-    count_offset_in_arr => count_offset_in_arr,
-    count_src_out_arr   => dp_counter_func_src_out_arr
-  );
-
-  ------------------------------------------------------------------------------
-  -- dp_pipeline
-  ------------------------------------------------------------------------------
-  gen_dp_pipeline : if c_use_dp_pipeline = true generate
-    u_dp_pipeline_snk_in : entity work.dp_pipeline
     generic map (
-      g_pipeline => g_pipeline_src_out
+      g_nof_counters => g_nof_counters,
+      g_range_start  => c_range_start,
+      g_range_stop   => c_range_stop,
+      g_range_step   => c_range_step
     )
     port map (
-      clk         => clk,
-      rst         => rst,
+      rst       => rst,
+      clk       => clk,
 
-      snk_in      => snk_in,
-      snk_out     => snk_out,
+      count_en  => snk_in.valid,
 
-      src_out     => src_out,
-      src_in      => src_in
+      count_offset_in_arr => count_offset_in_arr,
+      count_src_out_arr   => dp_counter_func_src_out_arr
     );
 
-    gen_dp_pipeline_count_src_out_arr : for i in 0 to g_nof_counters - 1 generate
-      u_dp_pipeline_count_src_out_arr : entity work.dp_pipeline
+  ------------------------------------------------------------------------------
+  -- dp_pipeline
+  ------------------------------------------------------------------------------
+  gen_dp_pipeline : if c_use_dp_pipeline = true generate
+    u_dp_pipeline_snk_in : entity work.dp_pipeline
       generic map (
         g_pipeline => g_pipeline_src_out
       )
       port map (
-        clk     => clk,
-        rst     => rst,
+        clk         => clk,
+        rst         => rst,
 
-        snk_in  => dp_counter_func_src_out_arr(i),
+        snk_in      => snk_in,
+        snk_out     => snk_out,
 
-        src_out => count_src_out_arr(i),
-        src_in  => src_in
+        src_out     => src_out,
+        src_in      => src_in
       );
+
+    gen_dp_pipeline_count_src_out_arr : for i in 0 to g_nof_counters - 1 generate
+      u_dp_pipeline_count_src_out_arr : entity work.dp_pipeline
+        generic map (
+          g_pipeline => g_pipeline_src_out
+        )
+        port map (
+          clk     => clk,
+          rst     => rst,
+
+          snk_in  => dp_counter_func_src_out_arr(i),
+
+          src_out => count_src_out_arr(i),
+          src_in  => src_in
+        );
     end generate;
   end generate;
 
@@ -169,34 +169,34 @@ begin
   ------------------------------------------------------------------------------
   gen_dp_pipeline_ready : if c_use_dp_pipeline_ready = true generate
     u_dp_pipeline_ready : entity work.dp_pipeline_ready
-    generic map (
-      g_in_latency   => 1
-    )
-    port map (
-      clk          => clk,
-      rst          => rst,
-
-      snk_in       => snk_in,
-      snk_out      => snk_out,
-
-      src_out      => src_out,
-      src_in       => src_in
-    );
-
-    gen_dp_pipeline_ready_count_src_out_arr : for i in 0 to g_nof_counters - 1 generate
-      u_dp_pipeline_ready_count_src_out_arr : entity work.dp_pipeline_ready
       generic map (
-        g_in_latency => 1
+        g_in_latency   => 1
       )
       port map (
-        clk     => clk,
-        rst     => rst,
+        clk          => clk,
+        rst          => rst,
 
-        snk_in  => dp_counter_func_src_out_arr(i),
+        snk_in       => snk_in,
+        snk_out      => snk_out,
 
-        src_out => count_src_out_arr(i),
-        src_in  => src_in
+        src_out      => src_out,
+        src_in       => src_in
       );
+
+    gen_dp_pipeline_ready_count_src_out_arr : for i in 0 to g_nof_counters - 1 generate
+      u_dp_pipeline_ready_count_src_out_arr : entity work.dp_pipeline_ready
+        generic map (
+          g_in_latency => 1
+        )
+        port map (
+          clk     => clk,
+          rst     => rst,
+
+          snk_in  => dp_counter_func_src_out_arr(i),
+
+          src_out => count_src_out_arr(i),
+          src_in  => src_in
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_counter_func.vhd b/libraries/base/dp/src/vhdl/dp_counter_func.vhd
index 9f074ba9fe..035d75ec86 100644
--- a/libraries/base/dp/src/vhdl/dp_counter_func.vhd
+++ b/libraries/base/dp/src/vhdl/dp_counter_func.vhd
@@ -38,10 +38,10 @@
 --   logic when minimum/maximum values per dimension are reached.
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_counter_func is
   generic (
@@ -93,8 +93,8 @@ begin
     end generate;
 
     gen_c1_upwards : if i > 0 generate
-       count_en_arr(i)  <= count_init_arr(i - 1) or count_min_arr(i - 1);
-       check_max_arr(i) <= count_max_arr(i - 1);
+      count_en_arr(i)  <= count_init_arr(i - 1) or count_min_arr(i - 1);
+      check_max_arr(i) <= count_max_arr(i - 1);
     end generate;
 
   end generate;
@@ -104,24 +104,24 @@ begin
   -------------------------------------------------------------------------------
   gen_dp_counter_func_single : for i in 0 to g_nof_counters - 1 generate
     u_dp_counter_func_single : entity work.dp_counter_func_single
-    generic map (
-      g_range_start => c_range_start(i),
-      g_range_stop  => c_range_stop(i),
-      g_range_step  => c_range_step(i)
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-
-      count_en   => count_en_arr(i),
-      check_max  => check_max_arr(i),
-      count_offset => count_offset_in_arr(i),
-
-      count      => count_arr(i),
-      count_init => count_init_arr(i),
-      count_min  => count_min_arr(i),
-      count_max  => count_max_arr(i)
-    );
+      generic map (
+        g_range_start => c_range_start(i),
+        g_range_stop  => c_range_stop(i),
+        g_range_step  => c_range_step(i)
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+
+        count_en   => count_en_arr(i),
+        check_max  => check_max_arr(i),
+        count_offset => count_offset_in_arr(i),
+
+        count      => count_arr(i),
+        count_init => count_init_arr(i),
+        count_min  => count_min_arr(i),
+        count_max  => count_max_arr(i)
+      );
   end generate;
 
   --------------------------------------------------------------------------------
@@ -129,7 +129,7 @@ begin
   -------------------------------------------------------------------------------
   gen_dp_counter_func_single_output : for i in 0 to g_nof_counters - 1 generate
     count_src_out_arr(i).sync <= '0';  -- not used, force to '0' to avoid toggling between '0' and 'X' in Wave window
-                                       -- when sync is passed on through other components
+    -- when sync is passed on through other components
     count_src_out_arr(i).sop <= count_min_arr(i);
     count_src_out_arr(i).eop <= count_max_arr(i);
     count_src_out_arr(i).valid <= count_en;
diff --git a/libraries/base/dp/src/vhdl/dp_counter_func_single.vhd b/libraries/base/dp/src/vhdl/dp_counter_func_single.vhd
index b258fec131..3215f00194 100644
--- a/libraries/base/dp/src/vhdl/dp_counter_func_single.vhd
+++ b/libraries/base/dp/src/vhdl/dp_counter_func_single.vhd
@@ -29,10 +29,10 @@
 -- . Not for standalone use; part of dp_counter_func.
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_counter_func_single is  -- FIXME move this to common
   generic (
@@ -58,7 +58,7 @@ end dp_counter_func_single;
 
 architecture rtl of dp_counter_func_single is
 
- -- The user defines the counters like a Python range(start,stop,step) in which the stop value
+  -- The user defines the counters like a Python range(start,stop,step) in which the stop value
   -- is never actually reached. Calculate the actual maximum values here.
   -- . Example:
   --   . range(0,4,2) = [0, 2]
diff --git a/libraries/base/dp/src/vhdl/dp_deinterleave.vhd b/libraries/base/dp/src/vhdl/dp_deinterleave.vhd
index 8418f9578d..41027f9c5d 100644
--- a/libraries/base/dp/src/vhdl/dp_deinterleave.vhd
+++ b/libraries/base/dp/src/vhdl/dp_deinterleave.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author:
 -- . Daniel van der Schuur
@@ -88,22 +88,22 @@ begin
   common_deinterleave_in_val <= snk_in.valid;
 
   u_deinterleave : entity common_lib.common_deinterleave
-  generic map (
-    g_nof_out    => g_nof_out,
-    g_block_size => g_block_size_int,
-    g_dat_w      => g_dat_w,
-    g_align_out  => g_align_out
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_dat     => common_deinterleave_in_dat,
-    in_val     => common_deinterleave_in_val,
-
-    out_dat    => common_deinterleave_out_dat,
-    out_val    => common_deinterleave_out_val
-  );
+    generic map (
+      g_nof_out    => g_nof_out,
+      g_block_size => g_block_size_int,
+      g_dat_w      => g_dat_w,
+      g_align_out  => g_align_out
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_dat     => common_deinterleave_in_dat,
+      in_val     => common_deinterleave_in_val,
+
+      out_dat    => common_deinterleave_out_dat,
+      out_val    => common_deinterleave_out_val
+    );
 
   -----------------------------------------------------------------------------
   -- Use complex fields if required
@@ -127,19 +127,19 @@ begin
   gen_ctrl : if g_use_ctrl = true generate
     gen_dp_block_gen : for i in 0 to g_nof_out - 1 generate
       u_dp_block_gen : entity work.dp_block_gen
-      generic map (
-        g_use_src_in       => false,
-        g_nof_data         => g_block_size_output,
-        g_preserve_sync    => true,
-        g_preserve_bsn     => true
-      )
-      port map(
-        rst        => rst,
-        clk        => clk,
-
-        snk_in     => common_deinterleave_src_out_arr(i),
-        src_out    => dp_block_gen_src_out_arr(i)
-      );
+        generic map (
+          g_use_src_in       => false,
+          g_nof_data         => g_block_size_output,
+          g_preserve_sync    => true,
+          g_preserve_bsn     => true
+        )
+        port map(
+          rst        => rst,
+          clk        => clk,
+
+          snk_in     => common_deinterleave_src_out_arr(i),
+          src_out    => dp_block_gen_src_out_arr(i)
+        );
     end generate;
   end generate;
 
@@ -153,20 +153,20 @@ begin
   align_out : if g_use_sync_bsn = true generate
     gen_dp_fifo_info: for i in 0 to g_nof_out - 1 generate
       u_dp_fifo_info : entity work.dp_fifo_info
-      generic map (
-        g_use_sync => true,
-        g_use_bsn  => true
-      )
-      port map (
-        rst          => rst,
-        clk          => clk,
-
-        data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
-        info_snk_in  => snk_in,  -- original snk_in info
-
-        src_in       => c_dp_siso_rdy,
-        src_out      => src_out_arr(i)
-      );
+        generic map (
+          g_use_sync => true,
+          g_use_bsn  => true
+        )
+        port map (
+          rst          => rst,
+          clk          => clk,
+
+          data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
+          info_snk_in  => snk_in,  -- original snk_in info
+
+          src_in       => c_dp_siso_rdy,
+          src_out      => src_out_arr(i)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_deinterleave_one_to_n.vhd b/libraries/base/dp/src/vhdl/dp_deinterleave_one_to_n.vhd
index 5c9bd2ba3d..fdf777440d 100755
--- a/libraries/base/dp/src/vhdl/dp_deinterleave_one_to_n.vhd
+++ b/libraries/base/dp/src/vhdl/dp_deinterleave_one_to_n.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author:
 -- . Eric Kooistra
@@ -153,19 +153,19 @@ begin
   snk_out <= out_siso_arr(0);  -- all out_siso_arr have the same siso, so wire output 0
 
   u_pipeline_outputs : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_outputs,
-    g_pipeline    => g_pipeline  -- 0 for wires, > 0 for registers
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out_arr  => out_siso_arr,
-    snk_in_arr   => out_sosi_arr,
-    -- ST source
-    src_in_arr   => src_in_arr,
-    src_out_arr  => src_out_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_outputs,
+      g_pipeline    => g_pipeline  -- 0 for wires, > 0 for registers
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out_arr  => out_siso_arr,
+      snk_in_arr   => out_sosi_arr,
+      -- ST source
+      src_in_arr   => src_in_arr,
+      src_out_arr  => src_out_arr
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_demux.vhd b/libraries/base/dp/src/vhdl/dp_demux.vhd
index 392e745ddc..76c534547d 100644
--- a/libraries/base/dp/src/vhdl/dp_demux.vhd
+++ b/libraries/base/dp/src/vhdl/dp_demux.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 -- Purpose:
@@ -171,8 +171,8 @@ begin
           else
             output_select <= g_nof_output - 1 - sel_ctrl;
           end if;
-        -- User might need this status port to indicate if/when the output has actually been switched
-        sel_stat <= output_select;
+          -- User might need this status port to indicate if/when the output has actually been switched
+          sel_stat <= output_select;
         end if;
       end process;
 
@@ -188,16 +188,16 @@ begin
 
       -- The dp_packet_detect component simply asserts its output from SOP to EOP
       u_dp_packet_detect : entity work.dp_packet_detect
-      generic map (
-        g_latency => 0
-      )
-      port map (
-        rst       => rst,
-        clk       => clk,
-        sosi      => adapt_sosi,
-        siso      => adapt_siso,  -- We're using the adapted sink_in with RL=0
-        pkt_det   => pkt_det
-      );
+        generic map (
+          g_latency => 0
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          sosi      => adapt_sosi,
+          siso      => adapt_siso,  -- We're using the adapted sink_in with RL=0
+          pkt_det   => pkt_det
+        );
 
     end generate;
 
@@ -244,20 +244,20 @@ begin
   gen_individual : if g_combined = false and g_nof_output > 1 generate
     -- Adapt input to RL = 0 to have show-ahead
     u_rl_0 : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => 0
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sink
-      snk_out   => snk_out,
-      snk_in    => snk_in,
-      -- ST source
-      src_in    => adapt_siso,
-      src_out   => adapt_sosi
-    );
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => 0
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sink
+        snk_out   => snk_out,
+        snk_in    => snk_in,
+        -- ST source
+        src_in    => adapt_siso,
+        src_out   => adapt_sosi
+      );
 
     sel_channel <= adapt_sosi.channel;
     sel_eop     <= adapt_sosi.eop and adapt_siso.ready;  -- RL = 0, so eop is only valid when the ready acknowledges it
@@ -291,20 +291,20 @@ begin
     -- Back to output RL = 1
     gen_rl_1 : for I in 0 to g_nof_output - 1 generate
       u_incr : entity work.dp_latency_increase
-      generic map (
-        g_in_latency   => 0,
-        g_incr_latency => 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- ST sink
-        snk_out     => prev_src_in_arr(I),
-        snk_in      => pend_src_out_arr(I),
-        -- ST source
-        src_in      => src_in_arr(I),
-        src_out     => src_out_arr(I)
-      );
+        generic map (
+          g_in_latency   => 0,
+          g_incr_latency => 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- ST sink
+          snk_out     => prev_src_in_arr(I),
+          snk_in      => pend_src_out_arr(I),
+          -- ST source
+          src_in      => src_in_arr(I),
+          src_out     => src_out_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_distribute.vhd b/libraries/base/dp/src/vhdl/dp_distribute.vhd
index 23a5d39635..81a075a50d 100644
--- a/libraries/base/dp/src/vhdl/dp_distribute.vhd
+++ b/libraries/base/dp/src/vhdl/dp_distribute.vhd
@@ -76,11 +76,11 @@
 --   gets lost or an input is not used.
 
 library IEEE,common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_distribute is
   generic (
@@ -161,33 +161,33 @@ begin
   gen_fifo : if g_use_fifo = true generate
     gen_input : for I in 0 to g_nof_input - 1 generate
       u_fifo : entity work.dp_fifo_fill
-      generic map (
-        g_technology     => g_technology,
-        g_bsn_w          => g_bsn_w,
-        g_data_w         => g_data_w,
-        g_empty_w        => g_empty_w,
-        g_channel_w      => g_channel_w,
-        g_error_w        => g_error_w,
-        g_use_bsn        => g_use_bsn,
-        g_use_empty      => g_use_empty,
-        g_use_channel    => g_use_channel,
-        g_use_error      => g_use_error,
-        g_use_sync       => g_use_sync,
-        g_fifo_fill      => g_fifo_fill,
-        g_fifo_size      => g_fifo_size,
-        g_fifo_af_margin => g_fifo_af_margin,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst       => rst,
-        clk       => clk,
-        -- ST sink
-        snk_out   => snk_out_arr(I),
-        snk_in    => snk_in_arr(I),
-        -- ST source
-        src_in    => in_siso_arr(I),
-        src_out   => in_sosi_arr(I)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_bsn_w          => g_bsn_w,
+          g_data_w         => g_data_w,
+          g_empty_w        => g_empty_w,
+          g_channel_w      => g_channel_w,
+          g_error_w        => g_error_w,
+          g_use_bsn        => g_use_bsn,
+          g_use_empty      => g_use_empty,
+          g_use_channel    => g_use_channel,
+          g_use_error      => g_use_error,
+          g_use_sync       => g_use_sync,
+          g_fifo_fill      => g_fifo_fill,
+          g_fifo_size      => g_fifo_size,
+          g_fifo_af_margin => g_fifo_af_margin,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          -- ST sink
+          snk_out   => snk_out_arr(I),
+          snk_in    => snk_in_arr(I),
+          -- ST source
+          src_in    => in_siso_arr(I),
+          src_out   => in_sosi_arr(I)
+        );
     end generate;
   end generate;
 
@@ -199,20 +199,20 @@ begin
   gen_dec : if g_decode_channel_lo = true generate
     gen_i : for I in 0 to g_nof_input - 1 generate
       u_dec : entity work.dp_packet_dec_channel_lo
-      generic map (
-        g_data_w      => g_data_w,
-        g_channel_lo  => c_link_channel_lo
-      )
-      port map (
-        rst       => rst,
-        clk       => clk,
-        -- ST sinks
-        snk_out   => in_siso_arr(I),
-        snk_in    => in_sosi_arr(I),
-        -- ST source
-        src_in    => rx_siso_arr(I),
-        src_out   => rx_sosi_arr(I)
-      );
+        generic map (
+          g_data_w      => g_data_w,
+          g_channel_lo  => c_link_channel_lo
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          -- ST sinks
+          snk_out   => in_siso_arr(I),
+          snk_in    => in_sosi_arr(I),
+          -- ST source
+          src_in    => rx_siso_arr(I),
+          src_out   => rx_sosi_arr(I)
+        );
     end generate;
   end generate;
 
@@ -224,22 +224,22 @@ begin
   gen_transpose : if g_nof_input /= g_nof_output or g_transpose = true generate
     gen_demux : for I in 0 to g_nof_input - 1 generate
       u_demux : entity work.dp_demux
-      generic map (
-        g_mode              => c_demux_mode,
-        g_nof_output        => g_nof_output,
-        g_remove_channel_lo => c_demux_remove_channel_lo,
-        g_combined          => false
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- ST sinks
-        snk_out     => rx_siso_arr(I),
-        snk_in      => rx_sosi_arr(I),
-        -- ST source
-        src_in_arr  => demux_siso_2arr(I),
-        src_out_arr => demux_sosi_2arr(I)
-      );
+        generic map (
+          g_mode              => c_demux_mode,
+          g_nof_output        => g_nof_output,
+          g_remove_channel_lo => c_demux_remove_channel_lo,
+          g_combined          => false
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- ST sinks
+          snk_out     => rx_siso_arr(I),
+          snk_in      => rx_sosi_arr(I),
+          -- ST source
+          src_in_arr  => demux_siso_2arr(I),
+          src_out_arr => demux_sosi_2arr(I)
+        );
     end generate;
 
     -- Rewire to distribute
@@ -252,26 +252,26 @@ begin
 
     gen_mux : for J in 0 to g_nof_output - 1 generate
       u_mux : entity work.dp_mux
-      generic map (
-        -- MUX
-        g_mode              => c_mux_mode,
-        g_nof_input         => g_nof_input,
-        g_append_channel_lo => c_mux_append_channel_lo,
-        -- Input FIFO
-        g_use_fifo          => false,
-        g_fifo_size         => array_init(1024, g_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
-        g_fifo_fill         => array_init(   0, g_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- ST sinks
-        snk_out_arr => mux_siso_2arr(J),
-        snk_in_arr  => mux_sosi_2arr(J),
-        -- ST source
-        src_in      => tx_siso_arr(J),
-        src_out     => tx_sosi_arr(J)
-      );
+        generic map (
+          -- MUX
+          g_mode              => c_mux_mode,
+          g_nof_input         => g_nof_input,
+          g_append_channel_lo => c_mux_append_channel_lo,
+          -- Input FIFO
+          g_use_fifo          => false,
+          g_fifo_size         => array_init(1024, g_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
+          g_fifo_fill         => array_init(   0, g_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- ST sinks
+          snk_out_arr => mux_siso_2arr(J),
+          snk_in_arr  => mux_sosi_2arr(J),
+          -- ST source
+          src_in      => tx_siso_arr(J),
+          src_out     => tx_sosi_arr(J)
+        );
     end generate;
   end generate;
 
@@ -283,18 +283,18 @@ begin
   gen_enc : if g_encode_channel_lo = true generate
     gen_j : for J in 0 to g_nof_output - 1 generate
       u_enc : entity work.dp_packet_enc_channel_lo
-      generic map (
-        g_data_w      => g_data_w,
-        g_channel_lo  => c_link_channel_lo
-      )
-      port map (
-        -- ST sinks
-        snk_out   => tx_siso_arr(J),
-        snk_in    => tx_sosi_arr(J),
-        -- ST source
-        src_in    => src_in_arr(J),
-        src_out   => src_out_arr(J)
-      );
+        generic map (
+          g_data_w      => g_data_w,
+          g_channel_lo  => c_link_channel_lo
+        )
+        port map (
+          -- ST sinks
+          snk_out   => tx_siso_arr(J),
+          snk_in    => tx_sosi_arr(J),
+          -- ST source
+          src_in    => src_in_arr(J),
+          src_out   => src_out_arr(J)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_dummy_source.vhd b/libraries/base/dp/src/vhdl/dp_dummy_source.vhd
index 86fed113e9..f689ba4ab0 100644
--- a/libraries/base/dp/src/vhdl/dp_dummy_source.vhd
+++ b/libraries/base/dp/src/vhdl/dp_dummy_source.vhd
@@ -24,10 +24,10 @@
 --   Provide packetized dummy values when sink is ready
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_dummy_source is
   generic (
@@ -39,7 +39,7 @@ entity dp_dummy_source is
     g_dummy_empty      : std_logic_vector(c_dp_stream_empty_w - 1 downto 0)    := x"DD";
     g_dummy_channel    : std_logic_vector(c_dp_stream_channel_w - 1 downto 0)  := x"DDDDDDDD";
     g_dummy_err        : std_logic_vector(c_dp_stream_error_w - 1 downto 0)    := x"DDDDDDDD"
-   );
+  );
   port (
     rst                : in  std_logic;
     clk                : in  std_logic;
@@ -72,18 +72,18 @@ begin
   src_out.err     <= c_dp_sosi_dummy.err;
 
   u_dp_block_gen: entity work.dp_block_gen
-  generic map (
-    g_nof_data => g_dummy_nof_data
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    src_in     => src_in,
-    src_out    => block_sosi,
-
-    en         => '1'
-  );
+    generic map (
+      g_nof_data => g_dummy_nof_data
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      src_in     => src_in,
+      src_out    => block_sosi,
+
+      en         => '1'
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_eop_extend.vhd b/libraries/base/dp/src/vhdl/dp_eop_extend.vhd
index 512c340bd8..8653b6aefc 100644
--- a/libraries/base/dp/src/vhdl/dp_eop_extend.vhd
+++ b/libraries/base/dp/src/vhdl/dp_eop_extend.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose:
 --   The extended eop output can be used to gate valid eop related stream info
@@ -49,13 +49,13 @@ architecture rtl of dp_eop_extend is
 begin
 
   u_extend : entity common_lib.common_switch
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => in_eop,
-    switch_low  => in_sop,
-    out_level   => extend
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => in_eop,
+      switch_low  => in_sop,
+      out_level   => extend
+    );
 
   eop_extend <= (in_eop or extend) and not in_sop;
 
diff --git a/libraries/base/dp/src/vhdl/dp_example_dut.vhd b/libraries/base/dp/src/vhdl/dp_example_dut.vhd
index 03efd8b3a0..a64c607174 100644
--- a/libraries/base/dp/src/vhdl/dp_example_dut.vhd
+++ b/libraries/base/dp/src/vhdl/dp_example_dut.vhd
@@ -163,9 +163,9 @@
 --   the test bench.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity dp_example_dut is
   port (
@@ -238,7 +238,7 @@ begin
 
         v.hold_out.valid := '1';  -- the function has new data to output
 
-        --< end of component function >
+      --< end of component function >
       end if;
 
       -- output input stage into output stage when ready, else hold_out.valid to signal pending output
@@ -288,7 +288,7 @@ begin
   begin
     r_snk_out <= c_dp_siso_rdy;
 
-    -- < force r_snk_out.ready='0' based on nxt_r if function needs to apply backpressure >
+  -- < force r_snk_out.ready='0' based on nxt_r if function needs to apply backpressure >
 
   end process;
 
diff --git a/libraries/base/dp/src/vhdl/dp_field_blk.vhd b/libraries/base/dp/src/vhdl/dp_field_blk.vhd
index 5497055960..0eac2ad2dc 100644
--- a/libraries/base/dp/src/vhdl/dp_field_blk.vhd
+++ b/libraries/base/dp/src/vhdl/dp_field_blk.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Source a DP data block of which the contents are read from snk_in.data when snk_in.valid = '1'
@@ -109,27 +109,27 @@ entity dp_field_blk is
 
     -- Source mode
     -- . Single cycle SLV input
---    slv_in          : IN  STD_LOGIC_VECTOR(g_snk_data_w-1 DOWNTO 0) := (OTHERS=> '0'); -- Input for the RW fields defined in g_field_arr
---    slv_in_val      : IN  STD_LOGIC := '0';
+    --    slv_in          : IN  STD_LOGIC_VECTOR(g_snk_data_w-1 DOWNTO 0) := (OTHERS=> '0'); -- Input for the RW fields defined in g_field_arr
+    --    slv_in_val      : IN  STD_LOGIC := '0';
     -- . Multi cycle block output
 
     -- Sink mode
     -- . Multi cycle block input
     -- . Single cycle SLV output
---    slv_out         : OUT STD_LOGIC_VECTOR(g_src_data_w-1 DOWNTO 0); -- Output for the RO fields defined in g_field_arr
---    slv_out_val     : OUT STD_LOGIC;
+    --    slv_out         : OUT STD_LOGIC_VECTOR(g_src_data_w-1 DOWNTO 0); -- Output for the RO fields defined in g_field_arr
+    --    slv_out_val     : OUT STD_LOGIC;
 
     reg_slv_mosi    : in  t_mem_mosi := c_mem_mosi_rst;
     reg_slv_miso    : out t_mem_miso
 
---    reg_ovr_mosi    : IN  t_mem_mosi := c_mem_mosi_rst;
---    reg_ovr_miso    : OUT t_mem_miso
+  --    reg_ovr_mosi    : IN  t_mem_mosi := c_mem_mosi_rst;
+  --    reg_ovr_miso    : OUT t_mem_miso
   );
 end dp_field_blk;
 
 architecture str of dp_field_blk is
 
---  CONSTANT c_ovr_field_arr : t_common_field_arr(g_field_arr'RANGE) := field_ovr_arr(g_field_arr, g_field_sel);
+  --  CONSTANT c_ovr_field_arr : t_common_field_arr(g_field_arr'RANGE) := field_ovr_arr(g_field_arr, g_field_sel);
 
   -- Mode: fields to data block (c_field_to_block=True) or data block to fields (c_field_to_block=False)
   --  a.k.a. wire to narrow                             or narrow     to wide
@@ -194,50 +194,50 @@ begin
   snk_out <= dp_repack_data_snk_out;
 
   u_dp_repack_data : entity work.dp_repack_data
-  generic map (
-    g_in_dat_w       => g_snk_data_w,
-    g_in_nof_words   => ceil_div(g_src_data_w, g_snk_data_w),
-    g_out_dat_w      => g_src_data_w,
-    g_out_nof_words  => ceil_div(g_snk_data_w, g_src_data_w),
-    g_in_symbol_w    => g_in_symbol_w,
-    g_out_symbol_w   => g_out_symbol_w,
-    g_pipeline_ready => g_pipeline_ready
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-
-    snk_out        => dp_repack_data_snk_out,
-    snk_in         => dp_repack_data_snk_in,
-
-    src_in         => dp_repack_data_src_in,
-    src_out        => dp_repack_data_src_out
-  );
+    generic map (
+      g_in_dat_w       => g_snk_data_w,
+      g_in_nof_words   => ceil_div(g_src_data_w, g_snk_data_w),
+      g_out_dat_w      => g_src_data_w,
+      g_out_nof_words  => ceil_div(g_snk_data_w, g_src_data_w),
+      g_in_symbol_w    => g_in_symbol_w,
+      g_out_symbol_w   => g_out_symbol_w,
+      g_pipeline_ready => g_pipeline_ready
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+
+      snk_out        => dp_repack_data_snk_out,
+      snk_in         => dp_repack_data_snk_in,
+
+      src_in         => dp_repack_data_src_in,
+      src_out        => dp_repack_data_src_out
+    );
 
   ---------------------------------------------------------------------------------------
   -- mm_fields for MM access to each field
   ---------------------------------------------------------------------------------------
   u_mm_fields_slv: entity mm_lib.mm_fields
-  generic map(
-    g_field_arr => g_field_arr
-  )
-  port map (
-    mm_clk     => mm_clk,
-    mm_rst     => mm_rst,
-
-    mm_mosi    => reg_slv_mosi,
-    mm_miso    => reg_slv_miso,
-
-    slv_clk    => dp_clk,
-    slv_rst    => dp_rst,
-
-    slv_in     => mm_fields_slv_in,
-    slv_in_val => mm_fields_slv_in_val,
-    slv_out    => mm_fields_slv_out
-  );
-
-  ---------------------------------------------------------------------------------------
-  -- mm_fields to set override bits
+    generic map(
+      g_field_arr => g_field_arr
+    )
+    port map (
+      mm_clk     => mm_clk,
+      mm_rst     => mm_rst,
+
+      mm_mosi    => reg_slv_mosi,
+      mm_miso    => reg_slv_miso,
+
+      slv_clk    => dp_clk,
+      slv_rst    => dp_rst,
+
+      slv_in     => mm_fields_slv_in,
+      slv_in_val => mm_fields_slv_in_val,
+      slv_out    => mm_fields_slv_out
+    );
+
+---------------------------------------------------------------------------------------
+-- mm_fields to set override bits
 --  ---------------------------------------------------------------------------------------
 --  u_mm_fields_ovr: ENTITY mm_lib.mm_fields
 --  GENERIC MAP(
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_core.vhd b/libraries/base/dp/src/vhdl/dp_fifo_core.vhd
index 91105a6c8c..6d23005678 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_core.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_core.vhd
@@ -40,11 +40,11 @@
 --   ready signal.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_core is
   generic (
@@ -174,25 +174,25 @@ begin
 
   gen_common_fifo_sc : if g_use_dual_clock = false generate
     u_common_fifo_sc : entity common_lib.common_fifo_sc
-    generic map (
-      g_technology => g_technology,
-      g_note_is_ful => g_note_is_ful,
-      g_use_lut   => g_use_lut_sc,
-      g_dat_w     => c_fifo_dat_w,
-      g_nof_words => g_fifo_size
-    )
-    port map (
-      rst      => rd_rst,
-      clk      => rd_clk,
-      wr_dat   => fifo_wr_dat,
-      wr_req   => fifo_wr_req,
-      wr_ful   => fifo_wr_ful,
-      rd_dat   => fifo_rd_dat,
-      rd_req   => fifo_rd_req,
-      rd_emp   => fifo_rd_emp,
-      rd_val   => fifo_rd_val,
-      usedw    => fifo_rd_usedw
-    );
+      generic map (
+        g_technology => g_technology,
+        g_note_is_ful => g_note_is_ful,
+        g_use_lut   => g_use_lut_sc,
+        g_dat_w     => c_fifo_dat_w,
+        g_nof_words => g_fifo_size
+      )
+      port map (
+        rst      => rd_rst,
+        clk      => rd_clk,
+        wr_dat   => fifo_wr_dat,
+        wr_req   => fifo_wr_req,
+        wr_ful   => fifo_wr_ful,
+        rd_dat   => fifo_rd_dat,
+        rd_req   => fifo_rd_req,
+        rd_emp   => fifo_rd_emp,
+        rd_val   => fifo_rd_val,
+        usedw    => fifo_rd_usedw
+      );
 
     wr_init <= '0';  -- to avoid no driver warning in synthesis
     fifo_wr_usedw <= fifo_rd_usedw;
@@ -200,26 +200,26 @@ begin
 
   gen_common_fifo_dc : if g_use_dual_clock = true generate
     u_common_fifo_dc : entity common_lib.common_fifo_dc
-    generic map (
-      g_technology => g_technology,
-      g_dat_w     => c_fifo_dat_w,
-      g_nof_words => g_fifo_size
-    )
-    port map (
-      rst     => arst,
-      wr_clk  => wr_clk,
-      wr_dat  => fifo_wr_dat,
-      wr_req  => fifo_wr_req,
-      wr_init_out => wr_init,
-      wr_ful  => fifo_wr_ful,
-      wrusedw => fifo_wr_usedw,
-      rd_clk  => rd_clk,
-      rd_dat  => fifo_rd_dat,
-      rd_req  => fifo_rd_req,
-      rd_emp  => fifo_rd_emp,
-      rdusedw => fifo_rd_usedw,
-      rd_val  => fifo_rd_val
-    );
+      generic map (
+        g_technology => g_technology,
+        g_dat_w     => c_fifo_dat_w,
+        g_nof_words => g_fifo_size
+      )
+      port map (
+        rst     => arst,
+        wr_clk  => wr_clk,
+        wr_dat  => fifo_wr_dat,
+        wr_req  => fifo_wr_req,
+        wr_init_out => wr_init,
+        wr_ful  => fifo_wr_ful,
+        wrusedw => fifo_wr_usedw,
+        rd_clk  => rd_clk,
+        rd_dat  => fifo_rd_dat,
+        rd_req  => fifo_rd_req,
+        rd_emp  => fifo_rd_emp,
+        rdusedw => fifo_rd_usedw,
+        rd_val  => fifo_rd_val
+      );
 
     arst <= wr_rst or rd_rst;
   end generate;
@@ -248,19 +248,19 @@ begin
   rd_sosi.eop     <= fifo_rd_val and rd_ctrl(0);
 
   u_ready_latency : entity work.dp_latency_adapter
-  generic map (
-    g_in_latency  => 1,
-    g_out_latency => g_fifo_rl
-  )
-  port map (
-    rst       => rd_rst,
-    clk       => rd_clk,
-    -- ST sink
-    snk_out   => rd_siso,
-    snk_in    => rd_sosi,
-    -- ST source
-    src_in    => src_in,
-    src_out   => src_out
-  );
+    generic map (
+      g_in_latency  => 1,
+      g_out_latency => g_fifo_rl
+    )
+    port map (
+      rst       => rd_rst,
+      clk       => rd_clk,
+      -- ST sink
+      snk_out   => rd_siso,
+      snk_in    => rd_sosi,
+      -- ST source
+      src_in    => src_in,
+      src_out   => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_core_arr.vhd b/libraries/base/dp/src/vhdl/dp_fifo_core_arr.vhd
index 1ec8ea0443..776f3a50a7 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_core_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_core_arr.vhd
@@ -44,11 +44,11 @@
 -- . It is possible to add additonal signals to the fifo using in_aux/out_aux.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_core_arr is
   generic (
@@ -196,50 +196,50 @@ begin
 
   gen_common_fifo_sc : if g_use_dual_clock = false generate
     u_common_fifo_sc : entity common_lib.common_fifo_sc
-    generic map (
-      g_technology => g_technology,
-      g_note_is_ful => g_note_is_ful,
-      g_use_lut   => g_use_lut_sc,
-      g_dat_w     => c_fifo_dat_w,
-      g_nof_words => g_fifo_size
-    )
-    port map (
-      rst      => rd_rst,
-      clk      => rd_clk,
-      wr_dat   => fifo_wr_dat,
-      wr_req   => fifo_wr_req,
-      wr_ful   => fifo_wr_ful,
-      rd_dat   => fifo_rd_dat,
-      rd_req   => fifo_rd_req,
-      rd_emp   => fifo_rd_emp,
-      rd_val   => fifo_rd_val,
-      usedw    => fifo_rd_usedw
-    );
+      generic map (
+        g_technology => g_technology,
+        g_note_is_ful => g_note_is_ful,
+        g_use_lut   => g_use_lut_sc,
+        g_dat_w     => c_fifo_dat_w,
+        g_nof_words => g_fifo_size
+      )
+      port map (
+        rst      => rd_rst,
+        clk      => rd_clk,
+        wr_dat   => fifo_wr_dat,
+        wr_req   => fifo_wr_req,
+        wr_ful   => fifo_wr_ful,
+        rd_dat   => fifo_rd_dat,
+        rd_req   => fifo_rd_req,
+        rd_emp   => fifo_rd_emp,
+        rd_val   => fifo_rd_val,
+        usedw    => fifo_rd_usedw
+      );
 
     fifo_wr_usedw <= fifo_rd_usedw;
   end generate;
 
   gen_common_fifo_dc : if g_use_dual_clock = true generate
     u_common_fifo_dc : entity common_lib.common_fifo_dc
-    generic map (
-      g_technology => g_technology,
-      g_dat_w     => c_fifo_dat_w,
-      g_nof_words => g_fifo_size
-    )
-    port map (
-      rst     => arst,
-      wr_clk  => wr_clk,
-      wr_dat  => fifo_wr_dat,
-      wr_req  => fifo_wr_req,
-      wr_ful  => fifo_wr_ful,
-      wrusedw => fifo_wr_usedw,
-      rd_clk  => rd_clk,
-      rd_dat  => fifo_rd_dat,
-      rd_req  => fifo_rd_req,
-      rd_emp  => fifo_rd_emp,
-      rdusedw => fifo_rd_usedw,
-      rd_val  => fifo_rd_val
-    );
+      generic map (
+        g_technology => g_technology,
+        g_dat_w     => c_fifo_dat_w,
+        g_nof_words => g_fifo_size
+      )
+      port map (
+        rst     => arst,
+        wr_clk  => wr_clk,
+        wr_dat  => fifo_wr_dat,
+        wr_req  => fifo_wr_req,
+        wr_ful  => fifo_wr_ful,
+        wrusedw => fifo_wr_usedw,
+        rd_clk  => rd_clk,
+        rd_dat  => fifo_rd_dat,
+        rd_req  => fifo_rd_req,
+        rd_emp  => fifo_rd_emp,
+        rdusedw => fifo_rd_usedw,
+        rd_val  => fifo_rd_val
+      );
 
     arst <= wr_rst or rd_rst;
   end generate;
@@ -276,6 +276,24 @@ begin
     rd_sosi_arr(I).eop     <= fifo_rd_val and rd_ctrl(0);
 
     u_ready_latency : entity work.dp_latency_adapter
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => g_fifo_rl
+      )
+      port map (
+        rst       => rd_rst,
+        clk       => rd_clk,
+        -- ST sink
+        snk_out   => rd_siso_arr(I),
+        snk_in    => rd_sosi_arr(I),
+        -- ST source
+        src_in    => src_in_arr(I),
+        src_out   => src_out_arr(I)
+      );
+  end generate;
+
+  -- Using extra dp_latency_adapter for aux signal
+  u_ready_latency_aux : entity work.dp_latency_adapter
     generic map (
       g_in_latency  => 1,
       g_out_latency => g_fifo_rl
@@ -284,28 +302,10 @@ begin
       rst       => rd_rst,
       clk       => rd_clk,
       -- ST sink
-      snk_out   => rd_siso_arr(I),
-      snk_in    => rd_sosi_arr(I),
+      snk_in    => in_aux_sosi,
       -- ST source
-      src_in    => src_in_arr(I),
-      src_out   => src_out_arr(I)
+      src_in    => src_in_arr(0),
+      src_out   => out_aux_sosi
     );
-  end generate;
-
-  -- Using extra dp_latency_adapter for aux signal
-  u_ready_latency_aux : entity work.dp_latency_adapter
-  generic map (
-    g_in_latency  => 1,
-    g_out_latency => g_fifo_rl
-  )
-  port map (
-    rst       => rd_rst,
-    clk       => rd_clk,
-    -- ST sink
-    snk_in    => in_aux_sosi,
-    -- ST source
-    src_in    => src_in_arr(0),
-    src_out   => out_aux_sosi
-  );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_dc.vhd b/libraries/base/dp/src/vhdl/dp_fifo_dc.vhd
index 41adce2ef4..3ed1a6947d 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_dc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_dc.vhd
@@ -23,11 +23,11 @@
 -- Description: See dp_fifo_core.vhd.
 
 library IEEE,common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_dc is
   generic (
@@ -74,43 +74,43 @@ architecture str of dp_fifo_dc is
 begin
 
   u_dp_fifo_core : entity work.dp_fifo_core
-  generic map (
-    g_technology     => g_technology,
-    g_use_dual_clock => true,
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_ctrl       => g_use_ctrl,
-    g_use_complex    => g_use_complex,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    wr_rst      => wr_rst,
-    wr_clk      => wr_clk,
-    rd_rst      => rd_rst,
-    rd_clk      => rd_clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => wr_usedw,
-    rd_usedw    => rd_usedw,
-    rd_emp      => rd_emp,
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_use_dual_clock => true,
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_ctrl       => g_use_ctrl,
+      g_use_complex    => g_use_complex,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      wr_rst      => wr_rst,
+      wr_clk      => wr_clk,
+      rd_rst      => rd_rst,
+      rd_clk      => rd_clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => wr_usedw,
+      rd_usedw    => rd_usedw,
+      rd_emp      => rd_emp,
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd b/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd
index 4ce5463f84..8f0ea8a511 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd
@@ -25,11 +25,11 @@
 -- Description: See dp_fifo_core_arr.vhd.
 
 library IEEE,common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_dc_arr is
   generic (
@@ -81,48 +81,48 @@ architecture str of dp_fifo_dc_arr is
 begin
 
   u_dp_fifo_core_arr : entity work.dp_fifo_core_arr
-  generic map (
-    g_technology     => g_technology,
-    g_nof_streams    => g_nof_streams,
-    g_use_dual_clock => true,
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_aux_w          => g_aux_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_aux        => g_use_aux,
-    g_use_ctrl       => g_use_ctrl,
-    g_use_complex    => g_use_complex,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    wr_rst      => wr_rst,
-    wr_clk      => wr_clk,
-    rd_rst      => rd_rst,
-    rd_clk      => rd_clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => wr_usedw,
-    rd_usedw    => rd_usedw,
-    rd_emp      => rd_emp,
-    -- ST sink
-    snk_out_arr => snk_out_arr,
-    snk_in_arr  => snk_in_arr,
-    in_aux      => in_aux,
-    -- ST source
-    src_in_arr  => src_in_arr,
-    src_out_arr => src_out_arr,
-    out_aux     => out_aux
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_nof_streams    => g_nof_streams,
+      g_use_dual_clock => true,
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_aux_w          => g_aux_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_aux        => g_use_aux,
+      g_use_ctrl       => g_use_ctrl,
+      g_use_complex    => g_use_complex,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      wr_rst      => wr_rst,
+      wr_clk      => wr_clk,
+      rd_rst      => rd_rst,
+      rd_clk      => rd_clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => wr_usedw,
+      rd_usedw    => rd_usedw,
+      rd_emp      => rd_emp,
+      -- ST sink
+      snk_out_arr => snk_out_arr,
+      snk_in_arr  => snk_in_arr,
+      in_aux      => in_aux,
+      -- ST source
+      src_in_arr  => src_in_arr,
+      src_out_arr => src_out_arr,
+      out_aux     => out_aux
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_dc_mixed_widths.vhd b/libraries/base/dp/src/vhdl/dp_fifo_dc_mixed_widths.vhd
index 3e3fd2fc20..d7509e7b72 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_dc_mixed_widths.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_dc_mixed_widths.vhd
@@ -101,11 +101,11 @@
 -- . add this multi tb-tb test bench to tb_tb_tb_dp_backpressure.vhd
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_dc_mixed_widths is
   generic (
@@ -202,37 +202,37 @@ begin
 
   gen_equal : if c_nof_narrow = 1 generate  -- fall back to equal width FIFO
     u_dp_fifo_dc : entity work.dp_fifo_dc
-    generic map (
-      g_technology     => g_technology,
-      g_data_w         => g_wr_data_w,
-      g_empty_w        => 1,
-      g_channel_w      => 1,
-      g_error_w        => 1,
-      g_use_empty      => false,
-      g_use_channel    => false,
-      g_use_error      => false,
-      g_use_ctrl       => g_use_ctrl,
-      g_fifo_size      => g_wr_fifo_size,
-      g_fifo_af_margin => g_wr_fifo_af_margin,
-      g_fifo_rl        => g_rd_fifo_rl
-    )
-    port map (
-      wr_rst      => wr_rst,
-      wr_clk      => wr_clk,
-      rd_rst      => rd_rst,
-      rd_clk      => rd_clk,
-      -- ST sink
-      snk_out     => i_snk_out,
-      snk_in      => snk_in,
-      -- Monitor FIFO filling
-      wr_ful      => wr_ful,
-      wr_usedw    => wr_usedw,
-      rd_usedw    => rd_usedw,
-      rd_emp      => rd_emp,
-      -- ST source
-      src_in      => src_in,
-      src_out     => src_out
-    );
+      generic map (
+        g_technology     => g_technology,
+        g_data_w         => g_wr_data_w,
+        g_empty_w        => 1,
+        g_channel_w      => 1,
+        g_error_w        => 1,
+        g_use_empty      => false,
+        g_use_channel    => false,
+        g_use_error      => false,
+        g_use_ctrl       => g_use_ctrl,
+        g_fifo_size      => g_wr_fifo_size,
+        g_fifo_af_margin => g_wr_fifo_af_margin,
+        g_fifo_rl        => g_rd_fifo_rl
+      )
+      port map (
+        wr_rst      => wr_rst,
+        wr_clk      => wr_clk,
+        rd_rst      => rd_rst,
+        rd_clk      => rd_clk,
+        -- ST sink
+        snk_out     => i_snk_out,
+        snk_in      => snk_in,
+        -- Monitor FIFO filling
+        wr_ful      => wr_ful,
+        wr_usedw    => wr_usedw,
+        rd_usedw    => rd_usedw,
+        rd_emp      => rd_emp,
+        -- ST source
+        src_in      => src_in,
+        src_out     => src_out
+      );
   end generate;  -- gen_equal
 
   gen_mixed : if c_nof_narrow > 1 generate  -- mixed width FIFO
@@ -271,26 +271,26 @@ begin
     fifo_rd_req <= rd_siso.ready;
 
     u_fifo_mw : entity common_lib.common_fifo_dc_mixed_widths
-    generic map (
-      g_technology => g_technology,
-      g_nof_words => g_wr_fifo_size,  -- FIFO size in nof wr_dat words
-      g_wr_dat_w  => c_fifo_wr_dat_w,
-      g_rd_dat_w  => c_fifo_rd_dat_w
-    )
-    port map (
-      rst     => arst,
-      wr_clk  => wr_clk,
-      wr_dat  => fifo_wr_dat,
-      wr_req  => fifo_wr_req,
-      wr_ful  => fifo_wr_ful,
-      wrusedw => i_wr_usedw,
-      rd_clk  => rd_clk,
-      rd_dat  => fifo_rd_dat,
-      rd_req  => fifo_rd_req,
-      rd_emp  => rd_emp,
-      rdusedw => rd_usedw,
-      rd_val  => fifo_rd_val
-    );
+      generic map (
+        g_technology => g_technology,
+        g_nof_words => g_wr_fifo_size,  -- FIFO size in nof wr_dat words
+        g_wr_dat_w  => c_fifo_wr_dat_w,
+        g_rd_dat_w  => c_fifo_rd_dat_w
+      )
+      port map (
+        rst     => arst,
+        wr_clk  => wr_clk,
+        wr_dat  => fifo_wr_dat,
+        wr_req  => fifo_wr_req,
+        wr_ful  => fifo_wr_ful,
+        wrusedw => i_wr_usedw,
+        rd_clk  => rd_clk,
+        rd_dat  => fifo_rd_dat,
+        rd_req  => fifo_rd_req,
+        rd_emp  => rd_emp,
+        rdusedw => rd_usedw,
+        rd_val  => fifo_rd_val
+      );
 
     -- FIFO write multiple parallel --> read one serial
     gen_wr_wide : if c_wr_wide = true generate
@@ -453,20 +453,20 @@ begin
 
     -- Support show ahead FIFO with ready latency = 0 at read output
     u_rl : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency  => 1,
-      g_out_latency => g_rd_fifo_rl
-    )
-    port map (
-      rst       => rd_rst,
-      clk       => rd_clk,
-      -- ST sink
-      snk_out   => rd_siso,
-      snk_in    => rd_sosi,
-      -- ST source
-      src_in    => src_in,
-      src_out   => src_out
-    );
+      generic map (
+        g_in_latency  => 1,
+        g_out_latency => g_rd_fifo_rl
+      )
+      port map (
+        rst       => rd_rst,
+        clk       => rd_clk,
+        -- ST sink
+        snk_out   => rd_siso,
+        snk_in    => rd_sosi,
+        -- ST source
+        src_in    => src_in,
+        src_out   => src_out
+      );
 
   end generate;  -- gen_mixed
 
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill.vhd
index 20d57efaa1..25625a2f73 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill.vhd
@@ -26,11 +26,11 @@
 --   for new designs.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_fill is
   generic (
@@ -80,45 +80,45 @@ architecture str of dp_fifo_fill is
 begin
 
   u_dp_fifo_fill_sc : entity work.dp_fifo_fill_sc
-  generic map (
-    g_technology     => g_technology,
-    g_data_w         => g_data_w,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_complex    => g_use_complex,
-    g_fifo_fill      => g_fifo_fill,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
+    generic map (
+      g_technology     => g_technology,
+      g_data_w         => g_data_w,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_complex    => g_use_complex,
+      g_fifo_fill      => g_fifo_fill,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
 
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    usedw       => usedw,
-    rd_emp      => rd_emp,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      usedw       => usedw,
+      rd_emp      => rd_emp,
 
-    -- MM control FIFO filling (assume 32 bit MM interface)
-    wr_usedw_32b => wr_usedw_32b,
-    rd_usedw_32b => rd_usedw_32b,
-    rd_fill_32b  => rd_fill_32b,
+      -- MM control FIFO filling (assume 32 bit MM interface)
+      wr_usedw_32b => wr_usedw_32b,
+      rd_usedw_32b => rd_usedw_32b,
+      rd_fill_32b  => rd_fill_32b,
 
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill_core.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill_core.vhd
index 1b296951d8..62d7a5f615 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill_core.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill_core.vhd
@@ -57,11 +57,11 @@
 --   directly.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_fill_core is
   generic (
@@ -160,83 +160,83 @@ begin
 
   gen_dp_fifo_sc : if g_use_dual_clock = false generate
     u_dp_fifo_sc : entity work.dp_fifo_sc
-    generic map (
-      g_technology     => g_technology,
-      g_data_w         => g_data_w,
-      g_data_signed    => g_data_signed,
-      g_bsn_w          => g_bsn_w,
-      g_empty_w        => g_empty_w,
-      g_channel_w      => g_channel_w,
-      g_error_w        => g_error_w,
-      g_use_bsn        => g_use_bsn,
-      g_use_empty      => g_use_empty,
-      g_use_channel    => g_use_channel,
-      g_use_error      => g_use_error,
-      g_use_sync       => g_use_sync,
-      g_use_ctrl       => c_use_ctrl,
-      g_use_complex    => g_use_complex,
-      g_fifo_size      => c_fifo_size,
-      g_fifo_af_margin => g_fifo_af_margin,
-      g_fifo_af_xon    => g_fifo_af_xon,
-      g_fifo_rl        => c_fifo_rl
-    )
-    port map (
-      rst         => rd_rst,
-      clk         => rd_clk,
-      -- Monitor FIFO filling
-      wr_ful      => wr_ful,
-      usedw       => rd_fifo_usedw,
-      rd_emp      => rd_emp,
-      -- ST sink
-      snk_out     => snk_out,
-      snk_in      => snk_in,
-      -- ST source
-      src_in      => rd_siso,  -- for RL = 0 rd_siso.ready acts as read acknowledge, for RL = 1 rd_siso.ready acts as read request
-      src_out     => rd_sosi
-    );
+      generic map (
+        g_technology     => g_technology,
+        g_data_w         => g_data_w,
+        g_data_signed    => g_data_signed,
+        g_bsn_w          => g_bsn_w,
+        g_empty_w        => g_empty_w,
+        g_channel_w      => g_channel_w,
+        g_error_w        => g_error_w,
+        g_use_bsn        => g_use_bsn,
+        g_use_empty      => g_use_empty,
+        g_use_channel    => g_use_channel,
+        g_use_error      => g_use_error,
+        g_use_sync       => g_use_sync,
+        g_use_ctrl       => c_use_ctrl,
+        g_use_complex    => g_use_complex,
+        g_fifo_size      => c_fifo_size,
+        g_fifo_af_margin => g_fifo_af_margin,
+        g_fifo_af_xon    => g_fifo_af_xon,
+        g_fifo_rl        => c_fifo_rl
+      )
+      port map (
+        rst         => rd_rst,
+        clk         => rd_clk,
+        -- Monitor FIFO filling
+        wr_ful      => wr_ful,
+        usedw       => rd_fifo_usedw,
+        rd_emp      => rd_emp,
+        -- ST sink
+        snk_out     => snk_out,
+        snk_in      => snk_in,
+        -- ST source
+        src_in      => rd_siso,  -- for RL = 0 rd_siso.ready acts as read acknowledge, for RL = 1 rd_siso.ready acts as read request
+        src_out     => rd_sosi
+      );
 
     wr_fifo_usedw <= rd_fifo_usedw;
   end generate;
 
   gen_dp_fifo_dc : if g_use_dual_clock = true generate
     u_dp_fifo_dc : entity work.dp_fifo_dc
-    generic map (
-      g_technology     => g_technology,
-      g_data_w         => g_data_w,
-      g_data_signed    => g_data_signed,
-      g_bsn_w          => g_bsn_w,
-      g_empty_w        => g_empty_w,
-      g_channel_w      => g_channel_w,
-      g_error_w        => g_error_w,
-      g_use_bsn        => g_use_bsn,
-      g_use_empty      => g_use_empty,
-      g_use_channel    => g_use_channel,
-      g_use_error      => g_use_error,
-      g_use_sync       => g_use_sync,
-      g_use_ctrl       => c_use_ctrl,
-      --g_use_complex    => g_use_complex,
-      g_fifo_size      => c_fifo_size,
-      g_fifo_af_margin => g_fifo_af_margin,
-      g_fifo_af_xon    => g_fifo_af_xon,
-      g_fifo_rl        => c_fifo_rl
-    )
-    port map (
-      wr_rst      => wr_rst,
-      wr_clk      => wr_clk,
-      rd_rst      => rd_rst,
-      rd_clk      => rd_clk,
-      -- Monitor FIFO filling
-      wr_ful      => wr_ful,
-      wr_usedw    => wr_fifo_usedw,
-      rd_usedw    => rd_fifo_usedw,
-      rd_emp      => rd_emp,
-      -- ST sink
-      snk_out     => snk_out,
-      snk_in      => snk_in,
-      -- ST source
-      src_in      => rd_siso,  -- for RL = 0 rd_siso.ready acts as read acknowledge, -- for RL = 1 rd_siso.ready acts as read request
-      src_out     => rd_sosi
-    );
+      generic map (
+        g_technology     => g_technology,
+        g_data_w         => g_data_w,
+        g_data_signed    => g_data_signed,
+        g_bsn_w          => g_bsn_w,
+        g_empty_w        => g_empty_w,
+        g_channel_w      => g_channel_w,
+        g_error_w        => g_error_w,
+        g_use_bsn        => g_use_bsn,
+        g_use_empty      => g_use_empty,
+        g_use_channel    => g_use_channel,
+        g_use_error      => g_use_error,
+        g_use_sync       => g_use_sync,
+        g_use_ctrl       => c_use_ctrl,
+        --g_use_complex    => g_use_complex,
+        g_fifo_size      => c_fifo_size,
+        g_fifo_af_margin => g_fifo_af_margin,
+        g_fifo_af_xon    => g_fifo_af_xon,
+        g_fifo_rl        => c_fifo_rl
+      )
+      port map (
+        wr_rst      => wr_rst,
+        wr_clk      => wr_clk,
+        rd_rst      => rd_rst,
+        rd_clk      => rd_clk,
+        -- Monitor FIFO filling
+        wr_ful      => wr_ful,
+        wr_usedw    => wr_fifo_usedw,
+        rd_usedw    => rd_fifo_usedw,
+        rd_emp      => rd_emp,
+        -- ST sink
+        snk_out     => snk_out,
+        snk_in      => snk_in,
+        -- ST source
+        src_in      => rd_siso,  -- for RL = 0 rd_siso.ready acts as read acknowledge, -- for RL = 1 rd_siso.ready acts as read request
+        src_out     => rd_sosi
+      );
   end generate;
 
   no_fill : if g_fifo_fill = 0 generate
@@ -331,18 +331,18 @@ begin
 
       -- Hold the sink input for source output
       u_snk : entity work.dp_hold_input
-      port map (
-        rst          => rd_rst,
-        clk          => rd_clk,
-        -- ST sink
-        snk_out      => rd_siso,  -- SISO ready
-        snk_in       => rd_sosi,  -- SOSI
-        -- ST source
-        src_in       => hold_src_in,  -- SISO ready
-        next_src_out => OPEN,  -- SOSI
-        pend_src_out => pend_src_out,
-        src_out_reg  => i_src_out
-      );
+        port map (
+          rst          => rd_rst,
+          clk          => rd_clk,
+          -- ST sink
+          snk_out      => rd_siso,  -- SISO ready
+          snk_in       => rd_sosi,  -- SOSI
+          -- ST source
+          src_in       => hold_src_in,  -- SISO ready
+          next_src_out => OPEN,  -- SOSI
+          pend_src_out => pend_src_out,
+          src_out_reg  => i_src_out
+        );
 
       p_state : process(state, src_in, xon_reg, pend_src_out, rd_fifo_usedw, rd_fill_ctrl)
       begin
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill_dc.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill_dc.vhd
index d5099ebc8f..6b039b9aaa 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill_dc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill_dc.vhd
@@ -24,11 +24,11 @@
 -- Description: See dp_fifo_fill_core.vhd.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_fill_dc is
   generic (
@@ -78,46 +78,46 @@ architecture str of dp_fifo_fill_dc is
 begin
 
   u_dp_fifo_fill_core : entity work.dp_fifo_fill_core
-  generic map (
-    g_technology     => g_technology,
-    g_use_dual_clock => true,
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_complex    => g_use_complex,
-    g_fifo_fill      => g_fifo_fill,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    wr_rst      => wr_rst,
-    wr_clk      => wr_clk,
-    rd_rst      => rd_rst,
-    rd_clk      => rd_clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => wr_usedw,
-    rd_usedw    => rd_usedw,
-    rd_emp      => rd_emp,
-    -- MM control FIFO filling (assume 32 bit MM interface)
-    wr_usedw_32b => wr_usedw_32b,
-    rd_usedw_32b => rd_usedw_32b,
-    rd_fill_32b  => rd_fill_32b,
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_use_dual_clock => true,
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_complex    => g_use_complex,
+      g_fifo_fill      => g_fifo_fill,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      wr_rst      => wr_rst,
+      wr_clk      => wr_clk,
+      rd_rst      => rd_rst,
+      rd_clk      => rd_clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => wr_usedw,
+      rd_usedw    => rd_usedw,
+      rd_emp      => rd_emp,
+      -- MM control FIFO filling (assume 32 bit MM interface)
+      wr_usedw_32b => wr_usedw_32b,
+      rd_usedw_32b => rd_usedw_32b,
+      rd_fill_32b  => rd_fill_32b,
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill_eop.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill_eop.vhd
index 3f2abfd71d..07c39473c9 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill_eop.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill_eop.vhd
@@ -53,11 +53,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_fill_eop is
   generic (
@@ -169,61 +169,61 @@ begin
   rd_fill_ctrl <= rd_fill_32b(c_fifo_size_w - 1 downto 0);
 
   u_dp_fifo_core : entity work.dp_fifo_core
-  generic map (
-    g_technology     => g_technology,
-    g_note_is_ful    => g_note_is_ful,
-    g_use_dual_clock => g_use_dual_clock,
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_ctrl       => c_use_ctrl,
-    g_use_complex    => g_use_complex,
-    g_fifo_size      => c_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => c_fifo_rl
-  )
-  port map (
-    wr_rst      => wr_rst,
-    wr_clk      => wr_clk,
-    rd_rst      => rd_rst,
-    rd_clk      => rd_clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => wr_fifo_usedw,
-    rd_usedw    => rd_fifo_usedw,
-    rd_emp      => rd_emp,
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in      => rd_siso,  -- for RL = 0 rd_siso.ready acts as read acknowledge, -- for RL = 1 rd_siso.ready acts as read request
-    src_out     => rd_sosi
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_note_is_ful    => g_note_is_ful,
+      g_use_dual_clock => g_use_dual_clock,
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_ctrl       => c_use_ctrl,
+      g_use_complex    => g_use_complex,
+      g_fifo_size      => c_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => c_fifo_rl
+    )
+    port map (
+      wr_rst      => wr_rst,
+      wr_clk      => wr_clk,
+      rd_rst      => rd_rst,
+      rd_clk      => rd_clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => wr_fifo_usedw,
+      rd_usedw    => rd_fifo_usedw,
+      rd_emp      => rd_emp,
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in      => rd_siso,  -- for RL = 0 rd_siso.ready acts as read acknowledge, -- for RL = 1 rd_siso.ready acts as read request
+      src_out     => rd_sosi
+    );
 
   -- Transfer eop counter across clock domains for dual clock
   gen_rd_eop_cnt_dc : if g_use_dual_clock = true generate
     reg_wr_eop_cnt <= TO_UVEC(wr_eop_cnt, c_word_w);
     u_common_reg_cross_domain : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst  => wr_rst,
-      in_clk  => wr_clk,
-      in_dat  => reg_wr_eop_cnt,
-      in_new  => wr_eop_new,
-      in_done => wr_eop_done,
-      out_rst => rd_rst,
-      out_clk => rd_clk,
-      out_dat => reg_rd_eop_cnt,
-      out_new => rd_eop_new
-    );
+      port map (
+        in_rst  => wr_rst,
+        in_clk  => wr_clk,
+        in_dat  => reg_wr_eop_cnt,
+        in_new  => wr_eop_new,
+        in_done => wr_eop_done,
+        out_rst => rd_rst,
+        out_clk => rd_clk,
+        out_dat => reg_rd_eop_cnt,
+        out_new => rd_eop_new
+      );
   end generate;
 
   -- No need to transfer eop counter across clock domains for single clock
@@ -334,18 +334,18 @@ begin
 
       -- Hold the sink input for source output
       u_snk : entity work.dp_hold_input
-      port map (
-        rst          => rd_rst,
-        clk          => rd_clk,
-        -- ST sink
-        snk_out      => rd_siso,  -- SISO ready
-        snk_in       => rd_sosi,  -- SOSI
-        -- ST source
-        src_in       => hold_src_in,  -- SISO ready
-        next_src_out => OPEN,  -- SOSI
-        pend_src_out => pend_src_out,
-        src_out_reg  => i_src_out
-      );
+        port map (
+          rst          => rd_rst,
+          clk          => rd_clk,
+          -- ST sink
+          snk_out      => rd_siso,  -- SISO ready
+          snk_in       => rd_sosi,  -- SOSI
+          -- ST source
+          src_in       => hold_src_in,  -- SISO ready
+          next_src_out => OPEN,  -- SOSI
+          pend_src_out => pend_src_out,
+          src_out_reg  => i_src_out
+        );
     end generate;
 
     gen_rl_0 : if g_fifo_rl = 0 generate
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill_eop_sc.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill_eop_sc.vhd
index 7b6567b870..883b2fd530 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill_eop_sc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill_eop_sc.vhd
@@ -25,11 +25,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_fill_eop_sc is
   generic (
@@ -77,48 +77,48 @@ architecture wrap of dp_fifo_fill_eop_sc is
 begin
 
   u_dp_fifo_fill_eop : entity work.dp_fifo_fill_eop
-  generic map (
-    g_technology     => g_technology,
-    g_note_is_ful    => g_note_is_ful,
-    g_use_dual_clock => false,  -- single clock
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_complex    => g_use_complex,
-    g_fifo_fill      => g_fifo_fill,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    wr_rst      => rst,
-    wr_clk      => clk,
-    rd_rst      => rst,
-    rd_clk      => clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => OPEN,
-    rd_usedw    => usedw,  -- use rd_usedw, similar as in dp_fifo_sc, dp_fifo_fill_sc
-    rd_emp      => rd_emp,
-    -- MM control FIFO filling (assume 32 bit MM interface)
-    wr_usedw_32b => wr_usedw_32b,
-    rd_usedw_32b => rd_usedw_32b,
-    rd_fill_32b  => rd_fill_32b,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => src_in,
-    src_out      => src_out
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_note_is_ful    => g_note_is_ful,
+      g_use_dual_clock => false,  -- single clock
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_complex    => g_use_complex,
+      g_fifo_fill      => g_fifo_fill,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      wr_rst      => rst,
+      wr_clk      => clk,
+      rd_rst      => rst,
+      rd_clk      => clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => OPEN,
+      rd_usedw    => usedw,  -- use rd_usedw, similar as in dp_fifo_sc, dp_fifo_fill_sc
+      rd_emp      => rd_emp,
+      -- MM control FIFO filling (assume 32 bit MM interface)
+      wr_usedw_32b => wr_usedw_32b,
+      rd_usedw_32b => rd_usedw_32b,
+      rd_fill_32b  => rd_fill_32b,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => src_in,
+      src_out      => src_out
+    );
 
 end wrap;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill_reg.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill_reg.vhd
index 0dd0ef1ce9..a7d453a917 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill_reg.vhd
@@ -51,9 +51,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_fifo_fill_reg is
   generic (
@@ -85,11 +85,13 @@ architecture str of dp_fifo_fill_reg is
   constant c_nof_regs_per_stream       : natural := 4;  -- Must always be a power of 2 in order to meet the python register definition.
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(g_nof_streams * c_nof_regs_per_stream),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => g_nof_streams * c_nof_regs_per_stream,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_streams * c_nof_regs_per_stream),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => g_nof_streams * c_nof_regs_per_stream,
+    init_sl  => '0'
+  );
 
   -- Registers in st_clk domain
   signal in_arr_reg  : std_logic_vector(g_nof_streams * c_nof_regs_per_stream * c_word_w - 1 downto 0) := (others => '0');
@@ -106,47 +108,47 @@ begin
   end generate;
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 1,
-    g_readback           => true,
-    g_reg                => c_mm_reg,
-    g_init_reg           => (others => '1')
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => sla_in,
-    sla_out     => sla_out,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => reg_wr_arr,
-    reg_rd_arr  => reg_rd_arr,
-    in_new      => OPEN,
-    in_reg      => in_arr_reg,  -- read
-    out_reg     => OPEN,  -- write
-    out_new     => open
-  );
-
-  gen_peak_meters : for I in 0 to g_nof_streams - 1 generate
-    u_peak_meter : entity common_lib.common_peak
-    generic map(
-      g_dat_w => c_word_w
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 1,
+      g_readback           => true,
+      g_reg                => c_mm_reg,
+      g_init_reg           => (others => '1')
     )
     port map (
-      rst       => st_rst,
-      clk       => st_clk,
-      in_dat    => used_w((I + 1) * c_word_w - 1 downto I * c_word_w),
-      in_val    => '1',
-      in_clear  => reg_rd_arr(I * c_nof_regs_per_stream + c_reg_max_used_words_offset),
-      out_dat   => peak_used_w((I + 1) * c_word_w - 1 downto I * c_word_w),
-      out_val   => open
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => sla_in,
+      sla_out     => sla_out,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => reg_wr_arr,
+      reg_rd_arr  => reg_rd_arr,
+      in_new      => OPEN,
+      in_reg      => in_arr_reg,  -- read
+      out_reg     => OPEN,  -- write
+      out_new     => open
     );
+
+  gen_peak_meters : for I in 0 to g_nof_streams - 1 generate
+    u_peak_meter : entity common_lib.common_peak
+      generic map(
+        g_dat_w => c_word_w
+      )
+      port map (
+        rst       => st_rst,
+        clk       => st_clk,
+        in_dat    => used_w((I + 1) * c_word_w - 1 downto I * c_word_w),
+        in_val    => '1',
+        in_clear  => reg_rd_arr(I * c_nof_regs_per_stream + c_reg_max_used_words_offset),
+        out_dat   => peak_used_w((I + 1) * c_word_w - 1 downto I * c_word_w),
+        out_val   => open
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_fill_sc.vhd b/libraries/base/dp/src/vhdl/dp_fifo_fill_sc.vhd
index 7c9972b085..50400a401c 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_fill_sc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_fill_sc.vhd
@@ -24,11 +24,11 @@
 -- Description: See dp_fifo_fill_core.vhd.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_fill_sc is
   generic (
@@ -79,47 +79,47 @@ architecture str of dp_fifo_fill_sc is
 begin
 
   u_dp_fifo_fill_core : entity work.dp_fifo_fill_core
-  generic map (
-    g_technology     => g_technology,
-    g_use_dual_clock => false,
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_complex    => g_use_complex,
-    g_fifo_fill      => g_fifo_fill,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    wr_rst      => rst,
-    wr_clk      => clk,
-    rd_rst      => rst,
-    rd_clk      => clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => OPEN,
-    rd_usedw    => usedw,
-    rd_emp      => rd_emp,
-    -- MM control FIFO filling (assume 32 bit MM interface)
-    wr_usedw_32b => wr_usedw_32b,
-    rd_usedw_32b => rd_usedw_32b,
-    rd_fill_32b  => rd_fill_32b,
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_use_dual_clock => false,
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_complex    => g_use_complex,
+      g_fifo_fill      => g_fifo_fill,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      wr_rst      => rst,
+      wr_clk      => clk,
+      rd_rst      => rst,
+      rd_clk      => clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => OPEN,
+      rd_usedw    => usedw,
+      rd_emp      => rd_emp,
+      -- MM control FIFO filling (assume 32 bit MM interface)
+      wr_usedw_32b => wr_usedw_32b,
+      rd_usedw_32b => rd_usedw_32b,
+      rd_fill_32b  => rd_fill_32b,
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_from_mm.vhd b/libraries/base/dp/src/vhdl/dp_fifo_from_mm.vhd
index ecc96675a1..ee526c08c8 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_from_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_from_mm.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Provide MM access to the ST input of a FIFO.
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_from_mm_reg.vhd b/libraries/base/dp/src/vhdl/dp_fifo_from_mm_reg.vhd
index c9cc0fa633..1e7ee6c90a 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_from_mm_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_from_mm_reg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_fifo_from_mm_reg is
   port (
@@ -37,17 +37,19 @@ entity dp_fifo_from_mm_reg is
     -- MM registers
     mm_wr_usedw       : in  std_logic_vector(c_word_w - 1 downto 0);
     mm_wr_availw      : in  std_logic_vector(c_word_w - 1 downto 0)
-   );
+  );
 end dp_fifo_from_mm_reg;
 
 
 architecture rtl of dp_fifo_from_mm_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(2),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 2,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(2),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2,
+    init_sl  => '0'
+  );
 
 begin
 
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_info.vhd b/libraries/base/dp/src/vhdl/dp_fifo_info.vhd
index 16d0f00cdc..3052669e32 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_info.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_info.vhd
@@ -71,11 +71,11 @@
 --   - dp_block_gen_valid_arr
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_info is
   generic (
@@ -158,19 +158,19 @@ begin
 
     -- Data pipeline register to compensate for the fifo rd_req to rd_val latency of 1
     u_dp_pipeline : entity work.dp_pipeline
-    generic map (
-      g_pipeline => 1
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => data_snk_out,
-      snk_in       => data_snk_in,
-      -- ST source
-      src_in       => dp_pipeline_data_src_in,
-      src_out      => dp_pipeline_data_src_out
-    );
+      generic map (
+        g_pipeline => 1
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => data_snk_out,
+        snk_in       => data_snk_in,
+        -- ST source
+        src_in       => dp_pipeline_data_src_in,
+        src_out      => dp_pipeline_data_src_out
+      );
 
     -- Buffer sop info
     gen_info_sop : if c_fifo_sop_dat_w > 0 generate
@@ -185,25 +185,25 @@ begin
                                          info_snk_in.channel(g_channel_w - 1 downto 0));
 
       u_common_fifo_sc : entity common_lib.common_fifo_sc
-      generic map (
-        g_technology  => g_technology,
-        g_note_is_ful => false,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
-        g_use_lut     => true,  -- when TRUE then force using LUTs via Altera eab="OFF",
-        g_dat_w       => c_fifo_sop_dat_w,
-        g_nof_words   => g_fifo_size
-      )
-      port map (
-        rst      => rst,
-        clk      => clk,
-        wr_dat   => fifo_sop_wr_dat,
-        wr_req   => fifo_sop_wr_req,
-        wr_ful   => fifo_sop_wr_ful,
-        rd_dat   => fifo_sop_rd_dat,
-        rd_req   => fifo_sop_rd_req,
-        rd_emp   => fifo_sop_rd_emp,
-        rd_val   => fifo_sop_rd_val,
-        usedw    => fifo_sop_usedw
-      );
+        generic map (
+          g_technology  => g_technology,
+          g_note_is_ful => false,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
+          g_use_lut     => true,  -- when TRUE then force using LUTs via Altera eab="OFF",
+          g_dat_w       => c_fifo_sop_dat_w,
+          g_nof_words   => g_fifo_size
+        )
+        port map (
+          rst      => rst,
+          clk      => clk,
+          wr_dat   => fifo_sop_wr_dat,
+          wr_req   => fifo_sop_wr_req,
+          wr_ful   => fifo_sop_wr_ful,
+          rd_dat   => fifo_sop_rd_dat,
+          rd_req   => fifo_sop_rd_req,
+          rd_emp   => fifo_sop_rd_emp,
+          rd_val   => fifo_sop_rd_val,
+          usedw    => fifo_sop_usedw
+        );
 
       -- Extract the sop data from the FIFO output SLV
       info_src_out.sync <= rd_sync(0);
@@ -223,25 +223,25 @@ begin
                                          info_snk_in.err(g_error_w - 1 downto 0));
 
       u_common_fifo_sc : entity common_lib.common_fifo_sc
-      generic map (
-        g_technology  => g_technology,
-        g_note_is_ful => false,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
-        g_use_lut     => true,  -- when TRUE then force using LUTs via Altera eab="OFF",
-        g_dat_w       => c_fifo_eop_dat_w,
-        g_nof_words   => g_fifo_size
-      )
-      port map (
-        rst      => rst,
-        clk      => clk,
-        wr_dat   => fifo_eop_wr_dat,
-        wr_req   => fifo_eop_wr_req,
-        wr_ful   => fifo_eop_wr_ful,
-        rd_dat   => fifo_eop_rd_dat,
-        rd_req   => fifo_eop_rd_req,
-        rd_emp   => fifo_eop_rd_emp,
-        rd_val   => fifo_eop_rd_val,
-        usedw    => fifo_eop_usedw
-      );
+        generic map (
+          g_technology  => g_technology,
+          g_note_is_ful => false,  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
+          g_use_lut     => true,  -- when TRUE then force using LUTs via Altera eab="OFF",
+          g_dat_w       => c_fifo_eop_dat_w,
+          g_nof_words   => g_fifo_size
+        )
+        port map (
+          rst      => rst,
+          clk      => clk,
+          wr_dat   => fifo_eop_wr_dat,
+          wr_req   => fifo_eop_wr_req,
+          wr_ful   => fifo_eop_wr_ful,
+          rd_dat   => fifo_eop_rd_dat,
+          rd_req   => fifo_eop_rd_req,
+          rd_emp   => fifo_eop_rd_emp,
+          rd_val   => fifo_eop_rd_val,
+          usedw    => fifo_eop_usedw
+        );
 
       -- Extract the eop data from the FIFO output SLV
       info_src_out.empty(g_empty_w - 1 downto 0) <= func_slv_extract(g_use_empty, g_use_error, g_empty_w, g_error_w, fifo_eop_rd_dat, 0);
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_monitor.vhd b/libraries/base/dp/src/vhdl/dp_fifo_monitor.vhd
index 85c6969c7b..c3639b99fa 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_monitor.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_monitor.vhd
@@ -28,12 +28,12 @@
 --   desired monitoring inputs and control outputs.
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_fifo_monitor is
   port (
@@ -59,10 +59,10 @@ end dp_fifo_monitor;
 architecture str of dp_fifo_monitor is
 
   constant c_field_arr : t_common_field_arr(4 downto 0) := ( (field_name_pad("rd_usedw"), "RO", 32, field_default(0) ),
-                                                             (field_name_pad("wr_usedw"), "RO", 32, field_default(0) ),
-                                                             (field_name_pad("rd_empty"), "RO",  1, field_default(0) ),
-                                                             (field_name_pad("wr_full" ), "RO",  1, field_default(0) ),
-                                                             (field_name_pad("rd_fill" ), "RW", 32, field_default(0) ));
+    (field_name_pad("wr_usedw"), "RO", 32, field_default(0) ),
+    (field_name_pad("rd_empty"), "RO",  1, field_default(0) ),
+    (field_name_pad("wr_full" ), "RO",  1, field_default(0) ),
+    (field_name_pad("rd_fill" ), "RW", 32, field_default(0) ));
 
   signal mm_fields_in  : std_logic_vector(field_slv_in_len(c_field_arr) - 1 downto 0);
   signal mm_fields_out : std_logic_vector(field_slv_out_len(c_field_arr) - 1 downto 0);
@@ -75,25 +75,25 @@ begin
   mm_fields_in(field_hi(c_field_arr, "wr_full")  downto field_lo(c_field_arr, "wr_full"))  <= slv(wr_full);
 
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
-    g_field_arr       => c_field_arr
-  )
-  port map (
-    mm_clk     => mm_clk,
-    mm_rst     => mm_rst,
+    generic map(
+      g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
+      g_field_arr       => c_field_arr
+    )
+    port map (
+      mm_clk     => mm_clk,
+      mm_rst     => mm_rst,
 
-    mm_mosi    => reg_mosi,
-    mm_miso    => reg_miso,
+      mm_mosi    => reg_mosi,
+      mm_miso    => reg_miso,
 
-    slv_clk    => dp_clk,
-    slv_rst    => dp_rst,
+      slv_clk    => dp_clk,
+      slv_rst    => dp_rst,
 
-    slv_in     => mm_fields_in,
-    slv_in_val => '1',
+      slv_in     => mm_fields_in,
+      slv_in_val => '1',
 
-    slv_out    => mm_fields_out
-  );
+      slv_out    => mm_fields_out
+    );
 
   rd_fill_32b <= mm_fields_out(field_hi(c_field_arr, "rd_fill") downto field_lo(c_field_arr, "rd_fill"));
 
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_monitor_arr.vhd b/libraries/base/dp/src/vhdl/dp_fifo_monitor_arr.vhd
index 8b6ceee5e2..2019becd57 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_monitor_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_monitor_arr.vhd
@@ -27,12 +27,12 @@
 -- . see dp_fifo_monitor.vhd
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_fifo_monitor_arr is
   generic (
@@ -68,35 +68,35 @@ architecture str of dp_fifo_monitor_arr is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(c_nof_regs)
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(c_nof_regs)
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   gen_dp_fifo_monitor : for i in 0 to g_nof_streams - 1 generate
     u_dp_fifo_monitor: entity work.dp_fifo_monitor
-    port map (
-      mm_clk       => mm_clk,
-      mm_rst       => mm_rst,
-
-      dp_clk       => dp_clk,
-      dp_rst       => dp_rst,
-
-      reg_mosi     => reg_mosi_arr(i),
-      reg_miso     => reg_miso_arr(i),
-
-      rd_usedw_32b => rd_usedw_32b_arr(i),
-      wr_usedw_32b => wr_usedw_32b_arr(i),
-      rd_emp       => rd_emp_arr(i),
-      wr_full      => wr_full_arr(i),
-      rd_fill_32b  => rd_fill_32b_arr(i)
-    );
+      port map (
+        mm_clk       => mm_clk,
+        mm_rst       => mm_rst,
+
+        dp_clk       => dp_clk,
+        dp_rst       => dp_rst,
+
+        reg_mosi     => reg_mosi_arr(i),
+        reg_miso     => reg_miso_arr(i),
+
+        rd_usedw_32b => rd_usedw_32b_arr(i),
+        wr_usedw_32b => wr_usedw_32b_arr(i),
+        rd_emp       => rd_emp_arr(i),
+        wr_full      => wr_full_arr(i),
+        rd_fill_32b  => rd_fill_32b_arr(i)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_sc.vhd b/libraries/base/dp/src/vhdl/dp_fifo_sc.vhd
index 52ef061d74..85428902c0 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_sc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_sc.vhd
@@ -23,11 +23,11 @@
 -- Description: See dp_fifo_core.vhd.
 
 library IEEE,common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_fifo_sc is
   generic (
@@ -73,45 +73,45 @@ architecture str of dp_fifo_sc is
 begin
 
   u_dp_fifo_core : entity work.dp_fifo_core
-  generic map (
-    g_technology     => g_technology,
-    g_note_is_ful    => g_note_is_ful,
-    g_use_dual_clock => false,
-    g_use_lut_sc     => g_use_lut,
-    g_data_w         => g_data_w,
-    g_data_signed    => g_data_signed,
-    g_bsn_w          => g_bsn_w,
-    g_empty_w        => g_empty_w,
-    g_channel_w      => g_channel_w,
-    g_error_w        => g_error_w,
-    g_use_bsn        => g_use_bsn,
-    g_use_empty      => g_use_empty,
-    g_use_channel    => g_use_channel,
-    g_use_error      => g_use_error,
-    g_use_sync       => g_use_sync,
-    g_use_ctrl       => g_use_ctrl,
-    g_use_complex    => g_use_complex,
-    g_fifo_size      => g_fifo_size,
-    g_fifo_af_margin => g_fifo_af_margin,
-    g_fifo_af_xon    => g_fifo_af_xon,
-    g_fifo_rl        => g_fifo_rl
-  )
-  port map (
-    wr_rst      => rst,
-    wr_clk      => clk,
-    rd_rst      => rst,
-    rd_clk      => clk,
-    -- Monitor FIFO filling
-    wr_ful      => wr_ful,
-    wr_usedw    => OPEN,
-    rd_usedw    => usedw,
-    rd_emp      => rd_emp,
-    -- ST sink
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_note_is_ful    => g_note_is_ful,
+      g_use_dual_clock => false,
+      g_use_lut_sc     => g_use_lut,
+      g_data_w         => g_data_w,
+      g_data_signed    => g_data_signed,
+      g_bsn_w          => g_bsn_w,
+      g_empty_w        => g_empty_w,
+      g_channel_w      => g_channel_w,
+      g_error_w        => g_error_w,
+      g_use_bsn        => g_use_bsn,
+      g_use_empty      => g_use_empty,
+      g_use_channel    => g_use_channel,
+      g_use_error      => g_use_error,
+      g_use_sync       => g_use_sync,
+      g_use_ctrl       => g_use_ctrl,
+      g_use_complex    => g_use_complex,
+      g_fifo_size      => g_fifo_size,
+      g_fifo_af_margin => g_fifo_af_margin,
+      g_fifo_af_xon    => g_fifo_af_xon,
+      g_fifo_rl        => g_fifo_rl
+    )
+    port map (
+      wr_rst      => rst,
+      wr_clk      => clk,
+      rd_rst      => rst,
+      rd_clk      => clk,
+      -- Monitor FIFO filling
+      wr_ful      => wr_ful,
+      wr_usedw    => OPEN,
+      rd_usedw    => usedw,
+      rd_emp      => rd_emp,
+      -- ST sink
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_to_mm.vhd b/libraries/base/dp/src/vhdl/dp_fifo_to_mm.vhd
index 7340848573..450b9ec12a 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_to_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_to_mm.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Provide MM access to the ST output of a FIFO.
diff --git a/libraries/base/dp/src/vhdl/dp_fifo_to_mm_reg.vhd b/libraries/base/dp/src/vhdl/dp_fifo_to_mm_reg.vhd
index 8f1c2af2fe..6d38c81a36 100644
--- a/libraries/base/dp/src/vhdl/dp_fifo_to_mm_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_fifo_to_mm_reg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_fifo_to_mm_reg is
   port (
@@ -37,17 +37,19 @@ entity dp_fifo_to_mm_reg is
     -- MM registers
     mm_rd_usedw       : in  std_logic_vector(c_word_w - 1 downto 0)
 
-   );
+  );
 end dp_fifo_to_mm_reg;
 
 
 architecture rtl of dp_fifo_to_mm_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(1),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 1,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
 begin
 
diff --git a/libraries/base/dp/src/vhdl/dp_flush.vhd b/libraries/base/dp/src/vhdl/dp_flush.vhd
index 56e1cd282c..baed673eda 100644
--- a/libraries/base/dp/src/vhdl/dp_flush.vhd
+++ b/libraries/base/dp/src/vhdl/dp_flush.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose: Flush snk_in when src_in will be not ready for a long time.
 -- Description:
@@ -151,19 +151,19 @@ begin
   end process;
 
   u_src_en : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',
-    g_priority_lo  => true,
-    g_or_high      => true,
-    g_and_low      => true
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => src_en_hi,
-    switch_low  => src_en_lo,
-    out_level   => src_en
-  );
+    generic map (
+      g_rst_level    => '1',
+      g_priority_lo  => true,
+      g_or_high      => true,
+      g_and_low      => true
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => src_en_hi,
+      switch_low  => src_en_lo,
+      out_level   => src_en
+    );
 
   p_snk_flush : process(snk_in, flush_dly)
     variable v_hi : std_logic;
@@ -196,19 +196,19 @@ begin
   end process;
 
   u_snk_flush : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',
-    g_priority_lo  => true,  -- priority does not matter
-    g_or_high      => true,
-    g_and_low      => true
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => snk_flush_hi,
-    switch_low  => snk_flush_lo,
-    out_level   => snk_flush
-  );
+    generic map (
+      g_rst_level    => '1',
+      g_priority_lo  => true,  -- priority does not matter
+      g_or_high      => true,
+      g_and_low      => true
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => snk_flush_hi,
+      switch_low  => snk_flush_lo,
+      out_level   => snk_flush
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_folder.vhd b/libraries/base/dp/src/vhdl/dp_folder.vhd
index 1e0a142afc..e13d3234c6 100644
--- a/libraries/base/dp/src/vhdl/dp_folder.vhd
+++ b/libraries/base/dp/src/vhdl/dp_folder.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Status:
 -- . Active (recommended for new designs)
@@ -164,20 +164,20 @@ begin
     -----------------------------------------------------------------------------
     gen_dp_folder: if (g_nof_folds < 0 and c_nof_muxes > 1) or g_nof_folds > 1 generate
       u_dp_folder : dp_folder
-      generic map (
-        g_nof_inputs        => c_nof_muxes,
-        g_nof_folds         => g_nof_folds - 1,
-        g_output_block_size => g_output_block_size,
-        g_fwd_sync_bsn      => g_fwd_sync_bsn,
-        g_use_channel       => g_use_channel
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-
-        snk_in_arr  => mux_src_out_arr,
-        src_out_arr => dp_folder_src_out_arr
-      );
+        generic map (
+          g_nof_inputs        => c_nof_muxes,
+          g_nof_folds         => g_nof_folds - 1,
+          g_output_block_size => g_output_block_size,
+          g_fwd_sync_bsn      => g_fwd_sync_bsn,
+          g_use_channel       => g_use_channel
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+
+          snk_in_arr  => mux_src_out_arr,
+          src_out_arr => dp_folder_src_out_arr
+        );
 
       src_out_arr <= dp_folder_src_out_arr;
 
@@ -195,20 +195,20 @@ begin
       gen_ctrl : if g_output_block_size > 0 generate
         gen_dp_block_gen : for i in 0 to c_nof_muxes - 1 generate
           u_dp_block_gen : entity work.dp_block_gen
-          generic map (
-            g_use_src_in       => false,
-            g_nof_data         => g_output_block_size,
-            g_preserve_sync    => true,
-            g_preserve_bsn     => true,
-            g_preserve_channel => g_use_channel
-          )
-          port map(
-            rst        => rst,
-            clk        => clk,
-
-            snk_in     => dp_block_gen_snk_in_arr(i),
-            src_out    => dp_block_gen_src_out_arr(i)
-          );
+            generic map (
+              g_use_src_in       => false,
+              g_nof_data         => g_output_block_size,
+              g_preserve_sync    => true,
+              g_preserve_bsn     => true,
+              g_preserve_channel => g_use_channel
+            )
+            port map(
+              rst        => rst,
+              clk        => clk,
+
+              snk_in     => dp_block_gen_snk_in_arr(i),
+              src_out    => dp_block_gen_src_out_arr(i)
+            );
         end generate;
       end generate;
 
@@ -222,20 +222,20 @@ begin
       gen_sync_bsn : if g_fwd_sync_bsn = true generate
         gen_dp_fifo_info: for i in 0 to c_nof_muxes - 1 generate
           u_dp_fifo_info : entity work.dp_fifo_info
-          generic map (
-            g_use_sync => true,
-            g_use_bsn  => true
-          )
-          port map (
-            rst          => rst,
-            clk          => clk,
-
-            data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
-            info_snk_in  => snk_in_arr(0),  -- original snk_in info
-
-            src_in       => c_dp_siso_rdy,
-            src_out      => src_out_arr(i)
-          );
+            generic map (
+              g_use_sync => true,
+              g_use_bsn  => true
+            )
+            port map (
+              rst          => rst,
+              clk          => clk,
+
+              data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
+              info_snk_in  => snk_in_arr(0),  -- original snk_in info
+
+              src_in       => c_dp_siso_rdy,
+              src_out      => src_out_arr(i)
+            );
         end generate;
       end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_force_data_parallel.vhd b/libraries/base/dp/src/vhdl/dp_force_data_parallel.vhd
index 43f175cd0c..b695e66819 100644
--- a/libraries/base/dp/src/vhdl/dp_force_data_parallel.vhd
+++ b/libraries/base/dp/src/vhdl/dp_force_data_parallel.vhd
@@ -61,10 +61,10 @@
 --   then the force_data is void.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_force_data_parallel is
   generic (
@@ -101,8 +101,8 @@ end dp_force_data_parallel;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 architecture str of dp_force_data_parallel is
 
@@ -150,19 +150,19 @@ begin
   end process;
 
   u_dp_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => data_in,
-    -- ST source
-    src_in       => src_in,
-    src_out      => data_out
-  );
+    generic map (
+      g_pipeline   => 1
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => data_in,
+      -- ST source
+      src_in       => src_in,
+      src_out      => data_out
+    );
 
   src_out <= data_out;
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_force_data_serial.vhd b/libraries/base/dp/src/vhdl/dp_force_data_serial.vhd
index c42bffb0ee..6dd8301f1c 100644
--- a/libraries/base/dp/src/vhdl/dp_force_data_serial.vhd
+++ b/libraries/base/dp/src/vhdl/dp_force_data_serial.vhd
@@ -76,10 +76,10 @@
 --   then the force_data is void.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_force_data_serial is
   generic (
@@ -127,18 +127,18 @@ begin
   force_zero <= not force_zero_n;
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_latency   => 0,  -- default 1 for registered count output, use 0 for immediate combinatorial count output
-    g_width     => c_cnt_w,
-    g_max       => g_index_period
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cnt
-  );
+    generic map (
+      g_latency   => 0,  -- default 1 for registered count output, use 0 for immediate combinatorial count output
+      g_width     => c_cnt_w,
+      g_max       => g_index_period
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cnt
+    );
 
   p_comb : process(snk_in, cnt, force_en, force_index, force_value, force_zero, force_data, force_re, force_im)
   begin
@@ -165,18 +165,18 @@ begin
   end process;
 
   u_dp_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => data_in,
-    -- ST source
-    src_in       => src_in,
-    src_out      => src_out
-  );
+    generic map (
+      g_pipeline   => 1
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => data_in,
+      -- ST source
+      src_in       => src_in,
+      src_out      => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_frame.vhd b/libraries/base/dp/src/vhdl/dp_frame.vhd
index 27cc63b903..38e072a0e8 100644
--- a/libraries/base/dp/src/vhdl/dp_frame.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_packetizing_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_packetizing_pkg.all;
 
 
 -- Reuse from LOFAR rad_frame.vhd and rad_frame(rtl).vhd
diff --git a/libraries/base/dp/src/vhdl/dp_frame_busy.vhd b/libraries/base/dp/src/vhdl/dp_frame_busy.vhd
index 70a5a265d8..de7cc4111c 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_busy.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_busy.vhd
@@ -27,9 +27,9 @@
 --   Use g_pipeline > 0 to register snk_in_busy to ease timing closure.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_frame_busy is
   generic (
@@ -51,31 +51,31 @@ architecture str of dp_frame_busy is
 begin
 
   u_common_switch : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '0',  -- Defines the output level at reset.
-    g_priority_lo  => true,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
-    g_or_high      => true,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
-    g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => snk_in.sop,  -- A pulse on switch_high makes the out_level go high
-    switch_low  => snk_in.eop,  -- A pulse on switch_low makes the out_level go low
-    out_level   => busy
-  );
+    generic map (
+      g_rst_level    => '0',  -- Defines the output level at reset.
+      g_priority_lo  => true,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
+      g_or_high      => true,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
+      g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => snk_in.sop,  -- A pulse on switch_high makes the out_level go high
+      switch_low  => snk_in.eop,  -- A pulse on switch_low makes the out_level go low
+      out_level   => busy
+    );
 
   u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline       => g_pipeline,  -- 0 for wires, > 0 for registers,
-    g_reset_value    => 0,  -- 0 or 1, bit reset value,
-    g_out_invert     => false
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => busy,
-    out_dat => snk_in_busy
-  );
+    generic map (
+      g_pipeline       => g_pipeline,  -- 0 for wires, > 0 for registers,
+      g_reset_value    => 0,  -- 0 or 1, bit reset value,
+      g_out_invert     => false
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => busy,
+      out_dat => snk_in_busy
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_frame_busy_arr.vhd b/libraries/base/dp/src/vhdl/dp_frame_busy_arr.vhd
index 066cc3ec50..4d169b1d1c 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_busy_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_busy_arr.vhd
@@ -24,8 +24,8 @@
 --   See dp_frame_busy.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_frame_busy_arr is
   generic (
@@ -46,15 +46,15 @@ begin
 
   gen_nof_inputs : for I in 0 to g_nof_inputs - 1 generate
     u_dp_frame_busy : entity work.dp_frame_busy
-    generic map (
-      g_pipeline => g_pipeline
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-      snk_in      => snk_in_arr(I),
-      snk_in_busy => snk_in_busy_arr(I)
-    );
+      generic map (
+        g_pipeline => g_pipeline
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        snk_in      => snk_in_arr(I),
+        snk_in_busy => snk_in_busy_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_frame_fsn.vhd b/libraries/base/dp/src/vhdl/dp_frame_fsn.vhd
index f4d5cc2b5c..95721a4736 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_fsn.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_fsn.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Reuse from LOFAR rad_frame_hdr.vhd and rad_frame_hdr(rtl).vhd
 
diff --git a/libraries/base/dp/src/vhdl/dp_frame_rd.vhd b/libraries/base/dp/src/vhdl/dp_frame_rd.vhd
index 512e5f8c7f..dbd298574f 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_rd.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_rd.vhd
@@ -23,7 +23,7 @@
 -- Reuse from LOFAR rad_rdframe.vhd and rad_rdframe(rtl).vhd
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose:
 --   Read or flush one frame from a FIFO.
@@ -217,7 +217,7 @@ begin
   end process;
 
   next_out_throttle <= nxt_out_throttle;  -- note next_out_throttle high in is same cycle as out_throttle when g_throttle_den=1
-                                          -- else next_out_throttle is high one cycle before out_throttle when g_throttle_den>1
+  -- else next_out_throttle is high one cycle before out_throttle when g_throttle_den>1
 
   p_frm_cnt : process(frm_cnt, frm_req, nxt_frm_done)
   begin
diff --git a/libraries/base/dp/src/vhdl/dp_frame_remove.vhd b/libraries/base/dp/src/vhdl/dp_frame_remove.vhd
index ea3baac904..ce743e2dce 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_remove.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_remove.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_frame_remove is
   generic (
@@ -68,60 +68,60 @@ begin
   no_bypass : if g_internal_bypass = false generate
 
     u_dp_latency_adpapter: entity work.dp_latency_adapter
-    generic map (
-      g_in_latency  => g_snk_latency,
-      g_out_latency => 1
-    )
-    port map (
-      rst      => st_rst,
-      clk      => st_clk,
+      generic map (
+        g_in_latency  => g_snk_latency,
+        g_out_latency => 1
+      )
+      port map (
+        rst      => st_rst,
+        clk      => st_clk,
 
-      snk_out  => snk_out,
-      snk_in   => snk_in,
+        snk_out  => snk_out,
+        snk_in   => snk_in,
 
-      src_out  => snk_in_rl_1,
-      src_in   => snk_out_rl_1
-    );
+        src_out  => snk_in_rl_1,
+        src_in   => snk_out_rl_1
+      );
 
     u_dp_hdr_remove : entity work.dp_hdr_remove
-    generic map (
-      g_data_w        => g_data_w,
-      g_symbol_w      => g_symbol_w,
-      g_hdr_nof_words => g_hdr_nof_words
-    )
-    port map (
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
+      generic map (
+        g_data_w        => g_data_w,
+        g_symbol_w      => g_symbol_w,
+        g_hdr_nof_words => g_hdr_nof_words
+      )
+      port map (
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
 
-      st_rst      => st_rst,
-      st_clk      => st_clk,
+        st_rst      => st_rst,
+        st_clk      => st_clk,
 
-      snk_out     => snk_out_rl_1,
-      snk_in      => snk_in_rl_1,
+        snk_out     => snk_out_rl_1,
+        snk_in      => snk_in_rl_1,
 
-      sla_in      => sla_in,
-      sla_out     => sla_out,
+        sla_in      => sla_in,
+        sla_out     => sla_out,
 
-      src_in      => hdr_rem_siso,
-      src_out     => hdr_rem_sosi
-    );
+        src_in      => hdr_rem_siso,
+        src_out     => hdr_rem_sosi
+      );
 
     u_dp_tail_remove : entity work.dp_tail_remove
-    generic map (
-      g_data_w      => g_data_w,
-      g_symbol_w    => g_symbol_w,
-      g_nof_symbols => c_tail_nof_symbols
-    )
-    port map (
-      st_rst  => st_rst,
-      st_clk  => st_clk,
-
-      snk_out => hdr_rem_siso,
-      snk_in  => hdr_rem_sosi,
-
-      src_in  => src_in,
-      src_out => src_out
-    );
+      generic map (
+        g_data_w      => g_data_w,
+        g_symbol_w    => g_symbol_w,
+        g_nof_symbols => c_tail_nof_symbols
+      )
+      port map (
+        st_rst  => st_rst,
+        st_clk  => st_clk,
+
+        snk_out => hdr_rem_siso,
+        snk_in  => hdr_rem_sosi,
+
+        src_in  => src_in,
+        src_out => src_out
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_frame_repack.vhd b/libraries/base/dp/src/vhdl/dp_frame_repack.vhd
index f730ceecbe..8286993ed8 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_repack.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_repack.vhd
@@ -22,8 +22,8 @@
 -- Reuse from LOFAR rad_frame_repack.vhd and rad_frame_repack(rtl).vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity dp_frame_repack is
@@ -67,7 +67,7 @@ begin
 
   no_pack : if g_in_nof_words = g_out_nof_words generate
     out_dat <= RESIZE_UVEC(in_dat, out_dat'length);  -- any extra bits will get stripped again by dp_repack at the other end,
-                                                     -- typically g_out_dat_w=g_in_dat_w
+    -- typically g_out_dat_w=g_in_dat_w
     out_val <= in_val;
     out_sof <= in_sof;
     out_eof <= in_eof;
@@ -75,67 +75,67 @@ begin
 
   gen_pack : if g_in_nof_words /= g_out_nof_words generate
     unframe : entity work.dp_unframe
-    generic map (
-      g_dat_w        => g_in_dat_w,
-      g_fsn_w        => g_in_dat_w
-    )
-    port map (
-      rst            => rst,
-      clk            => clk,
-      in_dat         => in_dat,
-      in_val         => in_val,
-      in_sof         => in_sof,
-      in_eof         => in_eof,
-      out_fsn        => pack_fsn,
-      out_sync       => OPEN,
-      out_dat        => pack_dat,
-      out_val        => pack_val,
-      out_sof        => pack_sof,
-      out_eof        => pack_eof,
-      out_err        => pack_err
-    );
+      generic map (
+        g_dat_w        => g_in_dat_w,
+        g_fsn_w        => g_in_dat_w
+      )
+      port map (
+        rst            => rst,
+        clk            => clk,
+        in_dat         => in_dat,
+        in_val         => in_val,
+        in_sof         => in_sof,
+        in_eof         => in_eof,
+        out_fsn        => pack_fsn,
+        out_sync       => OPEN,
+        out_dat        => pack_dat,
+        out_val        => pack_val,
+        out_sof        => pack_sof,
+        out_eof        => pack_eof,
+        out_err        => pack_err
+      );
 
     repack : entity work.dp_repack_legacy
-    generic map (
-      g_in_dat_w      => g_in_dat_w,
-      g_in_nof_words  => g_in_nof_words,
-      g_out_dat_w     => g_out_dat_w,
-      g_out_nof_words => g_out_nof_words
-    )
-    port map (
-      rst            => rst,
-      clk            => clk,
-      in_dat         => pack_dat,
-      in_val         => pack_val,
-      in_sof         => pack_sof,
-      in_eof         => pack_eof,
-      out_dat        => repack_dat,
-      out_val        => repack_val,
-      out_sof        => repack_sof,
-      out_eof        => repack_eof
-    );
+      generic map (
+        g_in_dat_w      => g_in_dat_w,
+        g_in_nof_words  => g_in_nof_words,
+        g_out_dat_w     => g_out_dat_w,
+        g_out_nof_words => g_out_nof_words
+      )
+      port map (
+        rst            => rst,
+        clk            => clk,
+        in_dat         => pack_dat,
+        in_val         => pack_val,
+        in_sof         => pack_sof,
+        in_eof         => pack_eof,
+        out_dat        => repack_dat,
+        out_val        => repack_val,
+        out_sof        => repack_sof,
+        out_eof        => repack_eof
+      );
 
     repack_fsn <= RESIZE_SVEC(pack_fsn,repack_fsn'length);  -- pack_fsn remains valid
 
     frame : entity work.dp_frame
-    generic map (
-      g_dat_w        => g_out_dat_w,
-      g_fsn_w        => g_out_dat_w
-    )
-    port map (
-      rst            => rst,
-      clk            => clk,
-      in_fsn         => repack_fsn,
-      in_dat         => repack_dat,
-      in_val         => repack_val,
-      in_sof         => repack_sof,
-      in_eof         => repack_eof,
-      in_err         => pack_err,  -- pack_err remains valid
-      out_dat        => out_dat,
-      out_val        => out_val,
-      out_sof        => out_sof,
-      out_eof        => out_eof
-    );
+      generic map (
+        g_dat_w        => g_out_dat_w,
+        g_fsn_w        => g_out_dat_w
+      )
+      port map (
+        rst            => rst,
+        clk            => clk,
+        in_fsn         => repack_fsn,
+        in_dat         => repack_dat,
+        in_val         => repack_val,
+        in_sof         => repack_sof,
+        in_eof         => repack_eof,
+        in_err         => pack_err,  -- pack_err remains valid
+        out_dat        => out_dat,
+        out_val        => out_val,
+        out_sof        => out_sof,
+        out_eof        => out_eof
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_frame_rx.vhd b/libraries/base/dp/src/vhdl/dp_frame_rx.vhd
index 6b03e09732..87c2af8e27 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_rx.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_rx.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_packetizing_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_packetizing_pkg.all;
 
 -- Reuse from LOFAR rad_frame_rx.vhd and rad_frame_rx(rtl).vhd
 
@@ -142,10 +142,11 @@ architecture rtl of dp_frame_rx is
   signal nxt_flush_en         : std_logic;
   signal flush_val            : std_logic;
 
-  procedure proc_handle_rx_timeout(signal   valid       : in    std_logic;
-                                   signal   timeout_evt : in    std_logic;
-                                   signal   clr         : out   std_logic;
-                                   variable v_state     : inout t_state) is  -- use variable v_state instead of signal to avoid getting latches
+  procedure proc_handle_rx_timeout (
+    signal   valid       : in    std_logic;
+    signal   timeout_evt : in    std_logic;
+    signal   clr         : out   std_logic;
+    variable v_state     : inout t_state) is  -- use variable v_state instead of signal to avoid getting latches
   begin
     if valid = '1' then
       clr <= '1';  -- restart timeout_cnt during frame rx and remain in current state
@@ -215,15 +216,15 @@ begin
 
   gen_timeout : if g_timeout_w > 0 generate
     u_timeout_cnt : entity common_lib.common_counter
-    generic map (
-      g_width     => c_timeout_cnt_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      cnt_clr => timeout_cnt_clr,
-      count   => timeout_cnt
-    );
+      generic map (
+        g_width     => c_timeout_cnt_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        cnt_clr => timeout_cnt_clr,
+        count   => timeout_cnt
+      );
 
     timeout_evt <= timeout_cnt(g_timeout_w);  -- check MSbit for timeout of 2**g_timeout_w clk cycles
 
diff --git a/libraries/base/dp/src/vhdl/dp_frame_scheduler.vhd b/libraries/base/dp/src/vhdl/dp_frame_scheduler.vhd
index 9488c327fb..23b48c2c64 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_scheduler.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_scheduler.vhd
@@ -41,11 +41,11 @@
 --   they are written into the input FIFO.
 
 library IEEE,common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_frame_scheduler is
   generic (
@@ -161,16 +161,16 @@ begin
     wr_siso(I).xon   <= not in_dis(I);
 
     u_xonoff : entity work.dp_xonoff
-    port map (
-      rst           => rst,
-      clk           => clk,
-      -- Frame in
-      in_siso       => OPEN,
-      in_sosi       => snk_in(I),
-      -- Frame out
-      out_siso      => wr_siso(I),  -- flush control via xon, ready is not used and only passed on
-      out_sosi      => wr_sosi(I)
-    );
+      port map (
+        rst           => rst,
+        clk           => clk,
+        -- Frame in
+        in_siso       => OPEN,
+        in_sosi       => snk_in(I),
+        -- Frame out
+        out_siso      => wr_siso(I),  -- flush control via xon, ready is not used and only passed on
+        out_sosi      => wr_sosi(I)
+      );
 
     -- Input FIFO
     rd_siso(I).ready <= rd_req(I);
@@ -181,31 +181,31 @@ begin
     rd_eof(I) <= rd_sosi(I).eop;
 
     u_fill : entity work.dp_fifo_fill
-    generic map (
-      g_technology  => g_technology,
-      g_data_w      => g_dat_w,
-      g_empty_w     => 1,
-      g_channel_w   => 1,
-      g_error_w     => 1,
-      g_use_empty   => false,
-      g_use_channel => false,
-      g_use_error   => false,
-      g_fifo_fill   => c_fifo_fill(I),
-      g_fifo_size   => c_fifo_size(I),
-      g_fifo_rl     => g_fifo_rl
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-      -- ST sink
-      snk_out     => OPEN,  -- OUT = request to upstream ST source
-      snk_in      => wr_sosi(I),
-      -- ST source
-      src_in      => rd_siso(I),  -- IN  = request from downstream ST sink
-      src_out     => rd_sosi(I),
+      generic map (
+        g_technology  => g_technology,
+        g_data_w      => g_dat_w,
+        g_empty_w     => 1,
+        g_channel_w   => 1,
+        g_error_w     => 1,
+        g_use_empty   => false,
+        g_use_channel => false,
+        g_use_error   => false,
+        g_fifo_fill   => c_fifo_fill(I),
+        g_fifo_size   => c_fifo_size(I),
+        g_fifo_rl     => g_fifo_rl
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sink
+        snk_out     => OPEN,  -- OUT = request to upstream ST source
+        snk_in      => wr_sosi(I),
+        -- ST source
+        src_in      => rd_siso(I),  -- IN  = request from downstream ST sink
+        src_out     => rd_sosi(I),
 
-      wr_ful      => gp_out(I)
-    );
+        wr_ful      => gp_out(I)
+      );
   end generate;
 
   -- Output select
diff --git a/libraries/base/dp/src/vhdl/dp_frame_status.vhd b/libraries/base/dp/src/vhdl/dp_frame_status.vhd
index f8562ab914..6b04ca8988 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_status.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_status.vhd
@@ -31,9 +31,9 @@
 
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity dp_frame_status is
   generic (
@@ -130,33 +130,33 @@ begin
 
   -- frame sync detection
   u_fsync_det : entity common_lib.common_switch
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => fsync,  -- frame sync
-    switch_low  => sync_dly,  -- system sync
-    out_level   => fsync_det
-  );
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => fsync,  -- frame sync
+      switch_low  => sync_dly,  -- system sync
+      out_level   => fsync_det
+    );
 
   -- any frame brc over fsync interval
   u_brc_det : entity common_lib.common_switch
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => brc,  -- frame brc
-    switch_low  => fsync,  -- frame sync
-    out_level   => brc_det
-  );
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => brc,  -- frame brc
+      switch_low  => fsync,  -- frame sync
+      out_level   => brc_det
+    );
 
   -- any frame discarded over fsync interval
   u_dis_det : entity common_lib.common_switch
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => in_dis,  -- frame discarded
-    switch_low  => fsync,  -- frame sync
-    out_level   => dis_det
-  );
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => in_dis,  -- frame discarded
+      switch_low  => fsync,  -- frame sync
+      out_level   => dis_det
+    );
 
   -- frame count over fsync interval
   p_frame_cnt : process(cnt, fsync, in_eof)
diff --git a/libraries/base/dp/src/vhdl/dp_frame_tx.vhd b/libraries/base/dp/src/vhdl/dp_frame_tx.vhd
index a16930526b..bc6b552c64 100644
--- a/libraries/base/dp/src/vhdl/dp_frame_tx.vhd
+++ b/libraries/base/dp/src/vhdl/dp_frame_tx.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_packetizing_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_packetizing_pkg.all;
 
 -- Reuse from LOFAR rad_frame_tx.vhd and rad_frame_tx(rtl).vhd
 
diff --git a/libraries/base/dp/src/vhdl/dp_gap.vhd b/libraries/base/dp/src/vhdl/dp_gap.vhd
index 0c5e71520f..d3c46de91d 100644
--- a/libraries/base/dp/src/vhdl/dp_gap.vhd
+++ b/libraries/base/dp/src/vhdl/dp_gap.vhd
@@ -34,17 +34,17 @@
 --   valid data work comes in.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity dp_gap is
   generic (
     g_dat_len    : natural := 1000000;
     g_gap_len    : natural := 5;
     g_gap_extend : boolean := false  -- if TRUE, the first valid='0' cycle is extended to g_gap_len by de-assertion of snk_out.ready.
-    );  -- This results in all gaps having a minimum length of g_gap_len.
+  );  -- This results in all gaps having a minimum length of g_gap_len.
   port (
     clk       : in std_logic;
     rst       : in std_logic;
@@ -101,45 +101,45 @@ begin
 
       case state is
 
-      when s_wait_for_val =>  -- Wait for valid data to come in
-        if snk_in.valid = '1' then
-          nxt_clk_cnt <= TO_UVEC(1, c_dat_len_w);
-          nxt_gap_cnt <= (others => '0');
-          nxt_state   <= s_counting;
-        end if;
-
-      when s_counting =>  -- Start counting cycles
-        nxt_clk_cnt <= INCR_UVEC(clk_cnt, 1);
-        if clk_cnt = TO_UVEC(g_dat_len - 1, c_dat_len_w) then  -- time to force a gap
-          nxt_state     <= s_force_not_rdy;
-          snk_out.ready <= '0';
-          nxt_clk_cnt   <= TO_UVEC(1, c_dat_len_w);  -- we already have 1 clk cycle with ready='0' here
-        end if;
-        if snk_in.valid = '0' then  -- Also start counting any invalid cycles
-          if g_gap_extend = true then
-            snk_out.ready <= '0';  -- Keep ready de-asserted. Gap_cnt will increment so it will be released again after g_gap_len.
+        when s_wait_for_val =>  -- Wait for valid data to come in
+          if snk_in.valid = '1' then
+            nxt_clk_cnt <= TO_UVEC(1, c_dat_len_w);
+            nxt_gap_cnt <= (others => '0');
+            nxt_state   <= s_counting;
+          end if;
+
+        when s_counting =>  -- Start counting cycles
+          nxt_clk_cnt <= INCR_UVEC(clk_cnt, 1);
+          if clk_cnt = TO_UVEC(g_dat_len - 1, c_dat_len_w) then  -- time to force a gap
+            nxt_state     <= s_force_not_rdy;
+            snk_out.ready <= '0';
+            nxt_clk_cnt   <= TO_UVEC(1, c_dat_len_w);  -- we already have 1 clk cycle with ready='0' here
+          end if;
+          if snk_in.valid = '0' then  -- Also start counting any invalid cycles
+            if g_gap_extend = true then
+              snk_out.ready <= '0';  -- Keep ready de-asserted. Gap_cnt will increment so it will be released again after g_gap_len.
+            end if;
+            nxt_gap_cnt <= INCR_UVEC(gap_cnt, 1);
+          else
+            nxt_gap_cnt <= (others => '0');
           end if;
-          nxt_gap_cnt <= INCR_UVEC(gap_cnt, 1);
-        else
-          nxt_gap_cnt <= (others => '0');
-        end if;
-        if gap_cnt = TO_UVEC(g_gap_len - 1, c_gap_len_w) and snk_in.valid = '0' then  -- A gap of sufficient length occured by itself (or valid='0' was extended); no need to force gap
-        -- We've counted g_gap_len-1, plus the current gap cycle = g_gap_len
-          nxt_gap_cnt <= (others => '0');
-          nxt_clk_cnt <= (others => '0');
-          nxt_state   <= s_wait_for_val;
-          if g_gap_extend = true then
-            snk_out.ready <= src_in.ready;  -- Release the ready signal again if it was forced down because of gap extension
+          if gap_cnt = TO_UVEC(g_gap_len - 1, c_gap_len_w) and snk_in.valid = '0' then  -- A gap of sufficient length occured by itself (or valid='0' was extended); no need to force gap
+            -- We've counted g_gap_len-1, plus the current gap cycle = g_gap_len
+            nxt_gap_cnt <= (others => '0');
+            nxt_clk_cnt <= (others => '0');
+            nxt_state   <= s_wait_for_val;
+            if g_gap_extend = true then
+              snk_out.ready <= src_in.ready;  -- Release the ready signal again if it was forced down because of gap extension
+            end if;
+          end if;
+
+        when s_force_not_rdy =>  -- Force snk_out.ready to '0' for g_gap_len clk cycles
+          snk_out.ready <= '0';
+          nxt_clk_cnt <= INCR_UVEC(clk_cnt, 1);
+          if clk_cnt = TO_UVEC(g_gap_len - 1, c_dat_len_w) then
+            nxt_state   <= s_wait_for_val;
+            nxt_clk_cnt <= (others => '0');
           end if;
-        end if;
-
-      when s_force_not_rdy =>  -- Force snk_out.ready to '0' for g_gap_len clk cycles
-        snk_out.ready <= '0';
-        nxt_clk_cnt <= INCR_UVEC(clk_cnt, 1);
-        if clk_cnt = TO_UVEC(g_gap_len - 1, c_dat_len_w) then
-          nxt_state   <= s_wait_for_val;
-          nxt_clk_cnt <= (others => '0');
-        end if;
 
       end case;
     end process;
diff --git a/libraries/base/dp/src/vhdl/dp_hdr_insert.vhd b/libraries/base/dp/src/vhdl/dp_hdr_insert.vhd
index 2b8996552a..e60a2dc907 100644
--- a/libraries/base/dp/src/vhdl/dp_hdr_insert.vhd
+++ b/libraries/base/dp/src/vhdl/dp_hdr_insert.vhd
@@ -25,10 +25,10 @@
 -- and g_symbol_w.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_hdr_insert is
   generic (
@@ -71,25 +71,25 @@ begin
 
   no_bypass: if g_internal_bypass = false generate
     u_dp_ram_from_mm : entity work.mms_dp_ram_from_mm
-    generic map (
-      g_ram_wr_nof_words => g_hdr_nof_words * (g_data_w / c_word_w),
-      g_ram_rd_dat_w     => g_data_w,
-      g_init_file        => g_init_hdr,
-      g_dp_on_at_init    => g_dp_on_at_init
-    )
-    port map (
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-
-      st_rst      => st_rst,
-      st_clk      => st_clk,
-
-      reg_mosi    => reg_mosi,
-      ram_mosi    => ram_mosi,
-
-      src_in      => hdr_siso,
-      src_out     => hdr_sosi
-    );
+      generic map (
+        g_ram_wr_nof_words => g_hdr_nof_words * (g_data_w / c_word_w),
+        g_ram_rd_dat_w     => g_data_w,
+        g_init_file        => g_init_hdr,
+        g_dp_on_at_init    => g_dp_on_at_init
+      )
+      port map (
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+
+        st_rst      => st_rst,
+        st_clk      => st_clk,
+
+        reg_mosi    => reg_mosi,
+        ram_mosi    => ram_mosi,
+
+        src_in      => hdr_siso,
+        src_out     => hdr_sosi
+      );
 
     hdr_siso           <= concat_siso_arr(0);
     snk_out            <= concat_siso_arr(1);
@@ -97,20 +97,20 @@ begin
     concat_sosi_arr(1) <= snk_in;
 
     u_dp_concat : entity work.dp_concat  -- RL = 1
-    generic map (
-      g_data_w    => g_data_w,
-      g_symbol_w  => g_symbol_w
-    )
-    port map (
-      rst         => st_rst,
-      clk         => st_clk,
-      -- ST sinks
-      snk_out_arr => concat_siso_arr,
-      snk_in_arr  => concat_sosi_arr,
-      -- ST source
-      src_in      => src_in,
-      src_out     => src_out
-    );
+      generic map (
+        g_data_w    => g_data_w,
+        g_symbol_w  => g_symbol_w
+      )
+      port map (
+        rst         => st_rst,
+        clk         => st_clk,
+        -- ST sinks
+        snk_out_arr => concat_siso_arr,
+        snk_in_arr  => concat_sosi_arr,
+        -- ST source
+        src_in      => src_in,
+        src_out     => src_out
+      );
   end generate;
 
   gen_bypass : if g_internal_bypass = true generate
diff --git a/libraries/base/dp/src/vhdl/dp_hdr_remove.vhd b/libraries/base/dp/src/vhdl/dp_hdr_remove.vhd
index dec86251ea..4c3a889758 100644
--- a/libraries/base/dp/src/vhdl/dp_hdr_remove.vhd
+++ b/libraries/base/dp/src/vhdl/dp_hdr_remove.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_hdr_remove is
   generic (
@@ -61,42 +61,42 @@ architecture str of dp_hdr_remove is
 begin
 
   u_dp_ram_to_mm : entity work.dp_ram_to_mm
-  generic map (
-    g_ram_rd_nof_words => g_hdr_nof_words * (g_data_w / c_word_w),
-    g_ram_wr_dat_w     => g_data_w
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    st_rst           => st_rst,
-    st_clk           => st_clk,
-
-    sla_out          => sla_out,
-    sla_in           => sla_in,
-
-    snk_in           => split_sosi_arr(0),
-    snk_out          => split_siso_arr(0)
-  );
+    generic map (
+      g_ram_rd_nof_words => g_hdr_nof_words * (g_data_w / c_word_w),
+      g_ram_wr_dat_w     => g_data_w
+    )
+    port map (
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      st_rst           => st_rst,
+      st_clk           => st_clk,
+
+      sla_out          => sla_out,
+      sla_in           => sla_in,
+
+      snk_in           => split_sosi_arr(0),
+      snk_out          => split_siso_arr(0)
+    );
 
   split_siso_arr(1)  <= src_in;
   src_out            <= split_sosi_arr(1);
 
   u_split : entity work.dp_split  -- RL = 1
-  generic map (
-    g_data_w        => g_data_w,
-    g_symbol_w      => g_symbol_w,
-    g_nof_symbols   => c_nof_symbols
-  )
-  port map (
-    rst         => st_rst,
-    clk         => st_clk,
-    -- ST sinks
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    -- ST source
-    src_in_arr  => split_siso_arr,
-    src_out_arr => split_sosi_arr
-  );
+    generic map (
+      g_data_w        => g_data_w,
+      g_symbol_w      => g_symbol_w,
+      g_nof_symbols   => c_nof_symbols
+    )
+    port map (
+      rst         => st_rst,
+      clk         => st_clk,
+      -- ST sinks
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      -- ST source
+      src_in_arr  => split_siso_arr,
+      src_out_arr => split_sosi_arr
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_hold_ctrl.vhd b/libraries/base/dp/src/vhdl/dp_hold_ctrl.vhd
index 20e2217497..b9ee8deea3 100644
--- a/libraries/base/dp/src/vhdl/dp_hold_ctrl.vhd
+++ b/libraries/base/dp/src/vhdl/dp_hold_ctrl.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose:
 --   Hold hld_ctrl active until next ready high when in_ctrl is active while
@@ -59,12 +59,12 @@ begin
   lo_ctrl <= not in_ctrl and     ready;  -- release
 
   u_hld_ctrl : entity common_lib.common_switch
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => hi_ctrl,
-    switch_low  => lo_ctrl,
-    out_level   => hld_ctrl
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => hi_ctrl,
+      switch_low  => lo_ctrl,
+      out_level   => hld_ctrl
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_hold_data.vhd b/libraries/base/dp/src/vhdl/dp_hold_data.vhd
index 98eeac0af0..f4b611937f 100644
--- a/libraries/base/dp/src/vhdl/dp_hold_data.vhd
+++ b/libraries/base/dp/src/vhdl/dp_hold_data.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose:
 --   Hold the in_data value when in_en is inactive. The held data can then be
diff --git a/libraries/base/dp/src/vhdl/dp_hold_input.vhd b/libraries/base/dp/src/vhdl/dp_hold_input.vhd
index 14ec716ede..f34dffeb4e 100644
--- a/libraries/base/dp/src/vhdl/dp_hold_input.vhd
+++ b/libraries/base/dp/src/vhdl/dp_hold_input.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Hold the sink input
@@ -94,40 +94,40 @@ begin
   -- of a next packet to get pushed out.
 
   u_hold_val : entity work.dp_hold_ctrl
-  port map (
-    rst      => rst,
-    clk      => clk,
-    ready    => src_in.ready,
-    in_ctrl  => snk_in.valid,
-    hld_ctrl => hold_in.valid
-  );
+    port map (
+      rst      => rst,
+      clk      => clk,
+      ready    => src_in.ready,
+      in_ctrl  => snk_in.valid,
+      hld_ctrl => hold_in.valid
+    );
 
   u_hold_sync : entity work.dp_hold_ctrl
-  port map (
-    rst      => rst,
-    clk      => clk,
-    ready    => src_in.ready,
-    in_ctrl  => snk_in.sync,
-    hld_ctrl => hold_in.sync
-  );
+    port map (
+      rst      => rst,
+      clk      => clk,
+      ready    => src_in.ready,
+      in_ctrl  => snk_in.sync,
+      hld_ctrl => hold_in.sync
+    );
 
   u_hold_sop : entity work.dp_hold_ctrl
-  port map (
-    rst      => rst,
-    clk      => clk,
-    ready    => src_in.ready,
-    in_ctrl  => snk_in.sop,
-    hld_ctrl => hold_in.sop
-  );
+    port map (
+      rst      => rst,
+      clk      => clk,
+      ready    => src_in.ready,
+      in_ctrl  => snk_in.sop,
+      hld_ctrl => hold_in.sop
+    );
 
   u_hold_eop : entity work.dp_hold_ctrl
-  port map (
-    rst      => rst,
-    clk      => clk,
-    ready    => src_in.ready,
-    in_ctrl  => snk_in.eop,
-    hld_ctrl => hold_in.eop
-  );
+    port map (
+      rst      => rst,
+      clk      => clk,
+      ready    => src_in.ready,
+      in_ctrl  => snk_in.eop,
+      hld_ctrl => hold_in.eop
+    );
 
   p_pend_src_out : process(snk_in, src_out_reg, hold_in)
   begin
diff --git a/libraries/base/dp/src/vhdl/dp_interleave_n_to_one.vhd b/libraries/base/dp/src/vhdl/dp_interleave_n_to_one.vhd
index 752ddb09a9..95a7ad28e4 100755
--- a/libraries/base/dp/src/vhdl/dp_interleave_n_to_one.vhd
+++ b/libraries/base/dp/src/vhdl/dp_interleave_n_to_one.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author:
 -- . Eric Kooistra
@@ -193,18 +193,18 @@ begin
 
   -- Pipeline output to easy timing closure
   u_pipeline_output : entity work.dp_pipeline
-  generic map (
-    g_pipeline => g_pipeline  -- 0 for wires, > 0 for registers
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    -- ST sink
-    snk_out  => out_siso,
-    snk_in   => out_sosi,
-    -- ST source
-    src_in   => src_in,
-    src_out  => src_out
-  );
+    generic map (
+      g_pipeline => g_pipeline  -- 0 for wires, > 0 for registers
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      -- ST sink
+      snk_out  => out_siso,
+      snk_in   => out_sosi,
+      -- ST source
+      src_in   => src_in,
+      src_out  => src_out
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_latency_adapter.vhd b/libraries/base/dp/src/vhdl/dp_latency_adapter.vhd
index c1f762e52f..d8aae69dc9 100644
--- a/libraries/base/dp/src/vhdl/dp_latency_adapter.vhd
+++ b/libraries/base/dp/src/vhdl/dp_latency_adapter.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Adapt the g_in_latency input ready to the g_out_latency output latency.
@@ -108,20 +108,20 @@ begin
   no_fifo : if c_diff_latency > 0 generate  -- g_out_latency > g_in_latency
     -- Go from g_in_latency to required larger g_out_latency
     u_latency : entity work.dp_latency_increase
-    generic map (
-      g_in_latency   => g_in_latency,
-      g_incr_latency => c_diff_latency
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sink
-      snk_out   => i_snk_out,
-      snk_in    => snk_in,
-      -- ST source
-      src_in    => src_in,
-      src_out   => src_out
-    );
+      generic map (
+        g_in_latency   => g_in_latency,
+        g_incr_latency => c_diff_latency
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sink
+        snk_out   => i_snk_out,
+        snk_in    => snk_in,
+        -- ST source
+        src_in    => src_in,
+        src_out   => src_out
+      );
   end generate no_fifo;
 
 
@@ -181,10 +181,10 @@ begin
         nxt_fifo_reg(c_high).sync  <= '0';
         nxt_fifo_reg(c_high).sop   <= '0';
         nxt_fifo_reg(c_high).eop   <= '0';
-        -- Forcing the nxt_fifo_reg[h] control fields to '0' is robust, but not
-        -- strictly necessary, because the control fields in fifo_reg[h] will
-        -- have been set to '0' already earlier due to the snk_in when
-        -- ff_siso.ready was '0'.
+      -- Forcing the nxt_fifo_reg[h] control fields to '0' is robust, but not
+      -- strictly necessary, because the control fields in fifo_reg[h] will
+      -- have been set to '0' already earlier due to the snk_in when
+      -- ff_siso.ready was '0'.
       end if;
 
       -- Put input data at the first available location dependent on ff_siso.ready, no need to explicitly check snk_in.valid
@@ -241,20 +241,20 @@ begin
 
     -- Go from 0 FIFO latency to required g_out_latency (only wires when g_out_latency=0)
     u_latency : entity work.dp_latency_increase
-    generic map (
-      g_in_latency   => 0,
-      g_incr_latency => g_out_latency
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sink
-      snk_out   => ff_siso,
-      snk_in    => ff_sosi,
-      -- ST source
-      src_in    => src_in,
-      src_out   => src_out
-    );
+      generic map (
+        g_in_latency   => 0,
+        g_incr_latency => g_out_latency
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sink
+        snk_out   => ff_siso,
+        snk_in    => ff_sosi,
+        -- ST source
+        src_in    => src_in,
+        src_out   => src_out
+      );
   end generate gen_fifo;
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_latency_fifo.vhd b/libraries/base/dp/src/vhdl/dp_latency_fifo.vhd
index dafab5c55e..bdf961839a 100644
--- a/libraries/base/dp/src/vhdl/dp_latency_fifo.vhd
+++ b/libraries/base/dp/src/vhdl/dp_latency_fifo.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose: Simple DP fifo in registers.
 -- Description:
@@ -106,24 +106,24 @@ begin
     wr_ful <= not i_snk_out.ready;
 
     u_dp_latency_adapter : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency  => c_adapter_input_rl,
-      g_out_latency => c_adapter_output_rl
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- Monitor internal FIFO filling
-      fifo_usedw   => i_usedw,
-      fifo_ful     => OPEN,
-      fifo_emp     => rd_emp,
-      -- ST sink
-      snk_out      => fifo_snk_out,
-      snk_in       => snk_in,
-      -- ST source
-      src_in       => src_in,
-      src_out      => src_out
-    );
+      generic map (
+        g_in_latency  => c_adapter_input_rl,
+        g_out_latency => c_adapter_output_rl
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- Monitor internal FIFO filling
+        fifo_usedw   => i_usedw,
+        fifo_ful     => OPEN,
+        fifo_emp     => rd_emp,
+        -- ST sink
+        snk_out      => fifo_snk_out,
+        snk_in       => snk_in,
+        -- ST source
+        src_in       => src_in,
+        src_out      => src_out
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_latency_increase.vhd b/libraries/base/dp/src/vhdl/dp_latency_increase.vhd
index 60f10f3d20..145a525889 100644
--- a/libraries/base/dp/src/vhdl/dp_latency_increase.vhd
+++ b/libraries/base/dp/src/vhdl/dp_latency_increase.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Typically used in dp_latency_adapter.
diff --git a/libraries/base/dp/src/vhdl/dp_loopback.vhd b/libraries/base/dp/src/vhdl/dp_loopback.vhd
index 55a9436316..5779680798 100644
--- a/libraries/base/dp/src/vhdl/dp_loopback.vhd
+++ b/libraries/base/dp/src/vhdl/dp_loopback.vhd
@@ -19,10 +19,10 @@
 --
 --------------------------------------------------------------------------------
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- General function:
 -- =================
@@ -145,84 +145,84 @@ begin
   demux_1_siso_arr(2) <= c_dp_siso_flush;
 
   u_dp_demux_0: entity work.dp_demux
-  generic map (
-    g_mode            => 2,
-    g_nof_output      => c_nof_demux_out,
-    g_combined        => false,
-    g_sel_ctrl_invert => true,
-    g_sel_ctrl_pkt    => true
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out     => snk_out_arr(0),
-    snk_in      => snk_in_arr(0),
-
-    src_in_arr  => demux_0_siso_arr,
-    src_out_arr => demux_0_sosi_arr,
-
-    sel_ctrl    => demux_0_sel_ctrl,
-    sel_stat    => demux_0_sel_stat
-  );
+    generic map (
+      g_mode            => 2,
+      g_nof_output      => c_nof_demux_out,
+      g_combined        => false,
+      g_sel_ctrl_invert => true,
+      g_sel_ctrl_pkt    => true
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out     => snk_out_arr(0),
+      snk_in      => snk_in_arr(0),
+
+      src_in_arr  => demux_0_siso_arr,
+      src_out_arr => demux_0_sosi_arr,
+
+      sel_ctrl    => demux_0_sel_ctrl,
+      sel_stat    => demux_0_sel_stat
+    );
 
   u_dp_demux_1: entity work.dp_demux
-  generic map (
-    g_mode            => 2,
-    g_nof_output      => c_nof_demux_out,
-    g_combined        => false,
-    g_sel_ctrl_invert => true,
-    g_sel_ctrl_pkt    => true
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out     => snk_out_arr(1),
-    snk_in      => snk_in_arr(1),
-
-    src_in_arr  => demux_1_siso_arr,
-    src_out_arr => demux_1_sosi_arr,
-
-    sel_ctrl    => demux_1_sel_ctrl,
-    sel_stat    => demux_1_sel_stat
-  );
+    generic map (
+      g_mode            => 2,
+      g_nof_output      => c_nof_demux_out,
+      g_combined        => false,
+      g_sel_ctrl_invert => true,
+      g_sel_ctrl_pkt    => true
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out     => snk_out_arr(1),
+      snk_in      => snk_in_arr(1),
+
+      src_in_arr  => demux_1_siso_arr,
+      src_out_arr => demux_1_sosi_arr,
+
+      sel_ctrl    => demux_1_sel_ctrl,
+      sel_stat    => demux_1_sel_stat
+    );
 
   u_dp_mux_0 : entity work.dp_mux
-  generic map (
-    g_mode            => 2,
-    g_sel_ctrl_invert => true
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
+    generic map (
+      g_mode            => 2,
+      g_sel_ctrl_invert => true
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
 
-    snk_out_arr => mux_0_siso_arr,
-    snk_in_arr  => mux_0_sosi_arr,
+      snk_out_arr => mux_0_siso_arr,
+      snk_in_arr  => mux_0_sosi_arr,
 
-    src_in      => src_in_arr(0),
-    src_out     => src_out_arr(0),
+      src_in      => src_in_arr(0),
+      src_out     => src_out_arr(0),
 
-    sel_ctrl    => mux_0_sel_ctrl
-  );
+      sel_ctrl    => mux_0_sel_ctrl
+    );
 
   u_dp_mux_1 : entity work.dp_mux
-  generic map (
-    g_mode            => 2,
-    g_sel_ctrl_invert => true
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out_arr => mux_1_siso_arr,
-    snk_in_arr  => mux_1_sosi_arr,
-
-    src_in      => src_in_arr(1),
-    src_out     => src_out_arr(1),
-
-    sel_ctrl    => mux_1_sel_ctrl
-  );
+    generic map (
+      g_mode            => 2,
+      g_sel_ctrl_invert => true
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out_arr => mux_1_siso_arr,
+      snk_in_arr  => mux_1_sosi_arr,
+
+      src_in      => src_in_arr(1),
+      src_out     => src_out_arr(1),
+
+      sel_ctrl    => mux_1_sel_ctrl
+    );
 
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_mon.vhd b/libraries/base/dp/src/vhdl/dp_mon.vhd
index 69b0e55d70..0b312f5bb1 100644
--- a/libraries/base/dp/src/vhdl/dp_mon.vhd
+++ b/libraries/base/dp/src/vhdl/dp_mon.vhd
@@ -23,10 +23,10 @@
 -- passing stream in any way - it monitors the stream and keeps useful stats.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity dp_mon is
   generic (
@@ -63,18 +63,18 @@ begin
   snk_accept <= snk_in.valid when g_latency > 0 else snk_in.valid and src_in.ready;
 
   u_word_cntr : entity common_lib.common_counter
-  generic map (
-    g_width     => 32,
-    g_step_size => 1,
-    g_latency   => 0
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => clr,
-    cnt_en  => snk_accept,
-    count   => word_cnt
-  );
+    generic map (
+      g_width     => 32,
+      g_step_size => 1,
+      g_latency   => 0
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => clr,
+      cnt_en  => snk_accept,
+      count   => word_cnt
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_mux.vhd b/libraries/base/dp/src/vhdl/dp_mux.vhd
index ce42b95054..a3ffaaf54f 100644
--- a/libraries/base/dp/src/vhdl/dp_mux.vhd
+++ b/libraries/base/dp/src/vhdl/dp_mux.vhd
@@ -79,11 +79,11 @@
 --   use g_append_channel_lo=FALSE in combination with g_mode=2.
 
 library IEEE,common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_mux is
   generic (
@@ -215,34 +215,34 @@ begin
   gen_input : for I in 0 to g_nof_input - 1 generate
     gen_fifo : if g_use_fifo = true generate
       u_fill : entity work.dp_fifo_fill
-      generic map (
-        g_technology     => g_technology,
-        g_bsn_w          => g_bsn_w,
-        g_data_w         => g_data_w,
-        g_empty_w        => g_empty_w,
-        g_channel_w      => g_in_channel_w,
-        g_error_w        => g_error_w,
-        g_use_bsn        => g_use_bsn,
-        g_use_empty      => g_use_empty,
-        g_use_channel    => g_use_in_channel,
-        g_use_error      => g_use_error,
-        g_use_sync       => g_use_sync,
-        g_fifo_fill      => c_fifo_fill(I),
-        g_fifo_size      => c_fifo_size(I),
-        g_fifo_af_margin => g_fifo_af_margin,
-        g_fifo_af_xon    => g_fifo_af_xon,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst      => rst,
-        clk      => clk,
-        -- ST sink
-        snk_out  => i_snk_out_arr(I),
-        snk_in   => snk_in_arr(I),
-        -- ST source
-        src_in   => rd_siso_arr(I),
-        src_out  => rd_sosi_arr(I)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_bsn_w          => g_bsn_w,
+          g_data_w         => g_data_w,
+          g_empty_w        => g_empty_w,
+          g_channel_w      => g_in_channel_w,
+          g_error_w        => g_error_w,
+          g_use_bsn        => g_use_bsn,
+          g_use_empty      => g_use_empty,
+          g_use_channel    => g_use_in_channel,
+          g_use_error      => g_use_error,
+          g_use_sync       => g_use_sync,
+          g_fifo_fill      => c_fifo_fill(I),
+          g_fifo_size      => c_fifo_size(I),
+          g_fifo_af_margin => g_fifo_af_margin,
+          g_fifo_af_xon    => g_fifo_af_xon,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst      => rst,
+          clk      => clk,
+          -- ST sink
+          snk_out  => i_snk_out_arr(I),
+          snk_in   => snk_in_arr(I),
+          -- ST source
+          src_in   => rd_siso_arr(I),
+          src_out  => rd_sosi_arr(I)
+        );
     end generate;
     no_fifo : if g_use_fifo = false generate
       i_snk_out_arr <= rd_siso_arr;
@@ -251,18 +251,18 @@ begin
 
     -- Hold the sink input to be able to register the source output
     u_hold : entity work.dp_hold_input
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => OPEN,  -- SISO ready
-      snk_in       => rd_sosi_arr(I),  -- SOSI
-      -- ST source
-      src_in       => hold_src_in_arr(I),  -- SISO ready
-      next_src_out => next_src_out_arr(I),  -- SOSI
-      pend_src_out => pend_src_out_arr(I),
-      src_out_reg  => src_out_hi
-    );
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => OPEN,  -- SISO ready
+        snk_in       => rd_sosi_arr(I),  -- SOSI
+        -- ST source
+        src_in       => hold_src_in_arr(I),  -- SISO ready
+        next_src_out => next_src_out_arr(I),  -- SOSI
+        pend_src_out => pend_src_out_arr(I),
+        src_out_reg  => src_out_hi
+      );
   end generate;
 
   -- Register and adjust external MM sel_ctrl for g_sel_ctrl_invert
@@ -292,16 +292,16 @@ begin
 
   gen_sel_ctrl_framed : if g_mode = 4 generate
     u_dp_frame_busy_arr : entity work.dp_frame_busy_arr
-    generic map (
-      g_nof_inputs => g_nof_input,
-      g_pipeline   => 1  -- register snk_in_busy to ease timing closure
-    )
-    port map (
-      rst             => rst,
-      clk             => clk,
-      snk_in_arr      => rd_sosi_arr,
-      snk_in_busy_arr => rd_sosi_busy_arr
-    );
+      generic map (
+        g_nof_inputs => g_nof_input,
+        g_pipeline   => 1  -- register snk_in_busy to ease timing closure
+      )
+      port map (
+        rst             => rst,
+        clk             => clk,
+        snk_in_arr      => rd_sosi_arr,
+        snk_in_busy_arr => rd_sosi_busy_arr
+      );
 
     hold_src_in_arr <= (others => c_dp_siso_rdy);  -- effectively bypass the dp_hold_input
 
diff --git a/libraries/base/dp/src/vhdl/dp_offload_rx.vhd b/libraries/base/dp/src/vhdl/dp_offload_rx.vhd
index 42206bf7ba..6afc91c6c6 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_rx.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_rx.vhd
@@ -41,12 +41,12 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity dp_offload_rx is
   generic (
@@ -114,21 +114,21 @@ begin
   ---------------------------------------------------------------------------------------
   gen_nof_streams: for i in 0 to g_nof_streams - 1 generate
     u_dp_split : entity work.dp_split
-    generic map (
-      g_data_w        => g_data_w,
-      g_symbol_w      => c_symbol_w,
-      g_nof_symbols   => c_nof_header_symbols
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      snk_out     => snk_out_arr(i),
-      snk_in      => snk_in_arr(i),
-
-      src_in_arr  => dp_split_src_in_2arr(i),
-      src_out_arr => dp_split_src_out_2arr(i)
-    );
+      generic map (
+        g_data_w        => g_data_w,
+        g_symbol_w      => c_symbol_w,
+        g_nof_symbols   => c_nof_header_symbols
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_out     => snk_out_arr(i),
+        snk_in      => snk_in_arr(i),
+
+        src_in_arr  => dp_split_src_in_2arr(i),
+        src_out_arr => dp_split_src_out_2arr(i)
+      );
 
     -- In dp_split index 0 is head and index 1 is tail, but dp_split uses 0 TO
     -- 1 range and dp_split_src_in_2arr()() uses 1 DOWNTO 0 range, so:
@@ -143,32 +143,32 @@ begin
   ---------------------------------------------------------------------------------------
   gen_dp_field_blk : for i in 0 to g_nof_streams - 1 generate
     u_dp_field_blk : entity work.dp_field_blk
-    generic map (
-      g_field_arr    => field_arr_set_mode(g_hdr_field_arr , "RO"),
-      g_field_sel    => c_field_sel,
-      g_snk_data_w   => c_dp_field_blk_snk_data_w,  -- g_data_w,
-      g_src_data_w   => c_dp_field_blk_src_data_w,  -- field_slv_in_len(field_arr_set_mode(g_hdr_field_arr , "RO"))
-      g_in_symbol_w  => c_symbol_w,
-      g_out_symbol_w => c_symbol_w,
-      g_mode         => 2  -- sink mode
-    )
-    port map (
-      dp_rst       => dp_rst,
-      dp_clk       => dp_clk,
+      generic map (
+        g_field_arr    => field_arr_set_mode(g_hdr_field_arr , "RO"),
+        g_field_sel    => c_field_sel,
+        g_snk_data_w   => c_dp_field_blk_snk_data_w,  -- g_data_w,
+        g_src_data_w   => c_dp_field_blk_src_data_w,  -- field_slv_in_len(field_arr_set_mode(g_hdr_field_arr , "RO"))
+        g_in_symbol_w  => c_symbol_w,
+        g_out_symbol_w => c_symbol_w,
+        g_mode         => 2  -- sink mode
+      )
+      port map (
+        dp_rst       => dp_rst,
+        dp_clk       => dp_clk,
 
-      mm_rst       => mm_rst,
-      mm_clk       => mm_clk,
+        mm_rst       => mm_rst,
+        mm_clk       => mm_clk,
 
-      snk_in       => dp_split_src_out_2arr(i)(1),
+        snk_in       => dp_split_src_out_2arr(i)(1),
 
-      src_out      => dp_field_blk_src_out_arr(i),
+        src_out      => dp_field_blk_src_out_arr(i),
 
---      slv_out      => dp_field_blk_slv_out(i)(field_slv_len(g_hdr_field_arr)-1 DOWNTO 0),
---      slv_out_val  => dp_field_blk_slv_out_val(i),
+        --      slv_out      => dp_field_blk_slv_out(i)(field_slv_len(g_hdr_field_arr)-1 DOWNTO 0),
+        --      slv_out_val  => dp_field_blk_slv_out_val(i),
 
-      reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
-      reg_slv_miso => reg_hdr_dat_miso_arr(i)
-    );
+        reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
+        reg_slv_miso => reg_hdr_dat_miso_arr(i)
+      );
 
     dp_field_blk_slv_out(i)(c_dp_field_blk_src_data_w - 1 downto 0) <= dp_field_blk_src_out_arr(i).data(c_dp_field_blk_src_data_w - 1 downto 0);
     dp_field_blk_slv_out_val(i) <= dp_field_blk_src_out_arr(i).valid;
@@ -180,21 +180,21 @@ begin
   ---------------------------------------------------------------------------------------
   gen_dp_tail_remove : for i in 0 to g_nof_streams - 1 generate
     u_dp_tail_remove : entity work.dp_tail_remove
-    generic map (
-      g_data_w      => g_data_w,
-      g_symbol_w    => c_symbol_w,
-      g_nof_symbols => sel_a_b(g_remove_crc, g_crc_nof_words, 0)
-    )
-    port map (
-      st_rst  => dp_rst,
-      st_clk  => dp_clk,
-
-      snk_out => dp_split_src_in_2arr(i)(0),
-      snk_in  => dp_split_src_out_2arr(i)(0),  -- tail part
-
-      src_in  => dp_tail_remove_src_in_arr(i),
-      src_out => dp_tail_remove_src_out_arr(i)
-    );
+      generic map (
+        g_data_w      => g_data_w,
+        g_symbol_w    => c_symbol_w,
+        g_nof_symbols => sel_a_b(g_remove_crc, g_crc_nof_words, 0)
+      )
+      port map (
+        st_rst  => dp_rst,
+        st_clk  => dp_clk,
+
+        snk_out => dp_split_src_in_2arr(i)(0),
+        snk_in  => dp_split_src_out_2arr(i)(0),  -- tail part
+
+        src_in  => dp_tail_remove_src_in_arr(i),
+        src_out => dp_tail_remove_src_out_arr(i)
+      );
   end generate;
 
   src_out_arr <= dp_tail_remove_src_out_arr;
@@ -229,15 +229,15 @@ begin
   -- MM control & monitoring
   ---------------------------------------------------------------------------------------
   u_common_mem_mux_hdr_dat : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
-  )
-  port map (
-    mosi     => reg_hdr_dat_mosi,
-    miso     => reg_hdr_dat_miso,
-    mosi_arr => reg_hdr_dat_mosi_arr,
-    miso_arr => reg_hdr_dat_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
+    )
+    port map (
+      mosi     => reg_hdr_dat_mosi,
+      miso     => reg_hdr_dat_miso,
+      mosi_arr => reg_hdr_dat_mosi_arr,
+      miso_arr => reg_hdr_dat_miso_arr
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_offload_rx_filter.vhd b/libraries/base/dp/src/vhdl/dp_offload_rx_filter.vhd
index 4e55f098cd..a42657738b 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_rx_filter.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_rx_filter.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity dp_offload_rx_filter is
   generic (
-  	g_bypass							: boolean  := false;
+    g_bypass              : boolean  := false;
     g_nof_streams         : positive := 1;
     g_data_w              : natural;
     g_hdr_field_arr       : t_common_field_arr;
diff --git a/libraries/base/dp/src/vhdl/dp_offload_rx_filter_mm.vhd b/libraries/base/dp/src/vhdl/dp_offload_rx_filter_mm.vhd
index 4a1a1bbd76..17fb7b72e7 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_rx_filter_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_rx_filter_mm.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity dp_offload_rx_filter_mm is
   generic (
@@ -68,9 +68,9 @@ architecture str of dp_offload_rx_filter_mm  is
 
   constant c_field_slv_out_w : natural := field_slv_out_len(field_arr_set_mode(g_hdr_field_arr , "RW"));
 
-  constant c_nof_ena				 : natural := 4;
-  constant c_reg_w					 : natural := c_nof_ena * c_word_w;
-  constant c_adr_w					 : natural := ceil_log2(c_nof_ena);
+  constant c_nof_ena         : natural := 4;
+  constant c_reg_w           : natural := c_nof_ena * c_word_w;
+  constant c_adr_w           : natural := ceil_log2(c_nof_ena);
   constant c_ena_reg         : t_c_mem := (1, c_adr_w, 32, c_nof_ena, '0');
 
   subtype eth_dst_mac_range     is natural range field_hi(g_hdr_field_arr, "eth_dst_mac"    ) downto field_lo(g_hdr_field_arr, "eth_dst_mac");
@@ -87,13 +87,13 @@ architecture str of dp_offload_rx_filter_mm  is
   signal  mult_streams_mosi_arr   : t_mem_mosi_arr(g_nof_streams - 1 downto 0);
   signal  mult_streams_miso_arr   : t_mem_miso_arr(g_nof_streams - 1 downto 0);
 
-  signal  common_mosi_arr 				: t_mem_mosi_arr(1 downto 0);
-  signal  common_miso_arr 				: t_mem_miso_arr(1 downto 0);
+  signal  common_mosi_arr         : t_mem_mosi_arr(1 downto 0);
+  signal  common_miso_arr         : t_mem_miso_arr(1 downto 0);
 
   type t_bool_arr    is array (integer range <>) of boolean;
   type t_reg_sig_arr is array (integer range <>) of std_logic_vector(c_reg_w - 1 downto 0);
 
-  signal  reg_ena_sig    	       	: t_reg_sig_arr(g_nof_streams - 1 downto 0);
+  signal  reg_ena_sig               : t_reg_sig_arr(g_nof_streams - 1 downto 0);
 
   signal  eth_dst_mac_ena         : t_bool_arr(g_nof_streams - 1 downto 0);
   signal  ip_dst_addr_ena         : t_bool_arr(g_nof_streams - 1 downto 0);
@@ -174,84 +174,84 @@ begin
     end process;
 
 
-		-------------------------------------------
-		-- mm_fields for MM access to each field --
-		-------------------------------------------
+    -------------------------------------------
+    -- mm_fields for MM access to each field --
+    -------------------------------------------
     u_mult_mem_mux : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => g_nof_streams,
-      g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w)) + 1
-    )
-    port map (
-      mosi     => reg_dp_offload_rx_filter_hdr_fields_mosi,
-      miso     => reg_dp_offload_rx_filter_hdr_fields_miso,
-      mosi_arr => mult_streams_mosi_arr,
-      miso_arr => mult_streams_miso_arr
-    );
-
-    gen_mm_fields : for i in 0 to g_nof_streams - 1 generate
-
-      u_common_mem_mux : entity common_lib.common_mem_mux
       generic map (
-        g_nof_mosi    => 2,
-        g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
+        g_nof_mosi    => g_nof_streams,
+        g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w)) + 1
       )
       port map (
-        mosi     => mult_streams_mosi_arr(i),
-        miso     => mult_streams_miso_arr(i),
-        mosi_arr => common_mosi_arr,
-        miso_arr => common_miso_arr
+        mosi     => reg_dp_offload_rx_filter_hdr_fields_mosi,
+        miso     => reg_dp_offload_rx_filter_hdr_fields_miso,
+        mosi_arr => mult_streams_mosi_arr,
+        miso_arr => mult_streams_miso_arr
       );
 
+    gen_mm_fields : for i in 0 to g_nof_streams - 1 generate
+
+      u_common_mem_mux : entity common_lib.common_mem_mux
+        generic map (
+          g_nof_mosi    => 2,
+          g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
+        )
+        port map (
+          mosi     => mult_streams_mosi_arr(i),
+          miso     => mult_streams_miso_arr(i),
+          mosi_arr => common_mosi_arr,
+          miso_arr => common_miso_arr
+        );
+
 
       eth_dst_mac_ena(i)     <= is_true(reg_ena_sig(i)(0));
-    	ip_dst_addr_ena(i)     <= is_true(reg_ena_sig(i)(32));
-    	ip_total_length_ena(i) <= is_true(reg_ena_sig(i)(64));
-    	udp_dst_port_ena(i)    <= is_true(reg_ena_sig(i)(96));
+      ip_dst_addr_ena(i)     <= is_true(reg_ena_sig(i)(32));
+      ip_total_length_ena(i) <= is_true(reg_ena_sig(i)(64));
+      udp_dst_port_ena(i)    <= is_true(reg_ena_sig(i)(96));
 
       cr : entity common_lib.common_reg_r_w_dc
-  	  generic map(
-  	    g_reg       => c_ena_reg
-  	  )
-
-  	  port map(
-  	    -- Clocks and reset
-  	    mm_rst      => mm_rst,  -- reset synchronous with mm_clk
-  	    mm_clk      => mm_clk,  -- memory-mapped bus clock
-  	    st_rst      => dp_rst,  -- reset synchronous with st_clk
-  	    st_clk      => dp_clk,  -- other clock domain clock
-
-  	    -- Memory Mapped Slave in mm_clk domain
-  	    sla_in      => common_mosi_arr(1),  -- IN  t_mem_mosi;  -- actual ranges defined by g_reg
-  	    sla_out     => common_miso_arr(1),  -- OUT t_mem_miso;  -- actual ranges defined by g_reg
-
-  	    -- MM registers in st_clk domain
-  	    reg_wr_arr  => OPEN,
-  	    reg_rd_arr  => OPEN,
-  	    in_new      => OPEN,
-  	    in_reg      => reg_ena_sig(i),
-  	    out_reg     => reg_ena_sig(i),
-  	    out_new     => open
-  	  );
+        generic map(
+          g_reg       => c_ena_reg
+        )
+
+        port map(
+          -- Clocks and reset
+          mm_rst      => mm_rst,  -- reset synchronous with mm_clk
+          mm_clk      => mm_clk,  -- memory-mapped bus clock
+          st_rst      => dp_rst,  -- reset synchronous with st_clk
+          st_clk      => dp_clk,  -- other clock domain clock
+
+          -- Memory Mapped Slave in mm_clk domain
+          sla_in      => common_mosi_arr(1),  -- IN  t_mem_mosi;  -- actual ranges defined by g_reg
+          sla_out     => common_miso_arr(1),  -- OUT t_mem_miso;  -- actual ranges defined by g_reg
+
+          -- MM registers in st_clk domain
+          reg_wr_arr  => OPEN,
+          reg_rd_arr  => OPEN,
+          in_new      => OPEN,
+          in_reg      => reg_ena_sig(i),
+          out_reg     => reg_ena_sig(i),
+          out_new     => open
+        );
 
       u_mm_fields_slv: entity mm_lib.mm_fields
-      generic map(
-        g_field_arr => field_arr_set_mode(g_hdr_field_arr , "RW")
-      )
-      port map (
-        mm_clk     => mm_clk,
-        mm_rst     => mm_rst,
-
-        mm_mosi    => common_mosi_arr(0),
-        mm_miso    => common_miso_arr(0),
-
-        slv_clk    => dp_clk,
-        slv_rst    => dp_rst,
-
-        slv_in     => mm_fields_slv_in_arr(i),
-        slv_in_val => hdr_fields_val,
-        slv_out    => mm_fields_slv_out_arr(i)
-      );
+        generic map(
+          g_field_arr => field_arr_set_mode(g_hdr_field_arr , "RW")
+        )
+        port map (
+          mm_clk     => mm_clk,
+          mm_rst     => mm_rst,
+
+          mm_mosi    => common_mosi_arr(0),
+          mm_miso    => common_miso_arr(0),
+
+          slv_clk    => dp_clk,
+          slv_rst    => dp_rst,
+
+          slv_in     => mm_fields_slv_in_arr(i),
+          slv_in_val => hdr_fields_val,
+          slv_out    => mm_fields_slv_out_arr(i)
+        );
     end generate;
 
   end generate;
diff --git a/libraries/base/dp/src/vhdl/dp_offload_rx_legacy.vhd b/libraries/base/dp/src/vhdl/dp_offload_rx_legacy.vhd
index d9ac55a4e7..975dfaf6d1 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_rx_legacy.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_rx_legacy.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity dp_offload_rx_legacy is
   generic (
@@ -67,16 +67,16 @@ architecture str of dp_offload_rx_legacy is
 begin
 
   u_common_mem_mux_hdr_ram : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_hdr_remove_ram_addr_w
-  )
-  port map (
-    mosi     => ram_hdr_remove_mosi,
-    miso     => ram_hdr_remove_miso,
-    mosi_arr => ram_hdr_remove_mosi_arr,
-    miso_arr => ram_hdr_remove_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_hdr_remove_ram_addr_w
+    )
+    port map (
+      mosi     => ram_hdr_remove_mosi,
+      miso     => ram_hdr_remove_miso,
+      mosi_arr => ram_hdr_remove_mosi_arr,
+      miso_arr => ram_hdr_remove_miso_arr
+    );
 
   gen_nof_streams: for i in 0 to g_nof_streams - 1 generate
 
@@ -84,47 +84,47 @@ begin
     -- RX: Unframe: remove header (and CRC if phy link is used) from DP packets
     ---------------------------------------------------------------------------------------
     u_frame_remove : entity dp_lib.dp_frame_remove
-    generic map (
-      g_data_w         => g_data_w,
-      g_symbol_w       => c_byte_w,
-      g_hdr_nof_words  => g_hdr_nof_words,
-      g_tail_nof_words => sel_a_b(g_remove_crc, g_crc_nof_words, 0)
-    )
-    port map (
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-
-      st_rst      => st_rst,
-      st_clk      => st_clk,
-
-      snk_out     => rx_siso_arr(i),
-      snk_in      => rx_sosi_arr(i),
-
-      -- dp_frame_remove uses hdr_remove internally
-      sla_in      => ram_hdr_remove_mosi_arr(i),
-      sla_out     => ram_hdr_remove_miso_arr(i),
-
-      src_in      => rx_pkt_siso_arr(i),
-      src_out     => rx_pkt_sosi_arr(i)
-    );
+      generic map (
+        g_data_w         => g_data_w,
+        g_symbol_w       => c_byte_w,
+        g_hdr_nof_words  => g_hdr_nof_words,
+        g_tail_nof_words => sel_a_b(g_remove_crc, g_crc_nof_words, 0)
+      )
+      port map (
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+
+        st_rst      => st_rst,
+        st_clk      => st_clk,
+
+        snk_out     => rx_siso_arr(i),
+        snk_in      => rx_sosi_arr(i),
+
+        -- dp_frame_remove uses hdr_remove internally
+        sla_in      => ram_hdr_remove_mosi_arr(i),
+        sla_out     => ram_hdr_remove_miso_arr(i),
+
+        src_in      => rx_pkt_siso_arr(i),
+        src_out     => rx_pkt_sosi_arr(i)
+      );
 
     ---------------------------------------------------------------------------------------
     -- RX: Convert DP packets to DP stream
     ---------------------------------------------------------------------------------------
     u_dp_packet_dec : entity dp_lib.dp_packet_dec
-    generic map (
-      g_data_w => g_data_w
-    )
-    port map (
-      rst       => st_rst,
-      clk       => st_clk,
-
-      snk_out   => rx_pkt_siso_arr(i),
-      snk_in    => rx_pkt_sosi_arr(i),
-
-      src_in    => dp_siso_arr(i),
-      src_out   => dp_sosi_arr(i)
-    );
+      generic map (
+        g_data_w => g_data_w
+      )
+      port map (
+        rst       => st_rst,
+        clk       => st_clk,
+
+        snk_out   => rx_pkt_siso_arr(i),
+        snk_in    => rx_pkt_sosi_arr(i),
+
+        src_in    => dp_siso_arr(i),
+        src_out   => dp_sosi_arr(i)
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_offload_tx.vhd b/libraries/base/dp/src/vhdl/dp_offload_tx.vhd
index 791f83d7e5..6b34a61b70 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_tx.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_tx.vhd
@@ -41,13 +41,13 @@
 -- Remarks:
 
 library IEEE, common_lib, technology_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_offload_tx is
   generic (
@@ -161,21 +161,21 @@ begin
     snk_out_arr(i).xon   <= src_in_arr(i).xon;  -- Pass on XON from source side
 
     u_dp_split : entity work.dp_split
-    generic map (
-      g_data_w         => g_data_w,
-      g_symbol_w       => g_data_w,
-      g_nof_symbols    => g_nof_words_per_block
-    )
-    port map (
-      rst             => dp_rst,
-      clk             => dp_clk,
-
-      snk_out         => dp_split_snk_out_arr(i),
-      snk_in          => dp_split_snk_in_arr(i),
-
-      src_in_arr      => dp_split_src_in_2arr(i),
-      src_out_arr     => dp_split_src_out_2arr(i)
-    );
+      generic map (
+        g_data_w         => g_data_w,
+        g_symbol_w       => g_data_w,
+        g_nof_symbols    => g_nof_words_per_block
+      )
+      port map (
+        rst             => dp_rst,
+        clk             => dp_clk,
+
+        snk_out         => dp_split_snk_out_arr(i),
+        snk_in          => dp_split_snk_in_arr(i),
+
+        src_in_arr      => dp_split_src_in_2arr(i),
+        src_out_arr     => dp_split_src_out_2arr(i)
+      );
 
     dp_split_src_in_2arr(i)(0) <= c_dp_siso_rdy;  -- Always ready to throw away the tail
 
@@ -183,58 +183,58 @@ begin
 
   -- Introduce the same delay (as dp_plit) on the corresponding header fields
   u_dp_pipeline_arr_dp_split : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => c_dp_split_val_latency
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-
-    snk_in_arr  => dp_split_hdr_fields_snk_in_arr,
-    snk_out_arr => OPEN,  -- Flow control is already taken care of by dp_split
-
-    src_out_arr => dp_split_hdr_fields_src_out_arr,
-    src_in_arr  => dp_split_hdr_fields_src_in_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => c_dp_split_val_latency
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+
+      snk_in_arr  => dp_split_hdr_fields_snk_in_arr,
+      snk_out_arr => OPEN,  -- Flow control is already taken care of by dp_split
+
+      src_out_arr => dp_split_hdr_fields_src_out_arr,
+      src_in_arr  => dp_split_hdr_fields_src_in_arr
+    );
 
   ---------------------------------------------------------------------------------------
   -- Merge nof_blocks_per_packet
   ---------------------------------------------------------------------------------------
   gen_dp_packet_merge : for i in 0 to g_nof_streams - 1 generate
     u_dp_packet_merge : entity work.dp_packet_merge
+      generic map (
+        g_nof_pkt       => g_nof_blocks_per_packet,
+        g_align_at_sync => g_pkt_merge_align_at_sync
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_out     => dp_split_src_in_2arr(i)(1),
+        snk_in      => dp_split_src_out_2arr(i)(1),
+
+        src_in      => dp_packet_merge_src_in_arr(i),
+        src_out     => dp_packet_merge_src_out_arr(i)
+      );
+  end generate;
+
+  -- Introduce the same delay (as dp_packet_merge) on the corresponding header fields
+  u_dp_pipeline_arr_dp_packet_merge : entity work.dp_pipeline_arr
     generic map (
-      g_nof_pkt       => g_nof_blocks_per_packet,
-      g_align_at_sync => g_pkt_merge_align_at_sync
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => c_dp_packet_merge_val_latency
     )
     port map (
       rst         => dp_rst,
       clk         => dp_clk,
 
-      snk_out     => dp_split_src_in_2arr(i)(1),
-      snk_in      => dp_split_src_out_2arr(i)(1),
+      snk_in_arr  => dp_split_hdr_fields_src_out_arr,
+      snk_out_arr => dp_split_hdr_fields_src_in_arr,
 
-      src_in      => dp_packet_merge_src_in_arr(i),
-      src_out     => dp_packet_merge_src_out_arr(i)
+      src_out_arr => dp_packet_merge_hdr_fields_src_out_arr,
+      src_in_arr  => dp_packet_merge_hdr_fields_src_in_arr
     );
-  end generate;
-
-  -- Introduce the same delay (as dp_packet_merge) on the corresponding header fields
-  u_dp_pipeline_arr_dp_packet_merge : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => c_dp_packet_merge_val_latency
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-
-    snk_in_arr  => dp_split_hdr_fields_src_out_arr,
-    snk_out_arr => dp_split_hdr_fields_src_in_arr,
-
-    src_out_arr => dp_packet_merge_hdr_fields_src_out_arr,
-    src_in_arr  => dp_packet_merge_hdr_fields_src_in_arr
-  );
 
   -- dp_packet_merge_hdr_fields_src_out_arr contains a valid header for each block that was merged
   -- into one packet. We want only the first valid header per merged block to be forwarded to
@@ -264,22 +264,22 @@ begin
   ---------------------------------------------------------------------------------------
   gen_dp_fifo_fill : for i in 0 to sel_a_b(g_use_post_split_fifo, g_nof_streams, 0) - 1 generate
     u_dp_fifo_fill : entity work.dp_fifo_fill
-    generic map (
-      g_technology => g_technology,
-      g_data_w    => g_data_w,
-      g_fifo_fill => c_dp_fifo_fill,
-      g_fifo_size => c_dp_fifo_size
-     )
-    port map (
-      rst        => dp_rst,
-      clk        => dp_clk,
-
-      snk_in     => dp_packet_merge_src_out_arr(i),
-      snk_out    => dp_packet_merge_src_in_arr(i),
-
-      src_out    => dp_fifo_fill_src_out_arr(i),
-      src_in     => dp_fifo_fill_src_in_arr(i)
-    );
+      generic map (
+        g_technology => g_technology,
+        g_data_w    => g_data_w,
+        g_fifo_fill => c_dp_fifo_fill,
+        g_fifo_size => c_dp_fifo_size
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+
+        snk_in     => dp_packet_merge_src_out_arr(i),
+        snk_out    => dp_packet_merge_src_in_arr(i),
+
+        src_out    => dp_fifo_fill_src_out_arr(i),
+        src_in     => dp_fifo_fill_src_in_arr(i)
+      );
 
     dp_fifo_fill_src_in_arr(i).ready <= dp_concat_snk_out_2arr(i)(0).ready;
     dp_fifo_fill_src_in_arr(i).xon   <= '1';  -- Prevents flushing of frames
@@ -299,61 +299,61 @@ begin
 
     -- Create multi-cycle header block from single-cycle wide header SLV
     u_dp_field_blk : entity work.dp_field_blk
-    generic map (
-      g_field_arr   => field_arr_set_mode(g_hdr_field_arr , "RW"),
-      g_field_sel   => g_hdr_field_sel,
-      g_snk_data_w  => c_dp_field_blk_snk_data_w,
-      g_src_data_w  => c_dp_field_blk_src_data_w
-    )
-    port map (
-      dp_rst       => dp_rst,
-      dp_clk       => dp_clk,
-
-      mm_rst       => mm_rst,
-      mm_clk       => mm_clk,
-
-      snk_in       => dp_field_blk_snk_in_arr(i),
-      snk_out      => dp_field_blk_snk_out_arr(i),
-
-      src_in       => dp_concat_snk_out_2arr(i)(1),
-      src_out      => dp_concat_snk_in_2arr(i)(1),
-
-      reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
-      reg_slv_miso => reg_hdr_dat_miso_arr(i)
-    );
+      generic map (
+        g_field_arr   => field_arr_set_mode(g_hdr_field_arr , "RW"),
+        g_field_sel   => g_hdr_field_sel,
+        g_snk_data_w  => c_dp_field_blk_snk_data_w,
+        g_src_data_w  => c_dp_field_blk_src_data_w
+      )
+      port map (
+        dp_rst       => dp_rst,
+        dp_clk       => dp_clk,
+
+        mm_rst       => mm_rst,
+        mm_clk       => mm_clk,
+
+        snk_in       => dp_field_blk_snk_in_arr(i),
+        snk_out      => dp_field_blk_snk_out_arr(i),
+
+        src_in       => dp_concat_snk_out_2arr(i)(1),
+        src_out      => dp_concat_snk_in_2arr(i)(1),
+
+        reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
+        reg_slv_miso => reg_hdr_dat_miso_arr(i)
+      );
   end generate;
 
   gen_dp_concat : for i in 0 to g_nof_streams - 1 generate
     u_dp_concat : entity work.dp_concat
-    generic map (
-      g_data_w    => g_data_w,
-      g_symbol_w  => 1
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      snk_out_arr => dp_concat_snk_out_2arr(i),
-      snk_in_arr  => dp_concat_snk_in_2arr(i),
-
-      src_in      => src_in_arr(i),
-      src_out     => src_out_arr(i)
-    );
+      generic map (
+        g_data_w    => g_data_w,
+        g_symbol_w  => 1
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_out_arr => dp_concat_snk_out_2arr(i),
+        snk_in_arr  => dp_concat_snk_in_2arr(i),
+
+        src_in      => src_in_arr(i),
+        src_out     => src_out_arr(i)
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
   -- MM control & monitoring
   ---------------------------------------------------------------------------------------
   u_common_mem_mux_hdr_dat : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
-  )
-  port map (
-    mosi     => reg_hdr_dat_mosi,
-    miso     => reg_hdr_dat_miso,
-    mosi_arr => reg_hdr_dat_mosi_arr,
-    miso_arr => reg_hdr_dat_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
+    )
+    port map (
+      mosi     => reg_hdr_dat_mosi,
+      miso     => reg_hdr_dat_miso,
+      mosi_arr => reg_hdr_dat_mosi_arr,
+      miso_arr => reg_hdr_dat_miso_arr
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_offload_tx_legacy.vhd b/libraries/base/dp/src/vhdl/dp_offload_tx_legacy.vhd
index b11bcefca2..26fcb2e409 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_tx_legacy.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_tx_legacy.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, work;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_offload_tx_legacy is
   generic (
@@ -117,24 +117,24 @@ architecture str of dp_offload_tx_legacy is
 begin
 
   u_common_mem_mux_hdr_reg : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_hdr_insert_reg_addr_w
-  )
-  port map (
-    mosi     => reg_hdr_insert_mosi,
-    mosi_arr => reg_hdr_insert_mosi_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_hdr_insert_reg_addr_w
+    )
+    port map (
+      mosi     => reg_hdr_insert_mosi,
+      mosi_arr => reg_hdr_insert_mosi_arr
+    );
 
   u_common_mem_mux_hdr_ram : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_hdr_insert_ram_addr_w
-  )
-  port map (
-    mosi     => ram_hdr_insert_mosi,
-    mosi_arr => ram_hdr_insert_mosi_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_hdr_insert_ram_addr_w
+    )
+    port map (
+      mosi     => ram_hdr_insert_mosi,
+      mosi_arr => ram_hdr_insert_mosi_arr
+    );
 
   gen_nof_streams0: for i in 0 to g_nof_streams - 1 generate
 
@@ -159,30 +159,30 @@ begin
     ---------------------------------------------------------------------------------------
     gen_input_buffer : if g_use_input_fifo = true generate
       u_buf : entity work.dp_fifo_sc
-      generic map (
-        g_data_w      => g_data_w,
-        g_bsn_w       => g_input_fifo_bsn_w,
-        g_empty_w     => g_input_fifo_empty_w,
-        g_channel_w   => g_input_fifo_channel_w,
-        g_error_w     => g_input_fifo_error_w,
-        g_use_bsn     => g_input_fifo_use_bsn,
-        g_use_empty   => g_input_fifo_use_empty,
-        g_use_channel => g_input_fifo_use_channel,
-        g_use_error   => g_input_fifo_use_error,
-        g_use_sync    => g_input_fifo_use_sync,
-        g_use_ctrl    => true,
-        g_fifo_size   => 10  -- Use 10 as there's a FIFO margin
-      )
-      port map (
-        rst         => st_rst,
-        clk         => st_clk,
-
-        snk_out     => dp_siso_arr(i),
-        snk_in      => dp_sel_sosi_arr(i),
-
-        src_in      => dp_to_split_siso_arr(i),
-        src_out     => dp_to_split_sosi_arr(i)
-      );
+        generic map (
+          g_data_w      => g_data_w,
+          g_bsn_w       => g_input_fifo_bsn_w,
+          g_empty_w     => g_input_fifo_empty_w,
+          g_channel_w   => g_input_fifo_channel_w,
+          g_error_w     => g_input_fifo_error_w,
+          g_use_bsn     => g_input_fifo_use_bsn,
+          g_use_empty   => g_input_fifo_use_empty,
+          g_use_channel => g_input_fifo_use_channel,
+          g_use_error   => g_input_fifo_use_error,
+          g_use_sync    => g_input_fifo_use_sync,
+          g_use_ctrl    => true,
+          g_fifo_size   => 10  -- Use 10 as there's a FIFO margin
+        )
+        port map (
+          rst         => st_rst,
+          clk         => st_clk,
+
+          snk_out     => dp_siso_arr(i),
+          snk_in      => dp_sel_sosi_arr(i),
+
+          src_in      => dp_to_split_siso_arr(i),
+          src_out     => dp_to_split_sosi_arr(i)
+        );
     end generate;
 
   end generate;
@@ -191,28 +191,28 @@ begin
   -- Throw away words 0..g_block_nof_sel_words-1 of block (0..g_block_size-1)
   ---------------------------------------------------------------------------------------
   u_mms_dp_split : entity work.mms_dp_split
-  generic map (
-    g_nof_streams     => g_nof_streams,
-    g_data_w          => g_data_w,
-    g_symbol_w        => g_data_w,
-    g_nof_symbols_max => g_block_nof_sel_words * (g_data_w / c_byte_w)
-  )
-  port map (
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    dp_rst       => st_rst,
-    dp_clk       => st_clk,
-
-    snk_out_arr  => dp_to_split_siso_arr,
-    snk_in_arr   => dp_to_split_sosi_arr,
-
-    src_in_2arr  => dp_from_split_siso_2arr,
-    src_out_2arr => dp_from_split_sosi_2arr,
-
-    reg_mosi     => reg_dp_split_mosi,
-    reg_miso     => reg_dp_split_miso
-  );
+    generic map (
+      g_nof_streams     => g_nof_streams,
+      g_data_w          => g_data_w,
+      g_symbol_w        => g_data_w,
+      g_nof_symbols_max => g_block_nof_sel_words * (g_data_w / c_byte_w)
+    )
+    port map (
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      dp_rst       => st_rst,
+      dp_clk       => st_clk,
+
+      snk_out_arr  => dp_to_split_siso_arr,
+      snk_in_arr   => dp_to_split_sosi_arr,
+
+      src_in_2arr  => dp_from_split_siso_2arr,
+      src_out_2arr => dp_from_split_sosi_2arr,
+
+      reg_mosi     => reg_dp_split_mosi,
+      reg_miso     => reg_dp_split_miso
+    );
 
   gen_nof_streams1: for i in 0 to g_nof_streams - 1 generate
     dp_from_split_siso_2arr(i)(0) <= c_dp_siso_rdy;
@@ -225,30 +225,30 @@ begin
     ---------------------------------------------------------------------------------------
     gen_input_fifo : if g_use_input_fifo = true generate
       u_input_fifo : entity work.dp_fifo_sc
-      generic map (
-        g_data_w      => g_data_w,
-        g_bsn_w       => g_input_fifo_bsn_w,
-        g_empty_w     => g_input_fifo_empty_w,
-        g_channel_w   => g_input_fifo_channel_w,
-        g_error_w     => g_input_fifo_error_w,
-        g_use_bsn     => g_input_fifo_use_bsn,
-        g_use_empty   => g_input_fifo_use_empty,
-        g_use_channel => g_input_fifo_use_channel,
-        g_use_error   => g_input_fifo_use_error,
-        g_use_sync    => g_input_fifo_use_sync,
-        g_use_ctrl    => true,
-        g_fifo_size   => c_input_fifo_size
-      )
-      port map (
-        rst         => st_rst,
-        clk         => st_clk,
-
-        snk_out     => dp_from_split_siso_2arr(i)(1),
-        snk_in      => dp_from_split_sosi_2arr(i)(1),
-
-        src_in      => dp_to_pkt_merge_siso_arr(i),
-        src_out     => dp_to_pkt_merge_sosi_arr(i)
-      );
+        generic map (
+          g_data_w      => g_data_w,
+          g_bsn_w       => g_input_fifo_bsn_w,
+          g_empty_w     => g_input_fifo_empty_w,
+          g_channel_w   => g_input_fifo_channel_w,
+          g_error_w     => g_input_fifo_error_w,
+          g_use_bsn     => g_input_fifo_use_bsn,
+          g_use_empty   => g_input_fifo_use_empty,
+          g_use_channel => g_input_fifo_use_channel,
+          g_use_error   => g_input_fifo_use_error,
+          g_use_sync    => g_input_fifo_use_sync,
+          g_use_ctrl    => true,
+          g_fifo_size   => c_input_fifo_size
+        )
+        port map (
+          rst         => st_rst,
+          clk         => st_clk,
+
+          snk_out     => dp_from_split_siso_2arr(i)(1),
+          snk_in      => dp_from_split_sosi_2arr(i)(1),
+
+          src_in      => dp_to_pkt_merge_siso_arr(i),
+          src_out     => dp_to_pkt_merge_sosi_arr(i)
+        );
     end generate;
 
     no_input_fifo : if g_use_input_fifo = false generate
@@ -264,102 +264,102 @@ begin
   -- G_nof_pkt packets are merged into 1.
   ---------------------------------------------------------------------------------------
   u_dp_packet_merge : entity work.mms_dp_packet_merge
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_nof_pkt     => g_nof_words_per_pkt  -- Support merging 360*1 word
-  )
-  port map (
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_nof_pkt     => g_nof_words_per_pkt  -- Support merging 360*1 word
+    )
+    port map (
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
 
-    dp_rst       => st_rst,
-    dp_clk       => st_clk,
+      dp_rst       => st_rst,
+      dp_clk       => st_clk,
 
-    snk_out_arr  => dp_to_pkt_merge_siso_arr,
-    snk_in_arr   => dp_to_pkt_merge_sosi_arr,
+      snk_out_arr  => dp_to_pkt_merge_siso_arr,
+      snk_in_arr   => dp_to_pkt_merge_sosi_arr,
 
-    src_in_arr   => dp_merged_siso_arr,
-    src_out_arr  => dp_merged_sosi_arr,
+      src_in_arr   => dp_merged_siso_arr,
+      src_out_arr  => dp_merged_sosi_arr,
 
-    reg_mosi     => reg_dp_pkt_merge_mosi,
-    reg_miso     => reg_dp_pkt_merge_miso
-  );
+      reg_mosi     => reg_dp_pkt_merge_mosi,
+      reg_miso     => reg_dp_pkt_merge_miso
+    );
 
   gen_nof_streams3: for i in 0 to g_nof_streams - 1 generate
     ---------------------------------------------------------------------------------------
     -- Convert DP to packetized DP
     ---------------------------------------------------------------------------------------
     u_dp_packet_enc : entity work.dp_packet_enc
-    generic map (
-      g_data_w => g_data_w
-    )
-    port map (
-      rst       => st_rst,
-      clk       => st_clk,
+      generic map (
+        g_data_w => g_data_w
+      )
+      port map (
+        rst       => st_rst,
+        clk       => st_clk,
 
-      snk_out   => dp_merged_siso_arr(i),
-      snk_in    => dp_merged_sosi_arr(i),
+        snk_out   => dp_merged_siso_arr(i),
+        snk_in    => dp_merged_sosi_arr(i),
 
-      src_in    => udp_tx_pkt_siso_arr(i),
-      src_out   => udp_tx_pkt_sosi_arr(i)
-    );
+        src_in    => udp_tx_pkt_siso_arr(i),
+        src_out   => udp_tx_pkt_sosi_arr(i)
+      );
 
     ---------------------------------------------------------------------------------------
     -- Add header to DP packet
     ---------------------------------------------------------------------------------------
     u_hdr_insert : entity work.dp_hdr_insert
-    generic map (
-      g_data_w        => g_data_w,
-      g_symbol_w      => c_byte_w,
-      g_hdr_nof_words => g_hdr_nof_words
-    )
-    port map (
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
+      generic map (
+        g_data_w        => g_data_w,
+        g_symbol_w      => c_byte_w,
+        g_hdr_nof_words => g_hdr_nof_words
+      )
+      port map (
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
 
-      st_rst      => st_rst,
-      st_clk      => st_clk,
+        st_rst      => st_rst,
+        st_clk      => st_clk,
 
-      reg_mosi    => reg_hdr_insert_mosi_arr(i),
-      ram_mosi    => ram_hdr_insert_mosi_arr(i),
+        reg_mosi    => reg_hdr_insert_mosi_arr(i),
+        ram_mosi    => ram_hdr_insert_mosi_arr(i),
 
-      snk_out     => udp_tx_pkt_siso_arr(i),
-      snk_in      => udp_tx_pkt_sosi_arr(i),
+        snk_out     => udp_tx_pkt_siso_arr(i),
+        snk_in      => udp_tx_pkt_sosi_arr(i),
 
-      src_in      => udp_tx_hdr_pkt_siso_arr(i),
-      src_out     => udp_tx_hdr_pkt_sosi_arr(i)
-    );
+        src_in      => udp_tx_hdr_pkt_siso_arr(i),
+        src_out     => udp_tx_hdr_pkt_sosi_arr(i)
+      );
 
     ---------------------------------------------------------------------------------------
     -- FIFO so we can deliver packets to the ETH module fast enough
     ---------------------------------------------------------------------------------------
     gen_output_fifo: if g_use_output_fifo = true generate
       u_dp_fifo_fill : entity work.dp_fifo_fill
-      generic map (
-        g_data_w      => g_data_w,
-        g_bsn_w       => 0,
-        g_empty_w     => 0,
-        g_channel_w   => 0,
-        g_error_w     => 0,
-        g_use_bsn     => false,  -- Don't forward these as all have been encoded by dp_packet_enc
-        g_use_empty   => false,
-        g_use_channel => false,
-        g_use_error   => false,
-        g_use_sync    => false,
-        g_fifo_fill   => c_output_fifo_fill,  -- Release packet only when available
-        g_fifo_size   => c_output_fifo_size,
-        g_fifo_rl     => 1
-      )
-      port map (
-        rst         => st_rst,
-        clk         => st_clk,
-
-        snk_out     => udp_tx_hdr_pkt_siso_arr(i),
-        snk_in      => udp_tx_hdr_pkt_sosi_arr(i),
-
-        src_in      => udp_tx_hdr_pkt_fifo_siso_arr(i),
-        src_out     => udp_tx_hdr_pkt_fifo_sosi_arr(i)
-      );
+        generic map (
+          g_data_w      => g_data_w,
+          g_bsn_w       => 0,
+          g_empty_w     => 0,
+          g_channel_w   => 0,
+          g_error_w     => 0,
+          g_use_bsn     => false,  -- Don't forward these as all have been encoded by dp_packet_enc
+          g_use_empty   => false,
+          g_use_channel => false,
+          g_use_error   => false,
+          g_use_sync    => false,
+          g_fifo_fill   => c_output_fifo_fill,  -- Release packet only when available
+          g_fifo_size   => c_output_fifo_size,
+          g_fifo_rl     => 1
+        )
+        port map (
+          rst         => st_rst,
+          clk         => st_clk,
+
+          snk_out     => udp_tx_hdr_pkt_siso_arr(i),
+          snk_in      => udp_tx_hdr_pkt_sosi_arr(i),
+
+          src_in      => udp_tx_hdr_pkt_fifo_siso_arr(i),
+          src_out     => udp_tx_hdr_pkt_fifo_sosi_arr(i)
+        );
 
       udp_tx_hdr_pkt_fifo_siso_arr(i) <= tx_siso_arr(i);
       tx_sosi_arr(i) <= udp_tx_hdr_pkt_fifo_sosi_arr(i);
diff --git a/libraries/base/dp/src/vhdl/dp_offload_tx_len_calc.vhd b/libraries/base/dp/src/vhdl/dp_offload_tx_len_calc.vhd
index fb1bdffd29..4d9bbad503 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_tx_len_calc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_tx_len_calc.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 -- Purpose:
 -- . Calculate UDP total length and IP total length fields
@@ -86,25 +86,25 @@ begin
   -- Calculate number of payload words
   ---------------------------------------------------------------------------------------
   u_common_mult: entity common_mult_lib.common_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => "RTL",
-    g_in_a_w           => nof_words_per_block'LENGTH,
-    g_in_b_w           => nof_blocks_per_packet'LENGTH,
-    g_out_p_w          => c_product_w,
-    g_pipeline_input   => 0,
-    g_pipeline_product => 0,
-    g_pipeline_output  => 0,
-    g_representation   => "UNSIGNED"
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    clken   => '1',
-    in_a    => nof_words_per_block,
-    in_b    => nof_blocks_per_packet,
-    out_p   => nof_data_words
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => "RTL",
+      g_in_a_w           => nof_words_per_block'LENGTH,
+      g_in_b_w           => nof_blocks_per_packet'LENGTH,
+      g_out_p_w          => c_product_w,
+      g_pipeline_input   => 0,
+      g_pipeline_product => 0,
+      g_pipeline_output  => 0,
+      g_representation   => "UNSIGNED"
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      clken   => '1',
+      in_a    => nof_words_per_block,
+      in_b    => nof_blocks_per_packet,
+      out_p   => nof_data_words
+    );
 
   ---------------------------------------------------------------------------------------
   -- Calculate number of payload bytes by bit shifting to the left
@@ -117,18 +117,18 @@ begin
   udp_adder_in <= RESIZE_UVEC(nof_data_bytes, c_adder_in_w) & TO_UVEC(c_udp_header_len, c_adder_in_w) & TO_UVEC(c_user_hdr_len, c_adder_in_w);
 
   u_common_adder_tree_udp : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => 0,
-    g_nof_inputs     => 3,
-    g_dat_w          => c_adder_in_w,
-    g_sum_w          => c_adder_in_w + 2
-  )
-  port map (
-    clk    => clk,
-    in_dat => udp_adder_in,
-    sum    => udp_adder_out
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => 0,
+      g_nof_inputs     => 3,
+      g_dat_w          => c_adder_in_w,
+      g_sum_w          => c_adder_in_w + 2
+    )
+    port map (
+      clk    => clk,
+      in_dat => udp_adder_in,
+      sum    => udp_adder_out
+    );
 
   udp_total_length <= udp_adder_out(c_adder_in_w - 1 downto 0);
 
@@ -138,18 +138,18 @@ begin
   ip_adder_in <= RESIZE_UVEC(udp_adder_out, c_adder_in_w) & TO_UVEC(c_ip_header_len, c_adder_in_w);
 
   u_common_adder_tree_ip : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => 0,
-    g_nof_inputs     => 2,
-    g_dat_w          => c_adder_in_w,
-    g_sum_w          => c_adder_in_w + 1
-  )
-  port map (
-    clk    => clk,
-    in_dat => ip_adder_in,
-    sum    => ip_adder_out
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => 0,
+      g_nof_inputs     => 2,
+      g_dat_w          => c_adder_in_w,
+      g_sum_w          => c_adder_in_w + 1
+    )
+    port map (
+      clk    => clk,
+      in_dat => ip_adder_in,
+      sum    => ip_adder_out
+    );
 
   ip_total_length <= ip_adder_out(c_adder_in_w - 1 downto 0);
 
diff --git a/libraries/base/dp/src/vhdl/dp_offload_tx_v3.vhd b/libraries/base/dp/src/vhdl/dp_offload_tx_v3.vhd
index f8a4288fe5..599f42d561 100644
--- a/libraries/base/dp/src/vhdl/dp_offload_tx_v3.vhd
+++ b/libraries/base/dp/src/vhdl/dp_offload_tx_v3.vhd
@@ -41,13 +41,13 @@
 
 
 library IEEE, common_lib, technology_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_offload_tx_v3 is
   generic (
@@ -158,51 +158,51 @@ begin
     -- mm_fields for MM access to each field
     ---------------------------------------------------------------------------------------
     u_mm_fields_slv: entity mm_lib.mm_fields
-    generic map(
-      g_field_arr => field_arr_set_mode(g_hdr_field_arr , "RW")
-    )
-    port map (
-      mm_clk     => mm_clk,
-      mm_rst     => mm_rst,
+      generic map(
+        g_field_arr => field_arr_set_mode(g_hdr_field_arr , "RW")
+      )
+      port map (
+        mm_clk     => mm_clk,
+        mm_rst     => mm_rst,
 
-      mm_mosi    => reg_hdr_dat_mosi_arr(i),
-      mm_miso    => OPEN,  -- Not used
+        mm_mosi    => reg_hdr_dat_mosi_arr(i),
+        mm_miso    => OPEN,  -- Not used
 
-      slv_clk    => dp_clk,
-      slv_rst    => dp_rst,
+        slv_clk    => dp_clk,
+        slv_rst    => dp_rst,
 
-      slv_out    => mm_fields_slv_out_arr(i)(field_slv_len(g_hdr_field_arr) - 1 downto 0)
-    );
+        slv_out    => mm_fields_slv_out_arr(i)(field_slv_len(g_hdr_field_arr) - 1 downto 0)
+      );
 
 
     -- Create multi-cycle header block from single-cycle wide header SLV
     u_dp_field_blk : entity work.dp_field_blk
-    generic map (
-      g_field_arr      => field_arr_set_mode(g_hdr_field_arr , "RW"),
-      g_field_sel      => g_hdr_field_sel,
-      g_snk_data_w     => c_dp_field_blk_snk_data_w,
-      g_src_data_w     => c_dp_field_blk_src_data_w,
-      g_in_symbol_w    => g_symbol_w,
-      g_out_symbol_w   => g_symbol_w,
-      g_pipeline_ready => g_pipeline_ready,
-      g_mode           => 1  -- source mode
-    )
-    port map (
-      dp_clk       => dp_clk,
-      dp_rst       => dp_rst,
-
-      mm_clk       => mm_clk,
-      mm_rst       => mm_rst,
-
-      snk_in       => dp_field_blk_snk_in_arr(i),
-      snk_out      => dp_field_blk_snk_out_arr(i),
-
-      src_out      => dp_field_blk_src_out_arr(i),
-      src_in       => dp_field_blk_src_in_arr(i),
-
-      reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
-      reg_slv_miso => reg_hdr_dat_miso_arr(i)
-    );
+      generic map (
+        g_field_arr      => field_arr_set_mode(g_hdr_field_arr , "RW"),
+        g_field_sel      => g_hdr_field_sel,
+        g_snk_data_w     => c_dp_field_blk_snk_data_w,
+        g_src_data_w     => c_dp_field_blk_src_data_w,
+        g_in_symbol_w    => g_symbol_w,
+        g_out_symbol_w   => g_symbol_w,
+        g_pipeline_ready => g_pipeline_ready,
+        g_mode           => 1  -- source mode
+      )
+      port map (
+        dp_clk       => dp_clk,
+        dp_rst       => dp_rst,
+
+        mm_clk       => mm_clk,
+        mm_rst       => mm_rst,
+
+        snk_in       => dp_field_blk_snk_in_arr(i),
+        snk_out      => dp_field_blk_snk_out_arr(i),
+
+        src_out      => dp_field_blk_src_out_arr(i),
+        src_in       => dp_field_blk_src_in_arr(i),
+
+        reg_slv_mosi => reg_hdr_dat_mosi_arr(i),
+        reg_slv_miso => reg_hdr_dat_miso_arr(i)
+      );
 
     dp_field_blk_src_in_arr(i) <= dp_concat_snk_out_2arr(i)(1);
 
@@ -215,35 +215,35 @@ begin
     dp_concat_snk_in_2arr(i)(1) <= dp_field_blk_src_out_arr(i);
 
     u_dp_concat : entity work.dp_concat
-    generic map (
-      g_data_w    => g_data_w,
-      g_symbol_w  => g_symbol_w
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      snk_out_arr => dp_concat_snk_out_2arr(i),
-      snk_in_arr  => dp_concat_snk_in_2arr(i),
-
-      src_in      => src_in_arr(i),
-      src_out     => src_out_arr(i)
-    );
+      generic map (
+        g_data_w    => g_data_w,
+        g_symbol_w  => g_symbol_w
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        snk_out_arr => dp_concat_snk_out_2arr(i),
+        snk_in_arr  => dp_concat_snk_in_2arr(i),
+
+        src_in      => src_in_arr(i),
+        src_out     => src_out_arr(i)
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
   -- MM control & monitoring
   ---------------------------------------------------------------------------------------
   u_common_mem_mux_hdr_dat : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
-  )
-  port map (
-    mosi     => reg_hdr_dat_mosi,
-    miso     => reg_hdr_dat_miso,
-    mosi_arr => reg_hdr_dat_mosi_arr,
-    miso_arr => reg_hdr_dat_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(field_nof_words(g_hdr_field_arr, c_word_w))
+    )
+    port map (
+      mosi     => reg_hdr_dat_mosi,
+      miso     => reg_hdr_dat_miso,
+      mosi_arr => reg_hdr_dat_mosi_arr,
+      miso_arr => reg_hdr_dat_miso_arr
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_packet_dec.vhd b/libraries/base/dp/src/vhdl/dp_packet_dec.vhd
index 026c8f5557..f32b4f5279 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_dec.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_dec.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_packet_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_packet_pkg.all;
 
 -- Purpose: Decode DP packet to DP sosi.
 -- Description:
@@ -181,18 +181,18 @@ begin
   nxt_src_buf <= next_src_buf;
 
   u_hold : entity work.dp_hold_input
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => hold_src_in,
-    next_src_out => next_src_buf,
-    pend_src_out => OPEN,
-    src_out_reg  => src_buf
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => hold_src_in,
+      next_src_out => next_src_buf,
+      pend_src_out => OPEN,
+      src_out_reg  => src_buf
+    );
 
   -- State machine
   p_state : process(state, src_in, channel, bsn, cnt, blk_sosi, next_src_buf)
@@ -288,25 +288,25 @@ begin
 
   -- Handle output error field and eop
   u_src_shift : entity work.dp_shiftreg
-  generic map (
-    g_output_reg     => c_output_reg,
-    g_flush_eop      => true,
-    g_modify_support => true,
-    g_nof_words      => c_shiftreg_len
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-    -- ST sink
-    snk_out             => OPEN,
-    snk_in              => blk_sosi,
-    -- Control shift register contents
-    cur_shiftreg_inputs => cur_src_out_inputs,
-    new_shiftreg_inputs => new_src_out_inputs,
-    -- ST source
-    src_in              => src_in,
-    src_out             => i_src_out
-  );
+    generic map (
+      g_output_reg     => c_output_reg,
+      g_flush_eop      => true,
+      g_modify_support => true,
+      g_nof_words      => c_shiftreg_len
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+      -- ST sink
+      snk_out             => OPEN,
+      snk_in              => blk_sosi,
+      -- Control shift register contents
+      cur_shiftreg_inputs => cur_src_out_inputs,
+      new_shiftreg_inputs => new_src_out_inputs,
+      -- ST source
+      src_in              => src_in,
+      src_out             => i_src_out
+    );
 
   p_src_err : process(cur_src_out_inputs)
   begin
diff --git a/libraries/base/dp/src/vhdl/dp_packet_dec_channel_lo.vhd b/libraries/base/dp/src/vhdl/dp_packet_dec_channel_lo.vhd
index c85ee49113..1cf9f85bdd 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_dec_channel_lo.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_dec_channel_lo.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose: Decode sosi.channel low bits from the high part of the CHAN field
 --          of a DP packet.
diff --git a/libraries/base/dp/src/vhdl/dp_packet_detect.vhd b/libraries/base/dp/src/vhdl/dp_packet_detect.vhd
index 8f9aead044..ecf53b1d34 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_detect.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_detect.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Assert output during a packet on the input:
diff --git a/libraries/base/dp/src/vhdl/dp_packet_enc.vhd b/libraries/base/dp/src/vhdl/dp_packet_enc.vhd
index 75ec661c0b..19f52911c7 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_enc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_enc.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_packet_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_packet_pkg.all;
 
 -- Purpose: Encode DP sosi to DP packet.
 -- Description:
@@ -155,18 +155,18 @@ begin
   nxt_src_buf <= next_src_buf;
 
   u_hold : entity work.dp_hold_input
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => hold_src_in,
-    next_src_out => next_src_buf,
-    pend_src_out => pend_src_buf,
-    src_out_reg  => src_buf
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => hold_src_in,
+      next_src_out => next_src_buf,
+      pend_src_out => pend_src_buf,
+      src_out_reg  => src_buf
+    );
 
   -- State machine
   p_state : process(state, cnt, src_in, pend_src_buf, i_src_out, in_err)
diff --git a/libraries/base/dp/src/vhdl/dp_packet_enc_channel_lo.vhd b/libraries/base/dp/src/vhdl/dp_packet_enc_channel_lo.vhd
index 9d3955d30e..e0a1dc6387 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_enc_channel_lo.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_enc_channel_lo.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose: Encode sosi.channel low bit into the high part of the CHAN field of
 --          a DP packet.
diff --git a/libraries/base/dp/src/vhdl/dp_packet_merge.vhd b/libraries/base/dp/src/vhdl/dp_packet_merge.vhd
index 551460047f..9249c24ba0 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_merge.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_merge.vhd
@@ -178,10 +178,10 @@
 --   through dp_pipeline to register it and to add the flow control.
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_packet_merge is
   generic (
@@ -237,7 +237,7 @@ begin
     snk_out <= src_in;
     src_out <= r.src_out;
 
-    -- can put dp_hold_input here --
+  -- can put dp_hold_input here --
   end generate;
 
   gen_dp_latency_adapter : if c_use_dp_latency_adapter = true generate
@@ -245,20 +245,20 @@ begin
     dp_latency_adapter_snk_in <= r.src_out;
 
     u_dp_latency_adapter : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency  => 2,
-      g_out_latency => 1
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => dp_latency_adapter_snk_out,
-      snk_in       => dp_latency_adapter_snk_in,
-      -- ST source
-      src_in       => dp_latency_adapter_src_in,
-      src_out      => dp_latency_adapter_src_out
-    );
+      generic map (
+        g_in_latency  => 2,
+        g_out_latency => 1
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => dp_latency_adapter_snk_out,
+        snk_in       => dp_latency_adapter_snk_in,
+        -- ST source
+        src_in       => dp_latency_adapter_src_in,
+        src_out      => dp_latency_adapter_src_out
+      );
 
     dp_latency_adapter_src_in <= src_in;
     src_out <= dp_latency_adapter_src_out;
diff --git a/libraries/base/dp/src/vhdl/dp_packet_pkg.vhd b/libraries/base/dp/src/vhdl/dp_packet_pkg.vhd
index b9e33be549..5953bd9b66 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_pkg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_pkg.vhd
@@ -20,8 +20,8 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 package dp_packet_pkg is
@@ -54,14 +54,14 @@ package dp_packet_pkg is
   constant c_dp_packet_bsn_hi      : natural := c_dp_packet_bsn_w - 2;  -- = use rest [62:0] of BSN field to transport the SOSI BSN
 
   -- Determine the length in nof data words to fit the DP packet overhead fields
-  function func_dp_packet_overhead_len(c_data_w : natural) return natural;
+  function func_dp_packet_overhead_len (c_data_w : natural) return natural;
 
 end dp_packet_pkg;
 
 
 package body dp_packet_pkg is
 
-  function func_dp_packet_overhead_len(c_data_w : natural) return natural is
+  function func_dp_packet_overhead_len (c_data_w : natural) return natural is
   begin
     -- Calculate the total DP PACKET overhead length of header (channel and bsn words) + lenght of tail (error words).
     return ceil_div(c_dp_packet_channel_w, c_data_w) +
diff --git a/libraries/base/dp/src/vhdl/dp_packet_unmerge.vhd b/libraries/base/dp/src/vhdl/dp_packet_unmerge.vhd
index 251466d022..a2403fb12f 100644
--- a/libraries/base/dp/src/vhdl/dp_packet_unmerge.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packet_unmerge.vhd
@@ -33,10 +33,10 @@
 
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_packet_unmerge is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_packetizing_pkg.vhd b/libraries/base/dp/src/vhdl/dp_packetizing_pkg.vhd
index ca365bcda5..c39a519339 100644
--- a/libraries/base/dp/src/vhdl/dp_packetizing_pkg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_packetizing_pkg.vhd
@@ -20,45 +20,45 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, easics_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-
-use easics_lib.RAD_CRC16_D16.all;
-use easics_lib.RAD_CRC18_D18.all;
-use easics_lib.RAD_CRC20_D20.all;
-
-use easics_lib.PCK_CRC16_D4.all;
-use easics_lib.PCK_CRC16_D8.all;
-use easics_lib.PCK_CRC16_D9.all;
-use easics_lib.PCK_CRC16_D10.all;
-use easics_lib.PCK_CRC16_D16.all;
-use easics_lib.PCK_CRC16_D18.all;
-use easics_lib.PCK_CRC16_D20.all;
-use easics_lib.PCK_CRC16_D32.all;
-use easics_lib.PCK_CRC16_D36.all;
-use easics_lib.PCK_CRC16_D48.all;
-use easics_lib.PCK_CRC16_D64.all;
-use easics_lib.PCK_CRC16_D72.all;
-
-use easics_lib.PCK_CRC32_D4.all;
-use easics_lib.PCK_CRC32_D8.all;
-use easics_lib.PCK_CRC32_D9.all;
-use easics_lib.PCK_CRC32_D10.all;
-use easics_lib.PCK_CRC32_D16.all;
-use easics_lib.PCK_CRC32_D18.all;
-use easics_lib.PCK_CRC32_D20.all;
-use easics_lib.PCK_CRC32_D32.all;
-use easics_lib.PCK_CRC32_D36.all;
-use easics_lib.PCK_CRC32_D48.all;
-use easics_lib.PCK_CRC32_D64.all;
-use easics_lib.PCK_CRC32_D72.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+
+  use easics_lib.RAD_CRC16_D16.all;
+  use easics_lib.RAD_CRC18_D18.all;
+  use easics_lib.RAD_CRC20_D20.all;
+
+  use easics_lib.PCK_CRC16_D4.all;
+  use easics_lib.PCK_CRC16_D8.all;
+  use easics_lib.PCK_CRC16_D9.all;
+  use easics_lib.PCK_CRC16_D10.all;
+  use easics_lib.PCK_CRC16_D16.all;
+  use easics_lib.PCK_CRC16_D18.all;
+  use easics_lib.PCK_CRC16_D20.all;
+  use easics_lib.PCK_CRC16_D32.all;
+  use easics_lib.PCK_CRC16_D36.all;
+  use easics_lib.PCK_CRC16_D48.all;
+  use easics_lib.PCK_CRC16_D64.all;
+  use easics_lib.PCK_CRC16_D72.all;
+
+  use easics_lib.PCK_CRC32_D4.all;
+  use easics_lib.PCK_CRC32_D8.all;
+  use easics_lib.PCK_CRC32_D9.all;
+  use easics_lib.PCK_CRC32_D10.all;
+  use easics_lib.PCK_CRC32_D16.all;
+  use easics_lib.PCK_CRC32_D18.all;
+  use easics_lib.PCK_CRC32_D20.all;
+  use easics_lib.PCK_CRC32_D32.all;
+  use easics_lib.PCK_CRC32_D36.all;
+  use easics_lib.PCK_CRC32_D48.all;
+  use easics_lib.PCK_CRC32_D64.all;
+  use easics_lib.PCK_CRC32_D72.all;
 
 package dp_packetizing_pkg is
 
   --<types>--
 
   --<functions>--
-  function func_dp_next_crc(c_lofar : boolean; dat, crc : std_logic_vector) return std_logic_vector;
+  function func_dp_next_crc (c_lofar : boolean; dat, crc : std_logic_vector) return std_logic_vector;
 
   --<constants>--
   constant c_dp_max_w          : natural := 64;
@@ -90,7 +90,7 @@ package body dp_packetizing_pkg is
   -- FUNCTION: Calculate next CRC for this data
   ------------------------------------------------------------------------------
 
-  function func_dp_next_crc(c_lofar : boolean; dat, crc : std_logic_vector) return std_logic_vector is
+  function func_dp_next_crc (c_lofar : boolean; dat, crc : std_logic_vector) return std_logic_vector is
     variable nxt_crc : std_logic_vector(crc'range);
   begin
     if c_lofar = true then
diff --git a/libraries/base/dp/src/vhdl/dp_pad_insert.vhd b/libraries/base/dp/src/vhdl/dp_pad_insert.vhd
index a0c31ed3d7..12c447c2c2 100644
--- a/libraries/base/dp/src/vhdl/dp_pad_insert.vhd
+++ b/libraries/base/dp/src/vhdl/dp_pad_insert.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Prepend one word with empty symbols at the head of a frame
@@ -111,18 +111,18 @@ begin
     end process;
 
     u_hold_snk_in : entity work.dp_hold_input
-    port map (
-      rst              => rst,
-      clk              => clk,
-      -- ST sink
-      snk_out          => snk_out,
-      snk_in           => snk_in,
-      -- ST source
-      src_in           => in_siso,
-      next_src_out     => next_in_sosi,
-      pend_src_out     => pend_in_sosi,
-      src_out_reg      => in_sosi
-    );
+      port map (
+        rst              => rst,
+        clk              => clk,
+        -- ST sink
+        snk_out          => snk_out,
+        snk_in           => snk_in,
+        -- ST source
+        src_in           => in_siso,
+        next_src_out     => next_in_sosi,
+        pend_src_out     => pend_in_sosi,
+        src_out_reg      => in_sosi
+      );
 
     -- Prepend the padding octets to snk_in
     pad_siso           <= concat_siso_arr(0);
@@ -131,20 +131,20 @@ begin
     concat_sosi_arr(1) <= in_sosi;  -- = tail frame with in_sosi eop info
 
     u_concat : entity work.dp_concat  -- RL = 1
-    generic map (
-      g_data_w    => g_data_w,
-      g_symbol_w  => g_symbol_w
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-      -- ST sinks
-      snk_out_arr => concat_siso_arr,
-      snk_in_arr  => concat_sosi_arr,
-      -- ST source
-      src_in      => src_in,
-      src_out     => src_out
-    );
+      generic map (
+        g_data_w    => g_data_w,
+        g_symbol_w  => g_symbol_w
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sinks
+        snk_out_arr => concat_siso_arr,
+        snk_in_arr  => concat_sosi_arr,
+        -- ST source
+        src_in      => src_in,
+        src_out     => src_out
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_pad_remove.vhd b/libraries/base/dp/src/vhdl/dp_pad_remove.vhd
index 09c049737d..77b3ce7722 100644
--- a/libraries/base/dp/src/vhdl/dp_pad_remove.vhd
+++ b/libraries/base/dp/src/vhdl/dp_pad_remove.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Remove padding octects from the head of a padded frame
@@ -70,21 +70,21 @@ begin
     src_out <= split_sosi_arr(1);
 
     u_split : entity work.dp_split  -- RL = 1
-    generic map (
-      g_data_w        => g_data_w,
-      g_symbol_w      => g_symbol_w,
-      g_nof_symbols   => g_nof_padding
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-      -- ST sinks
-      snk_out     => snk_out,
-      snk_in      => snk_in,
-      -- ST source
-      src_in_arr  => split_siso_arr,
-      src_out_arr => split_sosi_arr
-    );
+      generic map (
+        g_data_w        => g_data_w,
+        g_symbol_w      => g_symbol_w,
+        g_nof_symbols   => g_nof_padding
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sinks
+        snk_out     => snk_out,
+        snk_in      => snk_in,
+        -- ST source
+        src_in_arr  => split_siso_arr,
+        src_out_arr => split_sosi_arr
+      );
   end generate;
 
   gen_bypass : if g_internal_bypass = true generate
diff --git a/libraries/base/dp/src/vhdl/dp_paged_sop_eop_reg.vhd b/libraries/base/dp/src/vhdl/dp_paged_sop_eop_reg.vhd
index 2a2eba1ee0..890bf805b2 100644
--- a/libraries/base/dp/src/vhdl/dp_paged_sop_eop_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_paged_sop_eop_reg.vhd
@@ -40,10 +40,10 @@
 --   - dp_block_gen_valid_arr
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_paged_sop_eop_reg is
   generic (
@@ -75,69 +75,69 @@ begin
   src_out.sync   <= src_out_sync(0);  -- convert slv to sl
 
   u_paged_sync : entity common_lib.common_paged_reg
-  generic map (
-    g_data_w    => 1,
-    g_nof_pages => g_nof_pages
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_en        => sop_wr_en,
-    wr_dat       => snk_in_sync,
-    out_dat      => src_out_sync
-  );
+    generic map (
+      g_data_w    => 1,
+      g_nof_pages => g_nof_pages
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_en        => sop_wr_en,
+      wr_dat       => snk_in_sync,
+      out_dat      => src_out_sync
+    );
 
   u_paged_bsn : entity common_lib.common_paged_reg
-  generic map (
-    g_data_w    => c_dp_stream_bsn_w,
-    g_nof_pages => g_nof_pages
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_en        => sop_wr_en,
-    wr_dat       => snk_in.bsn,
-    out_dat      => src_out.bsn
-  );
+    generic map (
+      g_data_w    => c_dp_stream_bsn_w,
+      g_nof_pages => g_nof_pages
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_en        => sop_wr_en,
+      wr_dat       => snk_in.bsn,
+      out_dat      => src_out.bsn
+    );
 
   u_paged_channel : entity common_lib.common_paged_reg
-  generic map (
-    g_data_w    => c_dp_stream_channel_w,
-    g_nof_pages => g_nof_pages
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_en        => sop_wr_en,
-    wr_dat       => snk_in.channel,
-    out_dat      => src_out.channel
-  );
+    generic map (
+      g_data_w    => c_dp_stream_channel_w,
+      g_nof_pages => g_nof_pages
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_en        => sop_wr_en,
+      wr_dat       => snk_in.channel,
+      out_dat      => src_out.channel
+    );
 
   -- Sosi info at eop
   u_paged_empty : entity common_lib.common_paged_reg
-  generic map (
-    g_data_w    => c_dp_stream_empty_w,
-    g_nof_pages => g_nof_pages
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_en        => eop_wr_en,
-    wr_dat       => snk_in.empty,
-    out_dat      => src_out.empty
-  );
+    generic map (
+      g_data_w    => c_dp_stream_empty_w,
+      g_nof_pages => g_nof_pages
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_en        => eop_wr_en,
+      wr_dat       => snk_in.empty,
+      out_dat      => src_out.empty
+    );
 
   u_paged_err : entity common_lib.common_paged_reg
-  generic map (
-    g_data_w    => c_dp_stream_error_w,
-    g_nof_pages => g_nof_pages
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_en        => eop_wr_en,
-    wr_dat       => snk_in.err,
-    out_dat      => src_out.err
-  );
+    generic map (
+      g_data_w    => c_dp_stream_error_w,
+      g_nof_pages => g_nof_pages
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_en        => eop_wr_en,
+      wr_dat       => snk_in.err,
+      out_dat      => src_out.err
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_pipeline.vhd b/libraries/base/dp/src/vhdl/dp_pipeline.vhd
index 5a0fcfdae6..68e1ad1b15 100644
--- a/libraries/base/dp/src/vhdl/dp_pipeline.vhd
+++ b/libraries/base/dp/src/vhdl/dp_pipeline.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Pipeline the source output by one cycle or by g_pipeline cycles.
@@ -62,8 +62,8 @@ end dp_pipeline;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_pipeline_one is
   port (
@@ -80,8 +80,8 @@ end dp_pipeline_one;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 architecture str of dp_pipeline is
 
@@ -100,24 +100,24 @@ begin
 
   gen_p : for I in 1 to g_pipeline generate
     u_p : entity work.dp_pipeline_one
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => snk_out_arr(I - 1),
-      snk_in       => snk_in_arr(I - 1),
-      -- ST source
-      src_in       => snk_out_arr(I),
-      src_out      => snk_in_arr(I)
-    );
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => snk_out_arr(I - 1),
+        snk_in       => snk_in_arr(I - 1),
+        -- ST source
+        src_in       => snk_out_arr(I),
+        src_out      => snk_in_arr(I)
+      );
   end generate;
 
 end str;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 architecture str of dp_pipeline_one is
 
@@ -140,17 +140,17 @@ begin
 
   -- Input control
   u_hold_input : entity work.dp_hold_input
-  port map (
-    rst              => rst,
-    clk              => clk,
-    -- ST sink
-    snk_out          => snk_out,
-    snk_in           => snk_in,
-    -- ST source
-    src_in           => src_in,
-    next_src_out     => nxt_src_out,
-    pend_src_out     => OPEN,
-    src_out_reg      => i_src_out
-  );
+    port map (
+      rst              => rst,
+      clk              => clk,
+      -- ST sink
+      snk_out          => snk_out,
+      snk_in           => snk_in,
+      -- ST source
+      src_in           => src_in,
+      next_src_out     => nxt_src_out,
+      pend_src_out     => OPEN,
+      src_out_reg      => i_src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_pipeline_arr.vhd b/libraries/base/dp/src/vhdl/dp_pipeline_arr.vhd
index 91d70de3fe..07407b945d 100644
--- a/libraries/base/dp/src/vhdl/dp_pipeline_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_pipeline_arr.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Pipeline array of g_nof_streams by g_pipeline cycles.
@@ -53,19 +53,19 @@ begin
 
   gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
     u_p : entity work.dp_pipeline
-    generic map (
-      g_pipeline => g_pipeline
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => snk_out_arr(I),
-      snk_in       => snk_in_arr(I),
-      -- ST source
-      src_in       => src_in_arr(I),
-      src_out      => src_out_arr(I)
-    );
+      generic map (
+        g_pipeline => g_pipeline
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => snk_out_arr(I),
+        snk_in       => snk_in_arr(I),
+        -- ST source
+        src_in       => src_in_arr(I),
+        src_out      => src_out_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_pipeline_ready.vhd b/libraries/base/dp/src/vhdl/dp_pipeline_ready.vhd
index d911589876..dba86186e1 100644
--- a/libraries/base/dp/src/vhdl/dp_pipeline_ready.vhd
+++ b/libraries/base/dp/src/vhdl/dp_pipeline_ready.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Pipeline the source input
@@ -66,92 +66,92 @@ begin
   gen_out_incr_rl : if g_out_latency > g_in_latency generate
     -- Register siso by incrementing the input RL first
     u_incr : entity work.dp_latency_increase
-    generic map (
-      g_in_latency   => g_in_latency,
-      g_incr_latency => g_out_latency - g_in_latency
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => snk_out,
-      snk_in       => snk_in,
-      -- ST source
-      src_in       => src_in,
-      src_out      => src_out
-    );
+      generic map (
+        g_in_latency   => g_in_latency,
+        g_incr_latency => g_out_latency - g_in_latency
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => snk_out,
+        snk_in       => snk_in,
+        -- ST source
+        src_in       => src_in,
+        src_out      => src_out
+      );
   end generate;
 
   gen_out_rl_0 : if g_out_latency <= g_in_latency and g_out_latency = 0 generate
     -- Register siso by incrementing the input RL first
     u_incr : entity work.dp_latency_increase
-    generic map (
-      g_in_latency   => g_in_latency,
-      g_incr_latency => 1
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => snk_out,
-      snk_in       => snk_in,
-      -- ST source
-      src_in       => internal_siso,
-      src_out      => internal_sosi
-    );
+      generic map (
+        g_in_latency   => g_in_latency,
+        g_incr_latency => 1
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => snk_out,
+        snk_in       => snk_in,
+        -- ST source
+        src_in       => internal_siso,
+        src_out      => internal_sosi
+      );
 
     -- Input RL --> 0
     u_adapt : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency   => g_in_latency + 1,
-      g_out_latency  => g_out_latency
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => internal_siso,
-      snk_in       => internal_sosi,
-      -- ST source
-      src_in       => src_in,
-      src_out      => src_out
-    );
+      generic map (
+        g_in_latency   => g_in_latency + 1,
+        g_out_latency  => g_out_latency
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => internal_siso,
+        snk_in       => internal_sosi,
+        -- ST source
+        src_in       => src_in,
+        src_out      => src_out
+      );
   end generate;
 
   gen_out_rl : if g_out_latency <= g_in_latency and g_out_latency > 0 generate
     -- First adapt the input RL --> 0
     u_adapt : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency   => g_in_latency,
-      g_out_latency  => 0
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => snk_out,
-      snk_in       => snk_in,
-      -- ST source
-      src_in       => internal_siso,
-      src_out      => internal_sosi
-    );
+      generic map (
+        g_in_latency   => g_in_latency,
+        g_out_latency  => 0
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => snk_out,
+        snk_in       => snk_in,
+        -- ST source
+        src_in       => internal_siso,
+        src_out      => internal_sosi
+      );
 
     -- Register siso by incrementing the internal RL = 0 --> the output RL
     u_incr : entity work.dp_latency_increase
-    generic map (
-      g_in_latency   => 0,
-      g_incr_latency => g_out_latency
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => internal_siso,
-      snk_in       => internal_sosi,
-      -- ST source
-      src_in       => src_in,
-      src_out      => src_out
-    );
+      generic map (
+        g_in_latency   => 0,
+        g_incr_latency => g_out_latency
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => internal_siso,
+        snk_in       => internal_sosi,
+        -- ST source
+        src_in       => src_in,
+        src_out      => src_out
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_ram_from_mm.vhd b/libraries/base/dp/src/vhdl/dp_ram_from_mm.vhd
index 739b1db04a..70f67e8afc 100644
--- a/libraries/base/dp/src/vhdl/dp_ram_from_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_ram_from_mm.vhd
@@ -20,12 +20,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_ram_from_mm is
   generic (
@@ -54,19 +54,23 @@ end dp_ram_from_mm;
 
 architecture rtl of dp_ram_from_mm is
 
-  constant c_mm_ram_wr  : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(g_ram_wr_nof_words),
-                                      dat_w    => c_word_w,
-                                      nof_dat  => g_ram_wr_nof_words,
-                                      init_sl  => '0');
+  constant c_mm_ram_wr  : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_ram_wr_nof_words),
+    dat_w    => c_word_w,
+    nof_dat  => g_ram_wr_nof_words,
+    init_sl  => '0'
+  );
 
   constant c_ram_rd_nof_words : natural := (c_word_w * g_ram_wr_nof_words) / g_ram_rd_dat_w;
 
-  constant c_mm_ram_rd  : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(c_ram_rd_nof_words),
-                                      dat_w    => g_ram_rd_dat_w,
-                                      nof_dat  => c_ram_rd_nof_words,
-                                      init_sl  => '0');
+  constant c_mm_ram_rd  : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_ram_rd_nof_words),
+    dat_w    => g_ram_rd_dat_w,
+    nof_dat  => c_ram_rd_nof_words,
+    init_sl  => '0'
+  );
 
   type t_state_enum is (s_init, s_wait_for_rdy, s_read);
 
@@ -155,27 +159,27 @@ begin
   end process;
 
   u_ram : entity common_lib.common_ram_cr_cw_ratio
-  generic map (
-    g_technology => g_technology,
-    g_ram_wr    => c_mm_ram_wr,
-    g_ram_rd    => c_mm_ram_rd,
-    g_init_file => g_init_file
-  )
-  port map (
-    -- Write port clock domain
-    wr_rst    => mm_rst,
-    wr_clk    => mm_clk,
-    wr_en     => mm_wr,
-    wr_adr    => mm_addr(c_mm_ram_wr.adr_w - 1 downto 0),
-    wr_dat    => mm_wrdata(c_mm_ram_wr.dat_w - 1 downto 0),
-    -- Read port clock domain
-    rd_rst    => st_rst,
-    rd_clk    => st_clk,
-    rd_en     => rd_en,
-    rd_adr    => rd_addr,
-    rd_dat    => rd_data,
-    rd_val    => open
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram_wr    => c_mm_ram_wr,
+      g_ram_rd    => c_mm_ram_rd,
+      g_init_file => g_init_file
+    )
+    port map (
+      -- Write port clock domain
+      wr_rst    => mm_rst,
+      wr_clk    => mm_clk,
+      wr_en     => mm_wr,
+      wr_adr    => mm_addr(c_mm_ram_wr.adr_w - 1 downto 0),
+      wr_dat    => mm_wrdata(c_mm_ram_wr.dat_w - 1 downto 0),
+      -- Read port clock domain
+      rd_rst    => st_rst,
+      rd_clk    => st_clk,
+      rd_en     => rd_en,
+      rd_adr    => rd_addr,
+      rd_dat    => rd_data,
+      rd_val    => open
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_ram_from_mm_reg.vhd b/libraries/base/dp/src/vhdl/dp_ram_from_mm_reg.vhd
index c783a7a8e1..ae65e4719f 100644
--- a/libraries/base/dp/src/vhdl/dp_ram_from_mm_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_ram_from_mm_reg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_ram_from_mm_reg is
   generic (
@@ -38,17 +38,19 @@ entity dp_ram_from_mm_reg is
     sla_in            : in  t_mem_mosi;
 
     dp_on             : out std_logic
-   );
+  );
 end dp_ram_from_mm_reg;
 
 
 architecture rtl of dp_ram_from_mm_reg is
 
-  constant c_mm_reg  : t_c_mem := (latency  => 1,
-                                   adr_w    => ceil_log2(1),
-                                   dat_w    => c_word_w,
-                                   nof_dat  => 1,
-                                   init_sl  => '0');
+  constant c_mm_reg  : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(1),
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   signal mm_dp_on : std_logic;
 
@@ -72,15 +74,15 @@ begin
   end process;
 
   u_async_dp_on : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => st_rst,
-    clk  => st_clk,
-    din  => mm_dp_on,
-    dout => dp_on
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => st_rst,
+      clk  => st_clk,
+      din  => mm_dp_on,
+      dout => dp_on
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_ram_to_mm.vhd b/libraries/base/dp/src/vhdl/dp_ram_to_mm.vhd
index c2203adebf..4d7dc3d846 100644
--- a/libraries/base/dp/src/vhdl/dp_ram_to_mm.vhd
+++ b/libraries/base/dp/src/vhdl/dp_ram_to_mm.vhd
@@ -20,12 +20,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_ram_to_mm is
   generic (
@@ -50,19 +50,23 @@ end dp_ram_to_mm;
 
 architecture rtl of dp_ram_to_mm is
 
-  constant c_mm_ram_rd  : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(g_ram_rd_nof_words),
-                                      dat_w    => c_word_w,
-                                      nof_dat  => g_ram_rd_nof_words,
-                                      init_sl  => '0');
+  constant c_mm_ram_rd  : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_ram_rd_nof_words),
+    dat_w    => c_word_w,
+    nof_dat  => g_ram_rd_nof_words,
+    init_sl  => '0'
+  );
 
   constant c_ram_wr_nof_words : natural := (c_word_w * g_ram_rd_nof_words) / g_ram_wr_dat_w;
 
-  constant c_mm_ram_wr  : t_c_mem := (latency  => 1,
-                                      adr_w    => ceil_log2(c_ram_wr_nof_words),
-                                      dat_w    => g_ram_wr_dat_w,
-                                      nof_dat  => c_ram_wr_nof_words,
-                                      init_sl  => '0');
+  constant c_mm_ram_wr  : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_ram_wr_nof_words),
+    dat_w    => g_ram_wr_dat_w,
+    nof_dat  => c_ram_wr_nof_words,
+    init_sl  => '0'
+  );
 
   type t_state_enum is (s_init, s_wait_for_sop, s_write);
 
@@ -133,27 +137,27 @@ begin
   end process;
 
   u_ram : entity common_lib.common_ram_cr_cw_ratio
-  generic map (
-    g_technology => g_technology,
-    g_ram_wr    => c_mm_ram_wr,
-    g_ram_rd    => c_mm_ram_rd,
-    g_init_file => "UNUSED"
-  )
-  port map (
-    -- Write port clock domain
-    wr_rst    => st_rst,
-    wr_clk    => st_clk,
-    wr_en     => snk_in.valid,
-    wr_adr    => wr_addr,
-    wr_dat    => snk_in.data(c_mm_ram_wr.dat_w - 1 downto 0),
-    -- Read port clock domain
-    rd_rst    => mm_rst,
-    rd_clk    => mm_clk,
-    rd_en     => sla_in.rd,
-    rd_adr    => sla_in.address(c_mm_ram_rd.adr_w - 1 downto 0),
-    rd_dat    => sla_out.rddata(c_mm_ram_rd.dat_w - 1 downto 0),
-    rd_val    => sla_out.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram_wr    => c_mm_ram_wr,
+      g_ram_rd    => c_mm_ram_rd,
+      g_init_file => "UNUSED"
+    )
+    port map (
+      -- Write port clock domain
+      wr_rst    => st_rst,
+      wr_clk    => st_clk,
+      wr_en     => snk_in.valid,
+      wr_adr    => wr_addr,
+      wr_dat    => snk_in.data(c_mm_ram_wr.dat_w - 1 downto 0),
+      -- Read port clock domain
+      rd_rst    => mm_rst,
+      rd_clk    => mm_clk,
+      rd_en     => sla_in.rd,
+      rd_adr    => sla_in.address(c_mm_ram_rd.adr_w - 1 downto 0),
+      rd_dat    => sla_out.rddata(c_mm_ram_rd.dat_w - 1 downto 0),
+      rd_val    => sla_out.rdval
+    );
 
 end rtl;
 
diff --git a/libraries/base/dp/src/vhdl/dp_ready.vhd b/libraries/base/dp/src/vhdl/dp_ready.vhd
index 9e3bdb3aa8..1b13293b74 100644
--- a/libraries/base/dp/src/vhdl/dp_ready.vhd
+++ b/libraries/base/dp/src/vhdl/dp_ready.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 -- =======
diff --git a/libraries/base/dp/src/vhdl/dp_reinterleave.vhd b/libraries/base/dp/src/vhdl/dp_reinterleave.vhd
index 60a4f0f862..7cc5a9d4fa 100644
--- a/libraries/base/dp/src/vhdl/dp_reinterleave.vhd
+++ b/libraries/base/dp/src/vhdl/dp_reinterleave.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author:
 -- . Daniel van der Schuur
@@ -111,24 +111,24 @@ begin
   common_reinterleave_in_val <= snk_in_arr(0).valid;
 
   u_common_reinterleave : entity common_lib.common_reinterleave
-  generic map (
-    g_nof_in           => g_nof_in,
-    g_deint_block_size => g_deint_block_size,
-    g_nof_out          => g_nof_out,
-    g_inter_block_size => g_inter_block_size,
-    g_dat_w            => g_dat_w,
-    g_align_out        => g_align_out
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    in_dat     => common_reinterleave_in_dat,
-    in_val     => common_reinterleave_in_val,
-
-    out_dat    => common_reinterleave_out_dat,
-    out_val    => common_reinterleave_out_val
-  );
+    generic map (
+      g_nof_in           => g_nof_in,
+      g_deint_block_size => g_deint_block_size,
+      g_nof_out          => g_nof_out,
+      g_inter_block_size => g_inter_block_size,
+      g_dat_w            => g_dat_w,
+      g_align_out        => g_align_out
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      in_dat     => common_reinterleave_in_dat,
+      in_val     => common_reinterleave_in_val,
+
+      out_dat    => common_reinterleave_out_dat,
+      out_val    => common_reinterleave_out_val
+    );
 
   -----------------------------------------------------------------------------
   -- Map output SLV to sosi_arr
@@ -158,19 +158,19 @@ begin
   gen_ctrl : if g_use_ctrl = true generate
     gen_dp_block_gen : for i in 0 to g_nof_out - 1 generate
       u_dp_block_gen : entity work.dp_block_gen
-      generic map (
-        g_use_src_in       => false,
-        g_nof_data         => g_block_size_output,
-        g_preserve_sync    => true,
-        g_preserve_bsn     => true
-      )
-      port map(
-        rst        => rst,
-        clk        => clk,
-
-        snk_in     => common_reinterleave_src_out_arr(i),
-        src_out    => dp_block_gen_src_out_arr(i)
-      );
+        generic map (
+          g_use_src_in       => false,
+          g_nof_data         => g_block_size_output,
+          g_preserve_sync    => true,
+          g_preserve_bsn     => true
+        )
+        port map(
+          rst        => rst,
+          clk        => clk,
+
+          snk_in     => common_reinterleave_src_out_arr(i),
+          src_out    => dp_block_gen_src_out_arr(i)
+        );
     end generate;
   end generate;
 
@@ -184,20 +184,20 @@ begin
   align_out : if g_use_sync_bsn = true generate
     gen_dp_fifo_info: for i in 0 to g_nof_out - 1 generate
       u_dp_fifo_info : entity work.dp_fifo_info
-      generic map (
-        g_use_sync => true,
-        g_use_bsn  => true
-      )
-      port map (
-        rst          => rst,
-        clk          => clk,
-
-        data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
-        info_snk_in  => snk_in_arr(0),  -- original snk_in info
-
-        src_in       => c_dp_siso_rdy,
-        src_out      => src_out_arr(i)
-      );
+        generic map (
+          g_use_sync => true,
+          g_use_bsn  => true
+        )
+        port map (
+          rst          => rst,
+          clk          => clk,
+
+          data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
+          info_snk_in  => snk_in_arr(0),  -- original snk_in info
+
+          src_in       => c_dp_siso_rdy,
+          src_out      => src_out_arr(i)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/dp_repack.vhd b/libraries/base/dp/src/vhdl/dp_repack.vhd
index 80ff6b55ca..d5e7c98671 100644
--- a/libraries/base/dp/src/vhdl/dp_repack.vhd
+++ b/libraries/base/dp/src/vhdl/dp_repack.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Reuse from LOFAR rad_repack.vhd and rad_repack(rtl).vhd
 
@@ -100,7 +100,7 @@ begin
 
   no_pack : if g_in_nof_words = g_out_nof_words generate
     out_dat <= RESIZE_UVEC(in_dat, out_dat'length);  -- any extra bits will get stripped again by dp_repack at the other end,
-                                                     -- typically g_out_dat_w=g_in_dat_w
+    -- typically g_out_dat_w=g_in_dat_w
     out_val <= in_val;
     out_sof <= in_sof;
     out_eof <= in_eof;
@@ -153,11 +153,11 @@ begin
     out_eof <= out_eof_vec(0);
 
     buf_load  <= '1' when signed(in_val_vec) = -1 else '0';
-                          -- in_val_vec=-1: input set complete, ready to be repacked
+    -- in_val_vec=-1: input set complete, ready to be repacked
     buf_flush <= '1' when (unsigned(out_val_vec) = 1 or unsigned(out_val_vec) = 0) and buf_val = '1' else '0';
-                          -- out_val_vec=0: ready to repack first input set
-                          -- out_val_vec=1: ready to repack next input set
-                          -- buf_val: new input set available
+    -- out_val_vec=0: ready to repack first input set
+    -- out_val_vec=1: ready to repack next input set
+    -- buf_val: new input set available
 
     p_in: process(in_sof, buf_load, in_val, in_val_vec, in_dat, in_dat_vec)
     begin
@@ -222,11 +222,11 @@ begin
         if g_ls_to_ms = true then
           -- Push SLV to the right so new word appears at LS position
           nxt_out_dat_vec <= std_logic_vector(to_unsigned(0,out_dat'length)) &
-                                              out_dat_vec(out_dat_vec'high downto out_dat'length);
+                             out_dat_vec(out_dat_vec'high downto out_dat'length);
         else
           -- Push SLV to the left so new word appears at MS position
           nxt_out_dat_vec <= out_dat_vec(out_dat_vec'high - out_dat'length downto 0) &
-                                         std_logic_vector(to_unsigned(0,out_dat'length));
+                             std_logic_vector(to_unsigned(0,out_dat'length));
         end if;
 
         nxt_out_val_vec <= '0' & out_val_vec(out_val_vec'high downto 1);
diff --git a/libraries/base/dp/src/vhdl/dp_repack_data.vhd b/libraries/base/dp/src/vhdl/dp_repack_data.vhd
index 24eb8308cf..5115238448 100644
--- a/libraries/base/dp/src/vhdl/dp_repack_data.vhd
+++ b/libraries/base/dp/src/vhdl/dp_repack_data.vhd
@@ -175,9 +175,9 @@
 --   useful to be able to isolate a component for debugging.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity dp_repack_in is
   generic (
@@ -394,9 +394,9 @@ end rtl;
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity dp_repack_out is
   generic (
@@ -641,9 +641,9 @@ end rtl;
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity dp_repack_data is
   generic (
@@ -694,16 +694,16 @@ begin
 
   gen_dp_pipeline_ready: if g_pipeline_ready = true generate
     u_dp_pipeline_ready : entity dp_lib.dp_pipeline_ready
-    port map (
-      rst     => rst,
-      clk     => clk,
+      port map (
+        rst     => rst,
+        clk     => clk,
 
-      snk_out => snk_out,
-      snk_in  => snk_in,
+        snk_out => snk_out,
+        snk_in  => snk_in,
 
-      src_in  => i_snk_out,
-      src_out => i_snk_in
-    );
+        src_in  => i_snk_out,
+        src_out => i_snk_in
+      );
   end generate;
 
   gen_no_dp_pipeline_ready: if g_pipeline_ready = false generate
@@ -724,23 +724,23 @@ begin
 
   gen_dp_repack_in : if g_enable_repack_in = true generate
     u_dp_repack_in : entity work.dp_repack_in
-    generic map (
-      g_bypass       => g_in_bypass,
-      g_in_dat_w     => g_in_dat_w,
-      g_in_nof_words => g_in_nof_words,
-      g_in_symbol_w  => g_in_symbol_w
-    )
-    port map (
-      rst      => rst,
-      clk      => clk,
-
-      snk_out  => i_snk_out,
-      snk_in   => i_snk_in,
-
-      src_in   => pack_siso,
-      src_out  => pack_sosi,
-      src_out_data => pack_sosi_data
-    );
+      generic map (
+        g_bypass       => g_in_bypass,
+        g_in_dat_w     => g_in_dat_w,
+        g_in_nof_words => g_in_nof_words,
+        g_in_symbol_w  => g_in_symbol_w
+      )
+      port map (
+        rst      => rst,
+        clk      => clk,
+
+        snk_out  => i_snk_out,
+        snk_in   => i_snk_in,
+
+        src_in   => pack_siso,
+        src_out  => pack_sosi,
+        src_out_data => pack_sosi_data
+      );
   end generate;
 
   no_dp_repack_out : if g_enable_repack_out = false generate
@@ -750,24 +750,24 @@ begin
 
   gen_dp_repack_out : if g_enable_repack_out = true generate
     u_dp_repack_out : entity work.dp_repack_out
-    generic map (
-      g_bypass        => g_out_bypass,
-      g_in_buf_dat_w  => c_in_buf_dat_w,
-      g_out_dat_w     => g_out_dat_w,
-      g_out_nof_words => g_out_nof_words,
-      g_out_symbol_w  => g_out_symbol_w
-    )
-    port map (
-      rst      => rst,
-      clk      => clk,
-
-      snk_out  => pack_siso,
-      snk_in   => pack_sosi,
-      snk_in_data => pack_sosi_data,
-
-      src_in   => src_in,
-      src_out  => i_src_out
-    );
+      generic map (
+        g_bypass        => g_out_bypass,
+        g_in_buf_dat_w  => c_in_buf_dat_w,
+        g_out_dat_w     => g_out_dat_w,
+        g_out_nof_words => g_out_nof_words,
+        g_out_symbol_w  => g_out_symbol_w
+      )
+      port map (
+        rst      => rst,
+        clk      => clk,
+
+        snk_out  => pack_siso,
+        snk_in   => pack_sosi,
+        snk_in_data => pack_sosi_data,
+
+        src_in   => src_in,
+        src_out  => i_src_out
+      );
   end generate;
 
   -- Simulation only: internal stream RL verification
diff --git a/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd b/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd
index bbf5cac26c..224080a7d2 100644
--- a/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd
+++ b/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Reuse from LOFAR rad_repack.vhd and rad_repack(rtl).vhd
 
@@ -100,7 +100,7 @@ begin
 
   no_pack : if g_in_nof_words = g_out_nof_words generate
     out_dat <= RESIZE_UVEC(in_dat, out_dat'length);  -- any extra bits will get stripped again by dp_repack_legacy at the other end,
-                                                     -- typically g_out_dat_w=g_in_dat_w
+    -- typically g_out_dat_w=g_in_dat_w
     out_val <= in_val;
     out_sof <= in_sof;
     out_eof <= in_eof;
@@ -153,11 +153,11 @@ begin
     out_eof <= out_eof_vec(0);
 
     buf_load  <= '1' when signed(in_val_vec) = -1 else '0';
-                          -- in_val_vec=-1: input set complete, ready to be repacked
+    -- in_val_vec=-1: input set complete, ready to be repacked
     buf_flush <= '1' when (unsigned(out_val_vec) = 1 or unsigned(out_val_vec) = 0) and buf_val = '1' else '0';
-                          -- out_val_vec=0: ready to repack first input set
-                          -- out_val_vec=1: ready to repack next input set
-                          -- buf_val: new input set available
+    -- out_val_vec=0: ready to repack first input set
+    -- out_val_vec=1: ready to repack next input set
+    -- buf_val: new input set available
 
     p_in: process(in_sof, buf_load, in_val, in_val_vec, in_dat, in_dat_vec)
     begin
@@ -222,11 +222,11 @@ begin
         if g_ls_to_ms = true then
           -- Push SLV to the right so new word appears at LS position
           nxt_out_dat_vec <= std_logic_vector(to_unsigned(0,out_dat'length)) &
-                                              out_dat_vec(out_dat_vec'high downto out_dat'length);
+                             out_dat_vec(out_dat_vec'high downto out_dat'length);
         else
           -- Push SLV to the left so new word appears at MS position
           nxt_out_dat_vec <= out_dat_vec(out_dat_vec'high - out_dat'length downto 0) &
-                                         std_logic_vector(to_unsigned(0,out_dat'length));
+                             std_logic_vector(to_unsigned(0,out_dat'length));
         end if;
 
         nxt_out_val_vec <= '0' & out_val_vec(out_val_vec'high downto 1);
diff --git a/libraries/base/dp/src/vhdl/dp_requantize.vhd b/libraries/base/dp/src/vhdl/dp_requantize.vhd
index 1c5547523a..5ee66152aa 100644
--- a/libraries/base/dp/src/vhdl/dp_requantize.vhd
+++ b/libraries/base/dp/src/vhdl/dp_requantize.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
-use common_lib.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Requantize the data in the re, im or data field of the sosi record.
 -- Description:
@@ -37,14 +37,14 @@ entity dp_requantize is
     g_complex             : boolean := true;  -- when TRUE, the re and im field are processed, when false, the data field is processed
     g_representation      : string  := "SIGNED";  -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
     g_lsb_w               : integer := 4;  -- when > 0, number of LSbits to remove from in_dat
-                                                  -- when < 0, number of LSBits to insert as a gain before resize to out_dat'LENGTH
-                                                  -- when 0 then no effect
+    -- when < 0, number of LSBits to insert as a gain before resize to out_dat'LENGTH
+    -- when 0 then no effect
     g_lsb_round           : boolean := true;  -- when TRUE round else truncate the input LSbits
     g_lsb_round_clip      : boolean := false;  -- when TRUE round clip to +max to avoid wrapping to output -min (signed) or 0 (unsigned) due to rounding
     g_lsb_round_even      : boolean := true;  -- when TRUE round half to even, else round half away from zero
     g_msb_clip            : boolean := true;  -- when TRUE CLIP else WRAP the input MSbits
     g_msb_clip_symmetric  : boolean := false;  -- when TRUE clip signed symmetric to +c_smax and -c_smax, else to +c_smax and c_smin_symm
-                                                  -- for wrapping when g_msb_clip=FALSE the g_msb_clip_symmetric is ignored, so signed wrapping is done asymmetric
+    -- for wrapping when g_msb_clip=FALSE the g_msb_clip_symmetric is ignored, so signed wrapping is done asymmetric
     g_gain_w              : natural := 0;  -- do not use, must be 0, use negative g_lsb_w instead
     g_pipeline_remove_lsb : natural := 0;  -- >= 0
     g_pipeline_remove_msb : natural := 0;  -- >= 0, use g_pipeline_remove_lsb=0 and g_pipeline_remove_msb=0 for combinatorial output
@@ -85,25 +85,25 @@ begin
   ---------------------------------------------------------------
   gen_requantize_data : if g_complex = false generate
     u_requantize_data : entity common_lib.common_requantize
-    generic map (
-      g_representation      => g_representation,
-      g_lsb_w               => g_lsb_w,
-      g_lsb_round           => g_lsb_round,
-      g_lsb_round_clip      => g_lsb_round_clip,
-      g_lsb_round_even      => g_lsb_round_even,
-      g_msb_clip            => g_msb_clip,
-      g_msb_clip_symmetric  => g_msb_clip_symmetric,
-      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-      g_pipeline_remove_msb => g_pipeline_remove_msb,
-      g_in_dat_w            => g_in_dat_w,
-      g_out_dat_w           => g_out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => snk_in.data,
-      out_dat    => quantized_data,
-      out_ovr    => out_ovr
-    );
+      generic map (
+        g_representation      => g_representation,
+        g_lsb_w               => g_lsb_w,
+        g_lsb_round           => g_lsb_round,
+        g_lsb_round_clip      => g_lsb_round_clip,
+        g_lsb_round_even      => g_lsb_round_even,
+        g_msb_clip            => g_msb_clip,
+        g_msb_clip_symmetric  => g_msb_clip_symmetric,
+        g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+        g_pipeline_remove_msb => g_pipeline_remove_msb,
+        g_in_dat_w            => g_in_dat_w,
+        g_out_dat_w           => g_out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => snk_in.data,
+        out_dat    => quantized_data,
+        out_ovr    => out_ovr
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -111,46 +111,46 @@ begin
   ---------------------------------------------------------------
   gen_requantize_complex : if g_complex = true generate
     u_requantize_re: entity common_lib.common_requantize
-    generic map (
-      g_representation      => g_representation,
-      g_lsb_w               => g_lsb_w,
-      g_lsb_round           => g_lsb_round,
-      g_lsb_round_clip      => g_lsb_round_clip,
-      g_lsb_round_even      => g_lsb_round_even,
-      g_msb_clip            => g_msb_clip,
-      g_msb_clip_symmetric  => g_msb_clip_symmetric,
-      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-      g_pipeline_remove_msb => g_pipeline_remove_msb,
-      g_in_dat_w            => g_in_dat_w,
-      g_out_dat_w           => g_out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => snk_in.re,
-      out_dat    => quantized_re,
-      out_ovr    => out_ovr_re
-    );
+      generic map (
+        g_representation      => g_representation,
+        g_lsb_w               => g_lsb_w,
+        g_lsb_round           => g_lsb_round,
+        g_lsb_round_clip      => g_lsb_round_clip,
+        g_lsb_round_even      => g_lsb_round_even,
+        g_msb_clip            => g_msb_clip,
+        g_msb_clip_symmetric  => g_msb_clip_symmetric,
+        g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+        g_pipeline_remove_msb => g_pipeline_remove_msb,
+        g_in_dat_w            => g_in_dat_w,
+        g_out_dat_w           => g_out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => snk_in.re,
+        out_dat    => quantized_re,
+        out_ovr    => out_ovr_re
+      );
 
     u_requantize_im: entity common_lib.common_requantize
-    generic map (
-      g_representation      => g_representation,
-      g_lsb_w               => g_lsb_w,
-      g_lsb_round           => g_lsb_round,
-      g_lsb_round_clip      => g_lsb_round_clip,
-      g_lsb_round_even      => g_lsb_round_even,
-      g_msb_clip            => g_msb_clip,
-      g_msb_clip_symmetric  => g_msb_clip_symmetric,
-      g_pipeline_remove_lsb => g_pipeline_remove_lsb,
-      g_pipeline_remove_msb => g_pipeline_remove_msb,
-      g_in_dat_w            => g_in_dat_w,
-      g_out_dat_w           => g_out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => snk_in.im,
-      out_dat    => quantized_im,
-      out_ovr    => out_ovr_im
-    );
+      generic map (
+        g_representation      => g_representation,
+        g_lsb_w               => g_lsb_w,
+        g_lsb_round           => g_lsb_round,
+        g_lsb_round_clip      => g_lsb_round_clip,
+        g_lsb_round_even      => g_lsb_round_even,
+        g_msb_clip            => g_msb_clip,
+        g_msb_clip_symmetric  => g_msb_clip_symmetric,
+        g_pipeline_remove_lsb => g_pipeline_remove_lsb,
+        g_pipeline_remove_msb => g_pipeline_remove_msb,
+        g_in_dat_w            => g_in_dat_w,
+        g_out_dat_w           => g_out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => snk_in.im,
+        out_dat    => quantized_im,
+        out_ovr    => out_ovr_im
+      );
 
     out_ovr <= out_ovr_re or out_ovr_im;
   end generate;
@@ -160,17 +160,17 @@ begin
   -- Pipeline to align the other sosi fields
   --------------------------------------------------------------
   u_dp_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_pipeline  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_in       => snk_in,
-    -- ST source
-    src_out      => snk_in_piped
-  );
+    generic map (
+      g_pipeline   => c_pipeline  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_in       => snk_in,
+      -- ST source
+      src_out      => snk_in_piped
+    );
 
   process(snk_in_piped, quantized_data, quantized_re, quantized_im)
   begin
diff --git a/libraries/base/dp/src/vhdl/dp_reverse_n_data.vhd b/libraries/base/dp/src/vhdl/dp_reverse_n_data.vhd
index 2c9a6f8056..c9e06992da 100644
--- a/libraries/base/dp/src/vhdl/dp_reverse_n_data.vhd
+++ b/libraries/base/dp/src/vhdl/dp_reverse_n_data.vhd
@@ -19,10 +19,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author:
 -- . Eric Kooistra, 14 Feb 2023
@@ -91,39 +91,39 @@ begin
   end process;
 
   u_common_reverse_n : entity common_lib.common_reverse_n_data
-  generic map (
-    -- Pipeline: 0 for combinatorial, > 0 for registers
-    g_pipeline_demux_in  => g_pipeline_demux_in,  -- serial to parallel demux
-    g_pipeline_demux_out => g_pipeline_demux_out,
-    g_pipeline_mux_in    => g_pipeline_mux_in,  -- parallel to serial mux
-    g_pipeline_mux_out   => g_pipeline_mux_out,
-    g_reverse_len        => g_reverse_len,
-    g_data_w             => g_data_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    in_data     => in_data,
-    in_val      => snk_in.valid,
-    in_eop      => snk_in.eop,
-    out_data    => reversed_data,
-    out_val     => reversed_val  -- = snk_in_delayed.valid
-  );
+    generic map (
+      -- Pipeline: 0 for combinatorial, > 0 for registers
+      g_pipeline_demux_in  => g_pipeline_demux_in,  -- serial to parallel demux
+      g_pipeline_demux_out => g_pipeline_demux_out,
+      g_pipeline_mux_in    => g_pipeline_mux_in,  -- parallel to serial mux
+      g_pipeline_mux_out   => g_pipeline_mux_out,
+      g_reverse_len        => g_reverse_len,
+      g_data_w             => g_data_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      in_data     => in_data,
+      in_val      => snk_in.valid,
+      in_eop      => snk_in.eop,
+      out_data    => reversed_data,
+      out_val     => reversed_val  -- = snk_in_delayed.valid
+    );
 
   -- Pipeline other sosi fields
   u_pipe_input : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_pipeline_total
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_in       => snk_in,
-    -- ST source
-    src_out      => snk_in_delayed
-  );
+    generic map (
+      g_pipeline   => c_pipeline_total
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_in       => snk_in,
+      -- ST source
+      src_out      => snk_in_delayed
+    );
 
   p_src_out : process(snk_in_delayed, reversed_data)
   begin
diff --git a/libraries/base/dp/src/vhdl/dp_reverse_n_data_fc.vhd b/libraries/base/dp/src/vhdl/dp_reverse_n_data_fc.vhd
index 50335742ea..ce21d18fdb 100644
--- a/libraries/base/dp/src/vhdl/dp_reverse_n_data_fc.vhd
+++ b/libraries/base/dp/src/vhdl/dp_reverse_n_data_fc.vhd
@@ -19,10 +19,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Author:
 -- . Eric Kooistra, 14 Feb 2023
@@ -71,19 +71,19 @@ architecture str of dp_reverse_n_data_fc is
 begin
 
   u_demux_one_to_n : entity work.dp_deinterleave_one_to_n
-  generic map (
-    g_pipeline     => g_pipeline_in,
-    g_nof_outputs  => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
+    generic map (
+      g_pipeline     => g_pipeline_in,
+      g_nof_outputs  => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
 
-    snk_out     => snk_out,
-    snk_in      => snk_in,
-    src_in_arr  => demux_siso_arr,
-    src_out_arr => demux_sosi_arr
-  );
+      snk_out     => snk_out,
+      snk_in      => snk_in,
+      src_in_arr  => demux_siso_arr,
+      src_out_arr => demux_sosi_arr
+    );
 
   gen_reverse : for I in 0 to g_reverse_len - 1 generate
     demux_siso_arr(g_reverse_len - 1 - I) <= reverse_siso_arr(I);
@@ -91,18 +91,18 @@ begin
   end generate;
 
   u_mux_n_to_one : entity work.dp_interleave_n_to_one
-  generic map (
-    g_pipeline   => g_pipeline_out,
-    g_nof_inputs => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
+    generic map (
+      g_pipeline   => g_pipeline_out,
+      g_nof_inputs => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
 
-    snk_out_arr => reverse_siso_arr,
-    snk_in_arr  => reverse_sosi_arr,
-    src_in      => src_in,
-    src_out     => src_out
-  );
+      snk_out_arr => reverse_siso_arr,
+      snk_in_arr  => reverse_sosi_arr,
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_rsn_source.vhd b/libraries/base/dp/src/vhdl/dp_rsn_source.vhd
index d492b2fb9f..81db0bd2a8 100644
--- a/libraries/base/dp/src/vhdl/dp_rsn_source.vhd
+++ b/libraries/base/dp/src/vhdl/dp_rsn_source.vhd
@@ -62,10 +62,10 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L2+STAT+Decision%3A+Timing+in+Station
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_rsn_source is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_selector.vhd b/libraries/base/dp/src/vhdl/dp_selector.vhd
index 045ffea428..18dd3de1fa 100644
--- a/libraries/base/dp/src/vhdl/dp_selector.vhd
+++ b/libraries/base/dp/src/vhdl/dp_selector.vhd
@@ -29,12 +29,12 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib, common_mult_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_selector is
   generic (
@@ -63,24 +63,24 @@ architecture str of dp_selector is
 begin
 
   u_dp_selector_arr : entity work.dp_selector_arr
-  generic map (
-    g_nof_arr   => 1,
-    g_pipeline  => g_pipeline
-  )
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
+    generic map (
+      g_nof_arr   => 1,
+      g_pipeline  => g_pipeline
+    )
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
 
-    reg_selector_mosi  => reg_selector_mosi,
-    reg_selector_miso  => reg_selector_miso,
+      reg_selector_mosi  => reg_selector_mosi,
+      reg_selector_miso  => reg_selector_miso,
 
-    pipe_sosi_arr(0)   =>  pipe_sosi,
-    ref_sosi_arr(0)    =>  ref_sosi,
-    out_sosi_arr(0)    =>  out_sosi,
+      pipe_sosi_arr(0)   =>  pipe_sosi,
+      ref_sosi_arr(0)    =>  ref_sosi,
+      out_sosi_arr(0)    =>  out_sosi,
 
-    selector_en        => selector_en
-  );
+      selector_en        => selector_en
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_selector_arr.vhd b/libraries/base/dp/src/vhdl/dp_selector_arr.vhd
index 82c747c200..0fe7998eb7 100644
--- a/libraries/base/dp/src/vhdl/dp_selector_arr.vhd
+++ b/libraries/base/dp/src/vhdl/dp_selector_arr.vhd
@@ -32,12 +32,12 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib, common_mult_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_selector_arr is
   generic (
@@ -77,21 +77,21 @@ begin
   selector_en <= reg_selector_en(0);
 
   u_mms_common_reg : entity common_lib.mms_common_reg
-  generic map (
-    g_mm_reg       => c_selector_mem_reg
-  )
-  port map (
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    reg_mosi       => reg_selector_mosi,
-    reg_miso       => reg_selector_miso,
-
-    in_reg         => reg_selector_en,
-    out_reg        => reg_selector_en
-  );
+    generic map (
+      g_mm_reg       => c_selector_mem_reg
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      reg_mosi       => reg_selector_mosi,
+      reg_miso       => reg_selector_miso,
+
+      in_reg         => reg_selector_en,
+      out_reg        => reg_selector_en
+    );
 
 
   n_en <= not reg_selector_en(0);
@@ -104,34 +104,34 @@ begin
       switch_high => reg_selector_en(0),
       switch_low  => n_en,
       out_level   => switch_select
-  );
+    );
 
 
   u_pipeline_arr : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_arr,
-    g_pipeline    => g_pipeline
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    snk_in_arr   => pipe_sosi_arr,
-    src_out_arr  => pipelined_pipe_sosi_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_arr,
+      g_pipeline    => g_pipeline
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      snk_in_arr   => pipe_sosi_arr,
+      src_out_arr  => pipelined_pipe_sosi_arr
+    );
 
 
   select_sosi_arr <= pipelined_pipe_sosi_arr when switch_select = '1' else ref_sosi_arr;
 
   u_pipeline_arr_out : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_arr,
-    g_pipeline    => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    snk_in_arr   => select_sosi_arr,
-    src_out_arr  => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_arr,
+      g_pipeline    => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      snk_in_arr   => select_sosi_arr,
+      src_out_arr  => out_sosi_arr
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_shiftram.vhd b/libraries/base/dp/src/vhdl/dp_shiftram.vhd
index bbb1631e13..41499c6cb3 100644
--- a/libraries/base/dp/src/vhdl/dp_shiftram.vhd
+++ b/libraries/base/dp/src/vhdl/dp_shiftram.vhd
@@ -23,13 +23,13 @@
 -- Description:
 
 library IEEE, common_lib, technology_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_shiftram is
   generic (
@@ -80,58 +80,58 @@ begin
   -----------------------------------------------------------------------------
   gen_common_shiftram : for i in 0 to g_nof_streams - 1 generate
     u_common_shiftram : entity common_lib.common_shiftram
-    generic map (
-      g_technology => g_technology,
-      g_data_w     => g_data_w,
-      g_nof_words  => g_nof_words
-    )
-    port map (
-      rst            => dp_rst,
-      clk            => dp_clk,
-
-      data_in        => snk_in_arr(i).data(g_data_w - 1 downto 0),
-      data_in_val    => snk_in_arr(i).valid,
-      data_in_shift  => common_shiftram_shift_in_arr(i),
-
-      data_out       => src_out_arr(i).data(g_data_w - 1 downto 0),
-      data_out_val   => src_out_arr(i).valid,
-      data_out_shift => open
-    );
+      generic map (
+        g_technology => g_technology,
+        g_data_w     => g_data_w,
+        g_nof_words  => g_nof_words
+      )
+      port map (
+        rst            => dp_rst,
+        clk            => dp_clk,
+
+        data_in        => snk_in_arr(i).data(g_data_w - 1 downto 0),
+        data_in_val    => snk_in_arr(i).valid,
+        data_in_shift  => common_shiftram_shift_in_arr(i),
+
+        data_out       => src_out_arr(i).data(g_data_w - 1 downto 0),
+        data_out_val   => src_out_arr(i).valid,
+        data_out_shift => open
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- MM control
   -----------------------------------------------------------------------------
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(field_nof_words(c_field_arr, c_word_w))
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(field_nof_words(c_field_arr, c_word_w))
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   gen_stream : for i in 0 to g_nof_streams - 1 generate
 
     u_mm_fields: entity mm_lib.mm_fields
-    generic map(
-      g_field_arr => c_field_arr
-    )
-    port map (
-      mm_clk  => mm_clk,
-      mm_rst  => mm_rst,
+      generic map(
+        g_field_arr => c_field_arr
+      )
+      port map (
+        mm_clk  => mm_clk,
+        mm_rst  => mm_rst,
 
-      mm_mosi => reg_mosi_arr(i),
-      mm_miso => reg_miso_arr(i),
+        mm_mosi => reg_mosi_arr(i),
+        mm_miso => reg_miso_arr(i),
 
-      slv_clk => dp_clk,
-      slv_rst => dp_rst,
+        slv_clk => dp_clk,
+        slv_rst => dp_rst,
 
-      slv_out => mm_fields_out_arr(i)
-    );
+        slv_out => mm_fields_out_arr(i)
+      );
     gen_no_sync : if g_use_sync_in = false generate
       common_shiftram_shift_in_arr(i) <= mm_fields_out_arr(i)(field_hi(c_field_arr, "shift") downto field_lo(c_field_arr, "shift"));
     end generate;
diff --git a/libraries/base/dp/src/vhdl/dp_shiftreg.vhd b/libraries/base/dp/src/vhdl/dp_shiftreg.vhd
index 5d65ca25fa..35090235ec 100644
--- a/libraries/base/dp/src/vhdl/dp_shiftreg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_shiftreg.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Move the valid input data through a shift register to have access to
@@ -180,16 +180,16 @@ begin
 
   gen_output_reg : if g_output_reg = true generate
     u_output : entity work.dp_pipeline
-    port map (
-      rst         => rst,
-      clk         => clk,
-      -- ST sink
-      snk_out     => OPEN,
-      snk_in      => shiftreg_out,
-      -- ST source
-      src_in      => src_in,
-      src_out     => src_out
-    );
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sink
+        snk_out     => OPEN,
+        snk_in      => shiftreg_out,
+        -- ST source
+        src_in      => src_in,
+        src_out     => src_out
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_split.vhd b/libraries/base/dp/src/vhdl/dp_split.vhd
index fff84c9cd8..8880f79e79 100644
--- a/libraries/base/dp/src/vhdl/dp_split.vhd
+++ b/libraries/base/dp/src/vhdl/dp_split.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Split one frame into two frames.
@@ -194,18 +194,18 @@ begin
 
   -- Hold the sink input to be able to register the source output
   u_hold : entity work.dp_hold_input
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => hold_src_in,
-    next_src_out => next_src_buf,
-    pend_src_out => OPEN,
-    src_out_reg  => src_buf
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => hold_src_in,
+      next_src_out => next_src_buf,
+      pend_src_out => OPEN,
+      src_out_reg  => src_buf
+    );
 
   -- Hold input register
   nxt_src_buf <= next_src_buf;
@@ -281,7 +281,7 @@ begin
 
     -- preserve tail data information for next state
     nxt_tail <= tail;  -- keep the tail data part in case the split is at symbol boundary and not at word boundary,
-                       -- keep the sop of the tail output, the valid and eop of tail are not used.
+    -- keep the sop of the tail output, the valid and eop of tail are not used.
 
     -- pass on output
     nxt_state <= state;
@@ -368,7 +368,7 @@ begin
           if next_src_buf.eop = '1' then
             i_snk_out <= c_dp_siso_rst;  -- no input request for at least one clock cycle, to allow change in nof_symbols_reg and/or for state s_eop
             if TO_UINT(v_input_empty) >= TO_UINT(head_empty_reg) then
-                                                            -- this is the last tail output, the input eop marks the tail output eop
+              -- this is the last tail output, the input eop marks the tail output eop
               nxt_src_out_arr(c_tail).empty <= RESIZE_DP_EMPTY(func_dp_empty_split(v_input_empty, head_empty_reg, c_nof_symbols_per_data));
               nxt_state <= s_head;
             else  -- need one more tail word to output the last tail part
diff --git a/libraries/base/dp/src/vhdl/dp_split_reg.vhd b/libraries/base/dp/src/vhdl/dp_split_reg.vhd
index 16c0abe596..43b6be468c 100644
--- a/libraries/base/dp/src/vhdl/dp_split_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_split_reg.vhd
@@ -31,9 +31,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_split_reg is
   generic (
@@ -58,11 +58,13 @@ end dp_split_reg;
 
 architecture str of dp_split_reg is
 
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 1,
-                                  dat_w    => c_word_w,
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   signal mm_nof_symbols : std_logic_vector(ceil_log2(g_nof_symbols + 1) - 1 downto 0);
 
@@ -86,7 +88,7 @@ begin
       if sla_in.wr = '1' then
         case TO_UINT(sla_in.address(c_mm_reg.adr_w - 1 downto 0)) is
           when 0 =>
-             mm_nof_symbols <= sla_in.wrdata(ceil_log2(g_nof_symbols + 1) - 1 downto 0);
+            mm_nof_symbols <= sla_in.wrdata(ceil_log2(g_nof_symbols + 1) - 1 downto 0);
 
           when others => null;  -- not used MM addresses
         end case;
@@ -107,16 +109,16 @@ begin
   end process;
 
   u_reg_cross_domain : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst     => mm_rst,
-    in_clk     => mm_clk,
+    port map (
+      in_rst     => mm_rst,
+      in_clk     => mm_clk,
 
-    in_dat     => mm_nof_symbols,
+      in_dat     => mm_nof_symbols,
 
-    out_rst    => st_rst,
-    out_clk    => st_clk,
+      out_rst    => st_rst,
+      out_clk    => st_clk,
 
-    out_dat    => nof_symbols
-  );
+      out_dat    => nof_symbols
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_src_out_timer.vhd b/libraries/base/dp/src/vhdl/dp_src_out_timer.vhd
index 9b20a22580..86966e22c9 100644
--- a/libraries/base/dp/src/vhdl/dp_src_out_timer.vhd
+++ b/libraries/base/dp/src/vhdl/dp_src_out_timer.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE,common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Control a source's output rate by toggling its ready input.
diff --git a/libraries/base/dp/src/vhdl/dp_stream_pkg.vhd b/libraries/base/dp/src/vhdl/dp_stream_pkg.vhd
index e466a0ad04..578190d8c9 100644
--- a/libraries/base/dp/src/vhdl/dp_stream_pkg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_stream_pkg.vhd
@@ -20,9 +20,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package dp_stream_pkg is
 
@@ -121,15 +121,33 @@ package dp_stream_pkg is
   end record;
 
   constant c_dp_sosi_unsigned_rst  : t_dp_sosi_unsigned := ('0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'));
-  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := ('1',
-                                                            to_unsigned(1, c_dp_stream_bsn_w),
-                                                            to_unsigned(1, c_dp_stream_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            to_unsigned(1, c_dp_stream_dsp_data_w),
-                                                            '1', '1', '1',
-                                                            to_unsigned(1, c_dp_stream_empty_w),
-                                                            to_unsigned(1, c_dp_stream_channel_w),
-                                                            to_unsigned(1, c_dp_stream_error_w));
+  constant c_dp_sosi_unsigned_ones : t_dp_sosi_unsigned := (
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_bsn_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_dsp_data_w),
+    '1',
+    '1',
+    '1',
+    to_unsigned(
+                 1,
+                 c_dp_stream_empty_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_channel_w),
+    to_unsigned(
+                 1,
+                 c_dp_stream_error_w)
+  );
 
   -- Use boolean to define whether a t_dp_siso, t_dp_sosi field is used ('1') or not ('0')
   type t_dp_siso_sl is record
@@ -208,33 +226,37 @@ package dp_stream_pkg is
   type t_dp_sosi_mat is array (integer range <>, integer range <>) of t_dp_sosi;
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector);
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector);
 
   -- Reset only the control fields of the DP sosi record
-  function RESET_DP_SOSI_CTRL(sosi : t_dp_sosi) return t_dp_sosi;
+  function RESET_DP_SOSI_CTRL (sosi : t_dp_sosi) return t_dp_sosi;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
   -- . Use these functions to assign sosi data TO a record field
@@ -243,150 +265,150 @@ package dp_stream_pkg is
   --   Typically the sosi data are treated as unsigned in the record field, so extended with '0'. However for interpretating
   --   signed data in the simulation wave window it is easier to use sign extension in the record field. Therefore TO_DP_SDATA
   --   and RESIZE_DP_SDATA are defined as well.
-  function TO_DP_BSN(     n : natural) return std_logic_vector;
-  function TO_DP_DATA(    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
-  function TO_DP_SDATA(   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
-  function TO_DP_UDATA(   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector;  -- for re and im fields, signed data
-  function TO_DP_DSP_UDATA(n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
-  function TO_DP_EMPTY(   n : natural) return std_logic_vector;
-  function TO_DP_CHANNEL( n : natural) return std_logic_vector;
-  function TO_DP_ERROR(   n : natural) return std_logic_vector;
-  function RESIZE_DP_BSN(     vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_DATA(    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
-  function RESIZE_DP_SDATA(   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
-  function RESIZE_DP_XDATA(   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
-  function RESIZE_DP_EMPTY(   vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_CHANNEL( vec : std_logic_vector) return std_logic_vector;
-  function RESIZE_DP_ERROR(   vec : std_logic_vector) return std_logic_vector;
-
-  function INCR_DP_DATA(    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-  function INCR_DP_SDATA(   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
-  function INCR_DP_BSN(     vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-  function INCR_DP_CHANNEL( vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
-
-  function REPLICATE_DP_DATA(  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
-
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
+  function TO_DP_BSN (     n : natural) return std_logic_vector;
+  function TO_DP_DATA (    n : integer) return std_logic_vector;  -- use integer to support 32 bit range, so -1 = 0xFFFFFFFF = +2**32-1
+  function TO_DP_SDATA (   n : integer) return std_logic_vector;  -- use integer to support 32 bit range and signed
+  function TO_DP_UDATA (   n : integer) return std_logic_vector;  -- alias of TO_DP_DATA()
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector;  -- for re and im fields, signed data
+  function TO_DP_DSP_UDATA (n: integer) return std_logic_vector;  -- for re and im fields, unsigned data (useful to carry indices)
+  function TO_DP_EMPTY (   n : natural) return std_logic_vector;
+  function TO_DP_CHANNEL ( n : natural) return std_logic_vector;
+  function TO_DP_ERROR (   n : natural) return std_logic_vector;
+  function RESIZE_DP_BSN (     vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_DATA (    vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to '0'
+  function RESIZE_DP_SDATA (   vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits
+  function RESIZE_DP_XDATA (   vec : std_logic_vector) return std_logic_vector;  -- set unused MSBits to 'X'
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector;  -- sign extend unused MSBits of re and im fields
+  function RESIZE_DP_EMPTY (   vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_CHANNEL ( vec : std_logic_vector) return std_logic_vector;
+  function RESIZE_DP_ERROR (   vec : std_logic_vector) return std_logic_vector;
+
+  function INCR_DP_DATA (    vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+  function INCR_DP_SDATA (   vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- signed vec(w-1:0) + dec
+  function INCR_DP_BSN (     vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+  function INCR_DP_CHANNEL ( vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector;  -- unsigned vec(w-1:0) + dec
+
+  function REPLICATE_DP_DATA (  seq  : std_logic_vector                 ) return std_logic_vector;  -- replicate seq as often as fits in c_dp_stream_data_w
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w : natural) return std_logic_vector;  -- unreplicate data to width seq_w, return low seq_w bits and set mismatch MSbits bits to '1'
+
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned;
 
   -- Map between array and single element
-  function TO_DP_ARR(sosi : t_dp_sosi) return t_dp_sosi_arr;
-  function TO_DP_ARR(siso : t_dp_siso) return t_dp_siso_arr;
-  function TO_DP_ONE(sosi_arr : t_dp_sosi_arr) return t_dp_sosi;
-  function TO_DP_ONE(siso_arr : t_dp_siso_arr) return t_dp_siso;
+  function TO_DP_ARR (sosi : t_dp_sosi) return t_dp_sosi_arr;
+  function TO_DP_ARR (siso : t_dp_siso) return t_dp_siso_arr;
+  function TO_DP_ONE (sosi_arr : t_dp_sosi_arr) return t_dp_sosi;
+  function TO_DP_ONE (siso_arr : t_dp_siso_arr) return t_dp_siso;
 
   -- Keep part of head data and combine part of tail data, use the other sosi from head_sosi
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail              : natural) return t_dp_sosi;
   -- Shift and combine part of previous data and this data, use the other sosi from prev_sosi
-  function func_dp_data_shift(      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
+  function func_dp_data_shift (      prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this              : natural) return t_dp_sosi;
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
+  function func_dp_data_shift_last (            tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi;
 
   -- Determine resulting empty if two streams are concatenated or split
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector;
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi;
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi;
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_siso_arr;                          str : string) return std_logic;
-  function func_dp_stream_arr_or( dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_siso_arr;                          str : string) return std_logic;
+  function func_dp_stream_arr_or ( dp : t_dp_sosi_arr;                          str : string) return std_logic;
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;  -- also support slv fields
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr;  -- also support slv fields
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl  : std_logic;        str : string) return t_dp_siso_arr;
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl  : std_logic;        str : string) return t_dp_sosi_arr;
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr;                         str : string) return std_logic_vector;
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr;                         str : string) return std_logic_vector;
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso)     return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi)     return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
-  function func_dp_stream_arr_select(sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso;     b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi;     b : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_select (sel : std_logic_vector; a,                 b : t_dp_sosi_arr) return t_dp_sosi_arr;
 
   -- Fix reversed buses due to connecting TO to DOWNTO range arrays.
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr;
 
   -- Functions to combinatorially hold the data fields and to set or reset the control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_info(              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_set_control(           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
-  function func_dp_stream_arr_reset_control(         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_info (              dp : t_dp_sosi_arr; info       : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_set_control (           dp : t_dp_sosi_arr;       ctrl : t_dp_sosi) return t_dp_sosi_arr;
+  function func_dp_stream_arr_reset_control (         dp : t_dp_sosi_arr                        ) return t_dp_sosi_arr;
 
   -- Reset sosi ctrl and preserve the sosi data (to avoid unnecessary data toggling and to ease data view in Wave window)
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector;
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr;                          w : natural) return std_logic_vector;
 
   -- Function to copy the BSN of one valid stream to all output streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr;
 
   -- Functions to combinatorially handle channels
   -- Note that the *_select and *_remove function are equivalent to dp_demux with g_combined=TRUE
-  function func_dp_stream_channel_set   (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, add the channel field
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- select channel nr, skip the channel field
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi;  -- skip channel nr
 
   -- Functions to combinatorially handle the error field
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi;  -- force err = 0, is OK
 
   -- Functions to combinatorially handle the BSN field
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi;
 
   -- Functions to combine sosi fields
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi;
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi;
 
   -- Functions to convert sosi fields
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer;
 
   -- Functions to set the DATA, RE and IM field in a stream.
-  function func_dp_stream_set_data(dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
-
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-   -- . data_order_im_re defines the concatenation order data = im&re or re&im
-   -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
-   -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
-   -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
-
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi;     slv : std_logic_vector; str : string                         ) return t_dp_sosi;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string                         ) return t_dp_sosi_arr;
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr;
+
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  -- . data_order_im_re defines the concatenation order data = im&re or re&im
+  -- . nof_data defines the number of concatenated streams that are concatenated in the sosi.data or sosi.re,im
+  -- . rewire nof_data streams from data  to re,im and force data = X  to show that sosi data    is used
+  -- . rewire nof_data streams from re,im to data  and force re,im = X to show that sosi complex is used
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural                            ) return t_dp_sosi;  -- data_order_im_re = TRUE
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural                                                ) return t_dp_sosi;  -- data_order_im_re = TRUE, nof_data = 1
+
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural                            ) return t_dp_sosi_arr;
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural                                                ) return t_dp_sosi_arr;
 
   -- Concatenate the data and complex re,im fields from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
-  function func_dp_stream_concat(src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi;  -- Concat SOSI_ARR data into single SOSI
+  function func_dp_stream_concat (src_in     : t_dp_siso; nof_streams : natural) return t_dp_siso_arr;  -- Wire single SISO to SISO_ARR
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
   -- . data_representation = "SIGNED"   treat sosi.data field as signed
@@ -395,18 +417,18 @@ package dp_stream_pkg is
   -- . data_order_im_re = TRUE  then "COMPLEX" data = im&re
   --                      FALSE then "COMPLEX" data = re&im
   --                      ignore when data_representation /= "COMPLEX"
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in     : t_dp_sosi;     in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr;
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string                            ) return t_dp_sosi_arr;
 
   -- Deconcatenate data and complex re,im fields from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (snk_in      : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr;  -- Deconcat SOSI data
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso;  -- Wire SISO_ARR(0) to single SISO
 
   -- Return TRUE when the sosi.data of both streams matches (and is valid)
-  function func_dp_data_match(snk_in_a, snk_in_b: t_dp_sosi; data_w: natural) return boolean;
-  function func_dp_data_match(snk_in_a, snk_in_b, snk_in_c: t_dp_sosi; data_w: natural) return boolean;
+  function func_dp_data_match (snk_in_a, snk_in_b: t_dp_sosi; data_w: natural) return boolean;
+  function func_dp_data_match (snk_in_a, snk_in_b, snk_in_c: t_dp_sosi; data_w: natural) return boolean;
 
 end dp_stream_pkg;
 
@@ -414,11 +436,12 @@ end dp_stream_pkg;
 package body dp_stream_pkg is
 
   -- Check sosi.valid against siso.ready
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     ready_reg(0) <= siso.ready;
     -- Register siso.ready in c_ready_latency registers
@@ -432,20 +455,22 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- Default RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi            : in    t_dp_sosi;
-                               signal   siso            : in    t_dp_siso;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi            : in    t_dp_sosi;
+    signal   siso            : in    t_dp_siso;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi, siso, ready_reg);
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version
-  procedure proc_dp_siso_alert(constant c_ready_latency : in    natural;
-                               signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     for i in 0 to sosi_arr'length - 1 loop
       ready_reg(i * (c_ready_latency + 1)) <= siso_arr(i).ready;  -- SLV is used as an array: nof_streams*(0..c_ready_latency)
@@ -463,16 +488,17 @@ package body dp_stream_pkg is
   end proc_dp_siso_alert;
 
   -- SOSI/SISO array version with RL=1
-  procedure proc_dp_siso_alert(signal   clk             : in    std_logic;
-                               signal   sosi_arr        : in    t_dp_sosi_arr;
-                               signal   siso_arr        : in    t_dp_siso_arr;
-                               signal   ready_reg       : inout std_logic_vector) is
+  procedure proc_dp_siso_alert (
+    signal   clk             : in    std_logic;
+    signal   sosi_arr        : in    t_dp_sosi_arr;
+    signal   siso_arr        : in    t_dp_siso_arr;
+    signal   ready_reg       : inout std_logic_vector) is
   begin
     proc_dp_siso_alert(1, clk, sosi_arr, siso_arr, ready_reg);
   end proc_dp_siso_alert;
 
   -- Reset only the control fields of the DP sosi record
-  function RESET_DP_SOSI_CTRL(sosi : t_dp_sosi) return t_dp_sosi is
+  function RESET_DP_SOSI_CTRL (sosi : t_dp_sosi) return t_dp_sosi is
     variable v_sosi : t_dp_sosi := sosi;
   begin
     v_sosi.sync  := '0';
@@ -483,120 +509,120 @@ package body dp_stream_pkg is
   end RESET_DP_SOSI_CTRL;
 
   -- Resize functions to fit an integer or an SLV in the corresponding t_dp_sosi field width
-  function TO_DP_BSN(n : natural) return std_logic_vector is
+  function TO_DP_BSN (n : natural) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_bsn_w);
   end TO_DP_BSN;
 
-  function TO_DP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_DATA;
 
-  function TO_DP_SDATA(n : integer) return std_logic_vector is
+  function TO_DP_SDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_data_w);
   end TO_DP_SDATA;
 
-  function TO_DP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_UDATA (n : integer) return std_logic_vector is
   begin
     return TO_DP_DATA(n);
   end TO_DP_UDATA;
 
-  function TO_DP_DSP_DATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_DATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_DATA;
 
-  function TO_DP_DSP_UDATA(n : integer) return std_logic_vector is
+  function TO_DP_DSP_UDATA (n : integer) return std_logic_vector is
   begin
     return RESIZE_UVEC(TO_SVEC(n, 32), c_dp_stream_dsp_data_w);
   end TO_DP_DSP_UDATA;
 
-  function TO_DP_EMPTY(n : natural) return std_logic_vector is
+  function TO_DP_EMPTY (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_empty_w);
   end TO_DP_EMPTY;
 
-  function TO_DP_CHANNEL(n : natural) return std_logic_vector is
+  function TO_DP_CHANNEL (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_channel_w);
   end TO_DP_CHANNEL;
 
-  function TO_DP_ERROR(n : natural) return std_logic_vector is
+  function TO_DP_ERROR (n : natural) return std_logic_vector is
   begin
     return TO_UVEC(n, c_dp_stream_error_w);
   end TO_DP_ERROR;
 
-  function RESIZE_DP_BSN(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_BSN (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_bsn_w);
   end RESIZE_DP_BSN;
 
-  function RESIZE_DP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_DATA;
 
-  function RESIZE_DP_SDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_SDATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_data_w);
   end RESIZE_DP_SDATA;
 
-  function RESIZE_DP_XDATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_XDATA (vec : std_logic_vector) return std_logic_vector is
     variable v_vec : std_logic_vector(c_dp_stream_data_w - 1 downto 0) := (others => 'X');
   begin
     v_vec(vec'length - 1 downto 0) := vec;
     return v_vec;
   end RESIZE_DP_XDATA;
 
-  function RESIZE_DP_DSP_DATA(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_DSP_DATA (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_dp_stream_dsp_data_w);
   end RESIZE_DP_DSP_DATA;
 
-  function RESIZE_DP_EMPTY(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_EMPTY (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_empty_w);
   end RESIZE_DP_EMPTY;
 
-  function RESIZE_DP_CHANNEL(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_CHANNEL (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_channel_w);
   end RESIZE_DP_CHANNEL;
 
-  function RESIZE_DP_ERROR(vec : std_logic_vector) return std_logic_vector is
+  function RESIZE_DP_ERROR (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_dp_stream_error_w);
   end RESIZE_DP_ERROR;
 
-  function INCR_DP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DATA(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DATA;
 
-  function INCR_DP_SDATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_SDATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_SDATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_SDATA;
 
-  function INCR_DP_DSP_DATA(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_DSP_DATA (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_DSP_DATA(std_logic_vector(signed(vec(w - 1 downto 0)) + dec));
   end INCR_DP_DSP_DATA;
 
-  function INCR_DP_BSN(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_BSN (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_BSN(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_BSN;
 
-  function INCR_DP_CHANNEL(vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
+  function INCR_DP_CHANNEL (vec : std_logic_vector; dec : integer; w : natural) return std_logic_vector is
   begin
     return RESIZE_DP_CHANNEL(std_logic_vector(unsigned(vec(w - 1 downto 0)) + dec));
   end INCR_DP_CHANNEL;
 
 
-  function REPLICATE_DP_DATA(seq : std_logic_vector) return std_logic_vector is
+  function REPLICATE_DP_DATA (seq : std_logic_vector) return std_logic_vector is
     constant c_seq_w            : natural := seq'length;
     constant c_nof_replications : natural := ceil_div(c_dp_stream_data_w, c_seq_w);
     constant c_vec_w            : natural := ceil_value(c_dp_stream_data_w, c_seq_w);
@@ -608,7 +634,7 @@ package body dp_stream_pkg is
     return v_vec(c_dp_stream_data_w - 1 downto 0);
   end REPLICATE_DP_DATA;
 
-  function UNREPLICATE_DP_DATA(data : std_logic_vector; seq_w :natural) return std_logic_vector is
+  function UNREPLICATE_DP_DATA (data : std_logic_vector; seq_w :natural) return std_logic_vector is
     constant c_data_w           : natural := data'length;
     constant c_nof_replications : natural := ceil_div(c_data_w, seq_w);
     constant c_vec_w            : natural := ceil_value(c_data_w, seq_w);
@@ -627,7 +653,7 @@ package body dp_stream_pkg is
     return v_vec(c_data_w - 1 downto 0);
   end UNREPLICATE_DP_DATA;
 
-  function TO_DP_SOSI_UNSIGNED(sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
+  function TO_DP_SOSI_UNSIGNED (sync, valid, sop, eop : std_logic; bsn, data, re, im, empty, channel, err : unsigned) return t_dp_sosi_unsigned is
     variable v_sosi_unsigned : t_dp_sosi_unsigned;
   begin
     v_sosi_unsigned.sync    := sync;
@@ -645,31 +671,31 @@ package body dp_stream_pkg is
   end TO_DP_SOSI_UNSIGNED;
 
   -- Map between array and single element
-  function TO_DP_ARR(sosi : t_dp_sosi) return t_dp_sosi_arr is
+  function TO_DP_ARR (sosi : t_dp_sosi) return t_dp_sosi_arr is
     variable v_sosi_arr : t_dp_sosi_arr(0 downto 0) := (others => sosi);
   begin
     return v_sosi_arr;
   end TO_DP_ARR;
 
-  function TO_DP_ARR(siso : t_dp_siso) return t_dp_siso_arr is
+  function TO_DP_ARR (siso : t_dp_siso) return t_dp_siso_arr is
     variable v_siso_arr : t_dp_siso_arr(0 downto 0) := (others => siso);
   begin
     return v_siso_arr;
   end TO_DP_ARR;
 
-  function TO_DP_ONE(sosi_arr : t_dp_sosi_arr) return t_dp_sosi is
+  function TO_DP_ONE (sosi_arr : t_dp_sosi_arr) return t_dp_sosi is
   begin
     return sosi_arr(0);
   end TO_DP_ONE;
 
-  function TO_DP_ONE(siso_arr : t_dp_siso_arr) return t_dp_siso is
+  function TO_DP_ONE (siso_arr : t_dp_siso_arr) return t_dp_siso is
   begin
     return siso_arr(0);
   end TO_DP_ONE;
 
 
   -- Keep part of head data and combine part of tail data
-  function func_dp_data_shift_first(head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
+  function func_dp_data_shift_first (head_sosi, tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail : natural) return t_dp_sosi is
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
   begin
@@ -686,7 +712,7 @@ package body dp_stream_pkg is
 
 
   -- Shift and combine part of previous data and this data,
-  function func_dp_data_shift(prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
+  function func_dp_data_shift (prev_sosi, this_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_this : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_this;
     variable vN     : natural := nof_symbols_per_data;
     variable v_sosi : t_dp_sosi;
@@ -719,7 +745,7 @@ package body dp_stream_pkg is
 
 
   -- Shift part of tail data and account for input empty
-  function func_dp_data_shift_last(tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
+  function func_dp_data_shift_last (tail_sosi : t_dp_sosi; symbol_w, nof_symbols_per_data, nof_symbols_from_tail, input_empty : natural) return t_dp_sosi is
     variable vK     : natural := nof_symbols_from_tail;
     variable vL     : natural := input_empty;
     variable vN     : natural := nof_symbols_per_data;
@@ -749,7 +775,7 @@ package body dp_stream_pkg is
 
   -- Determine resulting empty if two streams are concatenated
   -- . both empty must use the same nof symbols per data
-  function func_dp_empty_concat(head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_concat (head_empty, tail_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a := TO_UINT(head_empty);
@@ -761,7 +787,7 @@ package body dp_stream_pkg is
     return TO_UVEC(v_empty, head_empty'length);
   end func_dp_empty_concat;
 
-  function func_dp_empty_split(input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
+  function func_dp_empty_split (input_empty, head_empty : std_logic_vector; nof_symbols_per_data : natural) return std_logic_vector is
     variable v_a, v_b, v_empty : natural;
   begin
     v_a   := TO_UINT(input_empty);
@@ -776,7 +802,7 @@ package body dp_stream_pkg is
 
 
   -- Multiplex the t_dp_sosi_arr based on the valid, assuming that at most one input is active valid.
-  function func_dp_sosi_arr_mux(dp : t_dp_sosi_arr) return t_dp_sosi is
+  function func_dp_sosi_arr_mux (dp : t_dp_sosi_arr) return t_dp_sosi is
     variable v_sosi : t_dp_sosi := c_dp_sosi_rst;
   begin
     for I in dp'range loop
@@ -790,7 +816,7 @@ package body dp_stream_pkg is
 
 
   -- Determine the combined logical value of corresponding STD_LOGIC fields in t_dp_*_arr (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -812,7 +838,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '1');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -836,19 +862,19 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_and(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_and (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_and(dp, c_mask, str);
   end func_dp_stream_arr_and;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -870,7 +896,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; mask : std_logic_vector; str : string) return std_logic is
     variable v_vec : std_logic_vector(dp'range) := (others => '0');  -- set default v_vec such that unmasked input have no influence on operation result
     variable v_any : std_logic := '0';
   begin
@@ -894,13 +920,13 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_siso_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_siso_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
   end func_dp_stream_arr_or;
 
-  function func_dp_stream_arr_or(dp : t_dp_sosi_arr; str : string) return std_logic is
+  function func_dp_stream_arr_or (dp : t_dp_sosi_arr; str : string) return std_logic is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_or(dp, c_mask, str);
@@ -908,7 +934,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to set or get a STD_LOGIC field as a STD_LOGIC_VECTOR to or from an siso or an sosi array
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; slv : std_logic_vector; str : string) return t_dp_siso_arr is
     variable v_dp  : t_dp_siso_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv;  -- map to ensure same range as for dp
   begin
@@ -921,7 +947,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp  : t_dp_sosi_arr(dp'range)    := dp;  -- default
     variable v_slv : std_logic_vector(dp'range) := slv(dp'range);  -- map to ensure same range as for dp
   begin
@@ -942,19 +968,19 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
+  function func_dp_stream_arr_set (dp : t_dp_siso_arr; sl : std_logic; str : string) return t_dp_siso_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_set(dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set (dp : t_dp_sosi_arr; sl : std_logic; str : string) return t_dp_sosi_arr is
     variable v_slv : std_logic_vector(dp'range) := (others => sl);
   begin
     return func_dp_stream_arr_set(dp, v_slv, str);
   end func_dp_stream_arr_set;
 
-  function func_dp_stream_arr_get(dp : t_dp_siso_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_siso_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -966,7 +992,7 @@ package body dp_stream_pkg is
     return v_ctrl;
   end func_dp_stream_arr_get;
 
-  function func_dp_stream_arr_get(dp : t_dp_sosi_arr; str : string) return std_logic_vector is
+  function func_dp_stream_arr_get (dp : t_dp_sosi_arr; str : string) return std_logic_vector is
     variable v_ctrl : std_logic_vector(dp'range);
   begin
     for I in dp'range loop
@@ -982,7 +1008,7 @@ package body dp_stream_pkg is
 
 
   -- Functions to select elements from two siso or two sosi arrays (sel[] = '1' selects a, sel[] = '0' selects b)
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -995,7 +1021,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso_arr; b : t_dp_siso) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1008,7 +1034,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_siso; b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1021,7 +1047,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_dp : t_dp_siso_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1034,7 +1060,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1047,7 +1073,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi_arr; b : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1060,7 +1086,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a : t_dp_sosi; b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1073,7 +1099,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_select(sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_select (sel : std_logic_vector; a, b : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(sel'range);
   begin
     for I in sel'range loop
@@ -1086,7 +1112,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_select;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_siso_arr) return t_dp_siso_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_siso_arr) return t_dp_siso_arr is
     variable v_to_range : t_dp_siso_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_siso_arr(in_arr'high downto 0);
   begin
@@ -1103,7 +1129,7 @@ package body dp_stream_pkg is
     end if;
   end func_dp_stream_arr_reverse_range;
 
-  function func_dp_stream_arr_reverse_range(in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reverse_range (in_arr : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_to_range : t_dp_sosi_arr(0 to in_arr'high);
     variable v_downto_range : t_dp_sosi_arr(in_arr'high downto 0);
   begin
@@ -1121,7 +1147,7 @@ package body dp_stream_pkg is
   end func_dp_stream_arr_reverse_range;
 
   -- Functions to combinatorially hold the data fields and to set or reset the info and control fields in an sosi array
-  function func_dp_stream_arr_combine_data_info_ctrl(dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_combine_data_info_ctrl (dp : t_dp_sosi_arr; info, ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     v_dp := func_dp_stream_arr_set_info(   v_dp, info);  -- set sosi info
@@ -1129,7 +1155,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_combine_data_info_ctrl;
 
-  function func_dp_stream_arr_set_info(dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_info (dp : t_dp_sosi_arr; info : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi info
@@ -1141,7 +1167,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_info;
 
-  function func_dp_stream_arr_set_control(dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
+  function func_dp_stream_arr_set_control (dp : t_dp_sosi_arr; ctrl : t_dp_sosi) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- set sosi control
@@ -1153,7 +1179,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_set_control;
 
-  function func_dp_stream_arr_reset_control(dp : t_dp_sosi_arr) return t_dp_sosi_arr is
+  function func_dp_stream_arr_reset_control (dp : t_dp_sosi_arr) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
     for I in dp'range loop  -- reset sosi control
@@ -1165,7 +1191,7 @@ package body dp_stream_pkg is
     return v_dp;
   end func_dp_stream_arr_reset_control;
 
-  function func_dp_stream_reset_control(dp : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_reset_control (dp : t_dp_sosi) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;  -- hold sosi data
   begin
     -- reset sosi control
@@ -1177,7 +1203,7 @@ package body dp_stream_pkg is
   end func_dp_stream_reset_control;
 
   -- Functions to combinatorially determine the maximum and minimum sosi bsn[w-1:0] value in the sosi array (for all elements or only for the mask[]='1' elements)
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '0');  -- init max v_bsn with minimum value
   begin
     for I in dp'range loop
@@ -1190,13 +1216,13 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_max(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_max (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_max(dp, c_mask, w);
   end func_dp_stream_arr_bsn_max;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; mask : std_logic_vector; w : natural) return std_logic_vector is
     variable v_bsn : std_logic_vector(w - 1 downto 0) := (others => '1');  -- init min v_bsn with maximum value
   begin
     for I in dp'range loop
@@ -1209,14 +1235,14 @@ package body dp_stream_pkg is
     return v_bsn;
   end func_dp_stream_arr_bsn_min;
 
-  function func_dp_stream_arr_bsn_min(dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
+  function func_dp_stream_arr_bsn_min (dp : t_dp_sosi_arr; w : natural) return std_logic_vector is
     constant c_mask : std_logic_vector(dp'range) := (others => '1');
   begin
     return func_dp_stream_arr_bsn_min(dp, c_mask, w);
   end func_dp_stream_arr_bsn_min;
 
   -- Function to copy the BSN number of one valid stream to all other streams.
-  function func_dp_stream_arr_copy_valid_bsn(dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_arr_copy_valid_bsn (dp : t_dp_sosi_arr; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_bsn : std_logic_vector(c_dp_stream_bsn_w - 1 downto 0) := (others => '0');
     variable v_dp  : t_dp_sosi_arr(dp'range) := dp;  -- hold sosi data
   begin
@@ -1233,14 +1259,14 @@ package body dp_stream_pkg is
 
 
   -- Functions to combinatorially handle channels
-  function func_dp_stream_channel_set(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_set (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.channel := TO_UVEC(ch, c_dp_stream_channel_w);
     return v_rec;
   end func_dp_stream_channel_set;
 
-  function func_dp_stream_channel_select(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_select (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) /= ch then
@@ -1251,7 +1277,7 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_channel_select;
 
-  function func_dp_stream_channel_remove(st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
+  function func_dp_stream_channel_remove (st_sosi : t_dp_sosi; ch : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     if unsigned(st_sosi.channel) = ch then
@@ -1263,7 +1289,7 @@ package body dp_stream_pkg is
   end func_dp_stream_channel_remove;
 
 
-  function func_dp_stream_error_set(st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
+  function func_dp_stream_error_set (st_sosi : t_dp_sosi; n : natural) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.err := TO_UVEC(n, c_dp_stream_error_w);
@@ -1271,7 +1297,7 @@ package body dp_stream_pkg is
   end func_dp_stream_error_set;
 
 
-  function func_dp_stream_bsn_set(st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
+  function func_dp_stream_bsn_set (st_sosi : t_dp_sosi; bsn : std_logic_vector) return t_dp_sosi is
     variable v_rec : t_dp_sosi := st_sosi;
   begin
     v_rec.bsn := RESIZE_DP_BSN(bsn);
@@ -1279,7 +1305,7 @@ package body dp_stream_pkg is
   end func_dp_stream_bsn_set;
 
 
-  function func_dp_stream_combine_info_and_data(info, data : t_dp_sosi) return t_dp_sosi is
+  function func_dp_stream_combine_info_and_data (info, data : t_dp_sosi) return t_dp_sosi is
     variable v_rec : t_dp_sosi := data;  -- Sosi data fields
   begin
     -- Combine sosi data with the sosi info fields
@@ -1292,7 +1318,7 @@ package body dp_stream_pkg is
   end func_dp_stream_combine_info_and_data;
 
 
-  function func_dp_stream_slv_to_integer(slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
+  function func_dp_stream_slv_to_integer (slv_sosi : t_dp_sosi; w : natural) return t_dp_sosi_integer is
     variable v_rec : t_dp_sosi_integer;
   begin
     v_rec.sync     := slv_sosi.sync;
@@ -1309,23 +1335,23 @@ package body dp_stream_pkg is
     return v_rec;
   end func_dp_stream_slv_to_integer;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
+  function func_dp_stream_set_data (dp : t_dp_sosi; slv : std_logic_vector; str : string) return t_dp_sosi is
     variable v_dp : t_dp_sosi := dp;
   begin
-      if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
-      elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
-                            v_dp.re   := RESIZE_DP_DSP_DATA(slv);
-                            v_dp.im   := RESIZE_DP_DSP_DATA(slv);
-      else  report "Error in func_dp_stream_set_data for t_dp_sosi";
-      end if;
+    if    str = "DATA" then v_dp.data := RESIZE_DP_DATA(slv);
+    elsif str = "DSP"  then v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "RE"  then  v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "IM"  then  v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    elsif str = "ALL" then  v_dp.data := RESIZE_DP_DATA(slv);
+      v_dp.re   := RESIZE_DP_DSP_DATA(slv);
+      v_dp.im   := RESIZE_DP_DSP_DATA(slv);
+    else  report "Error in func_dp_stream_set_data for t_dp_sosi";
+    end if;
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1334,7 +1360,7 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_set_data(dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
+  function func_dp_stream_set_data (dp : t_dp_sosi_arr; slv : std_logic_vector; str : string; mask : std_logic_vector) return t_dp_sosi_arr is
     variable v_dp : t_dp_sosi_arr(dp'range) := dp;
   begin
     for I in dp'range loop
@@ -1345,8 +1371,8 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-   -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  -- Functions to rewire between concatenated sosi.data and concatenated sosi.re,im
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_re           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1367,17 +1393,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_complex_to_data (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_complex_to_data(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_dp           : t_dp_sosi := dp;
     variable v_hi           : std_logic_vector(c_compl_data_w - 1 downto 0);
@@ -1400,17 +1426,17 @@ package body dp_stream_pkg is
     return v_dp;
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural; nof_data : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_data_to_complex (dp : t_dp_sosi; data_w : natural) return t_dp_sosi is
   begin
     return func_dp_stream_data_to_complex(dp, data_w, 1, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1419,17 +1445,17 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_complex_to_data(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_complex_to_data (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_complex_to_data(dp_arr, data_w, 1, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_dp_arr : t_dp_sosi_arr(dp_arr'range);
   begin
     for i in dp_arr'range loop
@@ -1438,18 +1464,18 @@ package body dp_stream_pkg is
     return v_dp_arr;
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural; nof_data : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, nof_data, true);
   end;
 
-  function func_dp_stream_data_to_complex(dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_data_to_complex (dp_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi_arr is
   begin
     return func_dp_stream_data_to_complex(dp_arr, data_w, 1, true);
   end;
 
   -- Concatenate the data (and complex fields) from a SOSI array into a single SOSI stream (assumes streams are in sync)
-  function func_dp_stream_concat(snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
+  function func_dp_stream_concat (snk_in_arr : t_dp_sosi_arr; data_w : natural) return t_dp_sosi is
     constant c_compl_data_w : natural   := data_w / 2;
     variable v_src_out      : t_dp_sosi := snk_in_arr(0);
   begin
@@ -1464,7 +1490,7 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_concat(src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
+  function func_dp_stream_concat (src_in : t_dp_siso; nof_streams : natural) return t_dp_siso_arr is  -- Wire single SISO to SISO_ARR
     variable v_snk_out_arr : t_dp_siso_arr(nof_streams - 1 downto 0);
   begin
     for i in v_snk_out_arr'range loop
@@ -1474,7 +1500,7 @@ package body dp_stream_pkg is
   end;
 
   -- Reconcatenate the data and complex re,im fields from a SOSI array from nof_data*in_w to nof_data*out_w
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi is
     constant c_compl_in_w  : natural   := in_w / 2;
     constant c_compl_out_w : natural   := out_w / 2;
     variable v_src_out     : t_dp_sosi := snk_in;
@@ -1512,12 +1538,12 @@ package body dp_stream_pkg is
     return v_src_out;
   end;
 
-  function func_dp_stream_reconcat(snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
+  function func_dp_stream_reconcat (snk_in : t_dp_sosi; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi is
   begin
     return func_dp_stream_reconcat(snk_in, in_w, out_w, nof_data, data_representation, true);
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string; data_order_im_re : boolean) return t_dp_sosi_arr is
     variable v_src_out_arr : t_dp_sosi_arr(snk_in_arr'range) := snk_in_arr;
   begin
     for i in v_src_out_arr'range loop
@@ -1526,13 +1552,13 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_reconcat(snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
+  function func_dp_stream_reconcat (snk_in_arr : t_dp_sosi_arr; in_w, out_w, nof_data : natural; data_representation : string) return t_dp_sosi_arr is
   begin
     return func_dp_stream_reconcat(snk_in_arr, in_w, out_w, nof_data, data_representation, true);
   end;
 
   -- Deconcatenate data from SOSI into SOSI array
-  function func_dp_stream_deconcat(snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
+  function func_dp_stream_deconcat (snk_in : t_dp_sosi; nof_streams, data_w : natural) return t_dp_sosi_arr is
     constant c_compl_data_w : natural := data_w / 2;
     variable v_src_out_arr  : t_dp_sosi_arr(nof_streams - 1 downto 0);
   begin
@@ -1548,13 +1574,13 @@ package body dp_stream_pkg is
     return v_src_out_arr;
   end;
 
-  function func_dp_stream_deconcat(src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
+  function func_dp_stream_deconcat (src_out_arr : t_dp_siso_arr) return t_dp_siso is  -- Wire SISO_ARR(0) to single SISO
   begin
     return src_out_arr(0);
   end;
 
   -- Return TRUE when the sosi.data of both streams matches (and is valid)
-  function func_dp_data_match(snk_in_a, snk_in_b: t_dp_sosi; data_w : natural) return boolean is
+  function func_dp_data_match (snk_in_a, snk_in_b: t_dp_sosi; data_w : natural) return boolean is
     variable result : boolean;
   begin
     result := false;
@@ -1567,7 +1593,7 @@ package body dp_stream_pkg is
   end;
 
   -- Return TRUE when the sosi.data of all streams matches (and is valid)
-  function func_dp_data_match(snk_in_a, snk_in_b, snk_in_c: t_dp_sosi; data_w : natural) return boolean is
+  function func_dp_data_match (snk_in_a, snk_in_b, snk_in_c: t_dp_sosi; data_w : natural) return boolean is
   begin
     return func_dp_data_match(snk_in_a, snk_in_b, data_w) and func_dp_data_match(snk_in_b, snk_in_c, data_w);
   end;
diff --git a/libraries/base/dp/src/vhdl/dp_strobe_total_count.vhd b/libraries/base/dp/src/vhdl/dp_strobe_total_count.vhd
index 211fc2020f..446d5e3579 100644
--- a/libraries/base/dp/src/vhdl/dp_strobe_total_count.vhd
+++ b/libraries/base/dp/src/vhdl/dp_strobe_total_count.vhd
@@ -74,11 +74,11 @@
 --   ref_sync stopped already.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_components_pkg.all;
 
 entity dp_strobe_total_count is
   generic (
@@ -111,11 +111,13 @@ architecture rtl of dp_strobe_total_count is
   constant c_flush_adr      : natural := c_dp_strobe_total_count_reg_flush_adr;
 
   -- Define the size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => c_dp_strobe_total_count_reg_adr_w,
-                                  dat_w    => g_mm_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => c_dp_strobe_total_count_reg_nof_words,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_dp_strobe_total_count_reg_adr_w,
+    dat_w    => g_mm_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_dp_strobe_total_count_reg_nof_words,
+    init_sl  => '0'
+  );
 
   type t_cnt_arr is array (integer range <>) of std_logic_vector(g_count_w - 1 downto 0);
 
@@ -145,28 +147,28 @@ begin
   mm_cnt_flush <= reg_mosi.wr when TO_UINT(reg_mosi.address(c_mm_reg.adr_w - 1 downto 0)) = c_flush_adr else '0';
 
   u_common_spulse_clear : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_cnt_clear,
-    out_rst   => dp_rst,
-    out_clk   => dp_clk,
-    out_pulse => dp_cnt_clear
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_cnt_clear,
+      out_rst   => dp_rst,
+      out_clk   => dp_clk,
+      out_pulse => dp_cnt_clear
+    );
 
   -- Support cnt clear via either MM or via an input strobe, use register
   -- to ease timing closure
   cnt_clr <= dp_cnt_clear or in_clr when rising_edge(dp_clk);
 
   u_common_spulse_flush : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_cnt_flush,
-    out_rst   => dp_rst,
-    out_clk   => dp_clk,
-    out_pulse => dp_cnt_flush
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_cnt_flush,
+      out_rst   => dp_rst,
+      out_clk   => dp_clk,
+      out_pulse => dp_cnt_flush
+    );
 
   -- Register inputs to ease timing closure
   -- . register ref_sync to ease timing closure for ref_sync fanout
@@ -202,18 +204,18 @@ begin
     cnt_en_arr(I) <= cnt_en and in_strobe_reg2_arr(I);
 
     u_counter : entity common_lib.common_counter
-    generic map (
-      g_width => g_count_w,
-      g_clip  => g_clip
-    )
-    port map (
-      rst => dp_rst,
-      clk => dp_clk,
+      generic map (
+        g_width => g_count_w,
+        g_clip  => g_clip
+      )
+      port map (
+        rst => dp_rst,
+        clk => dp_clk,
 
-      cnt_clr => cnt_clr,
-      cnt_en  => cnt_en_arr(I),
-      count   => cnt_arr(I)
-    );
+        cnt_clr => cnt_clr,
+        cnt_en  => cnt_en_arr(I),
+        count   => cnt_arr(I)
+      );
   end generate;
 
   -- Hold counter values at ref_sync_reg2 to have stable values for MM read
@@ -243,27 +245,27 @@ begin
   end generate;
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => dp_rst,
-    st_clk      => dp_clk,
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => dp_rst,
+      st_clk      => dp_clk,
 
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_mosi,
-    sla_out     => reg_miso,
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_mosi,
+      sla_out     => reg_miso,
 
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_reg      => rd_reg,  -- read only
-    out_reg     => open  -- no write
-  );
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_reg      => rd_reg,  -- read only
+      out_reg     => open  -- no write
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_switch.vhd b/libraries/base/dp/src/vhdl/dp_switch.vhd
index 5ba1d4d7ad..dd0022438c 100644
--- a/libraries/base/dp/src/vhdl/dp_switch.vhd
+++ b/libraries/base/dp/src/vhdl/dp_switch.vhd
@@ -33,11 +33,11 @@
 --   . So this does not work for continuous streams!
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_switch is
   generic (
@@ -97,37 +97,37 @@ begin
   -- A single MM register contains input to select
   ------------------------------------------------------------------------------
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_field_arr => c_field_arr
-  )
-  port map (
-    mm_clk  => mm_clk,
-    mm_rst  => mm_rst,
+    generic map(
+      g_field_arr => c_field_arr
+    )
+    port map (
+      mm_clk  => mm_clk,
+      mm_rst  => mm_rst,
 
-    mm_mosi => reg_mosi,
-    mm_miso => reg_miso,
+      mm_mosi => reg_mosi,
+      mm_miso => reg_miso,
 
-    slv_clk => dp_clk,
-    slv_rst => dp_rst,
+      slv_clk => dp_clk,
+      slv_rst => dp_rst,
 
-    slv_out => mm_fields_out
-  );
+      slv_out => mm_fields_out
+    );
 
   ------------------------------------------------------------------------------
   -- put dp_xonoff block inbetween data path to control data flow.
   ------------------------------------------------------------------------------
   gen_dp_xonoff_arr : for i in 0 to g_nof_inputs - 1 generate
     u_dp_xonoff: entity work.dp_xonoff
-    port map (
-      clk         => dp_clk,
-      rst         => dp_rst,
-      -- Frame in
-      in_sosi     => snk_in_arr(i),
-      in_siso     => snk_out_arr(i),
-      -- Frame out
-      out_siso    => xonoff_src_in_arr(i),  -- flush control done by dp_mux.snk_out_arr
-      out_sosi    => xonoff_src_out_arr(i)
-    );
+      port map (
+        clk         => dp_clk,
+        rst         => dp_rst,
+        -- Frame in
+        in_sosi     => snk_in_arr(i),
+        in_siso     => snk_out_arr(i),
+        -- Frame out
+        out_siso    => xonoff_src_in_arr(i),  -- flush control done by dp_mux.snk_out_arr
+        out_sosi    => xonoff_src_out_arr(i)
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -149,36 +149,36 @@ begin
   -- DP mux forwards input based on dp_mux_sel_ctrl
   ------------------------------------------------------------------------------
   u_dp_mux : entity work.dp_mux
-  generic map (
-    g_mode            => 4,  -- Use sel_ctrl
-    g_sel_ctrl_invert => false,  -- Dont invert, data is already reverse mapped from DOWNTO >> TO arrays.
-    g_nof_input       => g_nof_inputs,
-    g_use_fifo        => g_use_fifo,
-    g_bsn_w           => g_bsn_w,
-    g_data_w          => g_data_w,
-    g_empty_w         => g_empty_w,
-    g_in_channel_w    => g_in_channel_w,
-    g_error_w         => g_error_w,
-    g_use_bsn         => g_use_bsn,
-    g_use_empty       => g_use_empty,
-    g_use_in_channel  => g_use_in_channel,
-    g_use_error       => g_use_error,
-    g_use_sync        => g_use_sync,
-    g_fifo_af_margin  => g_fifo_af_margin,
-    g_fifo_size       => array_init(g_fifo_size, g_nof_inputs),
-    g_fifo_fill       => array_init(g_fifo_fill, g_nof_inputs)
-  )
-  port map (
-    clk         => dp_clk,
-    rst         => dp_rst,
-
-    sel_ctrl    => dp_mux_sel_ctrl,
-
-    snk_in_arr  => inverted_snk_in_arr,
-    snk_out_arr => inverted_snk_out_arr,
-
-    src_out     => src_out,
-    src_in      => src_in
-  );
+    generic map (
+      g_mode            => 4,  -- Use sel_ctrl
+      g_sel_ctrl_invert => false,  -- Dont invert, data is already reverse mapped from DOWNTO >> TO arrays.
+      g_nof_input       => g_nof_inputs,
+      g_use_fifo        => g_use_fifo,
+      g_bsn_w           => g_bsn_w,
+      g_data_w          => g_data_w,
+      g_empty_w         => g_empty_w,
+      g_in_channel_w    => g_in_channel_w,
+      g_error_w         => g_error_w,
+      g_use_bsn         => g_use_bsn,
+      g_use_empty       => g_use_empty,
+      g_use_in_channel  => g_use_in_channel,
+      g_use_error       => g_use_error,
+      g_use_sync        => g_use_sync,
+      g_fifo_af_margin  => g_fifo_af_margin,
+      g_fifo_size       => array_init(g_fifo_size, g_nof_inputs),
+      g_fifo_fill       => array_init(g_fifo_fill, g_nof_inputs)
+    )
+    port map (
+      clk         => dp_clk,
+      rst         => dp_rst,
+
+      sel_ctrl    => dp_mux_sel_ctrl,
+
+      snk_in_arr  => inverted_snk_in_arr,
+      snk_out_arr => inverted_snk_out_arr,
+
+      src_out     => src_out,
+      src_in      => src_in
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_sync_checker.vhd b/libraries/base/dp/src/vhdl/dp_sync_checker.vhd
index bdd968f814..efa5b1b505 100644
--- a/libraries/base/dp/src/vhdl/dp_sync_checker.vhd
+++ b/libraries/base/dp/src/vhdl/dp_sync_checker.vhd
@@ -48,11 +48,11 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_sync_checker is
   generic(
diff --git a/libraries/base/dp/src/vhdl/dp_sync_insert.vhd b/libraries/base/dp/src/vhdl/dp_sync_insert.vhd
index 6cfdc15935..9bb236cd2b 100644
--- a/libraries/base/dp/src/vhdl/dp_sync_insert.vhd
+++ b/libraries/base/dp/src/vhdl/dp_sync_insert.vhd
@@ -35,10 +35,10 @@
 --   incoming data is perfectly aligned. Use a dp_sync_checker to assure the incoming data is perfect.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_sync_insert is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_sync_insert_v2.vhd b/libraries/base/dp/src/vhdl/dp_sync_insert_v2.vhd
index 9532abac3f..e4188e18b2 100644
--- a/libraries/base/dp/src/vhdl/dp_sync_insert_v2.vhd
+++ b/libraries/base/dp/src/vhdl/dp_sync_insert_v2.vhd
@@ -33,11 +33,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_sync_insert_v2 is
   generic (
@@ -125,28 +125,28 @@ begin
 
 
   u_common_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_nof_blk_per_sync_mm_reg,
-    g_init_reg           => c_init_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_mosi,
-    sla_out        => reg_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => OPEN,
-    in_reg         => reg_nof_blk_per_sync,
-    out_reg        => reg_nof_blk_per_sync
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_nof_blk_per_sync_mm_reg,
+      g_init_reg           => c_init_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_mosi,
+      sla_out        => reg_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => OPEN,
+      in_reg         => reg_nof_blk_per_sync,
+      out_reg        => reg_nof_blk_per_sync
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_sync_recover.vhd b/libraries/base/dp/src/vhdl/dp_sync_recover.vhd
index 4a4dc90eb1..e78d021ac8 100644
--- a/libraries/base/dp/src/vhdl/dp_sync_recover.vhd
+++ b/libraries/base/dp/src/vhdl/dp_sync_recover.vhd
@@ -38,11 +38,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_sync_recover is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_tail_remove.vhd b/libraries/base/dp/src/vhdl/dp_tail_remove.vhd
index 280865f0a5..3482be830e 100644
--- a/libraries/base/dp/src/vhdl/dp_tail_remove.vhd
+++ b/libraries/base/dp/src/vhdl/dp_tail_remove.vhd
@@ -29,18 +29,18 @@
 --   remove 0 or more head data and 0 or more tail data from the input block.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_tail_remove is
   generic (
     g_data_w      : natural;
     g_symbol_w    : natural;
     g_nof_symbols : natural  -- Nof symbols to be stripped from end of the packet,
-                            -- and accounting for the nof empty symbols.
+  -- and accounting for the nof empty symbols.
   );
   port (
     st_rst  : in  std_logic;
@@ -72,25 +72,25 @@ begin
   snk_out <= src_in;
 
   u_src_shift : entity work.dp_shiftreg
-  generic map (
-    g_output_reg     => c_output_reg,
-    g_flush_eop      => true,
-    g_modify_support => true,
-    g_nof_words      => c_nof_shiftreg_words
-  )
-  port map (
-    rst                 => st_rst,
-    clk                 => st_clk,
-    -- ST sink
-    snk_out             => OPEN,
-    snk_in              => snk_in,
-    -- Control shift register contents
-    cur_shiftreg_inputs => rd_sosi_arr,
-    new_shiftreg_inputs => wr_sosi_arr,
-    -- ST source
-    src_in              => src_in,  -- We correct the stream via new_shiftreg_inputs, so
-    src_out             => src_out  -- the shiftreg sources everything but the tail.
-  );
+    generic map (
+      g_output_reg     => c_output_reg,
+      g_flush_eop      => true,
+      g_modify_support => true,
+      g_nof_words      => c_nof_shiftreg_words
+    )
+    port map (
+      rst                 => st_rst,
+      clk                 => st_clk,
+      -- ST sink
+      snk_out             => OPEN,
+      snk_in              => snk_in,
+      -- Control shift register contents
+      cur_shiftreg_inputs => rd_sosi_arr,
+      new_shiftreg_inputs => wr_sosi_arr,
+      -- ST source
+      src_in              => src_in,  -- We correct the stream via new_shiftreg_inputs, so
+      src_out             => src_out  -- the shiftreg sources everything but the tail.
+    );
 
   p_shift: process(rd_sosi_arr)
     variable v_wr_sosi_arr : t_dp_sosi_arr(0 to c_nof_shiftreg_words - 1);
diff --git a/libraries/base/dp/src/vhdl/dp_throttle.vhd b/libraries/base/dp/src/vhdl/dp_throttle.vhd
index fa7dd4d811..84e2ec7175 100644
--- a/libraries/base/dp/src/vhdl/dp_throttle.vhd
+++ b/libraries/base/dp/src/vhdl/dp_throttle.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity dp_throttle is
   generic (
     g_dc_period      : natural := 100;  -- provides a resolution of 1% (1/100..100/100)
     g_throttle_valid : boolean := false  -- FALSE: Stream passes through, snk_out.ready is AND'ed with pulse
-                                        -- TRUE : Throttles src_out.valid instead of snk_out.ready; Onther entity I/O unused.
+  -- TRUE : Throttles src_out.valid instead of snk_out.ready; Onther entity I/O unused.
   );
   port (
     rst      : in  std_logic;
@@ -64,22 +64,22 @@ begin
   end generate;
 
   u_common_duty_cycle : entity common_lib.common_duty_cycle
-  generic map (
-    g_rst_lvl => '0',  -- Start with '0' on the output so our connected sink is not maxed out after reset
-    g_dis_lvl => '0',  -- Don't care - dc_out_en is not used.
-    g_act_lvl => '1',
-    g_per_cnt => g_dc_period,
-    g_act_cnt => 0  -- After init, stay in idle state until we write a new DC value
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
+    generic map (
+      g_rst_lvl => '0',  -- Start with '0' on the output so our connected sink is not maxed out after reset
+      g_dis_lvl => '0',  -- Don't care - dc_out_en is not used.
+      g_act_lvl => '1',
+      g_per_cnt => g_dc_period,
+      g_act_cnt => 0  -- After init, stay in idle state until we write a new DC value
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
 
-    dc_act_cnt  => throttle,
+      dc_act_cnt  => throttle,
 
-    dc_out_en   => '1',  -- We can also disable the output by writing zero to dc_act_cnt.
-    dc_out      => dc_out
-   );
+      dc_out_en   => '1',  -- We can also disable the output by writing zero to dc_act_cnt.
+      dc_out      => dc_out
+    );
 
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_throttle_reg.vhd b/libraries/base/dp/src/vhdl/dp_throttle_reg.vhd
index 10d5e4a7ce..b7884110b1 100644
--- a/libraries/base/dp/src/vhdl/dp_throttle_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_throttle_reg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_throttle_reg is
   generic (
@@ -48,11 +48,13 @@ end dp_throttle_reg;
 architecture rtl of dp_throttle_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 2,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 1,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 2,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 1,
+    init_sl  => '0'
+  );
 
   signal mm_throttle : std_logic_vector(ceil_log2(g_dc_period + 1) - 1 downto 0);
 
@@ -63,7 +65,7 @@ begin
     if mm_rst = '1' then
       -- Access event, register values
       mm_throttle  <= (others => '0');
-      elsif rising_edge(mm_clk) then
+    elsif rising_edge(mm_clk) then
       -- Read access defaults
       sla_out.rdval <= '0';
 
@@ -83,15 +85,15 @@ begin
   end process;
 
   u_common_reg_cross_domain : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst      => mm_rst,
-    in_clk      => mm_clk,
-    in_dat      => mm_throttle,
-    in_done     => OPEN,
-    out_rst     => st_rst,
-    out_clk     => st_clk,
-    out_dat     => throttle,
-    out_new     => open
-  );
+    port map (
+      in_rst      => mm_rst,
+      in_clk      => mm_clk,
+      in_dat      => mm_throttle,
+      in_done     => OPEN,
+      out_rst     => st_rst,
+      out_clk     => st_clk,
+      out_dat     => throttle,
+      out_new     => open
+    );
 
 end rtl;
diff --git a/libraries/base/dp/src/vhdl/dp_throttle_sop.vhd b/libraries/base/dp/src/vhdl/dp_throttle_sop.vhd
index 2a769f7a58..963c4050ec 100644
--- a/libraries/base/dp/src/vhdl/dp_throttle_sop.vhd
+++ b/libraries/base/dp/src/vhdl/dp_throttle_sop.vhd
@@ -26,9 +26,9 @@
 -- . g_period is
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_throttle_sop is
   generic (
@@ -60,34 +60,34 @@ begin
   snk_out.xon <= '1';
 
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width     => c_cnt_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => cycle_cnt
-  );
+    generic map (
+      g_width     => c_cnt_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => cycle_cnt
+    );
 
   cnt_en  <= '1' when TO_UINT(cycle_cnt) < g_period - 2 else '0';
   cnt_clr <= snk_in.sop;
 
   u_common_switch : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',
-    g_priority_lo  => false,
-    g_or_high      => true,
-    g_and_low      => true
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => switch_high,
-    switch_low  => switch_low,
-    out_level   => switch_out
-  );
+    generic map (
+      g_rst_level    => '1',
+      g_priority_lo  => false,
+      g_or_high      => true,
+      g_and_low      => true
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => switch_high,
+      switch_low  => switch_low,
+      out_level   => switch_out
+    );
 
   switch_high   <= not cnt_en;
   switch_low    <= snk_in.eop;
diff --git a/libraries/base/dp/src/vhdl/dp_throttle_xon.vhd b/libraries/base/dp/src/vhdl/dp_throttle_xon.vhd
index 48ca4a6a11..ffb88add3b 100644
--- a/libraries/base/dp/src/vhdl/dp_throttle_xon.vhd
+++ b/libraries/base/dp/src/vhdl/dp_throttle_xon.vhd
@@ -29,9 +29,9 @@
 --   * (1/'data valid duty_cycle').
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity dp_throttle_xon is
diff --git a/libraries/base/dp/src/vhdl/dp_unfolder.vhd b/libraries/base/dp/src/vhdl/dp_unfolder.vhd
index 6d6bb3c5bb..e52e1268ec 100644
--- a/libraries/base/dp/src/vhdl/dp_unfolder.vhd
+++ b/libraries/base/dp/src/vhdl/dp_unfolder.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Author:
 -- . Daniel van der Schuur
@@ -145,8 +145,8 @@ begin
     -- Wire the 2D demux output array to 1D array to match entity I/O type
     -----------------------------------------------------------------------------
     gen_demux_inputs_0: for i in 0 to c_nof_demuxes - 1 generate
-       demux_src_out_arr(2 * i)   <= demux_src_out_2arr_2(i)(0);
-       demux_src_out_arr(2 * i + 1) <= demux_src_out_2arr_2(i)(1);
+      demux_src_out_arr(2 * i)   <= demux_src_out_2arr_2(i)(0);
+      demux_src_out_arr(2 * i + 1) <= demux_src_out_2arr_2(i)(1);
     end generate;
 
     -----------------------------------------------------------------------------
@@ -154,21 +154,21 @@ begin
     -----------------------------------------------------------------------------
     gen_dp_unfolder: if g_nof_unfolds > 1 generate
       u_dp_unfolder : dp_unfolder
-      generic map (
-        g_nof_inputs        => c_nof_demuxes * 2,  -- Next stage has all our demux outputs as inputs
-        g_nof_unfolds       => g_nof_unfolds - 1,
-        g_output_block_size => g_output_block_size,
-        g_fwd_sync_bsn      => g_fwd_sync_bsn,
-        g_use_channel       => g_use_channel,
-        g_output_align      => g_output_align
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-
-        snk_in_arr  => demux_src_out_arr,
-        src_out_arr => src_out_arr
-      );
+        generic map (
+          g_nof_inputs        => c_nof_demuxes * 2,  -- Next stage has all our demux outputs as inputs
+          g_nof_unfolds       => g_nof_unfolds - 1,
+          g_output_block_size => g_output_block_size,
+          g_fwd_sync_bsn      => g_fwd_sync_bsn,
+          g_use_channel       => g_use_channel,
+          g_output_align      => g_output_align
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+
+          snk_in_arr  => demux_src_out_arr,
+          src_out_arr => src_out_arr
+        );
     end generate;
 
     -----------------------------------------------------------------------------
@@ -184,16 +184,16 @@ begin
         -----------------------------------------------------------------------------
         gen_dp_pipeline : for i in 0 to c_nof_outputs - 1 generate
           u_dp_pipeline : entity dp_lib.dp_pipeline
-          generic map (
-            g_pipeline => 0 + (pow2(g_nof_unfolds) - i rem pow2(g_nof_unfolds) - 1)
-          )
-          port map (
-            rst         => rst,
-            clk         => clk,
-
-            snk_in      => dp_pipeline_snk_in_arr(i),
-            src_out     => dp_block_gen_snk_in_arr(i)
-          );
+            generic map (
+              g_pipeline => 0 + (pow2(g_nof_unfolds) - i rem pow2(g_nof_unfolds) - 1)
+            )
+            port map (
+              rst         => rst,
+              clk         => clk,
+
+              snk_in      => dp_pipeline_snk_in_arr(i),
+              src_out     => dp_block_gen_snk_in_arr(i)
+            );
         end generate;
       end generate;
 
@@ -207,20 +207,20 @@ begin
       gen_ctrl : if g_output_block_size > 0 generate
         gen_dp_block_gen : for i in 0 to c_nof_outputs - 1 generate
           u_dp_block_gen : entity work.dp_block_gen
-          generic map (
-            g_use_src_in       => false,
-            g_nof_data         => g_output_block_size,
-            g_preserve_sync    => true,
-            g_preserve_bsn     => true,
-            g_preserve_channel => g_use_channel
-          )
-          port map(
-            rst        => rst,
-            clk        => clk,
-
-            snk_in     => dp_block_gen_snk_in_arr(i),
-            src_out    => dp_block_gen_src_out_arr(i)
-          );
+            generic map (
+              g_use_src_in       => false,
+              g_nof_data         => g_output_block_size,
+              g_preserve_sync    => true,
+              g_preserve_bsn     => true,
+              g_preserve_channel => g_use_channel
+            )
+            port map(
+              rst        => rst,
+              clk        => clk,
+
+              snk_in     => dp_block_gen_snk_in_arr(i),
+              src_out    => dp_block_gen_src_out_arr(i)
+            );
         end generate;
       end generate;
 
@@ -234,20 +234,20 @@ begin
       gen_sync_bsn : if g_fwd_sync_bsn = true generate
         gen_dp_fifo_info: for i in 0 to c_nof_outputs - 1 generate
           u_dp_fifo_info : entity work.dp_fifo_info
-          generic map (
-            g_use_sync => true,
-            g_use_bsn  => true
-          )
-          port map (
-            rst          => rst,
-            clk          => clk,
-
-            data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
-            info_snk_in  => snk_in_arr(0),  -- original snk_in info
-
-            src_in       => c_dp_siso_rdy,
-            src_out      => src_out_arr(i)
-          );
+            generic map (
+              g_use_sync => true,
+              g_use_bsn  => true
+            )
+            port map (
+              rst          => rst,
+              clk          => clk,
+
+              data_snk_in  => dp_block_gen_src_out_arr(i),  -- delayed snk_in data
+              info_snk_in  => snk_in_arr(0),  -- original snk_in info
+
+              src_in       => c_dp_siso_rdy,
+              src_out      => src_out_arr(i)
+            );
         end generate;
       end generate;
 
@@ -255,9 +255,9 @@ begin
         src_out_arr <= dp_block_gen_src_out_arr;
       end generate;
 
-        end generate;
+    end generate;
 
-      end generate;
+  end generate;
 
   -----------------------------------------------------------------------------
   -- Wire output to input if g_nof_unfolds=0
diff --git a/libraries/base/dp/src/vhdl/dp_unframe.vhd b/libraries/base/dp/src/vhdl/dp_unframe.vhd
index 1164b21af8..51039cde2d 100644
--- a/libraries/base/dp/src/vhdl/dp_unframe.vhd
+++ b/libraries/base/dp/src/vhdl/dp_unframe.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_packetizing_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_packetizing_pkg.all;
 
 -- Reuse from LOFAR rad_unframe.vhd and rad_unframe(rtl).vhd
 
@@ -74,10 +74,10 @@ entity dp_unframe is
     out_err          : out std_logic
   );
 begin
--- synthesis translate_off
+  -- synthesis translate_off
   assert g_fsn_w <= g_dat_w
     report "g_fsn_w must be smaller than or equal to g_dat_w"
-      severity ERROR;
+    severity ERROR;
 -- synthesis translate_on
 
 end dp_unframe;
diff --git a/libraries/base/dp/src/vhdl/dp_validate.vhd b/libraries/base/dp/src/vhdl/dp_validate.vhd
index 7db309c9bf..b52e319f68 100644
--- a/libraries/base/dp/src/vhdl/dp_validate.vhd
+++ b/libraries/base/dp/src/vhdl/dp_validate.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 --   Assert valid, sop and eop only when they are valid.
diff --git a/libraries/base/dp/src/vhdl/dp_wideband_sp_arr_scope.vhd b/libraries/base/dp/src/vhdl/dp_wideband_sp_arr_scope.vhd
index ed719fde55..ed7d5a7a8b 100644
--- a/libraries/base/dp/src/vhdl/dp_wideband_sp_arr_scope.vhd
+++ b/libraries/base/dp/src/vhdl/dp_wideband_sp_arr_scope.vhd
@@ -48,10 +48,10 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_wideband_sp_arr_scope is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_wideband_wb_arr_scope.vhd b/libraries/base/dp/src/vhdl/dp_wideband_wb_arr_scope.vhd
index ac4ac46a7e..6c02653cca 100644
--- a/libraries/base/dp/src/vhdl/dp_wideband_wb_arr_scope.vhd
+++ b/libraries/base/dp/src/vhdl/dp_wideband_wb_arr_scope.vhd
@@ -41,9 +41,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_wideband_wb_arr_scope is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_xonoff.vhd b/libraries/base/dp/src/vhdl/dp_xonoff.vhd
index c85d77fe4c..0df6465e3e 100644
--- a/libraries/base/dp/src/vhdl/dp_xonoff.vhd
+++ b/libraries/base/dp/src/vhdl/dp_xonoff.vhd
@@ -92,8 +92,8 @@
 -- . Originally based on rad_frame_onoff from LOFAR RSP firmware
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
 
 entity dp_xonoff is
   generic (
diff --git a/libraries/base/dp/src/vhdl/dp_xonoff_reg.vhd b/libraries/base/dp/src/vhdl/dp_xonoff_reg.vhd
index c64bf88f1b..4d97a8b6a8 100644
--- a/libraries/base/dp/src/vhdl/dp_xonoff_reg.vhd
+++ b/libraries/base/dp/src/vhdl/dp_xonoff_reg.vhd
@@ -33,9 +33,9 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_xonoff_reg is
   generic (
@@ -60,11 +60,13 @@ end dp_xonoff_reg;
 
 architecture str of dp_xonoff_reg is
 
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 1,
-                                  dat_w    => c_word_w,
-                                  nof_dat  => 1,
-                                  init_sl  => g_default_value);
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => g_default_value
+  );
 
   signal mm_xonoff_reg : std_logic_vector(0 downto 0);
 
@@ -88,7 +90,7 @@ begin
       if sla_in.wr = '1' then
         case TO_UINT(sla_in.address(c_mm_reg.adr_w - 1 downto 0)) is
           when 0 =>
-             mm_xonoff_reg(0) <= sla_in.wrdata(0);
+            mm_xonoff_reg(0) <= sla_in.wrdata(0);
 
           when others => null;  -- not used MM addresses
         end case;
@@ -109,16 +111,16 @@ begin
   end process;
 
   u_reg_cross_domain : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst     => mm_rst,
-    in_clk     => mm_clk,
+    port map (
+      in_rst     => mm_rst,
+      in_clk     => mm_clk,
 
-    in_dat     => mm_xonoff_reg,
+      in_dat     => mm_xonoff_reg,
 
-    out_rst    => st_rst,
-    out_clk    => st_clk,
+      out_rst    => st_rst,
+      out_clk    => st_clk,
 
-    out_dat    => xonoff_reg
-  );
+      out_dat    => xonoff_reg
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/dp_xonoff_reg_timeout.vhd b/libraries/base/dp/src/vhdl/dp_xonoff_reg_timeout.vhd
index 1edae2143b..3ff79eb2e5 100644
--- a/libraries/base/dp/src/vhdl/dp_xonoff_reg_timeout.vhd
+++ b/libraries/base/dp/src/vhdl/dp_xonoff_reg_timeout.vhd
@@ -33,9 +33,9 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity dp_xonoff_reg_timeout is
   generic (
@@ -62,11 +62,13 @@ end dp_xonoff_reg_timeout;
 
 architecture str of dp_xonoff_reg_timeout is
 
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 1,
-                                  dat_w    => c_word_w,
-                                  nof_dat  => 1,
-                                  init_sl  => g_default_value);
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => g_default_value
+  );
   constant c_mm_max_counter : natural := sel_a_b(g_sim, g_mm_timeout * 50, g_mm_timeout * (50 * 10**6));  -- @50MHz
 
 
@@ -106,8 +108,8 @@ begin
           when 0 =>
             mm_xonoff_reg(0) <= sla_in.wrdata(0);
             if sla_in.wrdata(0) = '1' then
-               cnt_clr <= '1';
-               cnt_en  <= '1';
+              cnt_clr <= '1';
+              cnt_en  <= '1';
             end if;
 
           when others => null;  -- not used MM addresses
@@ -131,28 +133,28 @@ begin
 
 
   u_counter : entity common_lib.common_counter
-  generic map (
-    g_latency  => 0
-  )
-  port map (
-    rst        => mm_rst,
-    clk        => mm_clk,
-    cnt_clr    => cnt_clr,
-    cnt_en     => cnt_en,
-    count      => counter
-  );
+    generic map (
+      g_latency  => 0
+    )
+    port map (
+      rst        => mm_rst,
+      clk        => mm_clk,
+      cnt_clr    => cnt_clr,
+      cnt_en     => cnt_en,
+      count      => counter
+    );
 
   u_reg_cross_domain : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst     => mm_rst,
-    in_clk     => mm_clk,
+    port map (
+      in_rst     => mm_rst,
+      in_clk     => mm_clk,
 
-    in_dat     => mm_xonoff_reg_out,
+      in_dat     => mm_xonoff_reg_out,
 
-    out_rst    => st_rst,
-    out_clk    => st_clk,
+      out_rst    => st_rst,
+      out_clk    => st_clk,
 
-    out_dat    => xonoff_reg
-  );
+      out_dat    => xonoff_reg
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/mmp_dp_bsn_align_v2.vhd b/libraries/base/dp/src/vhdl/mmp_dp_bsn_align_v2.vhd
index 5f5977b064..4f49fd19f7 100644
--- a/libraries/base/dp/src/vhdl/mmp_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/src/vhdl/mmp_dp_bsn_align_v2.vhd
@@ -35,11 +35,11 @@
 --   https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+BSN+aligner+v2
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mmp_dp_bsn_align_v2 is
@@ -121,29 +121,29 @@ begin
 
   -- MM control of BSN aligner
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain   => true,
-    g_readback             => false,
-    g_reg                  => c_mm_reg,
-    g_init_reg             => (others => '1')  -- Default all g_nof_streams are enabled.
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_bsn_align_copi,
-    sla_out        => reg_bsn_align_cipo,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => OPEN,
-    out_reg        => reg_wr,  -- readback via ST clock domain
-    in_reg         => reg_rd
-  );
+    generic map (
+      g_cross_clock_domain   => true,
+      g_readback             => false,
+      g_reg                  => c_mm_reg,
+      g_init_reg             => (others => '1')  -- Default all g_nof_streams are enabled.
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_bsn_align_copi,
+      sla_out        => reg_bsn_align_cipo,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => OPEN,
+      out_reg        => reg_wr,  -- readback via ST clock domain
+      in_reg         => reg_rd
+    );
 
   gen_reg : for I in 0 to g_nof_streams - 1 generate
     stream_en_arr(I)                             <= sl(reg_wr(2 * I * c_word_w downto 2 * I * c_word_w));
@@ -161,58 +161,58 @@ begin
   -- . all input streams (g_nof_input_bsn_monitors = g_nof_streams).
   gen_bsn_mon_input : if g_nof_input_bsn_monitors > 0 generate
     u_bsn_mon_input : entity work.mms_dp_bsn_monitor_v2
-    generic map (
-      g_nof_streams        => g_nof_input_bsn_monitors,
-      g_cross_clock_domain => true,
-      g_sync_timeout       => g_nof_clk_per_sync,
-      g_bsn_w              => g_bsn_w,
-      g_error_bi           => 0,
-      g_cnt_sop_w          => c_word_w,
-      g_cnt_valid_w        => c_word_w,
-      g_cnt_latency_w      => c_word_w
-    )
-    port map (
-      -- Memory-mapped clock domain
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      reg_mosi       => reg_input_monitor_copi,
-      reg_miso       => reg_input_monitor_cipo,
-
-      -- Streaming clock domain
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-      ref_sync       => ref_sync,
-
-      in_sosi_arr    => in_sosi_arr(g_nof_input_bsn_monitors - 1 downto 0)
-    );
+      generic map (
+        g_nof_streams        => g_nof_input_bsn_monitors,
+        g_cross_clock_domain => true,
+        g_sync_timeout       => g_nof_clk_per_sync,
+        g_bsn_w              => g_bsn_w,
+        g_error_bi           => 0,
+        g_cnt_sop_w          => c_word_w,
+        g_cnt_valid_w        => c_word_w,
+        g_cnt_latency_w      => c_word_w
+      )
+      port map (
+        -- Memory-mapped clock domain
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        reg_mosi       => reg_input_monitor_copi,
+        reg_miso       => reg_input_monitor_cipo,
+
+        -- Streaming clock domain
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+        ref_sync       => ref_sync,
+
+        in_sosi_arr    => in_sosi_arr(g_nof_input_bsn_monitors - 1 downto 0)
+      );
   end generate;
 
   gen_bsn_mon_output : if g_use_bsn_output_monitor generate
     u_bsn_mon_output : entity work.mms_dp_bsn_monitor_v2
-    generic map (
-      g_nof_streams        => 1,  -- all outputs have same BSN monitor information
-      g_cross_clock_domain => true,
-      g_sync_timeout       => g_nof_clk_per_sync,
-      g_bsn_w              => g_bsn_w,
-      g_error_bi           => 0,
-      g_cnt_sop_w          => c_word_w,
-      g_cnt_valid_w        => c_word_w,
-      g_cnt_latency_w      => c_word_w
-    )
-    port map (
-      -- Memory-mapped clock domain
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      reg_mosi       => reg_output_monitor_copi,
-      reg_miso       => reg_output_monitor_cipo,
-
-      -- Streaming clock domain
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-      ref_sync       => ref_sync,
-
-      in_sosi_arr    => mon_out_sosi_arr
-    );
+      generic map (
+        g_nof_streams        => 1,  -- all outputs have same BSN monitor information
+        g_cross_clock_domain => true,
+        g_sync_timeout       => g_nof_clk_per_sync,
+        g_bsn_w              => g_bsn_w,
+        g_error_bi           => 0,
+        g_cnt_sop_w          => c_word_w,
+        g_cnt_valid_w        => c_word_w,
+        g_cnt_latency_w      => c_word_w
+      )
+      port map (
+        -- Memory-mapped clock domain
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        reg_mosi       => reg_output_monitor_copi,
+        reg_miso       => reg_output_monitor_cipo,
+
+        -- Streaming clock domain
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+        ref_sync       => ref_sync,
+
+        in_sosi_arr    => mon_out_sosi_arr
+      );
   end generate;
 
   -- Use mm_sosi or out_sosi_arr(0) from BSN aligner for output BSN monitor
@@ -223,35 +223,35 @@ begin
   out_sosi_arr <= i_out_sosi_arr;
 
   u_bsn_align : entity work.dp_bsn_align_v2
-  generic map (
-    g_nof_streams                => g_nof_streams,
-    g_bsn_latency_max            => g_bsn_latency_max,
-    g_nof_aligners_max           => g_nof_aligners_max,
-    g_block_size                 => g_block_size,
-    g_bsn_w                      => g_bsn_w,
-    g_data_w                     => g_data_w,
-    g_data_replacement_value     => g_data_replacement_value,
-    g_use_mm_output              => g_use_mm_output,
-    g_pipeline_input             => g_pipeline_input,
-    g_pipeline_output            => g_pipeline_output,
-    g_rd_latency                 => g_rd_latency
-  )
-  port map (
-    dp_rst                  => dp_rst,
-    dp_clk                  => dp_clk,
-    node_index              => node_index,
-    -- MM control
-    stream_en_arr           => stream_en_arr,
-    stream_replaced_cnt_arr => stream_replaced_cnt_arr,
-    -- Streaming input
-    in_sosi_arr             => in_sosi_arr,
-    -- Output via local MM in dp_clk domain
-    mm_sosi                 => i_mm_sosi,
-    mm_copi                 => mm_copi,
-    mm_cipo_arr             => mm_cipo_arr,
-    -- Output via streaming DP interface, when g_use_mm_output = TRUE.
-    out_sosi_arr            => i_out_sosi_arr
-  );
+    generic map (
+      g_nof_streams                => g_nof_streams,
+      g_bsn_latency_max            => g_bsn_latency_max,
+      g_nof_aligners_max           => g_nof_aligners_max,
+      g_block_size                 => g_block_size,
+      g_bsn_w                      => g_bsn_w,
+      g_data_w                     => g_data_w,
+      g_data_replacement_value     => g_data_replacement_value,
+      g_use_mm_output              => g_use_mm_output,
+      g_pipeline_input             => g_pipeline_input,
+      g_pipeline_output            => g_pipeline_output,
+      g_rd_latency                 => g_rd_latency
+    )
+    port map (
+      dp_rst                  => dp_rst,
+      dp_clk                  => dp_clk,
+      node_index              => node_index,
+      -- MM control
+      stream_en_arr           => stream_en_arr,
+      stream_replaced_cnt_arr => stream_replaced_cnt_arr,
+      -- Streaming input
+      in_sosi_arr             => in_sosi_arr,
+      -- Output via local MM in dp_clk domain
+      mm_sosi                 => i_mm_sosi,
+      mm_copi                 => mm_copi,
+      mm_cipo_arr             => mm_cipo_arr,
+      -- Output via streaming DP interface, when g_use_mm_output = TRUE.
+      out_sosi_arr            => i_out_sosi_arr
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler.vhd b/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler.vhd
index 114045c44f..9d5706825f 100644
--- a/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler.vhd
+++ b/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler.vhd
@@ -55,10 +55,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mmp_dp_bsn_sync_scheduler is
@@ -131,23 +131,23 @@ begin
   -- . Write
   wr_ctrl_enable                                  <=         reg_wr(                              0);
   ctrl_interval_size                              <= TO_UINT(reg_wr( 2 * c_word_w - 1 downto 1 * c_word_w)) when
-                          g_ctrl_interval_size_min < TO_UINT(reg_wr( 2 * c_word_w - 1 downto 1 * c_word_w)) else g_ctrl_interval_size_min;
+                                                       g_ctrl_interval_size_min < TO_UINT(reg_wr( 2 * c_word_w - 1 downto 1 * c_word_w)) else g_ctrl_interval_size_min;
   wr_start_bsn_64(  c_word_w - 1 downto          0) <=         reg_wr( 3 * c_word_w - 1 downto 2 * c_word_w);  -- low word
   wr_start_bsn_64(2 * c_word_w - 1 downto 1 * c_word_w) <=         reg_wr( 4 * c_word_w - 1 downto 3 * c_word_w);  -- high word
 
   -- Derive ctrl_enable_evt from change in wr_ctrl_enable, instead of using
   -- reg_wr_arr(0), see description
   u_common_evt : entity common_lib.common_evt
-  generic map (
-    g_evt_type   => "BOTH",
-    g_out_reg    => true
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    in_sig   => wr_ctrl_enable,
-    out_evt  => wr_ctrl_enable_evt
-  );
+    generic map (
+      g_evt_type   => "BOTH",
+      g_out_reg    => true
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      in_sig   => wr_ctrl_enable,
+      out_evt  => wr_ctrl_enable_evt
+    );
 
   ctrl_enable     <= wr_ctrl_enable     when rising_edge(dp_clk) and wr_ctrl_enable_evt = '1';
   ctrl_enable_evt <= wr_ctrl_enable_evt when rising_edge(dp_clk);
@@ -167,58 +167,58 @@ begin
   reg_rd(12 * c_word_w - 1 downto 11 * c_word_w) <= TO_UVEC(g_block_size, c_word_w);
 
   u_common_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain   => true,
-    g_readback             => false,
-    g_reg                  => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_mosi,
-    sla_out        => reg_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr     => reg_wr_arr,
-    reg_rd_arr     => OPEN,
-    out_reg        => reg_wr,  -- readback via ST clock domain
-    in_reg         => reg_rd
-  );
+    generic map (
+      g_cross_clock_domain   => true,
+      g_readback             => false,
+      g_reg                  => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_mosi,
+      sla_out        => reg_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr     => reg_wr_arr,
+      reg_rd_arr     => OPEN,
+      out_reg        => reg_wr,  -- readback via ST clock domain
+      in_reg         => reg_rd
+    );
 
   u_dp_bsn_sync_scheduler : entity work.dp_bsn_sync_scheduler
-  generic map (
-    g_bsn_w                  => g_bsn_w,
-    g_block_size             => g_block_size,
-    g_ctrl_interval_size_min => g_ctrl_interval_size_min,
-    g_pipeline               => 1
-  )
-  port map (
-    rst                      => dp_rst,
-    clk                      => dp_clk,
-
-    -- M&C
-    ctrl_enable              => ctrl_enable,
-    ctrl_enable_evt          => ctrl_enable_evt,
-    ctrl_interval_size       => ctrl_interval_size,
-    ctrl_start_bsn           => ctrl_start_bsn,
-    mon_current_input_bsn    => mon_current_input_bsn,
-    mon_input_bsn_at_sync    => mon_input_bsn_at_sync,
-    mon_output_enable        => mon_output_enable,
-    mon_output_interval_size => mon_output_interval_size,
-    mon_output_sync_bsn      => mon_output_sync_bsn,
-
-    -- Streaming
-    in_sosi                  => in_sosi,
-    out_sosi                 => out_sosi,
-    out_start                => out_start,
-    out_start_interval       => out_start_interval,
-    out_enable               => out_enable
-  );
+    generic map (
+      g_bsn_w                  => g_bsn_w,
+      g_block_size             => g_block_size,
+      g_ctrl_interval_size_min => g_ctrl_interval_size_min,
+      g_pipeline               => 1
+    )
+    port map (
+      rst                      => dp_rst,
+      clk                      => dp_clk,
+
+      -- M&C
+      ctrl_enable              => ctrl_enable,
+      ctrl_enable_evt          => ctrl_enable_evt,
+      ctrl_interval_size       => ctrl_interval_size,
+      ctrl_start_bsn           => ctrl_start_bsn,
+      mon_current_input_bsn    => mon_current_input_bsn,
+      mon_input_bsn_at_sync    => mon_input_bsn_at_sync,
+      mon_output_enable        => mon_output_enable,
+      mon_output_interval_size => mon_output_interval_size,
+      mon_output_sync_bsn      => mon_output_sync_bsn,
+
+      -- Streaming
+      in_sosi                  => in_sosi,
+      out_sosi                 => out_sosi,
+      out_start                => out_start,
+      out_start_interval       => out_start_interval,
+      out_enable               => out_enable
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler_arr.vhd b/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler_arr.vhd
index 571927de27..131424d6d1 100644
--- a/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler_arr.vhd
+++ b/libraries/base/dp/src/vhdl/mmp_dp_bsn_sync_scheduler_arr.vhd
@@ -25,10 +25,10 @@
 -- Remarks: See mmp_dp_bsn_sync_scheduler.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mmp_dp_bsn_sync_scheduler_arr is
@@ -68,42 +68,42 @@ begin
 
   -- dp_bsn_sync_scheduler
   u_mmp_dp_bsn_sync_scheduler : entity work.mmp_dp_bsn_sync_scheduler
-  generic map (
-    g_bsn_w                  => g_bsn_w,
-    g_block_size             => g_block_size,
-    g_ctrl_interval_size_min => g_ctrl_interval_size_min
-  )
-  port map (
-    dp_rst   => dp_rst,
-    dp_clk   => dp_clk,
-    mm_rst   => mm_rst,
-    mm_clk   => mm_clk,
+    generic map (
+      g_bsn_w                  => g_bsn_w,
+      g_block_size             => g_block_size,
+      g_ctrl_interval_size_min => g_ctrl_interval_size_min
+    )
+    port map (
+      dp_rst   => dp_rst,
+      dp_clk   => dp_clk,
+      mm_rst   => mm_rst,
+      mm_clk   => mm_clk,
 
-    reg_mosi => reg_mosi,
-    reg_miso => reg_miso,
+      reg_mosi => reg_mosi,
+      reg_miso => reg_miso,
 
-    in_sosi  => in_sosi_arr(0),
-    out_sosi => single_src_out,
+      in_sosi  => in_sosi_arr(0),
+      out_sosi => single_src_out,
 
-    out_start          => out_start,
-    out_start_interval => out_start_interval,
-    out_enable         => out_enable
-  );
+      out_start          => out_start,
+      out_start_interval => out_start_interval,
+      out_enable         => out_enable
+    );
 
   -- Pipeline in_sosi_arr to compensate for the latency in mmp_dp_bsn_sync_scheduler
   u_dp_pipeline_arr : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => c_pipeline
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    -- ST sink
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_out_arr => in_sosi_arr_piped
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => c_pipeline
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      -- ST sink
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_out_arr => in_sosi_arr_piped
+    );
 
   p_streams : process(in_sosi_arr_piped, single_src_out)
   begin
diff --git a/libraries/base/dp/src/vhdl/mms_dp_block_select.vhd b/libraries/base/dp/src/vhdl/mms_dp_block_select.vhd
index 24912d4a41..7be6e1153e 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_block_select.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_block_select.vhd
@@ -30,12 +30,12 @@
 --   The index_lo and index_hi can be read and set via the MM interface.
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_block_select is
   generic (
@@ -65,7 +65,7 @@ end mms_dp_block_select;
 architecture str of mms_dp_block_select is
 
   constant c_field_arr : t_common_field_arr(1 downto 0) := ( (field_name_pad("index_hi"), "RW", 32, field_default(g_index_hi) ),
-                                                             (field_name_pad("index_lo"), "RW", 32, field_default(g_index_lo) ));
+    (field_name_pad("index_lo"), "RW", 32, field_default(g_index_lo) ));
 
   signal mm_fields_out : std_logic_vector(field_slv_out_len(c_field_arr) - 1 downto 0);
 
@@ -76,46 +76,46 @@ begin
 
   -- Use same control for all streams
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
-    g_field_arr       => c_field_arr
-  )
-  port map (
-    mm_clk     => mm_clk,
-    mm_rst     => mm_rst,
+    generic map(
+      g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
+      g_field_arr       => c_field_arr
+    )
+    port map (
+      mm_clk     => mm_clk,
+      mm_rst     => mm_rst,
 
-    mm_mosi    => reg_mosi,
-    mm_miso    => reg_miso,
+      mm_mosi    => reg_mosi,
+      mm_miso    => reg_miso,
 
-    slv_clk    => dp_clk,
-    slv_rst    => dp_rst,
+      slv_clk    => dp_clk,
+      slv_rst    => dp_rst,
 
-    slv_out    => mm_fields_out
-  );
+      slv_out    => mm_fields_out
+    );
 
   index_lo <= TO_UINT(mm_fields_out(field_hi(c_field_arr, "index_lo") downto field_lo(c_field_arr, "index_lo")));
   index_hi <= TO_UINT(mm_fields_out(field_hi(c_field_arr, "index_hi") downto field_lo(c_field_arr, "index_hi")));
 
   gen_dp_block_select : for I in 0 to g_nof_streams - 1 generate
     u_dp_block_select : entity work.dp_block_select
-    generic map (
-      g_nof_blocks_per_sync => g_nof_blocks_per_sync,
-      g_index_lo            => g_index_lo,
-      g_index_hi            => g_index_hi
-    )
-    port map (
-      rst          => dp_rst,
-      clk          => dp_clk,
-      -- Control
-      index_lo     => index_lo,
-      index_hi     => index_hi,
-      -- ST sink
-      snk_out      => snk_out_arr(I),
-      snk_in       => snk_in_arr(I),
-      -- ST source
-      src_in       => src_in_arr(I),
-      src_out      => src_out_arr(I)
-    );
+      generic map (
+        g_nof_blocks_per_sync => g_nof_blocks_per_sync,
+        g_index_lo            => g_index_lo,
+        g_index_hi            => g_index_hi
+      )
+      port map (
+        rst          => dp_rst,
+        clk          => dp_clk,
+        -- Control
+        index_lo     => index_lo,
+        index_hi     => index_hi,
+        -- ST sink
+        snk_out      => snk_out_arr(I),
+        snk_in       => snk_in_arr(I),
+        -- ST source
+        src_in       => src_in_arr(I),
+        src_out      => src_out_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_align.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_align.vhd
index 564443cdaa..cf32f9b71d 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_bsn_align.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_align.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose : MMS for dp_bsn_aligner
 -- Description: See dp_bsn_aligner.vhd
@@ -68,49 +68,49 @@ architecture str of mms_dp_bsn_align is
 begin
 
   u_align : entity work.dp_bsn_align
-  generic map(
-    g_block_size           => g_block_size,
-    g_block_period         => g_block_period,
-    g_nof_input            => g_nof_input,
-    g_xoff_timeout         => g_xoff_timeout,
-    g_sop_timeout          => g_sop_timeout,
-    g_bsn_latency          => g_bsn_latency,
-    g_bsn_request_pipeline => g_bsn_request_pipeline
-  )
-  port map(
-    rst         => dp_rst,
-    clk         => dp_clk,
-    -- ST sinks
-    snk_out_arr => snk_out_arr,
-    snk_in_arr  => snk_in_arr,
-    -- ST source
-    src_in_arr  => src_in_arr,
-    src_out_arr => src_out_arr,
-    -- MM
-    in_en_evt   => en_evt,
-    in_en_arr   => en_arr
-  );
+    generic map(
+      g_block_size           => g_block_size,
+      g_block_period         => g_block_period,
+      g_nof_input            => g_nof_input,
+      g_xoff_timeout         => g_xoff_timeout,
+      g_sop_timeout          => g_sop_timeout,
+      g_bsn_latency          => g_bsn_latency,
+      g_bsn_request_pipeline => g_bsn_request_pipeline
+    )
+    port map(
+      rst         => dp_rst,
+      clk         => dp_clk,
+      -- ST sinks
+      snk_out_arr => snk_out_arr,
+      snk_in_arr  => snk_in_arr,
+      -- ST source
+      src_in_arr  => src_in_arr,
+      src_out_arr => src_out_arr,
+      -- MM
+      in_en_evt   => en_evt,
+      in_en_arr   => en_arr
+    );
 
   u_reg : entity work.dp_bsn_align_reg
-  generic map (
-    g_nof_input          => g_nof_input,
-    g_cross_clock_domain => g_cross_clock_domain
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst   => mm_rst,
-    mm_clk   => mm_clk,
-    st_rst   => dp_rst,
-    st_clk   => dp_clk,
+    generic map (
+      g_nof_input          => g_nof_input,
+      g_cross_clock_domain => g_cross_clock_domain
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst   => mm_rst,
+      mm_clk   => mm_clk,
+      st_rst   => dp_rst,
+      st_clk   => dp_clk,
 
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in   => reg_mosi,
-    sla_out  => reg_miso,
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in   => reg_mosi,
+      sla_out  => reg_miso,
 
-    -- MM registers in st_clk domain
-    out_en_evt => en_evt,
-    out_en_arr => en_arr
-  );
+      -- MM registers in st_clk domain
+      out_en_evt => en_evt,
+      out_en_arr => en_arr
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor.vhd
index a9f4549ae4..d180e52ebd 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose : MMS for dp_bsn_monitor
 -- Description: See dp_bsn_monitor.vhd
@@ -85,83 +85,83 @@ architecture str of mms_dp_bsn_monitor is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_reg_adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
-
-  gen_stream : for i in 0 to g_nof_streams - 1 generate
-
-    u_reg : entity work.dp_bsn_monitor_reg
     generic map (
-      g_cross_clock_domain => g_cross_clock_domain
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_reg_adr_w
     )
     port map (
-      -- Clocks and reset
-      mm_rst                  => mm_rst,
-      mm_clk                  => mm_clk,
-      st_rst                  => dp_rst,
-      st_clk                  => dp_clk,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in                  => reg_mosi_arr(i),
-      sla_out                 => reg_miso_arr(i),
-
-      -- MM registers in dp_clk domain
-      -- . control
-      mon_evt                 => mon_evt_arr(i),
-      mon_sync_timeout        => mon_sync_timeout_arr(i),
-      -- . siso
-      mon_ready_stable        => mon_ready_stable_arr(i),
-      mon_xon_stable          => mon_xon_stable_arr(i),
-      -- . sosi
-      mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
-      mon_nof_sop             => mon_nof_sop_arr(i),
-      mon_nof_err             => mon_nof_err_arr(i),
-      mon_nof_valid           => mon_nof_valid_arr(i),
-
-      mon_bsn_first           => mon_bsn_first_arr(i),
-      mon_bsn_first_cycle_cnt => mon_bsn_first_cycle_cnt_arr(i)
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
     );
 
+  gen_stream : for i in 0 to g_nof_streams - 1 generate
+
+    u_reg : entity work.dp_bsn_monitor_reg
+      generic map (
+        g_cross_clock_domain => g_cross_clock_domain
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst                  => mm_rst,
+        mm_clk                  => mm_clk,
+        st_rst                  => dp_rst,
+        st_clk                  => dp_clk,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in                  => reg_mosi_arr(i),
+        sla_out                 => reg_miso_arr(i),
+
+        -- MM registers in dp_clk domain
+        -- . control
+        mon_evt                 => mon_evt_arr(i),
+        mon_sync_timeout        => mon_sync_timeout_arr(i),
+        -- . siso
+        mon_ready_stable        => mon_ready_stable_arr(i),
+        mon_xon_stable          => mon_xon_stable_arr(i),
+        -- . sosi
+        mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
+        mon_nof_sop             => mon_nof_sop_arr(i),
+        mon_nof_err             => mon_nof_err_arr(i),
+        mon_nof_valid           => mon_nof_valid_arr(i),
+
+        mon_bsn_first           => mon_bsn_first_arr(i),
+        mon_bsn_first_cycle_cnt => mon_bsn_first_cycle_cnt_arr(i)
+      );
+
     u_mon : entity work.dp_bsn_monitor
-    generic map (
-      g_sync_timeout  => g_sync_timeout,
-      g_error_bi      => g_error_bi,
-      g_log_first_bsn => g_log_first_bsn
-    )
-    port map (
-      rst                    => dp_rst,
-      clk                    => dp_clk,
-
-      -- ST interface
-      in_siso                => in_siso_arr(i),
-      in_sosi                => in_sosi_arr(i),
-      sync_in                => sync_in,
-
-      -- MM interface
-      -- . control
-      mon_evt                 => mon_evt_arr(i),  -- pulses when new monitor data is available regarding the previous sync interval
-      mon_sync                => OPEN,
-      mon_sync_timeout        => mon_sync_timeout_arr(i),
-      -- . siso
-      mon_ready_stable        => mon_ready_stable_arr(i),
-      mon_xon_stable          => mon_xon_stable_arr(i),
-      -- . sosi
-      mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
-      mon_nof_sop             => mon_nof_sop_arr(i),
-      mon_nof_err             => mon_nof_err_arr(i),
-      mon_nof_valid           => mon_nof_valid_arr(i),
-
-      mon_bsn_first           => mon_bsn_first_arr(i),
-      mon_bsn_first_cycle_cnt => mon_bsn_first_cycle_cnt_arr(i)
-    );
+      generic map (
+        g_sync_timeout  => g_sync_timeout,
+        g_error_bi      => g_error_bi,
+        g_log_first_bsn => g_log_first_bsn
+      )
+      port map (
+        rst                    => dp_rst,
+        clk                    => dp_clk,
+
+        -- ST interface
+        in_siso                => in_siso_arr(i),
+        in_sosi                => in_sosi_arr(i),
+        sync_in                => sync_in,
+
+        -- MM interface
+        -- . control
+        mon_evt                 => mon_evt_arr(i),  -- pulses when new monitor data is available regarding the previous sync interval
+        mon_sync                => OPEN,
+        mon_sync_timeout        => mon_sync_timeout_arr(i),
+        -- . siso
+        mon_ready_stable        => mon_ready_stable_arr(i),
+        mon_xon_stable          => mon_xon_stable_arr(i),
+        -- . sosi
+        mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
+        mon_nof_sop             => mon_nof_sop_arr(i),
+        mon_nof_err             => mon_nof_err_arr(i),
+        mon_nof_valid           => mon_nof_valid_arr(i),
+
+        mon_bsn_first           => mon_bsn_first_arr(i),
+        mon_bsn_first_cycle_cnt => mon_bsn_first_cycle_cnt_arr(i)
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd
index d99687e507..61b4951577 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd
@@ -24,12 +24,12 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_components_pkg.all;
 
 entity mms_dp_bsn_monitor_v2 is
   generic (
@@ -87,78 +87,78 @@ architecture str of mms_dp_bsn_monitor_v2 is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_reg_adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
-
-  gen_stream : for i in 0 to g_nof_streams - 1 generate
-
-    u_reg : entity work.dp_bsn_monitor_reg_v2
     generic map (
-      g_cross_clock_domain => g_cross_clock_domain
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_reg_adr_w
     )
     port map (
-      -- Clocks and reset
-      mm_rst                  => mm_rst,
-      mm_clk                  => mm_clk,
-      st_rst                  => dp_rst,
-      st_clk                  => dp_clk,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in                  => reg_mosi_arr(i),
-      sla_out                 => reg_miso_arr(i),
-
-      -- MM registers in dp_clk domain
-      -- . control
-      mon_evt                 => mon_evt_arr(i),
-      mon_sync_timeout        => mon_sync_timeout_arr(i),
-      -- . siso
-      mon_ready_stable        => mon_ready_stable_arr(i),
-      mon_xon_stable          => mon_xon_stable_arr(i),
-      -- . sosi
-      mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
-      mon_nof_sop             => mon_nof_sop_arr(i),
-      mon_nof_err             => mon_nof_err_arr(i),
-      mon_nof_valid           => mon_nof_valid_arr(i),
-      mon_latency             => mon_latency_arr(i)
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
     );
 
+  gen_stream : for i in 0 to g_nof_streams - 1 generate
+
+    u_reg : entity work.dp_bsn_monitor_reg_v2
+      generic map (
+        g_cross_clock_domain => g_cross_clock_domain
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst                  => mm_rst,
+        mm_clk                  => mm_clk,
+        st_rst                  => dp_rst,
+        st_clk                  => dp_clk,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in                  => reg_mosi_arr(i),
+        sla_out                 => reg_miso_arr(i),
+
+        -- MM registers in dp_clk domain
+        -- . control
+        mon_evt                 => mon_evt_arr(i),
+        mon_sync_timeout        => mon_sync_timeout_arr(i),
+        -- . siso
+        mon_ready_stable        => mon_ready_stable_arr(i),
+        mon_xon_stable          => mon_xon_stable_arr(i),
+        -- . sosi
+        mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
+        mon_nof_sop             => mon_nof_sop_arr(i),
+        mon_nof_err             => mon_nof_err_arr(i),
+        mon_nof_valid           => mon_nof_valid_arr(i),
+        mon_latency             => mon_latency_arr(i)
+      );
+
     u_mon : entity work.dp_bsn_monitor_v2
-    generic map (
-      g_sync_timeout  => g_sync_timeout,
-      g_error_bi      => g_error_bi
-    )
-    port map (
-      rst                    => dp_rst,
-      clk                    => dp_clk,
-
-      -- ST interface
-      in_siso                => in_siso_arr(i),
-      in_sosi                => in_sosi_arr(i),
-      ref_sync               => ref_sync,
-
-      -- MM interface
-      -- . control
-      mon_evt                 => mon_evt_arr(i),  -- pulses when new monitor data is available regarding the previous sync interval
-      mon_sync                => OPEN,
-      mon_sync_timeout        => mon_sync_timeout_arr(i),
-      -- . siso
-      mon_ready_stable        => mon_ready_stable_arr(i),
-      mon_xon_stable          => mon_xon_stable_arr(i),
-      -- . sosi
-      mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
-      mon_nof_sop             => mon_nof_sop_arr(i),
-      mon_nof_err             => mon_nof_err_arr(i),
-      mon_nof_valid           => mon_nof_valid_arr(i),
-      mon_latency             => mon_latency_arr(i)
-    );
+      generic map (
+        g_sync_timeout  => g_sync_timeout,
+        g_error_bi      => g_error_bi
+      )
+      port map (
+        rst                    => dp_rst,
+        clk                    => dp_clk,
+
+        -- ST interface
+        in_siso                => in_siso_arr(i),
+        in_sosi                => in_sosi_arr(i),
+        ref_sync               => ref_sync,
+
+        -- MM interface
+        -- . control
+        mon_evt                 => mon_evt_arr(i),  -- pulses when new monitor data is available regarding the previous sync interval
+        mon_sync                => OPEN,
+        mon_sync_timeout        => mon_sync_timeout_arr(i),
+        -- . siso
+        mon_ready_stable        => mon_ready_stable_arr(i),
+        mon_xon_stable          => mon_xon_stable_arr(i),
+        -- . sosi
+        mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
+        mon_nof_sop             => mon_nof_sop_arr(i),
+        mon_nof_err             => mon_nof_err_arr(i),
+        mon_nof_valid           => mon_nof_valid_arr(i),
+        mon_latency             => mon_latency_arr(i)
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_scheduler.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_scheduler.vhd
index 5a78c9c27b..8d4428a775 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_bsn_scheduler.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_scheduler.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose : MMS for dp_bsn_scheduler
 -- Description: See dp_bsn_scheduler.vhd
@@ -59,38 +59,38 @@ architecture str of mms_dp_bsn_scheduler is
 begin
 
   u_mm_reg : entity work.dp_bsn_scheduler_reg
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    st_rst            => dp_rst,
-    st_clk            => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in            => reg_mosi,
-    sla_out           => reg_miso,
-
-    -- MM registers in dp_clk domain
-    st_current_bsn    => snk_in.bsn,
-    st_scheduled_bsn  => scheduled_bsn
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      st_rst            => dp_rst,
+      st_clk            => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in            => reg_mosi,
+      sla_out           => reg_miso,
+
+      -- MM registers in dp_clk domain
+      st_current_bsn    => snk_in.bsn,
+      st_scheduled_bsn  => scheduled_bsn
+    );
 
   u_bsn_scheduler : entity work.dp_bsn_scheduler
-  generic map (
-    g_bsn_w       => g_bsn_w
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    -- MM control
-    scheduled_bsn => scheduled_bsn,
-    -- Streaming
-    snk_in        => snk_in,
-    trigger_out   => trigger_out
-  );
+    generic map (
+      g_bsn_w       => g_bsn_w
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      -- MM control
+      scheduled_bsn => scheduled_bsn,
+      -- Streaming
+      snk_in        => snk_in,
+      trigger_out   => trigger_out
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_source.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_source.vhd
index 7cbfb893d1..0833d42ec4 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_bsn_source.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_source.vhd
@@ -23,10 +23,10 @@
 -- Description: See dp_bsn_source.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_bsn_source is
@@ -72,49 +72,49 @@ begin
   bs_sosi <= i_bs_sosi;
 
   u_mm_reg : entity work.dp_bsn_source_reg
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_nof_block_per_sync => g_nof_block_per_sync
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-    st_rst                => dp_rst,
-    st_clk                => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in                => reg_mosi,
-    sla_out               => reg_miso,
-
-    -- MM registers in st_clk domain
-    st_on                 => dp_on,
-    st_on_pps             => dp_on_pps,
-    st_on_status          => dp_on_status,
-    st_nof_block_per_sync => nof_block_per_sync,
-    st_init_bsn           => init_bsn,
-    st_current_bsn        => capture_bsn
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_nof_block_per_sync => g_nof_block_per_sync
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+      st_rst                => dp_rst,
+      st_clk                => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in                => reg_mosi,
+      sla_out               => reg_miso,
+
+      -- MM registers in st_clk domain
+      st_on                 => dp_on,
+      st_on_pps             => dp_on_pps,
+      st_on_status          => dp_on_status,
+      st_nof_block_per_sync => nof_block_per_sync,
+      st_init_bsn           => init_bsn,
+      st_current_bsn        => capture_bsn
+    );
 
   u_bsn_source : entity work.dp_bsn_source
-  generic map (
-    g_block_size             => g_block_size,
-    g_nof_block_per_sync     => g_nof_block_per_sync,
-    g_bsn_w                  => g_bsn_w
-  )
-  port map (
-    rst                => dp_rst,
-    clk                => dp_clk,
-    pps                => dp_pps,
-    -- MM control
-    dp_on              => dp_on,
-    dp_on_pps          => dp_on_pps,
-    dp_on_status       => dp_on_status,
-    init_bsn           => init_bsn,
-    nof_block_per_sync => nof_block_per_sync,
-    -- Streaming
-    src_out            => i_bs_sosi
-  );
+    generic map (
+      g_block_size             => g_block_size,
+      g_nof_block_per_sync     => g_nof_block_per_sync,
+      g_bsn_w                  => g_bsn_w
+    )
+    port map (
+      rst                => dp_rst,
+      clk                => dp_clk,
+      pps                => dp_pps,
+      -- MM control
+      dp_on              => dp_on,
+      dp_on_pps          => dp_on_pps,
+      dp_on_status       => dp_on_status,
+      init_bsn           => init_bsn,
+      nof_block_per_sync => nof_block_per_sync,
+      -- Streaming
+      src_out            => i_bs_sosi
+    );
 
   --capture_bsn <= i_bs_sosi.bsn;                                                  -- capture current BSN
   --capture_bsn <= i_bs_sosi.bsn WHEN rising_edge(dp_clk) AND dp_pps='1';          -- capture BSN at external PPS
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_source_v2.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_source_v2.vhd
index 8aab344a1d..f0d7266ed8 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_bsn_source_v2.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_source_v2.vhd
@@ -23,10 +23,10 @@
 -- Description: See dp_bsn_source.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_bsn_source_v2 is
@@ -79,53 +79,53 @@ begin
   bs_nof_clk_per_sync <= nof_clk_per_sync;
 
   u_mm_reg : entity work.dp_bsn_source_reg_v2
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_nof_clk_per_sync   => g_nof_clk_per_sync
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-    st_rst                => dp_rst,
-    st_clk                => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in                => reg_mosi,
-    sla_out               => reg_miso,
-
-    -- MM registers in st_clk domain
-    st_on                      => dp_on,
-    st_on_pps                  => dp_on_pps,
-    st_on_status               => dp_on_status,
-    st_nof_clk_per_sync        => nof_clk_per_sync,
-    st_bsn_init                => bsn_init,
-    st_current_bsn             => capture_bsn,
-    st_bsn_time_offset         => bsn_time_offset
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_nof_clk_per_sync   => g_nof_clk_per_sync
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+      st_rst                => dp_rst,
+      st_clk                => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in                => reg_mosi,
+      sla_out               => reg_miso,
+
+      -- MM registers in st_clk domain
+      st_on                      => dp_on,
+      st_on_pps                  => dp_on_pps,
+      st_on_status               => dp_on_status,
+      st_nof_clk_per_sync        => nof_clk_per_sync,
+      st_bsn_init                => bsn_init,
+      st_current_bsn             => capture_bsn,
+      st_bsn_time_offset         => bsn_time_offset
+    );
 
   u_bsn_source : entity work.dp_bsn_source_v2
-  generic map (
-    g_block_size             => g_block_size,
-    g_nof_clk_per_sync       => g_nof_clk_per_sync,
-    g_bsn_w                  => g_bsn_w
-  )
-  port map (
-    rst                     => dp_rst,
-    clk                     => dp_clk,
-    pps                     => dp_pps,
-    -- MM control
-    dp_on                   => dp_on,
-    dp_on_pps               => dp_on_pps,
-    dp_on_status            => dp_on_status,
-    bs_restart              => bs_restart,
-    bs_new_interval         => bs_new_interval,
-    bsn_init                => bsn_init,
-    nof_clk_per_sync        => nof_clk_per_sync,
-    bsn_time_offset         => bsn_time_offset,
-    -- Streaming
-    src_out                 => i_bs_sosi
-  );
+    generic map (
+      g_block_size             => g_block_size,
+      g_nof_clk_per_sync       => g_nof_clk_per_sync,
+      g_bsn_w                  => g_bsn_w
+    )
+    port map (
+      rst                     => dp_rst,
+      clk                     => dp_clk,
+      pps                     => dp_pps,
+      -- MM control
+      dp_on                   => dp_on,
+      dp_on_pps               => dp_on_pps,
+      dp_on_status            => dp_on_status,
+      bs_restart              => bs_restart,
+      bs_new_interval         => bs_new_interval,
+      bsn_init                => bsn_init,
+      nof_clk_per_sync        => nof_clk_per_sync,
+      bsn_time_offset         => bsn_time_offset,
+      -- Streaming
+      src_out                 => i_bs_sosi
+    );
 
   capture_bsn <= i_bs_sosi.bsn when rising_edge(dp_clk) and i_bs_sosi.sync = '1';  -- capture BSN at internal sync
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_fifo_fill.vhd b/libraries/base/dp/src/vhdl/mms_dp_fifo_fill.vhd
index a038a376b6..7af1d8630d 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_fifo_fill.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_fifo_fill.vhd
@@ -27,12 +27,12 @@
 -- Remarks:
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_dp_fifo_fill is
   generic (
@@ -67,9 +67,9 @@ entity mms_dp_fifo_fill is
     dp_clk      : in  std_logic;
 
     -- Monitor FIFO filling
---    wr_ful      : OUT STD_LOGIC;
---    usedw       : OUT STD_LOGIC_VECTOR(ceil_log2(largest(g_fifo_size, g_fifo_fill + g_fifo_af_margin + 2))-1 DOWNTO 0);  -- = ceil_log2(c_fifo_size)-1 DOWNTO 0
---    rd_emp      : OUT STD_LOGIC;
+    --    wr_ful      : OUT STD_LOGIC;
+    --    usedw       : OUT STD_LOGIC_VECTOR(ceil_log2(largest(g_fifo_size, g_fifo_fill + g_fifo_af_margin + 2))-1 DOWNTO 0);  -- = ceil_log2(c_fifo_size)-1 DOWNTO 0
+    --    rd_emp      : OUT STD_LOGIC;
 
     -- ST sink
     snk_out_arr : out t_dp_siso_arr(g_nof_streams - 1 downto 0);
@@ -93,60 +93,60 @@ begin
 
   gen_fifos : for I in 0 to g_nof_streams - 1 generate
     dp_fifo_sc : entity work.dp_fifo_fill
-    generic map (
-      g_technology     => g_technology,
-      g_data_w         => g_data_w,
-      g_bsn_w          => g_bsn_w,
-      g_empty_w        => g_empty_w,
-      g_channel_w      => g_channel_w,
-      g_error_w        => g_error_w,
-      g_use_bsn        => g_use_bsn,
-      g_use_empty      => g_use_empty,
-      g_use_channel    => g_use_channel,
-      g_use_error      => g_use_error,
-      g_use_sync       => g_use_sync,
-      g_use_complex    => g_use_complex,
-      g_fifo_fill      => g_fifo_fill,
-      g_fifo_size      => g_fifo_size,
-      g_fifo_af_margin => g_fifo_af_margin,
-      g_fifo_rl        => g_fifo_rl
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- Monitor FIFO filling
-      wr_ful      => wr_ful_reg(I),
-      usedw       => fifo_usedw_reg(I * c_word_w + c_usedw_w - 1 downto I * c_word_w),
-      rd_emp      => rd_emp_reg(I),
-      -- ST sink
-      snk_out     => snk_out_arr(I),
-      snk_in      => snk_in_arr(I),
-      -- ST source
-      src_in      => src_in_arr(I),
-      src_out     => src_out_arr(I)
-    );
+      generic map (
+        g_technology     => g_technology,
+        g_data_w         => g_data_w,
+        g_bsn_w          => g_bsn_w,
+        g_empty_w        => g_empty_w,
+        g_channel_w      => g_channel_w,
+        g_error_w        => g_error_w,
+        g_use_bsn        => g_use_bsn,
+        g_use_empty      => g_use_empty,
+        g_use_channel    => g_use_channel,
+        g_use_error      => g_use_error,
+        g_use_sync       => g_use_sync,
+        g_use_complex    => g_use_complex,
+        g_fifo_fill      => g_fifo_fill,
+        g_fifo_size      => g_fifo_size,
+        g_fifo_af_margin => g_fifo_af_margin,
+        g_fifo_rl        => g_fifo_rl
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- Monitor FIFO filling
+        wr_ful      => wr_ful_reg(I),
+        usedw       => fifo_usedw_reg(I * c_word_w + c_usedw_w - 1 downto I * c_word_w),
+        rd_emp      => rd_emp_reg(I),
+        -- ST sink
+        snk_out     => snk_out_arr(I),
+        snk_in      => snk_in_arr(I),
+        -- ST source
+        src_in      => src_in_arr(I),
+        src_out     => src_out_arr(I)
+      );
   end generate;
 
   u_reg : entity work.dp_fifo_fill_reg
-  generic map(
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => g_cross_clock_domain
-  )
-  port map(
-    -- Clocks and reset
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-    st_rst  => dp_rst,
-    st_clk  => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in  => reg_mosi,
-    sla_out => reg_miso,
-
-    -- MM registers in st_clk domain
-    used_w  => fifo_usedw_reg,
-    rd_emp  => rd_emp_reg,
-    wr_ful  => wr_ful_reg
-  );
+    generic map(
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => g_cross_clock_domain
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+      st_rst  => dp_rst,
+      st_clk  => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in  => reg_mosi,
+      sla_out => reg_miso,
+
+      -- MM registers in st_clk domain
+      used_w  => fifo_usedw_reg,
+      rd_emp  => rd_emp_reg,
+      wr_ful  => wr_ful_reg
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/mms_dp_fifo_from_mm.vhd b/libraries/base/dp/src/vhdl/mms_dp_fifo_from_mm.vhd
index 45027c7c90..69bd51c931 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_fifo_from_mm.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_fifo_from_mm.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity mms_dp_fifo_from_mm is
   generic (
@@ -58,33 +58,33 @@ architecture str of mms_dp_fifo_from_mm is
 begin
 
   u_dp_fifo_from_mm : entity dp_lib.dp_fifo_from_mm
-  generic map(
-    g_fifo_size => g_wr_fifo_depth
-  )
-  port map (
-     rst        => mm_rst,
-     clk        => mm_clk,
-
-     src_out    => wr_sosi,
-     usedw      => wr_usedw,  -- used words from rd FIFO
-
-     mm_wr      => mm_wr,
-     mm_wrdata  => mm_wr_data,
-     mm_usedw   => mm_wr_usedw,  -- resized to 32 bits
-     mm_availw  => mm_wr_availw  -- resized to 32 bits
-  );
+    generic map(
+      g_fifo_size => g_wr_fifo_depth
+    )
+    port map (
+      rst        => mm_rst,
+      clk        => mm_clk,
+
+      src_out    => wr_sosi,
+      usedw      => wr_usedw,  -- used words from rd FIFO
+
+      mm_wr      => mm_wr,
+      mm_wrdata  => mm_wr_data,
+      mm_usedw   => mm_wr_usedw,  -- resized to 32 bits
+      mm_availw  => mm_wr_availw  -- resized to 32 bits
+    );
 
   u_dp_fifo_from_mm_reg: entity work.dp_fifo_from_mm_reg
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
 
-    sla_in            => ctrl_mosi,
-    sla_out           => ctrl_miso,
+      sla_in            => ctrl_mosi,
+      sla_out           => ctrl_miso,
 
-    mm_wr_usedw       => mm_wr_usedw,
-    mm_wr_availw      => mm_wr_availw
-  );
+      mm_wr_usedw       => mm_wr_usedw,
+      mm_wr_availw      => mm_wr_availw
+    );
 
   mm_wr_data <= data_mosi.wrdata(c_word_w - 1 downto 0);
   mm_wr      <= data_mosi.wr;
diff --git a/libraries/base/dp/src/vhdl/mms_dp_fifo_to_mm.vhd b/libraries/base/dp/src/vhdl/mms_dp_fifo_to_mm.vhd
index 7d5c3baf6a..47b15c6a2a 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_fifo_to_mm.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_fifo_to_mm.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity mms_dp_fifo_to_mm is
   generic (
@@ -60,33 +60,33 @@ architecture str of mms_dp_fifo_to_mm is
 begin
 
   u_dp_fifo_to_mm : entity dp_lib.dp_fifo_to_mm
-  generic map(
-    g_fifo_size => g_rd_fifo_depth
-  )
-  port map (
-     rst       => mm_rst,
-     clk       => mm_clk,
-
-     snk_out   => rd_siso,
-     snk_in    => rd_sosi,
-     usedw     => rd_usedw,  -- used words from rd FIFO
-
-     mm_rd     => mm_rd,
-     mm_rddata => mm_rd_data,
-     mm_rdval  => mm_rd_val,
-     mm_usedw  => mm_rd_usedw  -- resized to 32 bits
-  );
+    generic map(
+      g_fifo_size => g_rd_fifo_depth
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+
+      snk_out   => rd_siso,
+      snk_in    => rd_sosi,
+      usedw     => rd_usedw,  -- used words from rd FIFO
+
+      mm_rd     => mm_rd,
+      mm_rddata => mm_rd_data,
+      mm_rdval  => mm_rd_val,
+      mm_usedw  => mm_rd_usedw  -- resized to 32 bits
+    );
 
   u_dp_fifo_to_mm_reg: entity work.dp_fifo_to_mm_reg
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
 
-    sla_in            => ctrl_mosi,
-    sla_out           => ctrl_miso,
+      sla_in            => ctrl_mosi,
+      sla_out           => ctrl_miso,
 
-    mm_rd_usedw       => mm_rd_usedw
-  );
+      mm_rd_usedw       => mm_rd_usedw
+    );
 
   data_miso.rddata(c_word_w - 1 downto 0) <= mm_rd_data;
   data_miso.rdval                       <= mm_rd_val;
diff --git a/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel.vhd b/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel.vhd
index 271f59d2e7..e1a8554616 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel.vhd
@@ -33,10 +33,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_force_data_parallel is
@@ -106,60 +106,60 @@ begin
   reg_force_data_rd(4 * c_mm_reg.dat_w - 1 downto 3 * c_mm_reg.dat_w) <=         reg_force_data_wr(4 * c_mm_reg.dat_w - 1 downto 3 * c_mm_reg.dat_w);
 
   u_common_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_mm_reg,
-    g_init_reg           => c_mm_reg_init
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_mm_reg,
+      g_init_reg           => c_mm_reg_init
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
 
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_force_data_mosi,
-    sla_out        => reg_force_data_miso,
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_force_data_mosi,
+      sla_out        => reg_force_data_miso,
 
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => OPEN,
-    out_reg        => reg_force_data_wr,  -- readback via ST clock domain
-    in_reg         => reg_force_data_rd
-  );
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => OPEN,
+      out_reg        => reg_force_data_wr,  -- readback via ST clock domain
+      in_reg         => reg_force_data_rd
+    );
 
   u_dp_force_data_parallel : entity work.dp_force_data_parallel
-  generic map (
-    g_dat_w                 => g_dat_w,
-    g_increment_data        => g_increment_data,
-    g_increment_re          => g_increment_re,
-    g_increment_im          => g_increment_im,
-    g_increment_data_on_sop => g_increment_data_on_sop,
-    g_increment_re_on_sop   => g_increment_re_on_sop,
-    g_increment_im_on_sop   => g_increment_im_on_sop,
-    g_restart_data_on_sync  => g_restart_data_on_sync,
-    g_restart_re_on_sync    => g_restart_re_on_sync,
-    g_restart_im_on_sync    => g_restart_im_on_sync,
-    g_restart_data_on_sop   => g_restart_data_on_sop,
-    g_restart_re_on_sop     => g_restart_re_on_sop,
-    g_restart_im_on_sop     => g_restart_im_on_sop
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    -- MM control
-    force_en      => force_en,
-    force_data    => force_data,
-    force_re      => force_re,
-    force_im      => force_im,
-    -- ST sink
-    snk_out       => snk_out,
-    snk_in        => snk_in,
-    -- ST source
-    src_in        => src_in,
-    src_out       => src_out
-  );
+    generic map (
+      g_dat_w                 => g_dat_w,
+      g_increment_data        => g_increment_data,
+      g_increment_re          => g_increment_re,
+      g_increment_im          => g_increment_im,
+      g_increment_data_on_sop => g_increment_data_on_sop,
+      g_increment_re_on_sop   => g_increment_re_on_sop,
+      g_increment_im_on_sop   => g_increment_im_on_sop,
+      g_restart_data_on_sync  => g_restart_data_on_sync,
+      g_restart_re_on_sync    => g_restart_re_on_sync,
+      g_restart_im_on_sync    => g_restart_im_on_sync,
+      g_restart_data_on_sop   => g_restart_data_on_sop,
+      g_restart_re_on_sop     => g_restart_re_on_sop,
+      g_restart_im_on_sop     => g_restart_im_on_sop
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      -- MM control
+      force_en      => force_en,
+      force_data    => force_data,
+      force_re      => force_re,
+      force_im      => force_im,
+      -- ST sink
+      snk_out       => snk_out,
+      snk_in        => snk_in,
+      -- ST source
+      src_in        => src_in,
+      src_out       => src_out
+    );
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel_arr.vhd b/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel_arr.vhd
index 04a13556ca..99d7b81a1a 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel_arr.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_force_data_parallel_arr.vhd
@@ -34,10 +34,10 @@
 --      etc.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_force_data_parallel_arr is
@@ -86,49 +86,49 @@ architecture str of mms_dp_force_data_parallel_arr is
 begin
 
   u_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_mm_reg_adr_w
-  )
-  port map (
-    mosi     => reg_force_data_mosi,
-    miso     => reg_force_data_miso,
-    mosi_arr => reg_force_data_mosi_arr,
-    miso_arr => reg_force_data_miso_arr
-  );
-
-  gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
-    u_mms_dp_force_data_parallel : entity work.mms_dp_force_data_parallel
     generic map (
-      g_dat_w                 => g_dat_w,
-      g_increment_data        => g_increment_data,
-      g_increment_re          => g_increment_re,
-      g_increment_im          => g_increment_im,
-      g_increment_data_on_sop => g_increment_data_on_sop,
-      g_increment_re_on_sop   => g_increment_re_on_sop,
-      g_increment_im_on_sop   => g_increment_im_on_sop,
-      g_restart_data_on_sync  => g_restart_data_on_sync,
-      g_restart_re_on_sync    => g_restart_re_on_sync,
-      g_restart_im_on_sync    => g_restart_im_on_sync,
-      g_restart_data_on_sop   => g_restart_data_on_sop,
-      g_restart_re_on_sop     => g_restart_re_on_sop,
-      g_restart_im_on_sop     => g_restart_im_on_sop
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_mm_reg_adr_w
     )
     port map (
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      -- MM control
-      reg_force_data_mosi => reg_force_data_mosi_arr(I),
-      reg_force_data_miso => reg_force_data_miso_arr(I),
-      -- ST sink
-      snk_out             => snk_out_arr(I),
-      snk_in              => snk_in_arr(I),
-      -- ST source
-      src_in              => src_in_arr(I),
-      src_out             => src_out_arr(I)
+      mosi     => reg_force_data_mosi,
+      miso     => reg_force_data_miso,
+      mosi_arr => reg_force_data_mosi_arr,
+      miso_arr => reg_force_data_miso_arr
     );
+
+  gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
+    u_mms_dp_force_data_parallel : entity work.mms_dp_force_data_parallel
+      generic map (
+        g_dat_w                 => g_dat_w,
+        g_increment_data        => g_increment_data,
+        g_increment_re          => g_increment_re,
+        g_increment_im          => g_increment_im,
+        g_increment_data_on_sop => g_increment_data_on_sop,
+        g_increment_re_on_sop   => g_increment_re_on_sop,
+        g_increment_im_on_sop   => g_increment_im_on_sop,
+        g_restart_data_on_sync  => g_restart_data_on_sync,
+        g_restart_re_on_sync    => g_restart_re_on_sync,
+        g_restart_im_on_sync    => g_restart_im_on_sync,
+        g_restart_data_on_sop   => g_restart_data_on_sop,
+        g_restart_re_on_sop     => g_restart_re_on_sop,
+        g_restart_im_on_sop     => g_restart_im_on_sop
+      )
+      port map (
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        -- MM control
+        reg_force_data_mosi => reg_force_data_mosi_arr(I),
+        reg_force_data_miso => reg_force_data_miso_arr(I),
+        -- ST sink
+        snk_out             => snk_out_arr(I),
+        snk_in              => snk_in_arr(I),
+        -- ST source
+        src_in              => src_in_arr(I),
+        src_out             => src_out_arr(I)
+      );
   end generate;
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_force_data_serial.vhd b/libraries/base/dp/src/vhdl/mms_dp_force_data_serial.vhd
index 3f19c86b90..c687e45ba4 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_force_data_serial.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_force_data_serial.vhd
@@ -37,10 +37,10 @@
 --   See description of dp_force_data_serial.vhd.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_force_data_serial is
@@ -111,64 +111,64 @@ begin
     -- read unused bits as '0' to save logic
     reg_force_data_rd(1 * c_mm_reg.dat_w - 1 downto         2 + 0 * c_mm_reg.dat_w) <= (others => '0');
     if c_index_w < c_mm_reg.dat_w then
-    reg_force_data_rd(2 * c_mm_reg.dat_w - 1 downto c_index_w + 1 * c_mm_reg.dat_w) <= (others => '0');
+      reg_force_data_rd(2 * c_mm_reg.dat_w - 1 downto c_index_w + 1 * c_mm_reg.dat_w) <= (others => '0');
     end if;
     if g_dat_w < c_mm_reg.dat_w then
-    reg_force_data_rd(3 * c_mm_reg.dat_w - 1 downto   g_dat_w + 2 * c_mm_reg.dat_w) <= (others => '0');
-    reg_force_data_rd(4 * c_mm_reg.dat_w - 1 downto   g_dat_w + 3 * c_mm_reg.dat_w) <= (others => '0');
-    reg_force_data_rd(5 * c_mm_reg.dat_w - 1 downto   g_dat_w + 4 * c_mm_reg.dat_w) <= (others => '0');
+      reg_force_data_rd(3 * c_mm_reg.dat_w - 1 downto   g_dat_w + 2 * c_mm_reg.dat_w) <= (others => '0');
+      reg_force_data_rd(4 * c_mm_reg.dat_w - 1 downto   g_dat_w + 3 * c_mm_reg.dat_w) <= (others => '0');
+      reg_force_data_rd(5 * c_mm_reg.dat_w - 1 downto   g_dat_w + 4 * c_mm_reg.dat_w) <= (others => '0');
     end if;
   end process;
 
   u_common_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_mm_reg,
-    g_init_reg           => c_mm_reg_init
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_force_data_mosi,
-    sla_out        => reg_force_data_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => OPEN,
-    out_reg        => reg_force_data_wr,  -- readback via ST clock domain
-    in_reg         => reg_force_data_rd
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_mm_reg,
+      g_init_reg           => c_mm_reg_init
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_force_data_mosi,
+      sla_out        => reg_force_data_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => OPEN,
+      out_reg        => reg_force_data_wr,  -- readback via ST clock domain
+      in_reg         => reg_force_data_rd
+    );
 
   u_dp_force_data_serial : entity work.dp_force_data_serial
-  generic map (
-    g_dat_w                => g_dat_w,
-    g_index_period         => g_index_period,
-    g_index_sample_block_n => g_index_sample_block_n
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    -- MM control
-    force_en      => force_en,
-    force_value   => force_value,
-    force_zero_n  => force_zero_n,
-    force_data    => force_data ,
-    force_re      => force_re,
-    force_im      => force_im,
-    force_index   => force_index,
-    -- ST sink
-    snk_out       => snk_out,
-    snk_in        => snk_in,
-    -- ST source
-    src_in        => src_in,
-    src_out       => src_out
-  );
+    generic map (
+      g_dat_w                => g_dat_w,
+      g_index_period         => g_index_period,
+      g_index_sample_block_n => g_index_sample_block_n
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      -- MM control
+      force_en      => force_en,
+      force_value   => force_value,
+      force_zero_n  => force_zero_n,
+      force_data    => force_data ,
+      force_re      => force_re,
+      force_im      => force_im,
+      force_index   => force_index,
+      -- ST sink
+      snk_out       => snk_out,
+      snk_in        => snk_in,
+      -- ST source
+      src_in        => src_in,
+      src_out       => src_out
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_force_data_serial_arr.vhd b/libraries/base/dp/src/vhdl/mms_dp_force_data_serial_arr.vhd
index a999fcdc8d..6e82fa3846 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_force_data_serial_arr.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_force_data_serial_arr.vhd
@@ -34,10 +34,10 @@
 --   See description of mms_dp_force_data_serial.vhd.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_force_data_serial_arr is
@@ -76,40 +76,40 @@ architecture str of mms_dp_force_data_serial_arr is
 begin
 
   u_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_mm_reg_adr_w
-  )
-  port map (
-    mosi     => reg_force_data_mosi,
-    miso     => reg_force_data_miso,
-    mosi_arr => reg_force_data_mosi_arr,
-    miso_arr => reg_force_data_miso_arr
-  );
-
-  gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
-    u_mms_dp_force_data_serial : entity work.mms_dp_force_data_serial
     generic map (
-      g_dat_w                => g_dat_w,
-      g_index_period         => g_index_period,
-      g_index_sample_block_n => g_index_sample_block_n
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_mm_reg_adr_w
     )
     port map (
-      -- Clocks and reset
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      -- MM control
-      reg_force_data_mosi => reg_force_data_mosi_arr(I),
-      reg_force_data_miso => reg_force_data_miso_arr(I),
-      -- ST sink
-      snk_out             => snk_out_arr(I),
-      snk_in              => snk_in_arr(I),
-      -- ST source
-      src_in              => src_in_arr(I),
-      src_out             => src_out_arr(I)
+      mosi     => reg_force_data_mosi,
+      miso     => reg_force_data_miso,
+      mosi_arr => reg_force_data_mosi_arr,
+      miso_arr => reg_force_data_miso_arr
     );
+
+  gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
+    u_mms_dp_force_data_serial : entity work.mms_dp_force_data_serial
+      generic map (
+        g_dat_w                => g_dat_w,
+        g_index_period         => g_index_period,
+        g_index_sample_block_n => g_index_sample_block_n
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        -- MM control
+        reg_force_data_mosi => reg_force_data_mosi_arr(I),
+        reg_force_data_miso => reg_force_data_miso_arr(I),
+        -- ST sink
+        snk_out             => snk_out_arr(I),
+        snk_in              => snk_in_arr(I),
+        -- ST source
+        src_in              => src_in_arr(I),
+        src_out             => src_out_arr(I)
+      );
   end generate;
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_gain.vhd b/libraries/base/dp/src/vhdl/mms_dp_gain.vhd
index fe0950141c..0ec8f8223f 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_gain.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_gain.vhd
@@ -27,11 +27,11 @@
 -- . See tb_mms_dp_gain_arr which also tests this mms_dp_gain
 
 library IEEE, common_lib, common_mult_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity mms_dp_gain is
@@ -90,47 +90,47 @@ begin
   out_sosi       <= out_sosi_arr(0);
 
   u_one : entity work.mms_dp_gain_arr
-  generic map (
-    g_technology                    => g_technology,
-    -- functional
-    g_nof_streams                   => 1,
-    g_complex_data                  => g_complex_data,
-    g_complex_gain                  => g_complex_gain,
-    g_gain_init_re                  => g_gain_init_re,
-    g_gain_init_im                  => g_gain_init_im,
-    g_gain_w                        => g_gain_w,
-    g_in_dat_w                      => g_in_dat_w,
-    g_out_dat_w                     => g_out_dat_w,
-    -- pipelining (typically use defaults)
-    -- . real multiplier
-    g_pipeline_real_mult_input      => g_pipeline_real_mult_input,
-    g_pipeline_real_mult_product    => g_pipeline_real_mult_product,
-    g_pipeline_real_mult_output     => g_pipeline_real_mult_output,
-    -- . complex multiplier
-    g_pipeline_complex_mult_input   => g_pipeline_complex_mult_input,
-    g_pipeline_complex_mult_product => g_pipeline_complex_mult_product,
-    g_pipeline_complex_mult_adder   => g_pipeline_complex_mult_adder,
-    g_pipeline_complex_mult_output  => g_pipeline_complex_mult_output
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM access to gain
-    reg_gain_re_mosi  => reg_gain_re_mosi,
-    reg_gain_re_miso  => reg_gain_re_miso,
-    reg_gain_im_mosi  => reg_gain_im_mosi,
-    reg_gain_im_miso  => reg_gain_im_miso,
-
-    reg_gain_re       => reg_gain_re,
-    reg_gain_im       => reg_gain_im,
-    -- ST
-    in_sosi_arr       => in_sosi_arr,
-    out_sosi_arr      => out_sosi_arr
-  );
+    generic map (
+      g_technology                    => g_technology,
+      -- functional
+      g_nof_streams                   => 1,
+      g_complex_data                  => g_complex_data,
+      g_complex_gain                  => g_complex_gain,
+      g_gain_init_re                  => g_gain_init_re,
+      g_gain_init_im                  => g_gain_init_im,
+      g_gain_w                        => g_gain_w,
+      g_in_dat_w                      => g_in_dat_w,
+      g_out_dat_w                     => g_out_dat_w,
+      -- pipelining (typically use defaults)
+      -- . real multiplier
+      g_pipeline_real_mult_input      => g_pipeline_real_mult_input,
+      g_pipeline_real_mult_product    => g_pipeline_real_mult_product,
+      g_pipeline_real_mult_output     => g_pipeline_real_mult_output,
+      -- . complex multiplier
+      g_pipeline_complex_mult_input   => g_pipeline_complex_mult_input,
+      g_pipeline_complex_mult_product => g_pipeline_complex_mult_product,
+      g_pipeline_complex_mult_adder   => g_pipeline_complex_mult_adder,
+      g_pipeline_complex_mult_output  => g_pipeline_complex_mult_output
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM access to gain
+      reg_gain_re_mosi  => reg_gain_re_mosi,
+      reg_gain_re_miso  => reg_gain_re_miso,
+      reg_gain_im_mosi  => reg_gain_im_mosi,
+      reg_gain_im_miso  => reg_gain_im_miso,
+
+      reg_gain_re       => reg_gain_re,
+      reg_gain_im       => reg_gain_im,
+      -- ST
+      in_sosi_arr       => in_sosi_arr,
+      out_sosi_arr      => out_sosi_arr
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_gain_arr.vhd b/libraries/base/dp/src/vhdl/mms_dp_gain_arr.vhd
index f338e6da00..795db6ec95 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_gain_arr.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_gain_arr.vhd
@@ -52,11 +52,11 @@
 -- . A dp_pipeline is used to pass through the dp_control_fields.
 
 library IEEE, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity mms_dp_gain_arr is
@@ -138,65 +138,65 @@ begin
   reg_gain_im <= i_reg_gain_im;
 
   u_common_reg_r_w_dc_re : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_readback           => false,
-    g_reg                => c_mm_reg,
-    g_init_reg           => c_mm_reg_init_re
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in         => reg_gain_re_mosi,
-    sla_out        => reg_gain_re_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr     => OPEN,
-    reg_rd_arr     => OPEN,
-    in_reg         => i_reg_gain_re,
-    out_reg        => i_reg_gain_re  -- readback via ST clock domain
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_readback           => false,
+      g_reg                => c_mm_reg,
+      g_init_reg           => c_mm_reg_init_re
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in         => reg_gain_re_mosi,
+      sla_out        => reg_gain_re_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr     => OPEN,
+      reg_rd_arr     => OPEN,
+      in_reg         => i_reg_gain_re,
+      out_reg        => i_reg_gain_re  -- readback via ST clock domain
+    );
 
   gen_real_multiply : if c_real_multiply = true generate
     gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
       u_common_mult : entity common_mult_lib.common_mult
-      generic map (
-        g_technology       => g_technology,
-        g_variant          => "IP",
-        g_in_a_w           => g_gain_w,
-        g_in_b_w           => g_in_dat_w,
-        g_out_p_w          => g_out_dat_w,  -- g_out_dat_w = g_gain_w+g_in_dat_w, use smaller g_out_dat_w to truncate MSbits, or larger g_out_dat_w to extend MSbits
-        g_nof_mult         => 1,
-        g_pipeline_input   => g_pipeline_real_mult_input,
-        g_pipeline_product => g_pipeline_real_mult_product,
-        g_pipeline_output  => g_pipeline_real_mult_output,
-        g_representation   => "SIGNED"  -- or "UNSIGNED"
-      )
-      port map (
-        rst        => dp_rst,
-        clk        => dp_clk,
-        in_a       => i_reg_gain_re((I + 1) * g_gain_w - 1 downto I * g_gain_w),
-        in_b       => in_sosi_arr(I).data(g_in_dat_w - 1 downto 0),
-        in_val     => in_sosi_arr(I).valid,
-        out_p      => mult_sosi_arr(I).data(g_out_dat_w - 1 downto 0),
-        out_val    => mult_sosi_arr(I).valid
-      );
+        generic map (
+          g_technology       => g_technology,
+          g_variant          => "IP",
+          g_in_a_w           => g_gain_w,
+          g_in_b_w           => g_in_dat_w,
+          g_out_p_w          => g_out_dat_w,  -- g_out_dat_w = g_gain_w+g_in_dat_w, use smaller g_out_dat_w to truncate MSbits, or larger g_out_dat_w to extend MSbits
+          g_nof_mult         => 1,
+          g_pipeline_input   => g_pipeline_real_mult_input,
+          g_pipeline_product => g_pipeline_real_mult_product,
+          g_pipeline_output  => g_pipeline_real_mult_output,
+          g_representation   => "SIGNED"  -- or "UNSIGNED"
+        )
+        port map (
+          rst        => dp_rst,
+          clk        => dp_clk,
+          in_a       => i_reg_gain_re((I + 1) * g_gain_w - 1 downto I * g_gain_w),
+          in_b       => in_sosi_arr(I).data(g_in_dat_w - 1 downto 0),
+          in_val     => in_sosi_arr(I).valid,
+          out_p      => mult_sosi_arr(I).data(g_out_dat_w - 1 downto 0),
+          out_val    => mult_sosi_arr(I).valid
+        );
 
       u_pipeline : entity work.dp_pipeline
-      generic map (
-        g_pipeline => c_real_multiply_latency
-      )
-      port map (
-        rst     => dp_rst,
-        clk     => dp_clk,
-        snk_in  => in_sosi_arr(I),
-        src_out => pipelined_in_sosi_arr(I)
-      );
+        generic map (
+          g_pipeline => c_real_multiply_latency
+        )
+        port map (
+          rst     => dp_rst,
+          clk     => dp_clk,
+          snk_in  => in_sosi_arr(I),
+          src_out => pipelined_in_sosi_arr(I)
+        );
 
       p_out_sosi_arr : process(mult_sosi_arr, pipelined_in_sosi_arr)
       begin
@@ -211,69 +211,69 @@ begin
   gen_complex_multiply : if c_real_multiply = false generate
     gen_complex_gain : if g_complex_gain = true generate
       u_common_reg_r_w_dc_im : entity common_lib.common_reg_r_w_dc
-      generic map (
-        g_cross_clock_domain => true,
-        g_readback           => false,
-        g_reg                => c_mm_reg,
-        g_init_reg           => c_mm_reg_init_im
-      )
-      port map (
-        -- Clocks and reset
-        mm_rst         => mm_rst,
-        mm_clk         => mm_clk,
-        st_rst         => dp_rst,
-        st_clk         => dp_clk,
-
-        -- Memory Mapped Slave in mm_clk domain
-        sla_in         => reg_gain_im_mosi,
-        sla_out        => reg_gain_im_miso,
-
-        -- MM registers in st_clk domain
-        reg_wr_arr     => OPEN,
-        reg_rd_arr     => OPEN,
-        in_reg         => i_reg_gain_im,
-        out_reg        => i_reg_gain_im  -- readback via ST clock domain
-      );
+        generic map (
+          g_cross_clock_domain => true,
+          g_readback           => false,
+          g_reg                => c_mm_reg,
+          g_init_reg           => c_mm_reg_init_im
+        )
+        port map (
+          -- Clocks and reset
+          mm_rst         => mm_rst,
+          mm_clk         => mm_clk,
+          st_rst         => dp_rst,
+          st_clk         => dp_clk,
+
+          -- Memory Mapped Slave in mm_clk domain
+          sla_in         => reg_gain_im_mosi,
+          sla_out        => reg_gain_im_miso,
+
+          -- MM registers in st_clk domain
+          reg_wr_arr     => OPEN,
+          reg_rd_arr     => OPEN,
+          in_reg         => i_reg_gain_im,
+          out_reg        => i_reg_gain_im  -- readback via ST clock domain
+        );
     end generate gen_complex_gain;
     -- ELSE: if g_complex_gain=FALSE then use default i_reg_gain_im, which is then typically g_gain_init_im=0 for all streams.
 
     gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
       u_common_complex_mult : entity common_mult_lib.common_complex_mult
-      generic map (
-        g_technology       => g_technology,
-        g_variant          => "IP",
-        g_in_a_w           => g_gain_w,
-        g_in_b_w           => g_in_dat_w,
-        g_out_p_w          => g_out_dat_w,  -- g_out_dat_w = g_gain_w+g_in_dat_w, use smaller g_out_dat_w to truncate MSbits, or larger g_out_dat_w to extend MSbits
-        g_conjugate_b      => false,
-        g_pipeline_input   => g_pipeline_complex_mult_input,
-        g_pipeline_product => g_pipeline_complex_mult_product,
-        g_pipeline_adder   => g_pipeline_complex_mult_adder,
-        g_pipeline_output  => g_pipeline_complex_mult_output
-      )
-      port map (
-        rst        => dp_rst,
-        clk        => dp_clk,
-        in_ar      => i_reg_gain_re((I + 1) * g_gain_w - 1 downto I * g_gain_w),
-        in_ai      => i_reg_gain_im((I + 1) * g_gain_w - 1 downto I * g_gain_w),
-        in_br      => in_sosi_arr(I).re(g_in_dat_w - 1 downto 0),
-        in_bi      => in_sosi_arr(I).im(g_in_dat_w - 1 downto 0),
-        in_val     => in_sosi_arr(I).valid,  -- only propagate valid, not used internally
-        out_pr     => mult_sosi_arr(I).re(g_out_dat_w - 1 downto 0),
-        out_pi     => mult_sosi_arr(I).im(g_out_dat_w - 1 downto 0),
-        out_val    => mult_sosi_arr(I).valid
-      );
+        generic map (
+          g_technology       => g_technology,
+          g_variant          => "IP",
+          g_in_a_w           => g_gain_w,
+          g_in_b_w           => g_in_dat_w,
+          g_out_p_w          => g_out_dat_w,  -- g_out_dat_w = g_gain_w+g_in_dat_w, use smaller g_out_dat_w to truncate MSbits, or larger g_out_dat_w to extend MSbits
+          g_conjugate_b      => false,
+          g_pipeline_input   => g_pipeline_complex_mult_input,
+          g_pipeline_product => g_pipeline_complex_mult_product,
+          g_pipeline_adder   => g_pipeline_complex_mult_adder,
+          g_pipeline_output  => g_pipeline_complex_mult_output
+        )
+        port map (
+          rst        => dp_rst,
+          clk        => dp_clk,
+          in_ar      => i_reg_gain_re((I + 1) * g_gain_w - 1 downto I * g_gain_w),
+          in_ai      => i_reg_gain_im((I + 1) * g_gain_w - 1 downto I * g_gain_w),
+          in_br      => in_sosi_arr(I).re(g_in_dat_w - 1 downto 0),
+          in_bi      => in_sosi_arr(I).im(g_in_dat_w - 1 downto 0),
+          in_val     => in_sosi_arr(I).valid,  -- only propagate valid, not used internally
+          out_pr     => mult_sosi_arr(I).re(g_out_dat_w - 1 downto 0),
+          out_pi     => mult_sosi_arr(I).im(g_out_dat_w - 1 downto 0),
+          out_val    => mult_sosi_arr(I).valid
+        );
 
       u_pipeline : entity work.dp_pipeline
-      generic map (
-        g_pipeline => c_complex_multiply_latency
-      )
-      port map (
-        rst     => dp_rst,
-        clk     => dp_clk,
-        snk_in  => in_sosi_arr(I),
-        src_out => pipelined_in_sosi_arr(I)
-      );
+        generic map (
+          g_pipeline => c_complex_multiply_latency
+        )
+        port map (
+          rst     => dp_rst,
+          clk     => dp_clk,
+          snk_in  => in_sosi_arr(I),
+          src_out => pipelined_in_sosi_arr(I)
+        );
 
       p_out_sosi_arr : process(mult_sosi_arr, pipelined_in_sosi_arr)
       begin
diff --git a/libraries/base/dp/src/vhdl/mms_dp_gain_serial.vhd b/libraries/base/dp/src/vhdl/mms_dp_gain_serial.vhd
index 3992244b9a..14c8010b62 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_gain_serial.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_gain_serial.vhd
@@ -27,11 +27,11 @@
 -- . See tb_mms_dp_gain_serial_arr which also tests this mms_dp_gain_serial
 
 library IEEE, common_lib, common_mult_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity mms_dp_gain_serial is
@@ -88,46 +88,46 @@ begin
   out_sosi       <= out_sosi_arr(0);
 
   u_one : entity work.mms_dp_gain_serial_arr
-  generic map (
-    g_technology                    => g_technology,
-    g_nof_streams                   => 1,
-    g_nof_gains                     => g_nof_gains,
-    g_complex_data                  => g_complex_data,
-    g_complex_gain                  => g_complex_gain,
-    g_gain_w                        => g_gain_w,
-    g_in_dat_w                      => g_in_dat_w,
-    g_out_dat_w                     => g_out_dat_w,
-    g_gains_file_name               => g_gains_file_name,
-    g_gains_write_only              => g_gains_write_only,
-
-    -- pipelining (typically use defaults)
-    -- . real multiplier
-    g_pipeline_real_mult_input      => g_pipeline_real_mult_input,
-    g_pipeline_real_mult_product    => g_pipeline_real_mult_product,
-    g_pipeline_real_mult_output     => g_pipeline_real_mult_output,
-    -- . complex multiplier
-    g_pipeline_complex_mult_input   => g_pipeline_complex_mult_input,
-    g_pipeline_complex_mult_product => g_pipeline_complex_mult_product,
-    g_pipeline_complex_mult_adder   => g_pipeline_complex_mult_adder,
-    g_pipeline_complex_mult_output  => g_pipeline_complex_mult_output
-  )
-  port map (
-    -- System
-    mm_rst                  => mm_rst,
-    mm_clk                  => mm_clk,
-    dp_rst                  => dp_rst,
-    dp_clk                  => dp_clk,
-
-    -- MM interface
-    ram_gains_mosi          => ram_gains_mosi,
-    ram_gains_miso          => ram_gains_miso,
-
-    -- ST interface
-    gains_rd_address        => gains_rd_address,
-
-    in_sosi_arr             => in_sosi_arr,
-    out_sosi_arr            => out_sosi_arr
-  );
+    generic map (
+      g_technology                    => g_technology,
+      g_nof_streams                   => 1,
+      g_nof_gains                     => g_nof_gains,
+      g_complex_data                  => g_complex_data,
+      g_complex_gain                  => g_complex_gain,
+      g_gain_w                        => g_gain_w,
+      g_in_dat_w                      => g_in_dat_w,
+      g_out_dat_w                     => g_out_dat_w,
+      g_gains_file_name               => g_gains_file_name,
+      g_gains_write_only              => g_gains_write_only,
+
+      -- pipelining (typically use defaults)
+      -- . real multiplier
+      g_pipeline_real_mult_input      => g_pipeline_real_mult_input,
+      g_pipeline_real_mult_product    => g_pipeline_real_mult_product,
+      g_pipeline_real_mult_output     => g_pipeline_real_mult_output,
+      -- . complex multiplier
+      g_pipeline_complex_mult_input   => g_pipeline_complex_mult_input,
+      g_pipeline_complex_mult_product => g_pipeline_complex_mult_product,
+      g_pipeline_complex_mult_adder   => g_pipeline_complex_mult_adder,
+      g_pipeline_complex_mult_output  => g_pipeline_complex_mult_output
+    )
+    port map (
+      -- System
+      mm_rst                  => mm_rst,
+      mm_clk                  => mm_clk,
+      dp_rst                  => dp_rst,
+      dp_clk                  => dp_clk,
+
+      -- MM interface
+      ram_gains_mosi          => ram_gains_mosi,
+      ram_gains_miso          => ram_gains_miso,
+
+      -- ST interface
+      gains_rd_address        => gains_rd_address,
+
+      in_sosi_arr             => in_sosi_arr,
+      out_sosi_arr            => out_sosi_arr
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_gain_serial_arr.vhd b/libraries/base/dp/src/vhdl/mms_dp_gain_serial_arr.vhd
index c3fc8bfb18..a4f5b1e91a 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_gain_serial_arr.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_gain_serial_arr.vhd
@@ -40,13 +40,13 @@
 --   choose the correct avs_common_mm_readlatency2 when creating the qsys system.
 --
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib, common_mult_lib, technology_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_gain_serial_arr is
   generic (
@@ -102,22 +102,24 @@ architecture str of mms_dp_gain_serial_arr is
   --   dat_w     : NATURAL;
   --   nof_dat   : NATURAL;    -- optional, nof dat words <= 2**adr_w
   --   init_sl   : STD_LOGIC;  -- optional, init all dat words to std_logic '0', '1' or 'X'
-  constant c_mm_ram : t_c_mem := (latency  => 2,  -- set latency to 2 to ease timing
-                                  adr_w    => ceil_log2(g_nof_gains),
-                                  dat_w    => sel_a_b(g_complex_gain, c_nof_complex, 1) * g_gain_w,
-                                  nof_dat  => g_nof_gains,
-                                  init_sl  => '0');
+  constant c_mm_ram : t_c_mem := (
+    latency  => 2,  -- set latency to 2 to ease timing
+    adr_w    => ceil_log2(g_nof_gains),
+    dat_w    => sel_a_b(g_complex_gain, c_nof_complex, 1) * g_gain_w,
+    nof_dat  => g_nof_gains,
+    init_sl  => '0'
+  );
 
   constant c_pipeline_real_latency : natural := g_pipeline_real_mult_input
-                                               + g_pipeline_real_mult_product
-                                               + g_pipeline_real_mult_output
-                                               + c_mm_ram.latency;
+                                                + g_pipeline_real_mult_product
+                                                + g_pipeline_real_mult_output
+                                                + c_mm_ram.latency;
 
   constant c_pipeline_complex_latency : natural := g_pipeline_complex_mult_input
-                                                  + g_pipeline_complex_mult_product
-                                                  + g_pipeline_complex_mult_adder
-                                                  + g_pipeline_complex_mult_output
-                                                  + c_mm_ram.latency;
+                                                   + g_pipeline_complex_mult_product
+                                                   + g_pipeline_complex_mult_adder
+                                                   + g_pipeline_complex_mult_output
+                                                   + c_mm_ram.latency;
 
   constant c_pipeline_latency : natural := sel_a_b(c_real_multiply, c_pipeline_real_latency, c_pipeline_complex_latency);
 
@@ -147,72 +149,72 @@ begin
 
   -- pipeline in_sosi_arr to align it with gains_rd_data_arr
   u_pipeline_arr : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => c_mm_ram.latency  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    snk_in_arr   => in_sosi_arr,
-    src_out_arr  => in_sosi_arr_pipe
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => c_mm_ram.latency  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      snk_in_arr   => in_sosi_arr,
+      src_out_arr  => in_sosi_arr_pipe
+    );
 
   -- pipeline in_sosi_arr to add sop, eop and sync back in  out_sosi_arr
   u_pipeline_arr_ctrl : entity work.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => c_pipeline_latency
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    snk_in_arr   => in_sosi_arr,
-    src_out_arr  => in_sosi_arr_pipe_ctrl
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => c_pipeline_latency
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      snk_in_arr   => in_sosi_arr,
+      src_out_arr  => in_sosi_arr_pipe_ctrl
+    );
 
   u_mem_mux_gains : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(g_nof_gains)
-  )
-  port map (
-    mosi     => ram_gains_mosi,
-    miso     => ram_gains_miso,
-    mosi_arr => mm_gains_mosi_arr,
-    miso_arr => mm_gains_miso_arr
-  );
-
-  gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
-    -- Instantiate a gains memory for each input stream:
-    u_common_ram_crw_crw : entity common_lib.common_ram_crw_crw
     generic map (
-      g_technology     => g_technology,
-      g_ram            => c_mm_ram,
-      g_init_file      => sel_a_b(g_gains_file_name = "UNUSED", g_gains_file_name, g_gains_file_name & "_" & natural'image(I) & ".hex"),
-      g_true_dual_port => not(g_gains_write_only)
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(g_nof_gains)
     )
     port map (
-      -- MM side
-      rst_a     => mm_rst,
-      clk_a     => mm_clk,
-      wr_en_a   => mm_gains_mosi_arr(I).wr,
-      wr_dat_a  => mm_gains_mosi_arr(I).wrdata(c_mm_ram.dat_w - 1 downto 0),
-      adr_a     => mm_gains_mosi_arr(I).address(c_mm_ram.adr_w - 1 downto 0),
-      rd_en_a   => mm_gains_mosi_arr(I).rd,
-      rd_dat_a  => mm_gains_miso_arr(I).rddata(c_mm_ram.dat_w - 1 downto 0),
-      rd_val_a  => mm_gains_miso_arr(I).rdval,
-      -- ST side
-      rst_b     => dp_rst,
-      clk_b     => dp_clk,
-      wr_en_b   => '0',
-      wr_dat_b  => (others => '0'),
-      adr_b     => gains_rd_address,
-      rd_en_b   => '1',
-      rd_dat_b  => gains_rd_data_arr(I)(c_mm_ram.dat_w - 1 downto 0),
-      rd_val_b  => open
+      mosi     => ram_gains_mosi,
+      miso     => ram_gains_miso,
+      mosi_arr => mm_gains_mosi_arr,
+      miso_arr => mm_gains_miso_arr
     );
 
+  gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
+    -- Instantiate a gains memory for each input stream:
+    u_common_ram_crw_crw : entity common_lib.common_ram_crw_crw
+      generic map (
+        g_technology     => g_technology,
+        g_ram            => c_mm_ram,
+        g_init_file      => sel_a_b(g_gains_file_name = "UNUSED", g_gains_file_name, g_gains_file_name & "_" & natural'image(I) & ".hex"),
+        g_true_dual_port => not(g_gains_write_only)
+      )
+      port map (
+        -- MM side
+        rst_a     => mm_rst,
+        clk_a     => mm_clk,
+        wr_en_a   => mm_gains_mosi_arr(I).wr,
+        wr_dat_a  => mm_gains_mosi_arr(I).wrdata(c_mm_ram.dat_w - 1 downto 0),
+        adr_a     => mm_gains_mosi_arr(I).address(c_mm_ram.adr_w - 1 downto 0),
+        rd_en_a   => mm_gains_mosi_arr(I).rd,
+        rd_dat_a  => mm_gains_miso_arr(I).rddata(c_mm_ram.dat_w - 1 downto 0),
+        rd_val_a  => mm_gains_miso_arr(I).rdval,
+        -- ST side
+        rst_b     => dp_rst,
+        clk_b     => dp_clk,
+        wr_en_b   => '0',
+        wr_dat_b  => (others => '0'),
+        adr_b     => gains_rd_address,
+        rd_en_b   => '1',
+        rd_dat_b  => gains_rd_data_arr(I)(c_mm_ram.dat_w - 1 downto 0),
+        rd_val_b  => open
+      );
+
     gen_real_multiply : if c_real_multiply = true generate
       gains_re_arr(I)  <= gains_rd_data_arr(I)(g_gain_w - 1 downto 0);
 
@@ -220,27 +222,27 @@ begin
       in_val_arr(I)    <= in_sosi_arr_pipe(I).valid;
 
       u_common_mult : entity common_mult_lib.common_mult
-      generic map (
-        g_technology       => g_technology,
-        g_variant          => "IP",
-        g_in_a_w           => g_gain_w,
-        g_in_b_w           => g_in_dat_w,
-        g_out_p_w          => g_out_dat_w,  -- g_out_dat_w = g_gain_w+g_in_dat_w, use smaller g_out_dat_w to truncate MSbits, or larger g_out_dat_w to extend MSbits
-        g_nof_mult         => 1,
-        g_pipeline_input   => g_pipeline_real_mult_input,
-        g_pipeline_product => g_pipeline_real_mult_product,
-        g_pipeline_output  => g_pipeline_real_mult_output,
-        g_representation   => "SIGNED"  -- or "UNSIGNED"
-      )
-      port map (
-        rst        => dp_rst,
-        clk        => dp_clk,
-        in_a       => gains_re_arr(I),
-        in_b       => in_dat_re_arr(I),
-        in_val     => in_val_arr(I),
-        out_p      => out_dat_re_arr(I),
-        out_val    => out_val_arr(I)
-      );
+        generic map (
+          g_technology       => g_technology,
+          g_variant          => "IP",
+          g_in_a_w           => g_gain_w,
+          g_in_b_w           => g_in_dat_w,
+          g_out_p_w          => g_out_dat_w,  -- g_out_dat_w = g_gain_w+g_in_dat_w, use smaller g_out_dat_w to truncate MSbits, or larger g_out_dat_w to extend MSbits
+          g_nof_mult         => 1,
+          g_pipeline_input   => g_pipeline_real_mult_input,
+          g_pipeline_product => g_pipeline_real_mult_product,
+          g_pipeline_output  => g_pipeline_real_mult_output,
+          g_representation   => "SIGNED"  -- or "UNSIGNED"
+        )
+        port map (
+          rst        => dp_rst,
+          clk        => dp_clk,
+          in_a       => gains_re_arr(I),
+          in_b       => in_dat_re_arr(I),
+          in_val     => in_val_arr(I),
+          out_p      => out_dat_re_arr(I),
+          out_val    => out_val_arr(I)
+        );
 
       p_out_sosi_arr : process(out_val_arr, out_dat_re_arr, in_sosi_arr_pipe_ctrl)
       begin
@@ -270,30 +272,30 @@ begin
       in_val_arr(I)    <= in_sosi_arr_pipe(I).valid;
 
       u_common_complex_mult : entity common_mult_lib.common_complex_mult
-      generic map (
-        g_technology       => g_technology,
-        g_variant          => "IP",
-        g_in_a_w           => g_gain_w,
-        g_in_b_w           => g_in_dat_w,
-        g_out_p_w          => g_out_dat_w,
-        g_conjugate_b      => c_conjugate,
-        g_pipeline_input   => g_pipeline_complex_mult_input,
-        g_pipeline_product => g_pipeline_complex_mult_product,
-        g_pipeline_adder   => g_pipeline_complex_mult_adder,
-        g_pipeline_output  => g_pipeline_complex_mult_output
-      )
-      port map (
-        rst        => dp_rst,
-        clk        => dp_clk,
-        in_ar      => gains_re_arr(I),
-        in_ai      => gains_im_arr(I),
-        in_br      => in_dat_re_arr(I),
-        in_bi      => in_dat_im_arr(I),
-        in_val     => in_val_arr(I),
-        out_pr     => out_dat_re_arr(I),
-        out_pi     => out_dat_im_arr(I),
-        out_val    => out_val_arr(I)
-      );
+        generic map (
+          g_technology       => g_technology,
+          g_variant          => "IP",
+          g_in_a_w           => g_gain_w,
+          g_in_b_w           => g_in_dat_w,
+          g_out_p_w          => g_out_dat_w,
+          g_conjugate_b      => c_conjugate,
+          g_pipeline_input   => g_pipeline_complex_mult_input,
+          g_pipeline_product => g_pipeline_complex_mult_product,
+          g_pipeline_adder   => g_pipeline_complex_mult_adder,
+          g_pipeline_output  => g_pipeline_complex_mult_output
+        )
+        port map (
+          rst        => dp_rst,
+          clk        => dp_clk,
+          in_ar      => gains_re_arr(I),
+          in_ai      => gains_im_arr(I),
+          in_br      => in_dat_re_arr(I),
+          in_bi      => in_dat_im_arr(I),
+          in_val     => in_val_arr(I),
+          out_pr     => out_dat_re_arr(I),
+          out_pi     => out_dat_im_arr(I),
+          out_val    => out_val_arr(I)
+        );
 
       p_out_sosi_arr : process(out_val_arr, out_dat_re_arr, out_dat_im_arr, in_sosi_arr_pipe_ctrl)
       begin
diff --git a/libraries/base/dp/src/vhdl/mms_dp_packet_merge.vhd b/libraries/base/dp/src/vhdl/mms_dp_packet_merge.vhd
index d498b85797..e27f4c0581 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_packet_merge.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_packet_merge.vhd
@@ -24,12 +24,12 @@
 -- Remarks:
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_packet_merge is
   generic (
@@ -74,51 +74,51 @@ architecture str of mms_dp_packet_merge is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => ceil_log2(field_nof_words(c_field_arr, c_word_w))
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => ceil_log2(field_nof_words(c_field_arr, c_word_w))
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   gen_stream : for i in 0 to g_nof_streams - 1 generate
 
     u_mm_fields: entity mm_lib.mm_fields
-    generic map(
-      g_field_arr => c_field_arr
-    )
-    port map (
-      mm_clk  => mm_clk,
-      mm_rst  => mm_rst,
+      generic map(
+        g_field_arr => c_field_arr
+      )
+      port map (
+        mm_clk  => mm_clk,
+        mm_rst  => mm_rst,
 
-      mm_mosi => reg_mosi_arr(i),
-      mm_miso => reg_miso_arr(i),
+        mm_mosi => reg_mosi_arr(i),
+        mm_miso => reg_miso_arr(i),
 
-      slv_clk => dp_clk,
-      slv_rst => dp_rst,
+        slv_clk => dp_clk,
+        slv_rst => dp_rst,
 
-      slv_out => mm_fields_out_arr(i)
-    );
+        slv_out => mm_fields_out_arr(i)
+      );
 
     nof_pkt(i) <= mm_fields_out_arr(i)(field_hi(c_field_arr, "nof_pkt") downto field_lo(c_field_arr, "nof_pkt"));
 
     u_dp_merge : entity work.dp_packet_merge
-    generic map (
-      g_nof_pkt   => g_nof_pkt
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      nof_pkt     => nof_pkt(i),
-      snk_out     => snk_out_arr(i),
-      snk_in      => snk_in_arr(i),
-      src_in      => src_in_arr(i),
-      src_out     => src_out_arr(i)
-    );
+      generic map (
+        g_nof_pkt   => g_nof_pkt
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        nof_pkt     => nof_pkt(i),
+        snk_out     => snk_out_arr(i),
+        snk_in      => snk_in_arr(i),
+        src_in      => src_in_arr(i),
+        src_out     => src_out_arr(i)
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_ram_from_mm.vhd b/libraries/base/dp/src/vhdl/mms_dp_ram_from_mm.vhd
index fa15b23d32..4a2e25ac1e 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_ram_from_mm.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_ram_from_mm.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity mms_dp_ram_from_mm is
   generic (
@@ -50,47 +50,47 @@ end mms_dp_ram_from_mm;
 
 architecture str of mms_dp_ram_from_mm is
 
- signal dp_on  : std_logic;
+  signal dp_on  : std_logic;
 
 begin
 
- u_dp_ram_from_mm : entity dp_lib.dp_ram_from_mm
-  generic map(
-    g_ram_wr_nof_words => g_ram_wr_nof_words,
-    g_ram_rd_dat_w     => g_ram_rd_dat_w,
-    g_init_file        => g_init_file
-  )
-  port map (
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
+  u_dp_ram_from_mm : entity dp_lib.dp_ram_from_mm
+    generic map(
+      g_ram_wr_nof_words => g_ram_wr_nof_words,
+      g_ram_rd_dat_w     => g_ram_rd_dat_w,
+      g_init_file        => g_init_file
+    )
+    port map (
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
 
-    st_rst    => st_rst,
-    st_clk    => st_clk,
+      st_rst    => st_rst,
+      st_clk    => st_clk,
 
-    mm_addr   => ram_mosi.address,
-    mm_wr     => ram_mosi.wr,
-    mm_wrdata => ram_mosi.wrdata,
+      mm_addr   => ram_mosi.address,
+      mm_wr     => ram_mosi.wr,
+      mm_wrdata => ram_mosi.wrdata,
 
-    dp_on     => dp_on,
+      dp_on     => dp_on,
 
-    src_in    => src_in,
-    src_out   => src_out
-  );
+      src_in    => src_in,
+      src_out   => src_out
+    );
 
   u_dp_ram_from_mm_reg: entity work.dp_ram_from_mm_reg
-  generic map(
-    g_dp_on_at_init    => g_dp_on_at_init
-  )
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
+    generic map(
+      g_dp_on_at_init    => g_dp_on_at_init
+    )
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
 
-    st_rst  => st_rst,
-    st_clk  => st_clk,
+      st_rst  => st_rst,
+      st_clk  => st_clk,
 
-    sla_in  => reg_mosi,
+      sla_in  => reg_mosi,
 
-    dp_on   => dp_on
-  );
+      dp_on   => dp_on
+    );
 
 end str;
diff --git a/libraries/base/dp/src/vhdl/mms_dp_scale.vhd b/libraries/base/dp/src/vhdl/mms_dp_scale.vhd
index 75bf826fe2..ab191dc85e 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_scale.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_scale.vhd
@@ -29,10 +29,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_scale is
   generic (
@@ -51,7 +51,7 @@ entity mms_dp_scale is
     g_lsb_round_clip      : boolean := false;  -- when TRUE round clip to +max to avoid wrapping to output -min (signed) or 0 (unsigned) due to rounding
     g_msb_clip            : boolean := true;  -- when TRUE CLIP else WRAP the input MSbits
     g_msb_clip_symmetric  : boolean := false  -- when TRUE CLIP signed symmetric to +c_smax and -c_smax, else to +c_smax and c_smin_symm
-                                                  -- for wrapping when g_msb_clip=FALSE the g_msb_clip_symmetric is ignored, so signed wrapping is done asymmetric
+  -- for wrapping when g_msb_clip=FALSE the g_msb_clip_symmetric is ignored, so signed wrapping is done asymmetric
   );
   port (
     -- System
@@ -87,56 +87,56 @@ begin
   -- Gain
   ---------------------------------------------------------------
   u_mms_dp_gain : entity work.mms_dp_gain
-  generic map (
-    g_complex_data    => g_complex_data,
-    g_complex_gain    => g_complex_gain,
-    g_gain_init_re    => g_gain_init_re,
-    g_gain_init_im    => g_gain_init_im,
-    g_gain_w          => g_gain_w,
-    g_in_dat_w        => g_in_dat_w,
-    g_out_dat_w       => c_gain_out_dat_w
-  )
-  port map (
-    -- System
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
+    generic map (
+      g_complex_data    => g_complex_data,
+      g_complex_gain    => g_complex_gain,
+      g_gain_init_re    => g_gain_init_re,
+      g_gain_init_im    => g_gain_init_im,
+      g_gain_w          => g_gain_w,
+      g_in_dat_w        => g_in_dat_w,
+      g_out_dat_w       => c_gain_out_dat_w
+    )
+    port map (
+      -- System
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
 
-    -- MM interface
-    reg_gain_re_mosi => reg_gain_re_mosi,
-    reg_gain_re_miso => reg_gain_re_miso,
-    reg_gain_im_mosi => reg_gain_im_mosi,
-    reg_gain_im_miso => reg_gain_im_miso,
+      -- MM interface
+      reg_gain_re_mosi => reg_gain_re_mosi,
+      reg_gain_re_miso => reg_gain_re_miso,
+      reg_gain_im_mosi => reg_gain_im_mosi,
+      reg_gain_im_miso => reg_gain_im_miso,
 
-    reg_gain_re      => reg_gain_re,
-    reg_gain_im      => reg_gain_im,
+      reg_gain_re      => reg_gain_re,
+      reg_gain_im      => reg_gain_im,
 
-    in_sosi  =>  in_sosi,
-    out_sosi =>  dp_gain_out_sosi
-  );
+      in_sosi  =>  in_sosi,
+      out_sosi =>  dp_gain_out_sosi
+    );
 
   ---------------------------------------------------------------
   -- Requantize
   ---------------------------------------------------------------
   u_dp_requantize : entity work.dp_requantize
-  generic map (
-    g_complex             => c_dp_requantize_complex,
-    g_representation      => "SIGNED",
-    g_lsb_w               => g_lsb_w,
-    g_lsb_round           => g_lsb_round,
-    g_lsb_round_clip      => g_lsb_round_clip,
-    g_msb_clip            => g_msb_clip,
-    g_msb_clip_symmetric  => g_msb_clip_symmetric,
-    g_in_dat_w            => c_gain_out_dat_w,
-    g_out_dat_w           => g_out_dat_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    -- ST sink
-    snk_in  => dp_gain_out_sosi,
-    -- ST source
-    src_out => out_sosi
-  );
+    generic map (
+      g_complex             => c_dp_requantize_complex,
+      g_representation      => "SIGNED",
+      g_lsb_w               => g_lsb_w,
+      g_lsb_round           => g_lsb_round,
+      g_lsb_round_clip      => g_lsb_round_clip,
+      g_msb_clip            => g_msb_clip,
+      g_msb_clip_symmetric  => g_msb_clip_symmetric,
+      g_in_dat_w            => c_gain_out_dat_w,
+      g_out_dat_w           => g_out_dat_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      -- ST sink
+      snk_in  => dp_gain_out_sosi,
+      -- ST source
+      src_out => out_sosi
+    );
 end str;
diff --git a/libraries/base/dp/src/vhdl/mms_dp_split.vhd b/libraries/base/dp/src/vhdl/mms_dp_split.vhd
index a0d0915298..7e06454d81 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_split.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_split.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_split is
   generic (
@@ -71,56 +71,56 @@ architecture str of mms_dp_split is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_reg_adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_reg_adr_w
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   gen_stream : for i in 0 to g_nof_streams - 1 generate
 
     out_nof_symbols(i) <= TO_UINT(nof_symbols(i));
 
     u_reg : entity work.dp_split_reg
-    generic map (
-      g_nof_symbols => g_nof_symbols_max
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst       => mm_rst,
-      mm_clk       => mm_clk,
-      st_rst       => dp_rst,
-      st_clk       => dp_clk,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in       => reg_mosi_arr(i),
-      sla_out      => reg_miso_arr(i),
-
-      -- MM registers in dp_clk domain
-      -- . control
-      nof_symbols  => nof_symbols(i)
-    );
+      generic map (
+        g_nof_symbols => g_nof_symbols_max
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst       => mm_rst,
+        mm_clk       => mm_clk,
+        st_rst       => dp_rst,
+        st_clk       => dp_clk,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in       => reg_mosi_arr(i),
+        sla_out      => reg_miso_arr(i),
+
+        -- MM registers in dp_clk domain
+        -- . control
+        nof_symbols  => nof_symbols(i)
+      );
 
     u_dp_split : entity work.dp_split
-    generic map (
-      g_data_w      => g_data_w,
-      g_symbol_w    => g_symbol_w,
-      g_nof_symbols => g_nof_symbols_max
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      nof_symbols => nof_symbols(i),
-      snk_out     => snk_out_arr(i),
-      snk_in      => snk_in_arr(i),
-      src_in_arr  => src_in_2arr(i),
-      src_out_arr => src_out_2arr(i)
-    );
+      generic map (
+        g_data_w      => g_data_w,
+        g_symbol_w    => g_symbol_w,
+        g_nof_symbols => g_nof_symbols_max
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        nof_symbols => nof_symbols(i),
+        snk_out     => snk_out_arr(i),
+        snk_in      => snk_in_arr(i),
+        src_in_arr  => src_in_2arr(i),
+        src_out_arr => src_out_2arr(i)
+      );
 
   end generate;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_sync_checker.vhd b/libraries/base/dp/src/vhdl/mms_dp_sync_checker.vhd
index 628f50a26a..2c57bd5c09 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_sync_checker.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_sync_checker.vhd
@@ -48,11 +48,11 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_sync_checker is
   generic(
@@ -84,11 +84,13 @@ architecture str of mms_dp_sync_checker is
 
   -- Define the actual size of the MM slave register
   constant c_nof_regs  : positive := 2;
-  constant c_mm_reg    : t_c_mem := (latency  => 1,
-                                     adr_w    => ceil_log2(c_nof_regs),
-                                     dat_w    => c_word_w,
-                                     nof_dat  => c_nof_regs,
-                                     init_sl  => '0');
+  constant c_mm_reg    : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_regs),
+    dat_w    => c_word_w,
+    nof_dat  => c_nof_regs,
+    init_sl  => '0'
+  );
 
   signal read_register   : std_logic_vector(c_nof_regs * c_word_w - 1 downto 0);
   signal nof_early_syncs : std_logic_vector(c_word_w - 1 downto 0);
@@ -98,50 +100,50 @@ architecture str of mms_dp_sync_checker is
 begin
 
   u_dp_sync_checker : entity work.dp_sync_checker
-  generic map(
-    g_nof_blk_per_sync => g_nof_blk_per_sync
-  )
-  port map(
-    dp_clk                => dp_clk,
-    dp_rst                => dp_rst,
-    snk_out               => snk_out,
-    snk_in                => snk_in,
-    src_in                => src_in,
-    src_out               => src_out,
-    nof_early_syncs       => nof_early_syncs,
-    nof_late_syncs        => nof_late_syncs,
-    clear_nof_early_syncs => reg_rd_arr(0),
-    clear_nof_late_syncs  => reg_rd_arr(1)
-  );
+    generic map(
+      g_nof_blk_per_sync => g_nof_blk_per_sync
+    )
+    port map(
+      dp_clk                => dp_clk,
+      dp_rst                => dp_rst,
+      snk_out               => snk_out,
+      snk_in                => snk_in,
+      src_in                => src_in,
+      src_out               => src_out,
+      nof_early_syncs       => nof_early_syncs,
+      nof_late_syncs        => nof_late_syncs,
+      clear_nof_early_syncs => reg_rd_arr(0),
+      clear_nof_late_syncs  => reg_rd_arr(1)
+    );
 
   read_register <= nof_late_syncs & nof_early_syncs;
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 1,
-    g_readback           => true,
-    g_reg                => c_mm_reg
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => dp_rst,
-    st_clk      => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_dp_sync_checker_mosi,
-    sla_out     => reg_dp_sync_checker_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => reg_rd_arr,
-    in_new      => OPEN,
-    in_reg      => read_register,
-    out_reg     => OPEN,
-    out_new     => open
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 1,
+      g_readback           => true,
+      g_reg                => c_mm_reg
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => dp_rst,
+      st_clk      => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_dp_sync_checker_mosi,
+      sla_out     => reg_dp_sync_checker_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => reg_rd_arr,
+      in_new      => OPEN,
+      in_reg      => read_register,
+      out_reg     => OPEN,
+      out_new     => open
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_sync_checker_arr.vhd b/libraries/base/dp/src/vhdl/mms_dp_sync_checker_arr.vhd
index a5f96ef3e1..2b223aecdf 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_sync_checker_arr.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_sync_checker_arr.vhd
@@ -28,9 +28,9 @@
 --   share the same sync as input 0, as is the case e.g. after a dp_bsn_aligner.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_sync_checker_arr is
   generic(
@@ -74,43 +74,43 @@ begin
 
   -- Check sync on input stream 0
   u_mms_dp_sync_checker : entity work.mms_dp_sync_checker
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_nof_blk_per_sync   => g_nof_blk_per_sync
-  )
-  port map (
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    dp_clk                   => dp_clk,
-    dp_rst                   => dp_rst,
-
-    -- ST sinks
-    snk_out                  => sync_checker_snk_out,
-    snk_in                   => snk_in_arr(0),
-    -- ST source
-    src_in                   => src_in_arr(0),
-    src_out                  => sync_checker_src_out,
-
-    -- Memory Mapped
-    reg_dp_sync_checker_mosi => reg_dp_sync_checker_mosi,
-    reg_dp_sync_checker_miso => reg_dp_sync_checker_miso
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_nof_blk_per_sync   => g_nof_blk_per_sync
+    )
+    port map (
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      dp_clk                   => dp_clk,
+      dp_rst                   => dp_rst,
+
+      -- ST sinks
+      snk_out                  => sync_checker_snk_out,
+      snk_in                   => snk_in_arr(0),
+      -- ST source
+      src_in                   => src_in_arr(0),
+      src_out                  => sync_checker_src_out,
+
+      -- Memory Mapped
+      reg_dp_sync_checker_mosi => reg_dp_sync_checker_mosi,
+      reg_dp_sync_checker_miso => reg_dp_sync_checker_miso
+    );
 
   -- Pipeline all input streams with same latency as mms_dp_sync_checker
   u_dp_pipeline_arr : entity dp_lib.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => c_latency
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in_arr   => snk_in_arr,
-    -- ST source
-    src_out_arr  => pipeline_src_out_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => c_latency
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in_arr   => snk_in_arr,
+      -- ST source
+      src_out_arr  => pipeline_src_out_arr
+    );
 
   -- copy sync_checker control to all output streams, pass on the pipelined data
   p_copy_sync_checker_controls : process(sync_checker_src_out, pipeline_src_out_arr)
diff --git a/libraries/base/dp/src/vhdl/mms_dp_throttle.vhd b/libraries/base/dp/src/vhdl/mms_dp_throttle.vhd
index 13f6ba742a..df694c0798 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_throttle.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_throttle.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 entity mms_dp_throttle is
@@ -57,40 +57,40 @@ architecture str of mms_dp_throttle is
 begin
 
   u_dp_throttle_reg : entity work.dp_throttle_reg
-  generic map (
-    g_dc_period => g_dc_period
-  )
-  port map (
+    generic map (
+      g_dc_period => g_dc_period
+    )
+    port map (
 
-    mm_rst   => mm_rst,
-    mm_clk   => mm_clk,
+      mm_rst   => mm_rst,
+      mm_clk   => mm_clk,
 
-    st_rst   => dp_rst,
-    st_clk   => dp_clk,
+      st_rst   => dp_rst,
+      st_clk   => dp_clk,
 
-    sla_in   => reg_mosi,
-    sla_out  => reg_miso,
+      sla_in   => reg_mosi,
+      sla_out  => reg_miso,
 
-    throttle => throttle
-  );
+      throttle => throttle
+    );
 
   u_dp_throttle : entity work.dp_throttle
-  generic map (
-    g_dc_period      => g_dc_period,
-    g_throttle_valid => g_throttle_valid
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-
-    snk_out   => snk_out,
-    snk_in    => snk_in,
-
-    src_in    => src_in,
-    src_out   => src_out,
-
-    throttle  => throttle
-  );
+    generic map (
+      g_dc_period      => g_dc_period,
+      g_throttle_valid => g_throttle_valid
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+
+      snk_out   => snk_out,
+      snk_in    => snk_in,
+
+      src_in    => src_in,
+      src_out   => src_out,
+
+      throttle  => throttle
+    );
 
 end str;
 
diff --git a/libraries/base/dp/src/vhdl/mms_dp_xonoff.vhd b/libraries/base/dp/src/vhdl/mms_dp_xonoff.vhd
index a12560736e..e7c488181b 100644
--- a/libraries/base/dp/src/vhdl/mms_dp_xonoff.vhd
+++ b/libraries/base/dp/src/vhdl/mms_dp_xonoff.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
 
 entity mms_dp_xonoff is
   generic (
@@ -75,62 +75,62 @@ architecture str of mms_dp_xonoff is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_ctrl_streams,
-    g_mult_addr_w => c_reg_adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_ctrl_streams,
+      g_mult_addr_w => c_reg_adr_w
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   gen_reg : for i in 0 to c_nof_ctrl_streams - 1 generate
     gen_no_timeout : if g_timeout_time = 0 generate
       u_reg : entity work.dp_xonoff_reg
-      generic map(
-        g_default_value => g_default_value
-      )
-      port map (
-        -- Clocks and reset
-        mm_rst       => mm_rst,
-        mm_clk       => mm_clk,
-        st_rst       => dp_rst,
-        st_clk       => dp_clk,
-
-        -- Memory Mapped Slave in mm_clk domain
-        sla_in       => reg_mosi_arr(i),
-        sla_out      => reg_miso_arr(i),
-
-        -- MM registers in dp_clk domain
-        -- . control
-        xonoff_reg   => xonoff_reg(i downto i)
-      );
+        generic map(
+          g_default_value => g_default_value
+        )
+        port map (
+          -- Clocks and reset
+          mm_rst       => mm_rst,
+          mm_clk       => mm_clk,
+          st_rst       => dp_rst,
+          st_clk       => dp_clk,
+
+          -- Memory Mapped Slave in mm_clk domain
+          sla_in       => reg_mosi_arr(i),
+          sla_out      => reg_miso_arr(i),
+
+          -- MM registers in dp_clk domain
+          -- . control
+          xonoff_reg   => xonoff_reg(i downto i)
+        );
     end generate;
 
     gen_with_timeout : if g_timeout_time > 0 generate
       u_reg : entity work.dp_xonoff_reg_timeout
-      generic map(
-        g_default_value => g_default_value,
-        g_mm_timeout    => g_timeout_time,
-        g_sim           => g_sim
-      )
-      port map (
-        -- Clocks and reset
-        mm_rst       => mm_rst,
-        mm_clk       => mm_clk,
-        st_rst       => dp_rst,
-        st_clk       => dp_clk,
-
-        -- Memory Mapped Slave in mm_clk domain
-        sla_in       => reg_mosi_arr(i),
-        sla_out      => reg_miso_arr(i),
-
-        -- MM registers in dp_clk domain
-        -- . control
-        xonoff_reg   => xonoff_reg(i downto i)
-      );
+        generic map(
+          g_default_value => g_default_value,
+          g_mm_timeout    => g_timeout_time,
+          g_sim           => g_sim
+        )
+        port map (
+          -- Clocks and reset
+          mm_rst       => mm_rst,
+          mm_clk       => mm_clk,
+          st_rst       => dp_rst,
+          st_clk       => dp_clk,
+
+          -- Memory Mapped Slave in mm_clk domain
+          sla_in       => reg_mosi_arr(i),
+          sla_out      => reg_miso_arr(i),
+
+          -- MM registers in dp_clk domain
+          -- . control
+          xonoff_reg   => xonoff_reg(i downto i)
+        );
     end generate;
   end generate;
 
@@ -142,20 +142,20 @@ begin
     src_in_arr_i(i).xon   <= src_in_arr(i).xon and xonoff_reg_i(i);
 
     u_dp_xonoff : entity work.dp_xonoff
-    generic map (
-      g_bypass => g_bypass
-    )
-    port map (
-      rst      => dp_rst,
-      clk      => dp_clk,
+      generic map (
+        g_bypass => g_bypass
+      )
+      port map (
+        rst      => dp_rst,
+        clk      => dp_clk,
 
-      in_siso  => snk_out_arr(i),
-      in_sosi  => snk_in_arr(i),
-      out_siso => src_in_arr_i(i),
-      out_sosi => src_out_arr(i),
+        in_siso  => snk_out_arr(i),
+        in_sosi  => snk_in_arr(i),
+        out_siso => src_in_arr_i(i),
+        out_sosi => src_out_arr(i),
 
-      force_xoff => force_xoff_arr(i)
-    );
+        force_xoff => force_xoff_arr(i)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/tb/vhdl/dp_phy_link.vhd b/libraries/base/dp/tb/vhdl/dp_phy_link.vhd
index e88d9c87c3..ede34a56c4 100644
--- a/libraries/base/dp/tb/vhdl/dp_phy_link.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_phy_link.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose:
 --   Model a transceiver link.
diff --git a/libraries/base/dp/tb/vhdl/dp_sosi_arr_recorder.vhd b/libraries/base/dp/tb/vhdl/dp_sosi_arr_recorder.vhd
index f33e7e29f8..8315a66079 100644
--- a/libraries/base/dp/tb/vhdl/dp_sosi_arr_recorder.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_sosi_arr_recorder.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use std.textio.all;
-use IEEE.std_logic_textio.all;
-use common_lib.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use std.textio.all;
+  use IEEE.std_logic_textio.all;
+  use common_lib.common_str_pkg.all;
 
 -- Purpose:
 -- . Like dp_sosi_recorder.vhd, but records an array to a file.
diff --git a/libraries/base/dp/tb/vhdl/dp_sosi_recorder.vhd b/libraries/base/dp/tb/vhdl/dp_sosi_recorder.vhd
index b337797dae..4bf1a7190b 100644
--- a/libraries/base/dp/tb/vhdl/dp_sosi_recorder.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_sosi_recorder.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use std.textio.all;
-use IEEE.std_logic_textio.all;
-use common_lib.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use std.textio.all;
+  use IEEE.std_logic_textio.all;
+  use common_lib.common_str_pkg.all;
 
 -- Purpose:
 -- . Record the DP record fields to a file for later playback by
diff --git a/libraries/base/dp/tb/vhdl/dp_statistics.vhd b/libraries/base/dp/tb/vhdl/dp_statistics.vhd
index c0e16cf02f..8f0c2c3105 100644
--- a/libraries/base/dp/tb/vhdl/dp_statistics.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_statistics.vhd
@@ -36,13 +36,13 @@
 --
 
 library IEEE, common_lib, work, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity dp_statistics is
   generic (
@@ -51,7 +51,7 @@ entity dp_statistics is
     g_check_nof_valid          : boolean := false;  -- True enables valid count checking at dp_done. Reports Failure in case of mismatch.
     g_check_nof_valid_ref      : natural := 0;  -- Reference (= expected) valid count
     g_dp_word_w                : natural := 32  -- Used to calculate data rate
-   );
+  );
   port (
 
     dp_clk : in  std_logic := '0';
diff --git a/libraries/base/dp/tb/vhdl/dp_stream_player.vhd b/libraries/base/dp/tb/vhdl/dp_stream_player.vhd
index 100854294c..e115de322a 100644
--- a/libraries/base/dp/tb/vhdl/dp_stream_player.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_stream_player.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use std.textio.all;
-use IEEE.std_logic_textio.all;
-use common_lib.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use std.textio.all;
+  use IEEE.std_logic_textio.all;
+  use common_lib.common_str_pkg.all;
 
 -- Purpose:
 -- . Play back a stream recorded by dp_stream_recorder.
diff --git a/libraries/base/dp/tb/vhdl/dp_stream_rec_play.vhd b/libraries/base/dp/tb/vhdl/dp_stream_rec_play.vhd
index 659ca1a745..f716529c5f 100644
--- a/libraries/base/dp/tb/vhdl/dp_stream_rec_play.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_stream_rec_play.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Combine dp_stream_recorder and dp_stream_player.
@@ -42,7 +42,7 @@ entity dp_stream_rec_play is
     g_rec_not_play   : boolean := true;
     g_rec_play_file  : string := "dp_stream_recorder.rec";
     g_record_invalid : boolean := true
- );
+  );
   port (
     dp_clk  : in  std_logic;
     snk_in  : in  t_dp_sosi;
@@ -64,26 +64,26 @@ begin
 
   gen_dp_sosi_recorder : if g_sim = true and g_rec_not_play = true generate
     u_dp_sosi_recorder : entity work.dp_sosi_recorder
-    generic map (
-      g_record_file    => g_rec_play_file,
-      g_record_invalid => g_record_invalid
-    )
-    port map (
-      dp_clk => dp_clk,
-      snk_in => snk_in
-    );
+      generic map (
+        g_record_file    => g_rec_play_file,
+        g_record_invalid => g_record_invalid
+      )
+      port map (
+        dp_clk => dp_clk,
+        snk_in => snk_in
+      );
   end generate;
 
   gen_dp_stream_player : if g_sim = true and g_pass_through = false and g_rec_not_play = false generate
     u_dp_stream_player : entity work.dp_stream_player
-    generic map (
-      g_playback_file => g_rec_play_file
-    )
-    port map (
-      dp_clk => dp_clk,
-      src_in => src_in,
-      src_out => src_out
-    );
+      generic map (
+        g_playback_file => g_rec_play_file
+      )
+      port map (
+        dp_clk => dp_clk,
+        src_in => src_in,
+        src_out => src_out
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/dp/tb/vhdl/dp_stream_stimuli.vhd b/libraries/base/dp/tb/vhdl/dp_stream_stimuli.vhd
index a59de84082..c7312b1ceb 100644
--- a/libraries/base/dp/tb/vhdl/dp_stream_stimuli.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_stream_stimuli.vhd
@@ -32,13 +32,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity dp_stream_stimuli is
diff --git a/libraries/base/dp/tb/vhdl/dp_stream_verify.vhd b/libraries/base/dp/tb/vhdl/dp_stream_verify.vhd
index 18f1cdcd87..b84e950bad 100644
--- a/libraries/base/dp/tb/vhdl/dp_stream_verify.vhd
+++ b/libraries/base/dp/tb/vhdl/dp_stream_verify.vhd
@@ -39,13 +39,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity dp_stream_verify is
diff --git a/libraries/base/dp/tb/vhdl/tb2_dp_demux.vhd b/libraries/base/dp/tb/vhdl/tb2_dp_demux.vhd
index b26e59d13f..954a090e46 100644
--- a/libraries/base/dp/tb/vhdl/tb2_dp_demux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb2_dp_demux.vhd
@@ -45,13 +45,13 @@
 -- . Observe out_* signals in Wave Window
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb2_dp_demux is
   generic (
@@ -201,56 +201,56 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.dp_demux
-  generic map (
-    g_mode              => g_mode_demux,
-    g_nof_output        => g_nof_streams,
-    g_remove_channel_lo => g_use_channel_lo,
-    g_combined          => g_combined_demux
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Control
-    sel_ctrl    => g_in_channel,
-    -- ST sinks
-    snk_out     => in_siso,
-    snk_in      => in_sosi,
-    -- ST source
-    src_in_arr  => demux_siso_arr,
-    src_out_arr => demux_sosi_arr
-  );
+    generic map (
+      g_mode              => g_mode_demux,
+      g_nof_output        => g_nof_streams,
+      g_remove_channel_lo => g_use_channel_lo,
+      g_combined          => g_combined_demux
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Control
+      sel_ctrl    => g_in_channel,
+      -- ST sinks
+      snk_out     => in_siso,
+      snk_in      => in_sosi,
+      -- ST source
+      src_in_arr  => demux_siso_arr,
+      src_out_arr => demux_sosi_arr
+    );
 
   ------------------------------------------------------------------------------
   -- DUT dp_mux
   ------------------------------------------------------------------------------
 
   mux : entity work.dp_mux
-  generic map (
-    g_data_w            => c_dp_data_w,
-    g_empty_w           => c_dp_empty_w,
-    g_in_channel_w      => c_dp_data_w,
-    g_error_w           => 1,
-    g_use_empty         => true,
-    g_use_in_channel    => true,
-    g_use_error         => false,
-    g_mode              => g_mode_mux,
-    g_nof_input         => g_nof_streams,
-    g_append_channel_lo => g_use_channel_lo,
-    g_use_fifo          => false,
-    g_fifo_size         => array_init(1024, g_nof_streams),  -- FIFO is not used, but generic must match g_nof_input
-    g_fifo_fill         => array_init(   0, g_nof_streams)  -- FIFO is not used, but generic must match g_nof_input
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Control
-    sel_ctrl    => g_in_channel,
-    -- ST sinks
-    snk_out_arr => demux_siso_arr,
-    snk_in_arr  => demux_sosi_arr,
-    -- ST source
-    src_in      => out_siso,
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w            => c_dp_data_w,
+      g_empty_w           => c_dp_empty_w,
+      g_in_channel_w      => c_dp_data_w,
+      g_error_w           => 1,
+      g_use_empty         => true,
+      g_use_in_channel    => true,
+      g_use_error         => false,
+      g_mode              => g_mode_mux,
+      g_nof_input         => g_nof_streams,
+      g_append_channel_lo => g_use_channel_lo,
+      g_use_fifo          => false,
+      g_fifo_size         => array_init(1024, g_nof_streams),  -- FIFO is not used, but generic must match g_nof_input
+      g_fifo_fill         => array_init(   0, g_nof_streams)  -- FIFO is not used, but generic must match g_nof_input
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Control
+      sel_ctrl    => g_in_channel,
+      -- ST sinks
+      snk_out_arr => demux_siso_arr,
+      snk_in_arr  => demux_sosi_arr,
+      -- ST source
+      src_in      => out_siso,
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb2_dp_mux.vhd b/libraries/base/dp/tb/vhdl/tb2_dp_mux.vhd
index 8333435a04..77bdd927ba 100644
--- a/libraries/base/dp/tb/vhdl/tb2_dp_mux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb2_dp_mux.vhd
@@ -50,13 +50,13 @@
 -- . Observe out_* signals in Wave Window
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb2_dp_mux is
@@ -259,60 +259,60 @@ begin
   -- Input level multiplexing
   gen_mux : for I in 0 to c_nof_type-1 generate
     u_input_mux : entity dp_lib.dp_mux
+      generic map (
+        -- MUX
+        g_mode              => g_mode_mux,
+        g_nof_input         => c_nof_input,
+        g_append_channel_lo => c_use_channel_lo,
+        -- Input FIFO
+        g_use_fifo          => g_mux_use_fifo,
+        g_bsn_w             => c_data_w,
+        g_data_w            => c_data_w,
+        g_use_bsn           => c_use_bsn,
+        g_use_sync          => c_use_sync,
+        g_fifo_size         => array_init(           1024, c_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
+        g_fifo_fill         => array_init(g_mux_fifo_fill, c_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sinks
+        snk_out_arr => in_siso_2arr(I),
+        snk_in_arr  => in_sosi_2arr(I),
+        -- ST source
+        src_in      => mux_siso_arr(I),
+        src_out     => mux_sosi_arr(I)
+      );
+  end generate;
+
+  -- Second level multiplexing
+  u_type_mux : entity dp_lib.dp_mux
     generic map (
       -- MUX
       g_mode              => g_mode_mux,
-      g_nof_input         => c_nof_input,
+      g_nof_input         => c_nof_type,
       g_append_channel_lo => c_use_channel_lo,
       -- Input FIFO
       g_use_fifo          => g_mux_use_fifo,
       g_bsn_w             => c_data_w,
       g_data_w            => c_data_w,
+      g_in_channel_w      => c_channel_input_w,  -- pass channel due to u_input_mux
+      g_use_in_channel    => g_mux_use_fifo,
       g_use_bsn           => c_use_bsn,
       g_use_sync          => c_use_sync,
-      g_fifo_size         => array_init(           1024, c_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
-      g_fifo_fill         => array_init(g_mux_fifo_fill, c_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
+      g_fifo_size         => array_init(           1024, c_nof_type),  -- must match g_nof_input, even when g_use_fifo=FALSE
+      g_fifo_fill         => array_init(g_mux_fifo_fill, c_nof_type)  -- must match g_nof_input, even when g_use_fifo=FALSE
     )
     port map (
       rst         => rst,
       clk         => clk,
       -- ST sinks
-      snk_out_arr => in_siso_2arr(I),
-      snk_in_arr  => in_sosi_2arr(I),
+      snk_out_arr => mux_siso_arr,
+      snk_in_arr  => mux_sosi_arr,
       -- ST source
-      src_in      => mux_siso_arr(I),
-      src_out     => mux_sosi_arr(I)
+      src_in      => mux_siso,
+      src_out     => mux_sosi
     );
-  end generate;
-
-  -- Second level multiplexing
-  u_type_mux : entity dp_lib.dp_mux
-  generic map (
-    -- MUX
-    g_mode              => g_mode_mux,
-    g_nof_input         => c_nof_type,
-    g_append_channel_lo => c_use_channel_lo,
-    -- Input FIFO
-    g_use_fifo          => g_mux_use_fifo,
-    g_bsn_w             => c_data_w,
-    g_data_w            => c_data_w,
-    g_in_channel_w      => c_channel_input_w,  -- pass channel due to u_input_mux
-    g_use_in_channel    => g_mux_use_fifo,
-    g_use_bsn           => c_use_bsn,
-    g_use_sync          => c_use_sync,
-    g_fifo_size         => array_init(           1024, c_nof_type),  -- must match g_nof_input, even when g_use_fifo=FALSE
-    g_fifo_fill         => array_init(g_mux_fifo_fill, c_nof_type)  -- must match g_nof_input, even when g_use_fifo=FALSE
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => mux_siso_arr,
-    snk_in_arr  => mux_sosi_arr,
-    -- ST source
-    src_in      => mux_siso,
-    src_out     => mux_sosi
-  );
 
   -- Map to slv to ease monitoring in wave window
   mux_data    <= mux_sosi.data(c_data_w - 1 downto 0);
@@ -329,28 +329,9 @@ begin
   ------------------------------------------------------------------------------
 
   u_type_demux: entity dp_lib.dp_demux
-  generic map (
-    g_mode              => c_mode_demux,
-    g_nof_output        => c_nof_type,
-    g_remove_channel_lo => c_use_channel_lo,
-    g_combined          => g_combined_demux
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out     => mux_siso,
-    snk_in      => mux_sosi,
-    -- ST source
-    src_in_arr  => demux_siso_arr,
-    src_out_arr => demux_sosi_arr
-  );
-
-  gen_demux : for I in 0 to c_nof_type-1 generate
-    u_output_demux : entity dp_lib.dp_demux
     generic map (
       g_mode              => c_mode_demux,
-      g_nof_output        => c_nof_input,
+      g_nof_output        => c_nof_type,
       g_remove_channel_lo => c_use_channel_lo,
       g_combined          => g_combined_demux
     )
@@ -358,13 +339,32 @@ begin
       rst         => rst,
       clk         => clk,
       -- ST sinks
-      snk_out     => demux_siso_arr(I),
-      snk_in      => demux_sosi_arr(I),
+      snk_out     => mux_siso,
+      snk_in      => mux_sosi,
       -- ST source
-      src_in_arr  => out_siso_2arr(I),
-      src_out_arr => out_sosi_2arr(I)
+      src_in_arr  => demux_siso_arr,
+      src_out_arr => demux_sosi_arr
     );
 
+  gen_demux : for I in 0 to c_nof_type-1 generate
+    u_output_demux : entity dp_lib.dp_demux
+      generic map (
+        g_mode              => c_mode_demux,
+        g_nof_output        => c_nof_input,
+        g_remove_channel_lo => c_use_channel_lo,
+        g_combined          => g_combined_demux
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sinks
+        snk_out     => demux_siso_arr(I),
+        snk_in      => demux_sosi_arr(I),
+        -- ST source
+        src_in_arr  => out_siso_2arr(I),
+        src_out_arr => out_sosi_2arr(I)
+      );
+
     gen_output : for J in 0 to c_nof_input - 1 generate
       out_data(I, J) <= out_sosi_2arr(I)(J).data(c_data_w - 1 downto 0);
       out_bsn( I, J) <= out_sosi_2arr(I)(J).bsn(c_data_w - 1 downto 0);
diff --git a/libraries/base/dp/tb/vhdl/tb3_dp_demux.vhd b/libraries/base/dp/tb/vhdl/tb3_dp_demux.vhd
index ce92600ab4..f98fd9f41c 100644
--- a/libraries/base/dp/tb/vhdl/tb3_dp_demux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb3_dp_demux.vhd
@@ -36,13 +36,13 @@
 -- . interrupted.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb3_dp_demux is
   generic (
@@ -196,25 +196,25 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.dp_demux
-  generic map (
-    g_mode              => c_mode_demux,
-    g_nof_output        => g_nof_outputs,
-    g_remove_channel_lo => c_use_channel_lo,
-    g_combined          => c_combined_demux,
-    g_sel_ctrl_pkt      => c_sel_ctrl_pkt
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Control
-    sel_ctrl    => sel_ctrl,
-    -- ST sinks
-    snk_out     => in_siso,
-    snk_in      => in_sosi,
-    -- ST source
-    src_in_arr  => out_siso_arr,
-    src_out_arr => out_sosi_arr
-  );
+    generic map (
+      g_mode              => c_mode_demux,
+      g_nof_output        => g_nof_outputs,
+      g_remove_channel_lo => c_use_channel_lo,
+      g_combined          => c_combined_demux,
+      g_sel_ctrl_pkt      => c_sel_ctrl_pkt
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Control
+      sel_ctrl    => sel_ctrl,
+      -- ST sinks
+      snk_out     => in_siso,
+      snk_in      => in_sosi,
+      -- ST source
+      src_in_arr  => out_siso_arr,
+      src_out_arr => out_sosi_arr
+    );
 
   -- Use same ready stimuli for all outputs to ease verification
   out_siso_arr <= (others => out_siso);
diff --git a/libraries/base/dp/tb/vhdl/tb3_dp_mux.vhd b/libraries/base/dp/tb/vhdl/tb3_dp_mux.vhd
index 365c95c0d1..4fd1c2671c 100644
--- a/libraries/base/dp/tb/vhdl/tb3_dp_mux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb3_dp_mux.vhd
@@ -33,13 +33,13 @@
 -- . The verify procedures check the correct output
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb3_dp_mux is
   generic (
@@ -199,27 +199,27 @@ begin
   ------------------------------------------------------------------------------
 
   mux : entity work.dp_mux
-  generic map (
-    -- MUX
-    g_mode              => c_mode_mux,
-    g_nof_input         => g_nof_inputs,
-    g_append_channel_lo => c_use_channel_lo,
-    -- Input FIFO
-    g_use_fifo          => false,
-    g_fifo_size         => array_init(1024, g_nof_inputs),  -- FIFO is not used, but generic must match g_nof_input
-    g_fifo_fill         => array_init(   0, g_nof_inputs)  -- FIFO is not used, but generic must match g_nof_input
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Control
-    sel_ctrl    => sel_ctrl,
-    -- ST sinks
-    snk_out_arr => in_siso_arr,
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_in      => out_siso,
-    src_out     => out_sosi
-  );
+    generic map (
+      -- MUX
+      g_mode              => c_mode_mux,
+      g_nof_input         => g_nof_inputs,
+      g_append_channel_lo => c_use_channel_lo,
+      -- Input FIFO
+      g_use_fifo          => false,
+      g_fifo_size         => array_init(1024, g_nof_inputs),  -- FIFO is not used, but generic must match g_nof_input
+      g_fifo_fill         => array_init(   0, g_nof_inputs)  -- FIFO is not used, but generic must match g_nof_input
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Control
+      sel_ctrl    => sel_ctrl,
+      -- ST sinks
+      snk_out_arr => in_siso_arr,
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_in      => out_siso,
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_from_mm.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_from_mm.vhd
index 7d3d9319bb..f709a46370 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_from_mm.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_from_mm.vhd
@@ -42,13 +42,13 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_block_from_mm is
@@ -207,71 +207,71 @@ begin
   ------------------------------------------------------------------------------
   -- RAM with test data
   u_ram_rd: entity common_lib.common_ram_r_w
-  generic map (
-    g_ram => c_ram
-  )
-  port map (
-    rst    => rst,
-    clk    => clk,
-    wr_en  => ram_wr_en,
-    wr_adr => ram_wr_adr,
-    wr_dat => ram_wr_dat,
-    rd_en  => rd_mosi.rd,
-    rd_adr => rd_mosi.address(c_ram.adr_w - 1 downto 0),
-    rd_dat => rd_miso.rddata(c_ram.dat_w - 1 downto 0),
-    rd_val => rd_miso.rdval
-  );
+    generic map (
+      g_ram => c_ram
+    )
+    port map (
+      rst    => rst,
+      clk    => clk,
+      wr_en  => ram_wr_en,
+      wr_adr => ram_wr_adr,
+      wr_dat => ram_wr_dat,
+      rd_en  => rd_mosi.rd,
+      rd_adr => rd_mosi.address(c_ram.adr_w - 1 downto 0),
+      rd_dat => rd_miso.rddata(c_ram.dat_w - 1 downto 0),
+      rd_val => rd_miso.rdval
+    );
 
   -- DUT, dp_block_from_mm
   u_dp_block_from_mm: entity work.dp_block_from_mm
-  generic map (
-    g_user_size => g_data_size,
-    g_data_size => g_data_size,
-    g_step_size => g_step_size,
-    g_nof_data  => g_nof_data
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    start_pulse   => start_pulse,
-    start_address => start_address,
-    mm_done       => block_done,
-    mm_mosi       => rd_mosi,
-    mm_miso       => rd_miso,
-    out_sosi      => blk_sosi,
-    out_siso      => blk_siso
-  );
+    generic map (
+      g_user_size => g_data_size,
+      g_data_size => g_data_size,
+      g_step_size => g_step_size,
+      g_nof_data  => g_nof_data
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      start_pulse   => start_pulse,
+      start_address => start_address,
+      mm_done       => block_done,
+      mm_mosi       => rd_mosi,
+      mm_miso       => rd_miso,
+      out_sosi      => blk_sosi,
+      out_siso      => blk_siso
+    );
 
   -- DUT, dp_block_to_mm
   u_dp_block_to_mm: entity work.dp_block_to_mm
-  generic map (
-    g_data_size => g_data_size,
-    g_step_size => g_step_size,
-    g_nof_data  => g_nof_data
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    start_address => start_address_dly,
-    mm_mosi       => wr_mosi,
-    in_sosi       => blk_sosi
-  );
+    generic map (
+      g_data_size => g_data_size,
+      g_step_size => g_step_size,
+      g_nof_data  => g_nof_data
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      start_address => start_address_dly,
+      mm_mosi       => wr_mosi,
+      in_sosi       => blk_sosi
+    );
 
   -- RAM with transferred data
   u_ram_wr: entity common_lib.common_ram_r_w
-  generic map (
-    g_ram => c_ram
-  )
-  port map (
-    rst    => rst,
-    clk    => clk,
-    wr_en  => wr_mosi.wr,
-    wr_adr => wr_mosi.address(c_ram.adr_w - 1 downto 0),
-    wr_dat => wr_mosi.wrdata(c_ram.dat_w - 1 downto 0),
-    rd_en  => ram_rd_en,
-    rd_adr => ram_rd_adr,
-    rd_dat => ram_rd_dat,
-    rd_val => ram_rd_val
-  );
+    generic map (
+      g_ram => c_ram
+    )
+    port map (
+      rst    => rst,
+      clk    => clk,
+      wr_en  => wr_mosi.wr,
+      wr_adr => wr_mosi.address(c_ram.adr_w - 1 downto 0),
+      wr_dat => wr_mosi.wrdata(c_ram.dat_w - 1 downto 0),
+      rd_en  => ram_rd_en,
+      rd_adr => ram_rd_adr,
+      rd_dat => ram_rd_dat,
+      rd_val => ram_rd_val
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_gen.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_gen.vhd
index d6e6335fe5..d074308447 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_gen.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_gen.vhd
@@ -27,13 +27,13 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_block_gen is
@@ -183,27 +183,27 @@ begin
   ------------------------------------------------------------------------------
 
   u_dut: entity work.dp_block_gen
-  generic map (
-    g_use_src_in         => g_use_src_in,
-    g_nof_data           => g_nof_data_per_block,
-    g_nof_blk_per_sync   => g_nof_blk_per_sync,
-    g_empty              => 1,
-    g_channel            => 2,
-    g_error              => 3,
-    g_bsn                => c_bsn_init,
-    g_preserve_sync      => false,
-    g_preserve_bsn       => false
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    -- Streaming sink
-    snk_in     => ref_sosi,
-    -- Streaming source
-    src_in     => out_siso,
-    src_out    => out_sosi,
-    -- MM control
-    en         => enable
-  );
+    generic map (
+      g_use_src_in         => g_use_src_in,
+      g_nof_data           => g_nof_data_per_block,
+      g_nof_blk_per_sync   => g_nof_blk_per_sync,
+      g_empty              => 1,
+      g_channel            => 2,
+      g_error              => 3,
+      g_bsn                => c_bsn_init,
+      g_preserve_sync      => false,
+      g_preserve_bsn       => false
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      -- Streaming sink
+      snk_in     => ref_sosi,
+      -- Streaming source
+      src_in     => out_siso,
+      src_out    => out_sosi,
+      -- MM control
+      en         => enable
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_gen_valid_arr.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_gen_valid_arr.vhd
index e086efbfa8..870ea51864 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_gen_valid_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_gen_valid_arr.vhd
@@ -28,13 +28,13 @@
 -- Observe out_sosi in wave window
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_block_gen_valid_arr is
@@ -140,49 +140,49 @@ begin
 
   -- input data
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_flow_control   => g_flow_control,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => g_nof_blk_per_sync,
-    g_sync_offset    => c_sync_offset,
-    g_data_init      => 0,
-    g_bsn_init       => TO_DP_BSN(c_bsn_init),
-    g_err_init       => c_err_init,
-    g_err_incr       => 0,
-    g_channel_init   => c_channel_init,
-    g_channel_incr   => 0,
-    -- specific
-    g_in_dat_w       => 32,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_nof_data_per_block,
-    g_pkt_gap        => 0
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_out             => stimuli_sosi,
-
-    -- End of stimuli
-    last_snk_in         => OPEN,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => OPEN,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_input_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_flow_control   => g_flow_control,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => g_nof_blk_per_sync,
+      g_sync_offset    => c_sync_offset,
+      g_data_init      => 0,
+      g_bsn_init       => TO_DP_BSN(c_bsn_init),
+      g_err_init       => c_err_init,
+      g_err_incr       => 0,
+      g_channel_init   => c_channel_init,
+      g_channel_incr   => 0,
+      -- specific
+      g_in_dat_w       => 32,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_nof_data_per_block,
+      g_pkt_gap        => 0
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_out             => stimuli_sosi,
+
+      -- End of stimuli
+      last_snk_in         => OPEN,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => OPEN,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_input_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
   -- Use dp_pipeline to model the latency introduced by upstream DSP components
   u_dp_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_dsp_latency  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_in       => stimuli_sosi,
-    -- ST source
-    src_out      => dsp_sosi
-  );
+    generic map (
+      g_pipeline   => c_dsp_latency  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_in       => stimuli_sosi,
+      -- ST source
+      src_out      => dsp_sosi
+    );
 
   p_in_sosi : process(dsp_sosi, stimuli_sosi)
   begin
@@ -269,24 +269,24 @@ begin
   ------------------------------------------------------------------------------
 
   u_dut: entity work.dp_block_gen_valid_arr
-  generic map (
-    g_nof_streams           => g_nof_streams,
-    g_nof_data_per_block    => g_nof_data_per_block,
-    g_nof_blk_per_sync      => g_nof_blk_per_sync,
-    g_check_input_sync      => g_check_input_sync,
-    g_nof_pages_bsn         => g_nof_pages_bsn,
-    g_restore_global_bsn    => g_restore_global_bsn
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Streaming sink
-    snk_in      => in_sosi,
-    snk_in_arr  => in_sosi_arr,
-    -- Streaming source
-    src_out_arr => out_sosi_arr,
-    -- MM control
-    enable      => enable
-  );
+    generic map (
+      g_nof_streams           => g_nof_streams,
+      g_nof_data_per_block    => g_nof_data_per_block,
+      g_nof_blk_per_sync      => g_nof_blk_per_sync,
+      g_check_input_sync      => g_check_input_sync,
+      g_nof_pages_bsn         => g_nof_pages_bsn,
+      g_restore_global_bsn    => g_restore_global_bsn
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Streaming sink
+      snk_in      => in_sosi,
+      snk_in_arr  => in_sosi_arr,
+      -- Streaming source
+      src_out_arr => out_sosi_arr,
+      -- MM control
+      enable      => enable
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_reshape.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_reshape.vhd
index 20a72c57ba..4c75db4f53 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_reshape.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_reshape.vhd
@@ -42,14 +42,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_reshape is
   generic (
@@ -102,64 +102,64 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => c_input_nof_blk_per_sync,
-    g_nof_repeat  => c_input_nof_blk_per_sync * c_nof_sync,
-    g_pkt_len     => c_input_nof_data_per_blk,
-    g_pkt_gap     => c_gap_size
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => c_input_nof_blk_per_sync,
+      g_nof_repeat  => c_input_nof_blk_per_sync * c_nof_sync,
+      g_pkt_len     => c_input_nof_data_per_blk,
+      g_pkt_gap     => c_gap_size
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_reshape : entity work.dp_block_reshape
-  generic map (
-    g_input_nof_data_per_sync  => c_input_nof_data_per_sync,  -- nof data per input sync interval, used only for sop_index
-    g_reshape_nof_data_per_blk => c_reshape_nof_data_per_blk,
-    g_pipeline_src_out         => g_pipeline,
-    g_pipeline_src_in          => 0
-  )
-  port map (
-    clk           => clk,
-    rst           => rst,
-
-    snk_in        => stimuli_sosi,
-    snk_out       => stimuli_siso,
-
-    src_out       => reshape_sosi,
-    src_in        => reshape_siso,
-    src_index_arr => reshape_index_arr  -- [1] sop index, [0] valid index
-  );
+    generic map (
+      g_input_nof_data_per_sync  => c_input_nof_data_per_sync,  -- nof data per input sync interval, used only for sop_index
+      g_reshape_nof_data_per_blk => c_reshape_nof_data_per_blk,
+      g_pipeline_src_out         => g_pipeline,
+      g_pipeline_src_in          => 0
+    )
+    port map (
+      clk           => clk,
+      rst           => rst,
+
+      snk_in        => stimuli_sosi,
+      snk_out       => stimuli_siso,
+
+      src_out       => reshape_sosi,
+      src_in        => reshape_siso,
+      src_index_arr => reshape_index_arr  -- [1] sop index, [0] valid index
+    );
 
   u_reshape_back : entity work.dp_block_reshape
-  generic map (
-    g_input_nof_data_per_sync  => c_input_nof_data_per_sync,  -- nof data per input sync interval, used only for sop_index
-    g_reshape_nof_data_per_blk => c_input_nof_data_per_blk,
-    g_pipeline_src_out         => 0,
-    g_pipeline_src_in          => g_pipeline
-  )
-  port map (
-    clk           => clk,
-    rst           => rst,
-
-    snk_in        => reshape_sosi,
-    snk_out       => reshape_siso,
-
-    src_out       => verify_sosi,
-    src_in        => verify_siso,
-    src_index_arr => verify_index_arr  -- [1] sop index, [0] valid index
-  );
+    generic map (
+      g_input_nof_data_per_sync  => c_input_nof_data_per_sync,  -- nof data per input sync interval, used only for sop_index
+      g_reshape_nof_data_per_blk => c_input_nof_data_per_blk,
+      g_pipeline_src_out         => 0,
+      g_pipeline_src_in          => g_pipeline
+    )
+    port map (
+      clk           => clk,
+      rst           => rst,
+
+      snk_in        => reshape_sosi,
+      snk_out       => reshape_siso,
+
+      src_out       => verify_sosi,
+      src_in        => verify_siso,
+      src_index_arr => verify_index_arr  -- [1] sop index, [0] valid index
+    );
 
 
   ------------------------------------------------------------------------------
@@ -167,19 +167,19 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => g_pipeline * 2
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => pipeline_siso,
-    src_out     => pipeline_sosi
-  );
+    generic map (
+      g_pipeline   => g_pipeline * 2
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => pipeline_siso,
+      src_out     => pipeline_sosi
+    );
 
   p_verify : process(clk)
   begin
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_reshape_sync.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_reshape_sync.vhd
index f2ddb10f0c..a65ef664cf 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_reshape_sync.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_reshape_sync.vhd
@@ -38,14 +38,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_reshape_sync is
   generic (
@@ -104,64 +104,64 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_input_nof_blk_per_sync,
-    g_nof_repeat  => g_input_nof_blk_per_sync * c_nof_sync,
-    g_pkt_len     => g_input_nof_data_per_blk,
-    g_pkt_gap     => c_gap_size
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_input_nof_blk_per_sync,
+      g_nof_repeat  => g_input_nof_blk_per_sync * c_nof_sync,
+      g_pkt_len     => g_input_nof_data_per_blk,
+      g_pkt_gap     => c_gap_size
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_reshape_dut : entity work.dp_block_reshape_sync
-  generic map (
-    g_reshape_nof_data_per_blk => g_reshape_nof_data_per_blk,
-    g_reshape_nof_blk_per_sync => g_reshape_nof_blk_per_sync,
-    g_reshape_bsn              => g_reshape_bsn,
-    g_pipeline_src_out         => g_pipeline_src_out,
-    g_pipeline_src_in          => g_pipeline_src_in
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    snk_in      => stimuli_sosi,
-    snk_out     => stimuli_siso,
-
-    src_out     => reshape_sosi,
-    src_in      => reshape_siso
-  );
+    generic map (
+      g_reshape_nof_data_per_blk => g_reshape_nof_data_per_blk,
+      g_reshape_nof_blk_per_sync => g_reshape_nof_blk_per_sync,
+      g_reshape_bsn              => g_reshape_bsn,
+      g_pipeline_src_out         => g_pipeline_src_out,
+      g_pipeline_src_in          => g_pipeline_src_in
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      snk_in      => stimuli_sosi,
+      snk_out     => stimuli_siso,
+
+      src_out     => reshape_sosi,
+      src_in      => reshape_siso
+    );
 
   u_reshape_back : entity work.dp_block_reshape_sync
-  generic map (
-    g_reshape_nof_data_per_blk => g_input_nof_data_per_blk,
-    g_reshape_nof_blk_per_sync => g_input_nof_blk_per_sync,
-    g_reshape_bsn              => g_reshape_bsn,
-    g_pipeline_src_out         => g_pipeline_src_out,
-    g_pipeline_src_in          => g_pipeline_src_in
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    snk_in      => reshape_sosi,
-    snk_out     => reshape_siso,
-
-    src_out     => verify_sosi,
-    src_in      => verify_siso
-  );
+    generic map (
+      g_reshape_nof_data_per_blk => g_input_nof_data_per_blk,
+      g_reshape_nof_blk_per_sync => g_input_nof_blk_per_sync,
+      g_reshape_bsn              => g_reshape_bsn,
+      g_pipeline_src_out         => g_pipeline_src_out,
+      g_pipeline_src_in          => g_pipeline_src_in
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      snk_in      => reshape_sosi,
+      snk_out     => reshape_siso,
+
+      src_out     => verify_sosi,
+      src_in      => verify_siso
+    );
 
 
   ------------------------------------------------------------------------------
@@ -169,34 +169,34 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline_dut : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_pipeline  -- = c_pipeline in u_reshape_dut
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => pipeline_dut_siso,
-    src_out     => pipeline_dut_sosi
-  );
+    generic map (
+      g_pipeline   => c_pipeline  -- = c_pipeline in u_reshape_dut
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => pipeline_dut_siso,
+      src_out     => pipeline_dut_sosi
+    );
 
   u_pipeline_total : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_pipeline * 2  -- = c_pipeline in u_reshape_dut + c_pipeline in u_reshape_back
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => pipeline_total_siso,
-    src_out     => pipeline_total_sosi
-  );
+    generic map (
+      g_pipeline   => c_pipeline * 2  -- = c_pipeline in u_reshape_dut + c_pipeline in u_reshape_back
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => pipeline_total_siso,
+      src_out     => pipeline_total_sosi
+    );
 
   prev_reshape_sosi <= reshape_sosi when rising_edge(clk);
   prev_verify_sosi <= verify_sosi when rising_edge(clk);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_select.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_select.vhd
index 9390dbd121..e55a5aacf2 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_select.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_select.vhd
@@ -37,14 +37,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_select is
   generic (
@@ -97,47 +97,47 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
-    g_pkt_len     => c_nof_data_per_blk,
-    g_pkt_gap     => c_gap_size
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
+      g_pkt_len     => c_nof_data_per_blk,
+      g_pkt_gap     => c_gap_size
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_select : entity work.dp_block_select
-  generic map (
-    g_pipeline            => g_dut_pipeline,
-    g_nof_blocks_per_sync => g_nof_blocks_per_sync,
-    g_index_lo            => g_index_lo,
-    g_index_hi            => g_index_hi
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- Control
-    index_lo     => g_index_lo,
-    index_hi     => g_index_hi,
-    -- ST sink
-    snk_out      => stimuli_siso,
-    snk_in       => stimuli_sosi,
-    -- ST source
-    src_in       => verify_siso,
-    src_out      => verify_sosi
-  );
+    generic map (
+      g_pipeline            => g_dut_pipeline,
+      g_nof_blocks_per_sync => g_nof_blocks_per_sync,
+      g_index_lo            => g_index_lo,
+      g_index_hi            => g_index_hi
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- Control
+      index_lo     => g_index_lo,
+      index_hi     => g_index_hi,
+      -- ST sink
+      snk_out      => stimuli_siso,
+      snk_in       => stimuli_sosi,
+      -- ST source
+      src_in       => verify_siso,
+      src_out      => verify_sosi
+    );
 
 
   ------------------------------------------------------------------------------
@@ -145,19 +145,19 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => g_dut_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => reference_siso,
-    src_out     => reference_sosi
-  );
+    generic map (
+      g_pipeline   => g_dut_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => reference_siso,
+      src_out     => reference_sosi
+    );
 
   stimuli_blk_cnt_reg <= stimuli_blk_cnt when rising_edge(clk);
   stimuli_blk_cnt <= 0                       when stimuli_sosi.sync = '1' else
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_bsn_at_sync.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_bsn_at_sync.vhd
index b3b2baea76..63b911042e 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_bsn_at_sync.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_bsn_at_sync.vhd
@@ -31,16 +31,16 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_validate_bsn_at_sync is
   generic (
@@ -105,69 +105,69 @@ begin
 
   -- Generate in_sosi with data frames
   u_stimuli_in : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => c_nof_blk,
-    g_pkt_len     => g_nof_data_per_blk,
-    g_pkt_gap     => c_gap_size,
-    g_channel_init => 0,
-    g_bsn_init    => TO_DP_BSN(0)
-  )
-  port map (
-    rst               => rst,
-    clk               => dp_clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => c_nof_blk,
+      g_pkt_len     => g_nof_data_per_blk,
+      g_pkt_gap     => c_gap_size,
+      g_channel_init => 0,
+      g_bsn_init    => TO_DP_BSN(0)
+    )
+    port map (
+      rst               => rst,
+      clk               => dp_clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   -- Generate bs_sosi with data frames
   u_stimuli_bs : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => c_nof_blk,
-    g_pkt_len     => g_nof_data_per_blk,
-    g_pkt_gap     => c_gap_size,
-    g_channel_init => 0,
-    g_bsn_init    => TO_DP_BSN(g_bsn_init)
-  )
-  port map (
-    rst               => rst,
-    clk               => dp_clk,
-
-    -- Generate stimuli
-    src_in            => bs_siso,
-    src_out           => bs_sosi,
-
-    -- End of stimuli
-    tb_end            => open
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => c_nof_blk,
+      g_pkt_len     => g_nof_data_per_blk,
+      g_pkt_gap     => c_gap_size,
+      g_channel_init => 0,
+      g_bsn_init    => TO_DP_BSN(g_bsn_init)
+    )
+    port map (
+      rst               => rst,
+      clk               => dp_clk,
+
+      -- Generate stimuli
+      src_in            => bs_siso,
+      src_out           => bs_sosi,
+
+      -- End of stimuli
+      tb_end            => open
+    );
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_dut : entity work.dp_block_validate_bsn_at_sync
-  generic map (
-    g_check_channel => c_check_channel
-  )
-  port map (
-    dp_rst       => rst,
-    dp_clk       => dp_clk,
-
-    mm_rst       => rst,
-    mm_clk       => mm_clk,
-    -- ST sink
-    in_sosi      => stimuli_sosi,
-    bs_sosi      => bs_sosi,
-    -- ST source
-    out_sosi     => verify_sosi,
-
-    reg_mosi     => reg_mosi,
-    reg_miso     => reg_miso
-  );
+    generic map (
+      g_check_channel => c_check_channel
+    )
+    port map (
+      dp_rst       => rst,
+      dp_clk       => dp_clk,
+
+      mm_rst       => rst,
+      mm_clk       => mm_clk,
+      -- ST sink
+      in_sosi      => stimuli_sosi,
+      bs_sosi      => bs_sosi,
+      -- ST source
+      out_sosi     => verify_sosi,
+
+      reg_mosi     => reg_mosi,
+      reg_miso     => reg_miso
+    );
 
 
   ------------------------------------------------------------------------------
@@ -175,25 +175,25 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_dut_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => dp_clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => reference_siso,
-    src_out     => reference_sosi
-  );
+    generic map (
+      g_pipeline   => c_dut_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => dp_clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => reference_siso,
+      src_out     => reference_sosi
+    );
 
   reference_cnt_reg <= reference_cnt when rising_edge(dp_clk);
   reference_cnt     <= reference_cnt_reg + 1 when reference_sosi.sync = '1' else reference_cnt_reg;
 
   p_verify : process(dp_clk)
-  variable v_valid_blk : boolean := true;
+    variable v_valid_blk : boolean := true;
   begin
     if rising_edge(dp_clk) then
       if reference_sosi.sop = '1' then  -- Decide for each block if it should be valid.
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_channel.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_channel.vhd
index e5c9a0f944..2fced5c094 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_channel.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_channel.vhd
@@ -31,16 +31,16 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_validate_channel is
   generic (
@@ -90,43 +90,43 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
-    g_pkt_len     => g_nof_data_per_blk,
-    g_pkt_gap     => g_gap_size
-  )
-  port map (
-    rst               => rst,
-    clk               => dp_clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
+      g_pkt_len     => g_nof_data_per_blk,
+      g_pkt_gap     => g_gap_size
+    )
+    port map (
+      rst               => rst,
+      clk               => dp_clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_dut : entity work.dp_block_validate_channel
-  generic map (
-    g_mode          => g_mode
-  )
-  port map (
-    dp_rst          => rst,
-    dp_clk          => dp_clk,
-
-    -- ST sink
-    in_sosi         => stimuli_sosi,
-    -- ST source
-    out_keep_sosi   => keep_sosi,
-    out_remove_sosi => remove_sosi,
-
-    remove_channel  => TO_UVEC(g_remove_channel, 32)
-  );
+    generic map (
+      g_mode          => g_mode
+    )
+    port map (
+      dp_rst          => rst,
+      dp_clk          => dp_clk,
+
+      -- ST sink
+      in_sosi         => stimuli_sosi,
+      -- ST source
+      out_keep_sosi   => keep_sosi,
+      out_remove_sosi => remove_sosi,
+
+      remove_channel  => TO_UVEC(g_remove_channel, 32)
+    );
 
 
   ------------------------------------------------------------------------------
@@ -134,19 +134,19 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_dut_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => dp_clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => reference_siso,
-    src_out     => reference_sosi
-  );
+    generic map (
+      g_pipeline   => c_dut_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => dp_clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => reference_siso,
+      src_out     => reference_sosi
+    );
 
   p_verify : process(dp_clk)
   begin
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_err.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_err.vhd
index 235e95b15b..f0808d2b14 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_err.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_err.vhd
@@ -34,16 +34,16 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_validate_err is
   generic (
@@ -118,63 +118,63 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
-    g_pkt_len     => g_nof_data_per_blk,
-    g_pkt_gap     => g_gap_size,
-    g_err_init    => 0,
-    g_err_incr    => 1
-  )
-  port map (
-    rst               => stimuli_rst,
-    clk               => dp_clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
+      g_pkt_len     => g_nof_data_per_blk,
+      g_pkt_gap     => g_gap_size,
+      g_err_init    => 0,
+      g_err_incr    => 1
+    )
+    port map (
+      rst               => stimuli_rst,
+      clk               => dp_clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_dut : entity work.dp_block_validate_err
-  generic map (
-    g_cnt_w           => g_cnt_w,
-    g_blk_cnt_w       => g_cnt_w,
-    g_max_block_size  => g_max_block_size,
-    g_nof_err_counts  => g_nof_err_counts,
-    g_data_w          => c_word_w,
-    g_bsn_w           => c_dp_stream_bsn_w,
-    g_empty_w         => c_dp_stream_empty_w,
-    g_channel_w       => c_dp_stream_channel_w,
-    g_use_bsn         => true,
-    g_use_empty       => true,
-    g_use_channel     => true,
-    g_use_sync        => true
-  )
-  port map (
-    dp_rst       => rst,
-    dp_clk       => dp_clk,
-
-    ref_sync     => stimuli_sosi.sync,
-
-    -- ST sink
-    snk_out      => stimuli_siso,
-    snk_in       => stimuli_sosi,
-    -- ST source
-    src_in       => verify_siso,
-    src_out      => verify_sosi,
-
-    mm_rst       => rst,
-    mm_clk       => mm_clk,
-
-    reg_mosi     => reg_mosi,
-    reg_miso     => reg_miso
-  );
+    generic map (
+      g_cnt_w           => g_cnt_w,
+      g_blk_cnt_w       => g_cnt_w,
+      g_max_block_size  => g_max_block_size,
+      g_nof_err_counts  => g_nof_err_counts,
+      g_data_w          => c_word_w,
+      g_bsn_w           => c_dp_stream_bsn_w,
+      g_empty_w         => c_dp_stream_empty_w,
+      g_channel_w       => c_dp_stream_channel_w,
+      g_use_bsn         => true,
+      g_use_empty       => true,
+      g_use_channel     => true,
+      g_use_sync        => true
+    )
+    port map (
+      dp_rst       => rst,
+      dp_clk       => dp_clk,
+
+      ref_sync     => stimuli_sosi.sync,
+
+      -- ST sink
+      snk_out      => stimuli_siso,
+      snk_in       => stimuli_sosi,
+      -- ST source
+      src_in       => verify_siso,
+      src_out      => verify_sosi,
+
+      mm_rst       => rst,
+      mm_clk       => mm_clk,
+
+      reg_mosi     => reg_mosi,
+      reg_miso     => reg_miso
+    );
 
 
   ------------------------------------------------------------------------------
@@ -182,24 +182,24 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_dut_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => dp_clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => reference_siso,
-    src_out     => reference_sosi
-  );
+    generic map (
+      g_pipeline   => c_dut_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => dp_clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => reference_siso,
+      src_out     => reference_sosi
+    );
 
   reference_cnt_reg <= reference_cnt when rising_edge(dp_clk);
   reference_cnt     <= 0           when reference_sosi.eop = '1' and ((reference_cnt_reg + 1) mod 2**g_nof_err_counts) = 0 else
-             reference_cnt_reg + 1 when reference_sosi.eop = '1' else
-             reference_cnt_reg;
+                       reference_cnt_reg + 1 when reference_sosi.eop = '1' else
+                       reference_cnt_reg;
 
   p_verify : process(dp_clk)
   begin
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_length.vhd b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_length.vhd
index 5ae417b6fb..beb0f23b33 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_block_validate_length.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_block_validate_length.vhd
@@ -29,14 +29,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_block_validate_length is
   generic (
@@ -89,44 +89,44 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
-    g_pkt_len     => g_nof_data_per_blk,
-    g_pkt_gap     => c_gap_size,
-    g_err_init    => 0,
-    g_err_incr    => 0
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    -- Generate stimuli
-    src_in            => stimuli_siso,
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => g_nof_blocks_per_sync * c_nof_sync,
+      g_pkt_len     => g_nof_data_per_blk,
+      g_pkt_gap     => c_gap_size,
+      g_err_init    => 0,
+      g_err_incr    => 0
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      -- Generate stimuli
+      src_in            => stimuli_siso,
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
   u_dut : entity work.dp_block_validate_length
-  generic map (
-    g_err_bi           => g_err_bi,
-    g_expected_length  => g_expected_length
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => stimuli_siso,
-    snk_in       => stimuli_sosi,
-    -- ST source
-    src_in       => verify_siso,
-    src_out      => verify_sosi
-  );
+    generic map (
+      g_err_bi           => g_err_bi,
+      g_expected_length  => g_expected_length
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => stimuli_siso,
+      snk_in       => stimuli_sosi,
+      -- ST source
+      src_in       => verify_siso,
+      src_out      => verify_sosi
+    );
 
 
   ------------------------------------------------------------------------------
@@ -134,24 +134,24 @@ begin
   ------------------------------------------------------------------------------
 
   u_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_dut_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => stimuli_sosi,
-    -- ST source
-    src_in      => reference_siso,
-    src_out     => reference_sosi
-  );
+    generic map (
+      g_pipeline   => c_dut_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => stimuli_sosi,
+      -- ST source
+      src_in      => reference_siso,
+      src_out     => reference_sosi
+    );
 
   stimuli_cnt_reg <= stimuli_cnt when rising_edge(clk);
   stimuli_cnt     <= 0           when stimuli_sosi.sop = '1' else
-             stimuli_cnt_reg + 1 when stimuli_sosi.valid = '1' else
-             stimuli_cnt_reg;
+                     stimuli_cnt_reg + 1 when stimuli_sosi.valid = '1' else
+                     stimuli_cnt_reg;
 
   reference_cnt <= stimuli_cnt when rising_edge(clk);
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_align.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_align.vhd
index 9f775c733b..0eb6591a08 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_align.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_align.vhd
@@ -34,13 +34,13 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_bsn_align is
@@ -292,7 +292,7 @@ begin
 
     verify_dis_arr <= (others => '0');
     proc_common_wait_some_cycles(clk, 1000);
---     verify_dis_arr <= (OTHERS=>'1');
+    --     verify_dis_arr <= (OTHERS=>'1');
 
     -- . enforce large BSN misalignment
     tb_state <= s_large_bsn_diff;
@@ -301,8 +301,8 @@ begin
     proc_common_wait_until_high(clk, bsn_event_ack);
     bsn_event <= '0';
     -- expect no output, because difference remains too large, so do not restart verify_en here and leave it commented:
---     proc_common_wait_some_cycles(clk, 100);
---     verify_dis_arr <= (OTHERS=>'0');
+    --     proc_common_wait_some_cycles(clk, 100);
+    --     verify_dis_arr <= (OTHERS=>'0');
     proc_common_wait_some_cycles(clk, 1000);
     verify_dis_arr <= (others => '1');
 
@@ -413,26 +413,26 @@ begin
   ------------------------------------------------------------------------------
 
   u_bsn_align : entity work.dp_bsn_align
-  generic map (
-    g_block_size           => g_block_size,
-    g_nof_input            => g_nof_input,
-    g_xoff_timeout         => c_xoff_timeout,
-    g_sop_timeout          => c_sop_timeout,
-    g_bsn_latency          => g_bsn_latency,
-    g_bsn_request_pipeline => g_bsn_request_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => in_siso_arr,
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_in_arr  => out_siso_arr,
-    src_out_arr => out_sosi_arr,
-    -- MM
-    in_en_evt   => in_en_event,
-    in_en_arr   => in_en_arr
-  );
+    generic map (
+      g_block_size           => g_block_size,
+      g_nof_input            => g_nof_input,
+      g_xoff_timeout         => c_xoff_timeout,
+      g_sop_timeout          => c_sop_timeout,
+      g_bsn_latency          => g_bsn_latency,
+      g_bsn_request_pipeline => g_bsn_request_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => in_siso_arr,
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_in_arr  => out_siso_arr,
+      src_out_arr => out_sosi_arr,
+      -- MM
+      in_en_evt   => in_en_event,
+      in_en_arr   => in_en_arr
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd
index 2bf2549ee0..f3c531eb85 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd
@@ -72,14 +72,14 @@
 -- > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_bsn_align_v2 is
@@ -104,7 +104,7 @@ entity tb_dp_bsn_align_v2 is
 
     -- TB
     g_tb_diff_delay          : integer := 0;  -- 0 = aligned inputs, -1 = max input delay for no loss,
-                                                   -- >~ g_bsn_latency_max * g_block_period will give loss
+    -- >~ g_bsn_latency_max * g_block_period will give loss
     g_tb_nof_restart         : natural := 2;  -- number of times to restart the input stimuli
     g_tb_nof_blocks          : natural := 20  -- number of input blocks per restart
   );
@@ -129,7 +129,7 @@ architecture tb of tb_dp_bsn_align_v2 is
   constant c_diff_delay               : natural := sel_a_b(g_tb_diff_delay < 0, c_diff_delay_max, g_tb_diff_delay);
 
   -- Return input delay as function of inputs stream index I
-  function func_input_delay(I : natural) return natural is
+  function func_input_delay (I : natural) return natural is
     variable v : natural;
   begin
     if g_nof_streams > 1 then
@@ -452,45 +452,45 @@ begin
         dbg_verify_no_lost_flag_arr(I) <= '0';
         dbg_verify_lost_flag_arr(I) <= '0';
         if verify_sosi_en_arr(I) = '1' and out_sosi_arr_exp(I).valid = '1' then
-           -- Verify sosi control fields
-           dbg_verify_sosi_control_arr(I) <= '1';
-           assert out_sosi_arr(I).sync = out_sosi_arr_exp(I).sync report "Wrong sync for output " & int_to_str(I) severity ERROR;
-           assert out_sosi_arr(I).sop = out_sosi_arr_exp(I).sop report "Wrong sop for output " & int_to_str(I) severity ERROR;
-           assert out_sosi_arr(I).eop = out_sosi_arr_exp(I).eop report "Wrong eop for output " & int_to_str(I) severity ERROR;
-           assert out_sosi_arr(I).valid = out_sosi_arr_exp(I).valid report "Wrong valid for output " & int_to_str(I) severity ERROR;
-
-           -- Verify data field
-           if stream_en_arr(I) = '1' and stream_lost_arr(I) = '0' and exp_bsn_lost_arr(I) = '0' then
-             -- verify passed on data
-             dbg_verify_passed_on_data_arr(I) <= '1';
-             assert out_sosi_arr(I).data  = out_sosi_arr_exp(I).data report "Wrong data for output stream " & int_to_str(I) & " : "
+          -- Verify sosi control fields
+          dbg_verify_sosi_control_arr(I) <= '1';
+          assert out_sosi_arr(I).sync = out_sosi_arr_exp(I).sync report "Wrong sync for output " & int_to_str(I) severity ERROR;
+          assert out_sosi_arr(I).sop = out_sosi_arr_exp(I).sop report "Wrong sop for output " & int_to_str(I) severity ERROR;
+          assert out_sosi_arr(I).eop = out_sosi_arr_exp(I).eop report "Wrong eop for output " & int_to_str(I) severity ERROR;
+          assert out_sosi_arr(I).valid = out_sosi_arr_exp(I).valid report "Wrong valid for output " & int_to_str(I) severity ERROR;
+
+          -- Verify data field
+          if stream_en_arr(I) = '1' and stream_lost_arr(I) = '0' and exp_bsn_lost_arr(I) = '0' then
+            -- verify passed on data
+            dbg_verify_passed_on_data_arr(I) <= '1';
+            assert out_sosi_arr(I).data  = out_sosi_arr_exp(I).data report "Wrong data for output stream " & int_to_str(I) & " : "
                                                                        & int_to_str(TO_UINT(out_sosi_arr(I).data)) & " /= "
                                                                        & int_to_str(TO_UINT(out_sosi_arr_exp(I).data)) severity ERROR;
-           else
-             -- verify lost data stream at g_disable_stream_id or g_lost_stream_id or g_lost_bsn_id
-             dbg_verify_replaced_data_arr(I) <= '1';
-             assert TO_UINT(out_sosi_arr(I).data) = g_data_replacement_value report "Wrong replacement data for output stream " & int_to_str(I) & " : "
+          else
+            -- verify lost data stream at g_disable_stream_id or g_lost_stream_id or g_lost_bsn_id
+            dbg_verify_replaced_data_arr(I) <= '1';
+            assert TO_UINT(out_sosi_arr(I).data) = g_data_replacement_value report "Wrong replacement data for output stream " & int_to_str(I) & " : "
                                                                        & int_to_str(TO_UINT(out_sosi_arr(I).data)) & " /= "
                                                                        & int_to_str(g_data_replacement_value) severity ERROR;
-           end if;
-
-           -- Verify sop info fields
-           if out_sosi_arr_exp(I).sop = '1' then
-             -- bsn field
-             dbg_verify_bsn_arr(I) <= '1';
-             assert out_sosi_arr(I).bsn = out_sosi_arr_exp(I).bsn report "Wrong bsn for output " & int_to_str(I) severity ERROR;
-
-             -- channel field with lost flag bit 0
-             if stream_lost_arr(I) = '0' and exp_bsn_lost_arr(I) = '0' then
-               -- verify no lost stream
-               dbg_verify_no_lost_flag_arr(I) <= '1';
-               assert out_sosi_arr(I).channel = TO_DP_CHANNEL(0) report "Wrong lost flag bit in channel /= 0 for output " & int_to_str(I) severity ERROR;
-             else
-               -- verify lost stream g_lost_stream_id or lost block g_lost_bsn_id
-               dbg_verify_lost_flag_arr(I) <= '1';
-               assert out_sosi_arr(I).channel = TO_DP_CHANNEL(1) report "Wrong lost flag bit channel /= 1 for output " & int_to_str(I) severity ERROR;
-             end if;
-           end if;
+          end if;
+
+          -- Verify sop info fields
+          if out_sosi_arr_exp(I).sop = '1' then
+            -- bsn field
+            dbg_verify_bsn_arr(I) <= '1';
+            assert out_sosi_arr(I).bsn = out_sosi_arr_exp(I).bsn report "Wrong bsn for output " & int_to_str(I) severity ERROR;
+
+            -- channel field with lost flag bit 0
+            if stream_lost_arr(I) = '0' and exp_bsn_lost_arr(I) = '0' then
+              -- verify no lost stream
+              dbg_verify_no_lost_flag_arr(I) <= '1';
+              assert out_sosi_arr(I).channel = TO_DP_CHANNEL(0) report "Wrong lost flag bit in channel /= 0 for output " & int_to_str(I) severity ERROR;
+            else
+              -- verify lost stream g_lost_stream_id or lost block g_lost_bsn_id
+              dbg_verify_lost_flag_arr(I) <= '1';
+              assert out_sosi_arr(I).channel = TO_DP_CHANNEL(1) report "Wrong lost flag bit channel /= 1 for output " & int_to_str(I) severity ERROR;
+            end if;
+          end if;
         end if;
       end if;
     end process;
@@ -504,35 +504,35 @@ begin
   dut_in_sosi_2arr(0) <= in_sosi_arr;
 
   u_bsn_align : entity work.dp_bsn_align_v2
-  generic map (
-    g_nof_streams                => g_nof_streams,
-    g_bsn_latency_max            => g_bsn_latency_max,
-    g_nof_aligners_max           => c_nof_aligners_max,
-    g_block_size                 => g_block_size,
-    g_bsn_w                      => g_bsn_w,
-    g_data_w                     => g_data_w,
-    g_data_replacement_value     => g_data_replacement_value,
-    g_use_mm_output              => g_use_mm_output,
-    g_pipeline_input             => g_pipeline_input,
-    g_pipeline_output            => g_pipeline_output,
-    g_rd_latency                 => g_rd_latency
-  )
-  port map (
-    dp_rst         => rst,
-    dp_clk         => clk,
-    -- Control
-    node_index     => node_index_arr(0),
-    stream_en_arr  => stream_en_arr,
-    -- Streaming input
-    in_sosi_arr    => dut_in_sosi_2arr(0),
-    -- Output via local MM interface in dp_clk domain
-    mm_copi        => mm_copi,
-    mm_cipo_arr    => mm_cipo_arr,
-    mm_sosi        => mm_sosi,
-
-    -- Output via streaming DP interface
-    out_sosi_arr   => dut_out_sosi_2arr(0)
-  );
+    generic map (
+      g_nof_streams                => g_nof_streams,
+      g_bsn_latency_max            => g_bsn_latency_max,
+      g_nof_aligners_max           => c_nof_aligners_max,
+      g_block_size                 => g_block_size,
+      g_bsn_w                      => g_bsn_w,
+      g_data_w                     => g_data_w,
+      g_data_replacement_value     => g_data_replacement_value,
+      g_use_mm_output              => g_use_mm_output,
+      g_pipeline_input             => g_pipeline_input,
+      g_pipeline_output            => g_pipeline_output,
+      g_rd_latency                 => g_rd_latency
+    )
+    port map (
+      dp_rst         => rst,
+      dp_clk         => clk,
+      -- Control
+      node_index     => node_index_arr(0),
+      stream_en_arr  => stream_en_arr,
+      -- Streaming input
+      in_sosi_arr    => dut_in_sosi_2arr(0),
+      -- Output via local MM interface in dp_clk domain
+      mm_copi        => mm_copi,
+      mm_cipo_arr    => mm_cipo_arr,
+      mm_sosi        => mm_sosi,
+
+      -- Output via streaming DP interface
+      out_sosi_arr   => dut_out_sosi_2arr(0)
+    );
 
   -- Simulate series of DUT, when g_use_mm_output = FALSE and
   -- g_nof_aligners_max > 1. Use same local in_sosi_arr(0) input for all BSN
@@ -556,30 +556,30 @@ begin
   --
   gen_bsn_align_chain : for I in 1 to c_nof_aligners_max - 1 generate
     u_bsn_align : entity work.dp_bsn_align_v2
-    generic map (
-      g_nof_streams                => g_nof_streams,
-      g_bsn_latency_max            => g_bsn_latency_max,
-      g_nof_aligners_max           => c_nof_aligners_max,
-      g_block_size                 => g_block_size,
-      g_bsn_w                      => g_bsn_w,
-      g_data_w                     => g_data_w,
-      g_data_replacement_value     => g_data_replacement_value,
-      g_use_mm_output              => g_use_mm_output,
-      g_pipeline_input             => g_pipeline_input,
-      g_pipeline_output            => g_pipeline_output,
-      g_rd_latency                 => g_rd_latency
-    )
-    port map (
-      dp_rst         => rst,
-      dp_clk         => clk,
-      -- Control
-      node_index     => node_index_arr(I),
-      stream_en_arr  => stream_en_arr,
-      -- Streaming input
-      in_sosi_arr    => dut_in_sosi_2arr(I),
-      -- Output via streaming DP interface
-      out_sosi_arr   => dut_out_sosi_2arr(I)
-    );
+      generic map (
+        g_nof_streams                => g_nof_streams,
+        g_bsn_latency_max            => g_bsn_latency_max,
+        g_nof_aligners_max           => c_nof_aligners_max,
+        g_block_size                 => g_block_size,
+        g_bsn_w                      => g_bsn_w,
+        g_data_w                     => g_data_w,
+        g_data_replacement_value     => g_data_replacement_value,
+        g_use_mm_output              => g_use_mm_output,
+        g_pipeline_input             => g_pipeline_input,
+        g_pipeline_output            => g_pipeline_output,
+        g_rd_latency                 => g_rd_latency
+      )
+      port map (
+        dp_rst         => rst,
+        dp_clk         => clk,
+        -- Control
+        node_index     => node_index_arr(I),
+        stream_en_arr  => stream_en_arr,
+        -- Streaming input
+        in_sosi_arr    => dut_in_sosi_2arr(I),
+        -- Output via streaming DP interface
+        out_sosi_arr   => dut_out_sosi_2arr(I)
+      );
 
     -- Connect remote and local between DUTs in the chain of DUTs
     p_connect : process(dut_out_sosi_2arr, in_sosi_arr)
@@ -608,26 +608,26 @@ begin
 
     gen_mm_to_dp : for I in 0 to g_nof_streams - 1 generate
       u_mm_to_dp: entity work.dp_block_from_mm
-      generic map (
-        g_user_size          => 1,
-        g_data_size          => 1,
-        g_step_size          => 1,
-        g_nof_data           => g_block_size,
-        g_word_w             => g_data_w,
-        g_mm_rd_latency      => g_rd_latency,
-        g_reverse_word_order => false
-      )
-      port map (
-        rst           => rst,
-        clk           => clk,
-        start_pulse   => mm_sosi.sop,
-        start_address => 0,
-        mm_done       => mm_done_arr(I),
-        mm_mosi       => mm_copi_arr(I),
-        mm_miso       => mm_cipo_arr(I),
-        out_sosi      => mm_sosi_arr(I),
-        out_siso      => c_dp_siso_rdy
-      );
+        generic map (
+          g_user_size          => 1,
+          g_data_size          => 1,
+          g_step_size          => 1,
+          g_nof_data           => g_block_size,
+          g_word_w             => g_data_w,
+          g_mm_rd_latency      => g_rd_latency,
+          g_reverse_word_order => false
+        )
+        port map (
+          rst           => rst,
+          clk           => clk,
+          start_pulse   => mm_sosi.sop,
+          start_address => 0,
+          mm_done       => mm_done_arr(I),
+          mm_mosi       => mm_copi_arr(I),
+          mm_miso       => mm_cipo_arr(I),
+          out_sosi      => mm_sosi_arr(I),
+          out_siso      => c_dp_siso_rdy
+        );
     end generate;
 
     p_comb : process(r, mm_sosi, mm_sosi_arr)
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor.vhd
index e4b19609be..2d06833610 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor.vhd
@@ -28,13 +28,13 @@
 -- . The verify procedures check the correct input and monitor results
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_bsn_monitor is
@@ -314,35 +314,35 @@ begin
 
   -- Tap the stream to the monitor
   dut : entity work.dp_bsn_monitor
-  generic map (
-    g_log_first_bsn => false,
-    g_sync_timeout  => c_sync_timeout
-  )
-  port map (
-    rst                     => rst,
-    clk                     => clk,
-
-    -- ST interface
-    in_siso                 => out_siso,
-    in_sosi                 => in_sosi,
-    sync_in                 => sync_in,
-
-    -- MM interface
-    -- . control
-    mon_evt                 => mon_evt,
-    mon_sync                => mon_sync,
-    mon_sync_timeout        => mon_sync_timeout,
-    -- . siso
-    mon_ready_stable        => mon_ready_stable,
-    mon_xon_stable          => mon_xon_stable,
-    -- . sosi
-    mon_bsn_at_sync         => mon_bsn_at_sync,
-    mon_nof_sop             => mon_nof_sop,
-    mon_nof_err             => mon_nof_err,
-    mon_nof_valid           => mon_nof_valid,
-
-    mon_bsn_first           => mon_bsn_first,
-    mon_bsn_first_cycle_cnt => mon_bsn_first_cycle_cnt
-  );
+    generic map (
+      g_log_first_bsn => false,
+      g_sync_timeout  => c_sync_timeout
+    )
+    port map (
+      rst                     => rst,
+      clk                     => clk,
+
+      -- ST interface
+      in_siso                 => out_siso,
+      in_sosi                 => in_sosi,
+      sync_in                 => sync_in,
+
+      -- MM interface
+      -- . control
+      mon_evt                 => mon_evt,
+      mon_sync                => mon_sync,
+      mon_sync_timeout        => mon_sync_timeout,
+      -- . siso
+      mon_ready_stable        => mon_ready_stable,
+      mon_xon_stable          => mon_xon_stable,
+      -- . sosi
+      mon_bsn_at_sync         => mon_bsn_at_sync,
+      mon_nof_sop             => mon_nof_sop,
+      mon_nof_err             => mon_nof_err,
+      mon_nof_valid           => mon_nof_valid,
+
+      mon_bsn_first           => mon_bsn_first,
+      mon_bsn_first_cycle_cnt => mon_bsn_first_cycle_cnt
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd
index e013906e0b..968aed6702 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd
@@ -28,13 +28,13 @@
 -- --------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_bsn_monitor_v2 is
@@ -314,32 +314,32 @@ begin
 
   -- Tap the stream to the monitor
   dut : entity work.dp_bsn_monitor_v2
-  generic map (
-    g_sync_timeout  => c_sync_timeout
-  )
-  port map (
-    rst                     => rst,
-    clk                     => clk,
-
-    -- ST interface
-    in_siso                 => out_siso,
-    in_sosi                 => in_sosi,
-    ref_sync                => ref_sync,
-
-    -- MM interface
-    -- . control
-    mon_evt                 => mon_evt,
-    mon_sync                => mon_sync,
-    mon_sync_timeout        => mon_sync_timeout,
-    -- . siso
-    mon_ready_stable        => mon_ready_stable,
-    mon_xon_stable          => mon_xon_stable,
-    -- . sosi
-    mon_bsn_at_sync         => mon_bsn_at_sync,
-    mon_nof_sop             => mon_nof_sop,
-    mon_nof_err             => mon_nof_err,
-    mon_nof_valid           => mon_nof_valid,
-    mon_latency             => mon_latency
-  );
+    generic map (
+      g_sync_timeout  => c_sync_timeout
+    )
+    port map (
+      rst                     => rst,
+      clk                     => clk,
+
+      -- ST interface
+      in_siso                 => out_siso,
+      in_sosi                 => in_sosi,
+      ref_sync                => ref_sync,
+
+      -- MM interface
+      -- . control
+      mon_evt                 => mon_evt,
+      mon_sync                => mon_sync,
+      mon_sync_timeout        => mon_sync_timeout,
+      -- . siso
+      mon_ready_stable        => mon_ready_stable,
+      mon_xon_stable          => mon_xon_stable,
+      -- . sosi
+      mon_bsn_at_sync         => mon_bsn_at_sync,
+      mon_nof_sop             => mon_nof_sop,
+      mon_nof_err             => mon_nof_err,
+      mon_nof_valid           => mon_nof_valid,
+      mon_latency             => mon_latency
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_source.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_source.vhd
index 1d21b09efd..47e991edb6 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_source.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_source.vhd
@@ -27,12 +27,12 @@
 -- and then manually verify on/off in Wave window
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_dp_bsn_source is
 end tb_dp_bsn_source;
@@ -160,21 +160,21 @@ begin
   -----------------------------------------------------------------------------
 
   dut : entity work.dp_bsn_source
-  generic map (
-    g_block_size         => c_block_size,
-    g_nof_block_per_sync => c_sync_period,
-    g_bsn_w              => c_bsn_w
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    pps       => pps,
-    -- MM control
-    dp_on     => dp_on,
-    dp_on_pps => dp_on_pps,
-    init_bsn  => init_bsn,
-    -- Streaming
-    src_out   => bs_sosi
-  );
+    generic map (
+      g_block_size         => c_block_size,
+      g_nof_block_per_sync => c_sync_period,
+      g_bsn_w              => c_bsn_w
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      pps       => pps,
+      -- MM control
+      dp_on     => dp_on,
+      dp_on_pps => dp_on_pps,
+      init_bsn  => init_bsn,
+      -- Streaming
+      src_out   => bs_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_source_v2.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_source_v2.vhd
index 69c2a55e5b..69249c2a66 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_source_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_source_v2.vhd
@@ -43,12 +43,12 @@
 -- . sync and bsn are verified automatically using the ref_grid
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_dp_bsn_source_v2 is
   generic (
@@ -328,29 +328,29 @@ begin
   -----------------------------------------------------------------------------
 
   dut : entity work.dp_bsn_source_v2
-  generic map (
-    g_block_size         => g_block_size,
-    g_nof_clk_per_sync   => g_pps_interval,
-    g_bsn_w              => c_bsn_w,
-    g_bsn_time_offset_w  => c_bsn_time_offset_w
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-    pps              => ref_grid.pps,
-    -- MM control
-    dp_on            => dp_on,
-    dp_on_pps        => dp_on_pps,
-
-    dp_on_status     => dp_on_status,  -- = src_out.valid
-    bs_restart       => bs_restart,  -- = src_out.sync for first sync after dp_on went high
-    bs_new_interval  => bs_new_interval,  -- active during first src_out.sync interval
-
-    bsn_init         => bsn_init,
-    bsn_time_offset  => bsn_time_offset,
-
-    -- Streaming
-    src_out          => bs_sosi
-  );
+    generic map (
+      g_block_size         => g_block_size,
+      g_nof_clk_per_sync   => g_pps_interval,
+      g_bsn_w              => c_bsn_w,
+      g_bsn_time_offset_w  => c_bsn_time_offset_w
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+      pps              => ref_grid.pps,
+      -- MM control
+      dp_on            => dp_on,
+      dp_on_pps        => dp_on_pps,
+
+      dp_on_status     => dp_on_status,  -- = src_out.valid
+      bs_restart       => bs_restart,  -- = src_out.sync for first sync after dp_on went high
+      bs_new_interval  => bs_new_interval,  -- active during first src_out.sync interval
+
+      bsn_init         => bsn_init,
+      bsn_time_offset  => bsn_time_offset,
+
+      -- Streaming
+      src_out          => bs_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_sync_scheduler.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_sync_scheduler.vhd
index 5165a0f848..2e277cc897 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_sync_scheduler.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_sync_scheduler.vhd
@@ -69,13 +69,13 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_dp_bsn_sync_scheduler is
   generic (
@@ -191,14 +191,15 @@ architecture tb of tb_dp_bsn_sync_scheduler is
   signal dbg_expected_bsn      : natural := 0;
 
   -- Local procedures
-  procedure proc_output_enable(signal clk                   : in std_logic;
-                               signal cnt                   : in integer;
-                               signal sync                  : in std_logic;
-                               signal mon_input_bsn_at_sync : in std_logic_vector(c_bsn_w - 1 downto 0);
-                               signal stimuli_state         : out t_stimuli_state_enum;
-                               signal ctrl_start_bsn        : out std_logic_vector(c_bsn_w - 1 downto 0);
-                               signal ctrl_enable           : out std_logic;
-                               signal ctrl_enable_evt       : out std_logic) is
+  procedure proc_output_enable (
+    signal clk                   : in std_logic;
+    signal cnt                   : in integer;
+    signal sync                  : in std_logic;
+    signal mon_input_bsn_at_sync : in std_logic_vector(c_bsn_w - 1 downto 0);
+    signal stimuli_state         : out t_stimuli_state_enum;
+    signal ctrl_start_bsn        : out std_logic_vector(c_bsn_w - 1 downto 0);
+    signal ctrl_enable           : out std_logic;
+    signal ctrl_enable_evt       : out std_logic) is
   begin
     proc_common_wait_until_hi_lo(clk, sync);  -- (re)enable at begin of sync interval
     stimuli_state <= e_en;
@@ -209,21 +210,23 @@ architecture tb of tb_dp_bsn_sync_scheduler is
     ctrl_enable_evt <= '0';
   end proc_output_enable;
 
-  procedure proc_output_re_enable(signal clk                   : in std_logic;
-                                  signal cnt                   : in integer;
-                                  signal mon_input_bsn_at_sync : in std_logic_vector(c_bsn_w - 1 downto 0);
-                                  signal stimuli_state         : out t_stimuli_state_enum;
-                                  signal ctrl_start_bsn        : out std_logic_vector(c_bsn_w - 1 downto 0);
-                                  signal ctrl_enable           : out std_logic;
-                                  signal ctrl_enable_evt       : out std_logic) is
+  procedure proc_output_re_enable (
+    signal clk                   : in std_logic;
+    signal cnt                   : in integer;
+    signal mon_input_bsn_at_sync : in std_logic_vector(c_bsn_w - 1 downto 0);
+    signal stimuli_state         : out t_stimuli_state_enum;
+    signal ctrl_start_bsn        : out std_logic_vector(c_bsn_w - 1 downto 0);
+    signal ctrl_enable           : out std_logic;
+    signal ctrl_enable_evt       : out std_logic) is
   begin
     proc_output_enable(clk, cnt, in_sync, mon_input_bsn_at_sync, stimuli_state, ctrl_start_bsn, ctrl_enable, ctrl_enable_evt);
     stimuli_state <= e_re;
   end proc_output_re_enable;
 
-  procedure proc_output_disable(signal stimuli_state   : out t_stimuli_state_enum;
-                                signal ctrl_enable     : out std_logic;
-                                signal ctrl_enable_evt : out std_logic) is
+  procedure proc_output_disable (
+    signal stimuli_state   : out t_stimuli_state_enum;
+    signal ctrl_enable     : out std_logic;
+    signal ctrl_enable_evt : out std_logic) is
   begin
     stimuli_state <= e_dis;
     ctrl_enable <= '0';
@@ -316,26 +319,26 @@ begin
 
   -- Generate data blocks with input sync
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period  => g_nof_block_per_input_sync,
-    g_err_init     => 0,
-    g_err_incr     => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
-    g_channel_init => 0,
-    g_channel_incr => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
-    g_nof_repeat   => c_sim_nof_blocks,
-    g_pkt_len      => g_block_size,
-    g_pkt_gap      => g_input_gap_size
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    -- Generate stimuli
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => tb_end
-  );
+    generic map (
+      g_sync_period  => g_nof_block_per_input_sync,
+      g_err_init     => 0,
+      g_err_incr     => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
+      g_channel_init => 0,
+      g_channel_incr => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
+      g_nof_repeat   => c_sim_nof_blocks,
+      g_pkt_len      => g_block_size,
+      g_pkt_gap      => g_input_gap_size
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      -- Generate stimuli
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => tb_end
+    );
 
   -- Input with option to loose data blocks
   p_in_sosi : process(stimuli_sosi, in_lost)
@@ -558,8 +561,8 @@ begin
           assert TO_UINT(mon_output_sync_bsn) <= v_bsn_max
             report "Wrong: mon_output_sync_bsn is too far ahead (" & int_to_str(TO_UINT(mon_output_sync_bsn)) & " > " & int_to_str(v_bsn_max) & ")" severity ERROR;
 
-          --Debug report used to investigate v_bsn_min and v_bsn_max assert conditions
-          --REPORT int_to_str(TO_UINT(mon_output_sync_bsn)) & " : " & int_to_str(TO_UINT(mon_current_input_bsn)) SEVERITY NOTE;
+        --Debug report used to investigate v_bsn_min and v_bsn_max assert conditions
+        --REPORT int_to_str(TO_UINT(mon_output_sync_bsn)) & " : " & int_to_str(TO_UINT(mon_current_input_bsn)) SEVERITY NOTE;
         end if;
       end if;
     end if;
@@ -571,31 +574,31 @@ begin
   -----------------------------------------------------------------------------
 
   dut : entity work.dp_bsn_sync_scheduler
-  generic map (
-    g_bsn_w           => c_bsn_w,
-    g_block_size      => g_block_size,
-    g_pipeline        => g_pipeline
-  )
-  port map (
-    rst                   => rst,
-    clk                   => clk,
-
-    -- M&C
-    ctrl_enable           => ctrl_enable,
-    ctrl_enable_evt       => ctrl_enable_evt,
-    ctrl_interval_size    => ctrl_interval_size,
-    ctrl_start_bsn        => ctrl_start_bsn,
-    mon_current_input_bsn => mon_current_input_bsn,
-    mon_input_bsn_at_sync => mon_input_bsn_at_sync,
-    mon_output_enable     => mon_output_enable,
-    mon_output_sync_bsn   => mon_output_sync_bsn,
-
-    -- Streaming
-    in_sosi               => in_sosi,
-    out_sosi              => out_sosi,
-    out_start             => out_start,
-    out_start_interval    => out_start_interval,
-    out_enable            => out_enable
-  );
+    generic map (
+      g_bsn_w           => c_bsn_w,
+      g_block_size      => g_block_size,
+      g_pipeline        => g_pipeline
+    )
+    port map (
+      rst                   => rst,
+      clk                   => clk,
+
+      -- M&C
+      ctrl_enable           => ctrl_enable,
+      ctrl_enable_evt       => ctrl_enable_evt,
+      ctrl_interval_size    => ctrl_interval_size,
+      ctrl_start_bsn        => ctrl_start_bsn,
+      mon_current_input_bsn => mon_current_input_bsn,
+      mon_input_bsn_at_sync => mon_input_bsn_at_sync,
+      mon_output_enable     => mon_output_enable,
+      mon_output_sync_bsn   => mon_output_sync_bsn,
+
+      -- Streaming
+      in_sosi               => in_sosi,
+      out_sosi              => out_sosi,
+      out_start             => out_start,
+      out_start_interval    => out_start_interval,
+      out_enable            => out_enable
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_calculate_crc.vhd b/libraries/base/dp/tb/vhdl/tb_dp_calculate_crc.vhd
index 1db8875e84..a418093ee5 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_calculate_crc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_calculate_crc.vhd
@@ -31,14 +31,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_calculate_crc is
   generic (
@@ -89,22 +89,22 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => c_nof_blk_per_sync,
-    g_nof_repeat  => c_nof_blk_per_sync * c_nof_sync,
-    g_pkt_len     => c_nof_data_per_blk,
-    g_pkt_gap     => g_gap_size
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-
-    -- Generate stimuli
-    src_out       => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end        => stimuli_end
-  );
+    generic map (
+      g_sync_period => c_nof_blk_per_sync,
+      g_nof_repeat  => c_nof_blk_per_sync * c_nof_sync,
+      g_pkt_len     => c_nof_data_per_blk,
+      g_pkt_gap     => g_gap_size
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+
+      -- Generate stimuli
+      src_out       => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end        => stimuli_end
+    );
 
   -- Use same dat for every block to verify restart of CRC calculation
   p_snk_in : process(stimuli_sosi)
@@ -117,17 +117,17 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_crc : entity work.dp_calculate_crc
-  generic map (
-    g_data_w => g_data_w,
-    g_crc_w  => g_crc_w
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    -- ST sink
-    snk_in   => snk_in,
-    blk_crc  => blk_crc
-  );
+    generic map (
+      g_data_w => g_data_w,
+      g_crc_w  => g_crc_w
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      -- ST sink
+      snk_in   => snk_in,
+      blk_crc  => blk_crc
+    );
 
   ------------------------------------------------------------------------------
   -- Verifycation
@@ -139,12 +139,12 @@ begin
     if rising_edge(clk) then
       if new_crc = '1' then
         --IF g_data_w = 28 AND g_crc_w = 28 AND c_nof_data_per_blk = 9 THEN
-          if blk_crc = exp_crc_28 then
-            report "OK CRC value." severity NOTE;
-          else
-            report "Wrong CRC value." severity ERROR;
-          end if;
-        --END IF;
+        if blk_crc = exp_crc_28 then
+          report "OK CRC value." severity NOTE;
+        else
+          report "Wrong CRC value." severity ERROR;
+        end if;
+      --END IF;
       end if;
     end if;
   end process;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_concat.vhd b/libraries/base/dp/tb/vhdl/tb_dp_concat.vhd
index d8aeb34095..ef6dca6b5b 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_concat.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_concat.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_concat is
   generic (
@@ -152,18 +152,18 @@ begin
   end process;
 
   dut : entity work.dp_concat
-  generic map (
-    g_data_w    => g_data_w,
-    g_symbol_w  => g_symbol_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out_arr => in_siso_arr,  -- OUT = request to upstream ST source
-    snk_in_arr  => in_sosi_arr,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w    => g_data_w,
+      g_symbol_w  => g_symbol_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out_arr => in_siso_arr,  -- OUT = request to upstream ST source
+      snk_in_arr  => in_sosi_arr,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
   -- Input data
   in_data_0 <= in_sosi_arr(0).data(g_data_w - 1 downto 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_concat_field_blk.vhd b/libraries/base/dp/tb/vhdl/tb_dp_concat_field_blk.vhd
index a4504c4175..ea88ea71d1 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_concat_field_blk.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_concat_field_blk.vhd
@@ -36,15 +36,15 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_concat_field_blk is
@@ -97,28 +97,28 @@ architecture tb of tb_dp_concat_field_blk is
   -- . pre-calculated ip_header_checksum is valid only for UNB0, FN0 targeting IP 10.10.10.10
   -- . udp_total_length = 176 beamlets * 64b / 8b = 1408B + 14 DP bytes + 8 UDP bytes = 1430B
   constant c_udp_offload_hdr_field_arr : t_common_field_arr(c_udp_offload_nof_hdr_fields - 1 downto 0) := (
-         ( field_name_pad("eth_dst_mac"            ), "RW", 48, field_default(x"001B214368AC") ),
-         ( field_name_pad("eth_src_mac"            ), "RW", 48, field_default(0) ),
-         ( field_name_pad("eth_type"               ), "RW", 16, field_default(x"0800") ),
-         ( field_name_pad("ip_version"             ), "RW",  4, field_default(4) ),
-         ( field_name_pad("ip_header_length"       ), "RW",  4, field_default(5) ),
-         ( field_name_pad("ip_services"            ), "RW",  8, field_default(0) ),
-         ( field_name_pad("ip_total_length"        ), "RW", 16, field_default(1450) ),
-         ( field_name_pad("ip_identification"      ), "RW", 16, field_default(0) ),
-         ( field_name_pad("ip_flags"               ), "RW",  3, field_default(2) ),
-         ( field_name_pad("ip_fragment_offset"     ), "RW", 13, field_default(0) ),
-         ( field_name_pad("ip_time_to_live"        ), "RW",  8, field_default(127) ),
-         ( field_name_pad("ip_protocol"            ), "RW",  8, field_default(17) ),
-         ( field_name_pad("ip_header_checksum"     ), "RW", 16, field_default(29928) ),
-         ( field_name_pad("ip_src_addr"            ), "RW", 32, field_default(x"C0A80009") ),
-         ( field_name_pad("ip_dst_addr"            ), "RW", 32, field_default(x"C0A80001") ),
-         ( field_name_pad("udp_src_port"           ), "RW", 16, field_default(0) ),
-         ( field_name_pad("udp_dst_port"           ), "RW", 16, field_default(0) ),
-         ( field_name_pad("udp_total_length"       ), "RW", 16, field_default(1430) ),
-         ( field_name_pad("udp_checksum"           ), "RW", 16, field_default(0) ),
-         ( field_name_pad("dp_reserved"            ), "RW", 47, field_default(0) ),
-         ( field_name_pad("dp_sync"                ), "RW",  1, field_default(0) ),
-         ( field_name_pad("dp_bsn"                 ), "RW", 64, field_default(0) ) );
+    ( field_name_pad("eth_dst_mac"            ), "RW", 48, field_default(x"001B214368AC") ),
+    ( field_name_pad("eth_src_mac"            ), "RW", 48, field_default(0) ),
+    ( field_name_pad("eth_type"               ), "RW", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"             ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"       ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"            ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"        ), "RW", 16, field_default(1450) ),
+    ( field_name_pad("ip_identification"      ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"               ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"     ), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"        ), "RW",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"            ), "RW",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum"     ), "RW", 16, field_default(29928) ),
+    ( field_name_pad("ip_src_addr"            ), "RW", 32, field_default(x"C0A80009") ),
+    ( field_name_pad("ip_dst_addr"            ), "RW", 32, field_default(x"C0A80001") ),
+    ( field_name_pad("udp_src_port"           ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"           ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"       ), "RW", 16, field_default(1430) ),
+    ( field_name_pad("udp_checksum"           ), "RW", 16, field_default(0) ),
+    ( field_name_pad("dp_reserved"            ), "RW", 47, field_default(0) ),
+    ( field_name_pad("dp_sync"                ), "RW",  1, field_default(0) ),
+    ( field_name_pad("dp_bsn"                 ), "RW", 64, field_default(0) ) );
 
   -- From apertif_unb1_fn_beamformer_udp_offload.vhd:
   -- Override ('1') only the Ethernet fields so we can use MM defaults there.
@@ -199,36 +199,36 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    -- specific
-    g_in_dat_w       => g_data_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_wait_last_evt
-  )
-  port map (
-    rst                 => dp_rst,
-    clk                 => dp_clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      -- specific
+      g_in_dat_w       => g_data_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_wait_last_evt
+    )
+    port map (
+      rst                 => dp_rst,
+      clk                 => dp_clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -263,37 +263,37 @@ begin
   verify_last_snk_in_evt.err     <= '0';
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => g_data_w,
-    g_pkt_len        => c_expected_pkt_len
-  )
-  port map (
-    rst                        => dp_rst,
-    clk                        => dp_clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => g_data_w,
+      g_pkt_len        => c_expected_pkt_len
+    )
+    port map (
+      rst                        => dp_rst,
+      clk                        => dp_clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT offload Tx
@@ -303,23 +303,23 @@ begin
   -- Use FIFO to mimic apertif_unb1_fn_beamformer_udp_offload.vhd, without FIFO dp_stream_stimuli
   -- would handle the back pressure
   u_dp_fifo_sc : entity work.dp_fifo_sc
-  generic map (
-    g_data_w         => g_data_w,
-    g_bsn_w          => 64,
-    g_use_sync       => true,
-    g_use_bsn        => true,
-    g_fifo_size      => 1024
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-
-    snk_out     => OPEN,  -- stimuli_src_in
-    snk_in      => stimuli_src_out,
-
-    src_in      => dp_fifo_sc_src_in,
-    src_out     => dp_fifo_sc_src_out
-  );
+    generic map (
+      g_data_w         => g_data_w,
+      g_bsn_w          => 64,
+      g_use_sync       => true,
+      g_use_bsn        => true,
+      g_fifo_size      => 1024
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+
+      snk_out     => OPEN,  -- stimuli_src_in
+      snk_in      => stimuli_src_out,
+
+      src_in      => dp_fifo_sc_src_in,
+      src_out     => dp_fifo_sc_src_out
+    );
 
   dp_offload_tx_snk_in_arr(0) <= dp_fifo_sc_src_out;
   dp_fifo_sc_src_in           <= dp_offload_tx_snk_out_arr(0);
@@ -338,31 +338,31 @@ begin
   tx_hdr_fields_in_arr(0)(field_hi(c_udp_offload_hdr_field_arr, "dp_bsn"      ) downto field_lo(c_udp_offload_hdr_field_arr, "dp_bsn"          )) <=     dp_offload_tx_snk_in_arr(0).bsn(63 downto 0);
 
   u_tx : entity work.dp_concat_field_blk
-  generic map (
-    g_nof_streams    => 1,
-    g_data_w         => g_data_w,
-    g_symbol_w       => g_data_w,
-    g_hdr_field_arr  => c_udp_offload_hdr_field_arr,
-    g_hdr_field_sel  => c_hdr_field_ovr_init
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_tx_snk_in_arr,
-    snk_out_arr           => dp_offload_tx_snk_out_arr,
-
-    src_out_arr           => tx_offload_sosi_arr,
-    src_in_arr            => tx_offload_siso_arr,
-
-    hdr_fields_in_arr     => tx_hdr_fields_in_arr
-  );
+    generic map (
+      g_nof_streams    => 1,
+      g_data_w         => g_data_w,
+      g_symbol_w       => g_data_w,
+      g_hdr_field_arr  => c_udp_offload_hdr_field_arr,
+      g_hdr_field_sel  => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_tx_snk_in_arr,
+      snk_out_arr           => dp_offload_tx_snk_out_arr,
+
+      src_out_arr           => tx_offload_sosi_arr,
+      src_in_arr            => tx_offload_siso_arr,
+
+      hdr_fields_in_arr     => tx_hdr_fields_in_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Link
@@ -386,32 +386,32 @@ begin
   ------------------------------------------------------------------------------
 
   u_rx : entity work.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => g_data_w,
-    g_hdr_field_arr       => c_udp_offload_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
-
-    snk_in_arr            => link_offload_sosi_arr,
-    snk_out_arr           => link_offload_siso_arr,
-
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
-
-    hdr_fields_out_arr    => rx_hdr_fields_out_arr,
-    hdr_fields_raw_arr    => rx_hdr_fields_raw_arr
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => g_data_w,
+      g_hdr_field_arr       => c_udp_offload_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+
+      snk_in_arr            => link_offload_sosi_arr,
+      snk_out_arr           => link_offload_siso_arr,
+
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => rx_hdr_fields_out_arr,
+      hdr_fields_raw_arr    => rx_hdr_fields_raw_arr
+    );
 
   p_restore_sync_bsn : process(dp_offload_rx_src_out_arr, rx_hdr_fields_out_arr)
   begin
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_counter.vhd b/libraries/base/dp/tb/vhdl/tb_dp_counter.vhd
index 1443301e5a..e56f57af29 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_counter.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_counter.vhd
@@ -32,14 +32,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_counter is
   generic (
@@ -84,7 +84,7 @@ architecture tb of tb_dp_counter is
   signal count_src_out_arr : t_dp_sosi_arr(g_nof_counters - 1 downto 0);
   signal period            : natural;
 
-  function calculate_period(g_counter : natural) return natural is
+  function calculate_period (g_counter : natural) return natural is
     variable v_range_period : t_nat_natural_arr(g_counter downto 0);
     variable v_period       : natural := 1;
   begin
@@ -173,26 +173,26 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dp_counter : entity work.dp_counter
-  generic map (
-    g_nof_counters     => g_nof_counters,
-    g_range_start      => g_range_start,
-    g_range_stop       => g_range_stop,
-    g_range_step       => g_range_step,
-    g_pipeline_src_out => g_pipeline_src_out,
-    g_pipeline_src_in  => g_pipeline_src_in
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    snk_in            => snk_in,
-    snk_out           => snk_out,
-
-    src_out           => src_out,
-    src_in            => src_in,
-
-    count_src_out_arr => count_src_out_arr
-  );
+    generic map (
+      g_nof_counters     => g_nof_counters,
+      g_range_start      => g_range_start,
+      g_range_stop       => g_range_stop,
+      g_range_step       => g_range_step,
+      g_pipeline_src_out => g_pipeline_src_out,
+      g_pipeline_src_in  => g_pipeline_src_in
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      snk_in            => snk_in,
+      snk_out           => snk_out,
+
+      src_out           => src_out,
+      src_in            => src_in,
+
+      count_src_out_arr => count_src_out_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd b/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd
index 2e20614d26..c885d2ae5f 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd
@@ -37,14 +37,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_counter_func is
   generic (
@@ -94,7 +94,7 @@ architecture tb of tb_dp_counter_func is
   signal tb_count_arr      : t_count_arr := (others => 0);
   signal tb_last_count_arr : t_count_arr := (others => 0);
 
-  begin
+begin
 
   ------------------------------------------------------------------------------
   -- Clock & reset
@@ -107,7 +107,7 @@ architecture tb of tb_dp_counter_func is
   ------------------------------------------------------------------------------
 
   p_stimuli : process
-  variable run_clk_cnt: natural := 1;
+    variable run_clk_cnt: natural := 1;
   begin
     -- wait for reset
     proc_common_wait_until_low(clk, rst);
@@ -148,20 +148,20 @@ architecture tb of tb_dp_counter_func is
   -- dp_counter_func
   ------------------------------------------------------------------------------
   u_dp_counter_func : entity work.dp_counter_func
-  generic map (
-    g_nof_counters => g_nof_counters,
-    g_range_start  => g_range_start,
-    g_range_stop   => g_range_stop,
-    g_range_step   => g_range_step
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-
-    count_en  => dp_counter_func_count_en,
-
-    count_src_out_arr => dp_counter_func_count_src_out_arr
-  );
+    generic map (
+      g_nof_counters => g_nof_counters,
+      g_range_start  => g_range_start,
+      g_range_stop   => g_range_stop,
+      g_range_step   => g_range_step
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+
+      count_en  => dp_counter_func_count_en,
+
+      count_src_out_arr => dp_counter_func_count_src_out_arr
+    );
 
   -- Add pipeline to removed combinatorial glitches for viewing in the wave window
   dp_counter_func_count_src_out_arr_p <= dp_counter_func_count_src_out_arr when rising_edge(clk);
@@ -197,7 +197,7 @@ architecture tb of tb_dp_counter_func is
         end loop;
         -- the last counter should hold the start value + step
         assert tb_count_arr(g_nof_counters - 1) = g_range_start(g_nof_counters - 1) + g_range_step(g_nof_counters - 1)
-            report "DP : Wrong carryover, counter:" & int_to_str(g_nof_counters - 1) severity ERROR;
+          report "DP : Wrong carryover, counter:" & int_to_str(g_nof_counters - 1) severity ERROR;
       end if;
 
       -- check counter values on sop and eop
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_counter_offset.vhd b/libraries/base/dp/tb/vhdl/tb_dp_counter_offset.vhd
index b78741a463..ec9294c71d 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_counter_offset.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_counter_offset.vhd
@@ -32,14 +32,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_counter_offset is
   generic (
@@ -85,7 +85,7 @@ architecture tb of tb_dp_counter_offset is
   signal count_src_out_arr : t_dp_sosi_arr(g_nof_counters - 1 downto 0);
   signal period            : natural;
 
-  function calculate_period(g_counter : natural) return natural is
+  function calculate_period (g_counter : natural) return natural is
     variable v_range_period : t_nat_natural_arr(g_counter downto 0);
     variable v_period       : natural := 1;
   begin
@@ -176,27 +176,27 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dp_counter : entity work.dp_counter
-  generic map (
-    g_nof_counters     => g_nof_counters,
-    g_range_start      => g_range_start,
-    g_range_stop       => g_range_stop,
-    g_range_step       => g_range_step,
-    g_pipeline_src_out => g_pipeline_src_out,
-    g_pipeline_src_in  => g_pipeline_src_in
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-
-    snk_in            => snk_in,
-    snk_out           => snk_out,
-
-    src_out           => src_out,
-    src_in            => src_in,
-
-    count_offset_in_arr => count_offset_in_arr,
-    count_src_out_arr => count_src_out_arr
-  );
+    generic map (
+      g_nof_counters     => g_nof_counters,
+      g_range_start      => g_range_start,
+      g_range_stop       => g_range_stop,
+      g_range_step       => g_range_step,
+      g_pipeline_src_out => g_pipeline_src_out,
+      g_pipeline_src_in  => g_pipeline_src_in
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+
+      snk_in            => snk_in,
+      snk_out           => snk_out,
+
+      src_out           => src_out,
+      src_in            => src_in,
+
+      count_offset_in_arr => count_offset_in_arr,
+      count_src_out_arr => count_src_out_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_deinterleave.vhd b/libraries/base/dp/tb/vhdl/tb_dp_deinterleave.vhd
index db0399479c..00a2ec353e 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_deinterleave.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_deinterleave.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: Test bench to check deinterleave function on DP level
 -- Usage:
@@ -41,7 +41,7 @@ entity tb_dp_deinterleave is
     g_nof_out     : natural := 2;
     g_block_size  : natural := 3;
     g_use_complex : boolean := true
- );
+  );
 end;
 
 architecture tb of tb_dp_deinterleave is
@@ -111,26 +111,26 @@ begin
       proc_common_wait_some_cycles(clk, c_input_inval);
       v_bsn := INCR_UVEC(v_bsn, 1);
     end loop;
-   end process;
+  end process;
 
   -----------------------------------------------------------------------------
   -- DUT
   -----------------------------------------------------------------------------
   u_deinterleave : entity work.dp_deinterleave
-  generic map (
-    g_nof_out           => g_nof_out,
-    g_block_size_int    => g_block_size,
-    g_block_size_output => g_block_size,
-    g_dat_w             => g_dat_w,
-    g_use_complex       => g_use_complex
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    snk_in      => snk_in,
-    src_out_arr => src_out_arr
-  );
+    generic map (
+      g_nof_out           => g_nof_out,
+      g_block_size_int    => g_block_size,
+      g_block_size_output => g_block_size,
+      g_dat_w             => g_dat_w,
+      g_use_complex       => g_use_complex
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      snk_in      => snk_in,
+      src_out_arr => src_out_arr
+    );
 
 
   -----------------------------------------------------------------------------
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_interleave_to_one.vhd b/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_interleave_to_one.vhd
index 2eeac9a7e2..347792f105 100755
--- a/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_interleave_to_one.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_interleave_to_one.vhd
@@ -43,14 +43,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_deinterleave_interleave_to_one is
   generic (
@@ -146,43 +146,43 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_use_complex    => g_use_complex,
-    g_data_init      => c_data_init,
-    g_re_init        => c_re_init,
-    g_im_init        => c_im_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_use_complex    => g_use_complex,
+      g_data_init      => c_data_init,
+      g_re_init        => c_re_init,
+      g_im_init        => c_im_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
   -- Throttle stimuli to ensure active = 1, period = 3, level '1'
   --proc_common_gen_pulse(1, 3, '1', rst, clk, stimuli_src_in.ready);
@@ -219,108 +219,108 @@ begin
   verify_last_snk_in_evt.err     <= '0';
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => c_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_pkt_len        => c_out_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => c_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_pkt_len        => c_out_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT: 1 to N
   ------------------------------------------------------------------------------
   u_dp_deinterleave : entity work.dp_deinterleave
-  generic map (
-    g_dat_w             => c_data_w,
-    g_nof_out           => g_nof_streams,
-    g_block_size_int    => 1,
-    g_block_size_output => c_par_pkt_len,  -- Output block size: The number of samles in the blocks at the output
-    g_use_ctrl          => true,  -- Requires: [input block size (sop:eop)] / [g_nof_out]/ [g_block_size_output] = integer number!
-    g_use_sync_bsn      => true,  -- forwards (stored) input Sync+BSN to all output streams
-    g_use_complex       => g_use_complex,
-    g_align_out         => true  -- Aligns the output streams
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_in      => stimuli_src_out,
-    src_out_arr => parallel_snk_in_arr
-  );
-
-  -- Use FIFO to break flow control between one to N and N to one, so that stimuli see ready = '1'
-  gen_fifos : for I in 0 to g_nof_streams - 1 generate
-    u_dp_fifo_sc : entity work.dp_fifo_sc
     generic map (
-      g_data_w         => c_data_w,
-      g_bsn_w          => c_dp_stream_dsp_data_w,
-      g_empty_w        => c_dp_stream_empty_w,
-      g_channel_w      => c_dp_stream_channel_w,
-      g_error_w        => c_dp_stream_error_w,
-      g_use_bsn        => true,
-      g_use_empty      => true,
-      g_use_channel    => true,
-      g_use_error      => true,
-      g_use_sync       => true,
-      g_use_ctrl       => true,  -- sop & eop
-      g_use_complex    => g_use_complex,  -- TRUE feeds the concatenated complex fields (im & re) through the FIFO instead of the data field.
-      g_fifo_size      => c_fifo_size  -- (16+2) * 512 = 1 M9K, g_data_w+2 for sop and eop
+      g_dat_w             => c_data_w,
+      g_nof_out           => g_nof_streams,
+      g_block_size_int    => 1,
+      g_block_size_output => c_par_pkt_len,  -- Output block size: The number of samles in the blocks at the output
+      g_use_ctrl          => true,  -- Requires: [input block size (sop:eop)] / [g_nof_out]/ [g_block_size_output] = integer number!
+      g_use_sync_bsn      => true,  -- forwards (stored) input Sync+BSN to all output streams
+      g_use_complex       => g_use_complex,
+      g_align_out         => true  -- Aligns the output streams
     )
     port map (
       rst         => rst,
       clk         => clk,
-      -- Monitor FIFO filling
-      -- ST sink
-      snk_out     => parallel_snk_out_arr(I),
-      snk_in      => parallel_snk_in_arr(I),
-      -- ST source
-      src_in      => parallel_src_in_arr(I),
-      src_out     => parallel_src_out_arr(I)
+
+      snk_in      => stimuli_src_out,
+      src_out_arr => parallel_snk_in_arr
     );
+
+  -- Use FIFO to break flow control between one to N and N to one, so that stimuli see ready = '1'
+  gen_fifos : for I in 0 to g_nof_streams - 1 generate
+    u_dp_fifo_sc : entity work.dp_fifo_sc
+      generic map (
+        g_data_w         => c_data_w,
+        g_bsn_w          => c_dp_stream_dsp_data_w,
+        g_empty_w        => c_dp_stream_empty_w,
+        g_channel_w      => c_dp_stream_channel_w,
+        g_error_w        => c_dp_stream_error_w,
+        g_use_bsn        => true,
+        g_use_empty      => true,
+        g_use_channel    => true,
+        g_use_error      => true,
+        g_use_sync       => true,
+        g_use_ctrl       => true,  -- sop & eop
+        g_use_complex    => g_use_complex,  -- TRUE feeds the concatenated complex fields (im & re) through the FIFO instead of the data field.
+        g_fifo_size      => c_fifo_size  -- (16+2) * 512 = 1 M9K, g_data_w+2 for sop and eop
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- Monitor FIFO filling
+        -- ST sink
+        snk_out     => parallel_snk_out_arr(I),
+        snk_in      => parallel_snk_in_arr(I),
+        -- ST source
+        src_in      => parallel_src_in_arr(I),
+        src_out     => parallel_src_out_arr(I)
+      );
   end generate;
 
   ------------------------------------------------------------------------------
   -- DUT: N to 1
   ------------------------------------------------------------------------------
   u_n_to_one: entity work.dp_interleave_n_to_one
-  generic map (
-    g_pipeline      => c_pipeline,
-    g_nof_inputs    => g_nof_streams
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out_arr => parallel_src_in_arr,
-    snk_in_arr  => parallel_src_out_arr,
-    src_in      => verify_snk_out,
-    src_out     => verify_snk_in
-  );
+    generic map (
+      g_pipeline      => c_pipeline,
+      g_nof_inputs    => g_nof_streams
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out_arr => parallel_src_in_arr,
+      snk_in_arr  => parallel_src_out_arr,
+      src_in      => verify_snk_out,
+      src_out     => verify_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- Auxiliary
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_one_to_n_to_one.vhd b/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_one_to_n_to_one.vhd
index 0efefa875c..1499ac42f2 100755
--- a/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_one_to_n_to_one.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_deinterleave_one_to_n_to_one.vhd
@@ -37,14 +37,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_deinterleave_one_to_n_to_one is
   generic (
@@ -138,43 +138,43 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_use_complex    => g_use_complex,
-    g_data_init      => c_data_init,
-    g_re_init        => c_re_init,
-    g_im_init        => c_im_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_use_complex    => g_use_complex,
+      g_data_init      => c_data_init,
+      g_re_init        => c_re_init,
+      g_im_init        => c_im_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -209,55 +209,55 @@ begin
   verify_last_snk_in_evt.err     <= last_snk_in_evt;
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_pkt_len        => c_out_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_pkt_len        => c_out_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT: 1 to N
   ------------------------------------------------------------------------------
   u_one_to_n : entity work.dp_deinterleave_one_to_n
-  generic map (
-    g_pipeline      => g_pipeline,
-    g_nof_outputs   => g_nof_streams
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out     => stimuli_src_in,
-    snk_in      => stimuli_src_out,
-    src_in_arr  => parallel_snk_out_arr,
-    src_out_arr => parallel_snk_in_arr
-  );
+    generic map (
+      g_pipeline      => g_pipeline,
+      g_nof_outputs   => g_nof_streams
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out     => stimuli_src_in,
+      snk_in      => stimuli_src_out,
+      src_in_arr  => parallel_snk_out_arr,
+      src_out_arr => parallel_snk_in_arr
+    );
 
   no_fifo : if g_use_fifo = false generate
     parallel_snk_out_arr <= parallel_src_in_arr;
@@ -268,32 +268,32 @@ begin
   use_fifo : if g_use_fifo = true generate
     gen_fifos : for I in 0 to g_nof_streams - 1 generate
       u_dp_fifo_sc : entity work.dp_fifo_sc
-      generic map (
-        g_data_w         => c_data_w,
-        g_bsn_w          => c_dp_stream_dsp_data_w,
-        g_empty_w        => c_dp_stream_empty_w,
-        g_channel_w      => c_dp_stream_channel_w,
-        g_error_w        => c_dp_stream_error_w,
-        g_use_bsn        => true,
-        g_use_empty      => true,
-        g_use_channel    => true,
-        g_use_error      => true,
-        g_use_sync       => true,
-        g_use_ctrl       => true,  -- sop & eop
-        g_use_complex    => g_use_complex,  -- TRUE feeds the concatenated complex fields (im & re) through the FIFO instead of the data field.
-        g_fifo_size      => c_fifo_size  -- (16+2) * 512 = 1 M9K, g_data_w+2 for sop and eop
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- Monitor FIFO filling
-        -- ST sink
-        snk_out     => parallel_snk_out_arr(I),
-        snk_in      => parallel_snk_in_arr(I),
-        -- ST source
-        src_in      => parallel_src_in_arr(I),
-        src_out     => parallel_src_out_arr(I)
-      );
+        generic map (
+          g_data_w         => c_data_w,
+          g_bsn_w          => c_dp_stream_dsp_data_w,
+          g_empty_w        => c_dp_stream_empty_w,
+          g_channel_w      => c_dp_stream_channel_w,
+          g_error_w        => c_dp_stream_error_w,
+          g_use_bsn        => true,
+          g_use_empty      => true,
+          g_use_channel    => true,
+          g_use_error      => true,
+          g_use_sync       => true,
+          g_use_ctrl       => true,  -- sop & eop
+          g_use_complex    => g_use_complex,  -- TRUE feeds the concatenated complex fields (im & re) through the FIFO instead of the data field.
+          g_fifo_size      => c_fifo_size  -- (16+2) * 512 = 1 M9K, g_data_w+2 for sop and eop
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- Monitor FIFO filling
+          -- ST sink
+          snk_out     => parallel_snk_out_arr(I),
+          snk_in      => parallel_snk_in_arr(I),
+          -- ST source
+          src_in      => parallel_src_in_arr(I),
+          src_out     => parallel_src_out_arr(I)
+        );
     end generate;
   end generate;
 
@@ -301,19 +301,19 @@ begin
   -- DUT: N to 1
   ------------------------------------------------------------------------------
   u_n_to_one: entity work.dp_interleave_n_to_one
-  generic map (
-    g_pipeline      => g_pipeline,
-    g_nof_inputs    => g_nof_streams
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out_arr => parallel_src_in_arr,
-    snk_in_arr  => parallel_src_out_arr,
-    src_in      => verify_snk_out,
-    src_out     => verify_snk_in
-  );
+    generic map (
+      g_pipeline      => g_pipeline,
+      g_nof_inputs    => g_nof_streams
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out_arr => parallel_src_in_arr,
+      snk_in_arr  => parallel_src_out_arr,
+      src_in      => verify_snk_out,
+      src_out     => verify_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- Auxiliary
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_demux.vhd b/libraries/base/dp/tb/vhdl/tb_dp_demux.vhd
index bbdf5573bf..225c99bf9d 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_demux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_demux.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_demux is
   generic (
@@ -165,8 +165,8 @@ begin
     in_channel_vec((I + 1) * c_dp_data_w - 1 downto I * c_dp_data_w) <= in_channel(I);
 
     -- Stimuli control
---     proc_dp_count_en(rst, clk, sync, lfsr1(I)(c_random_w-1 DOWNTO 0), state(I), verify_done(I), tb_end_vec(I), cnt_en(I));  -- all cnt_en behave the same
---     proc_dp_out_ready(rst, clk, sync, lfsr2(I)(c_random_w DOWNTO 0), out_ready(I));                                         -- all out_ready behave the same
+    --     proc_dp_count_en(rst, clk, sync, lfsr1(I)(c_random_w-1 DOWNTO 0), state(I), verify_done(I), tb_end_vec(I), cnt_en(I));  -- all cnt_en behave the same
+    --     proc_dp_out_ready(rst, clk, sync, lfsr2(I)(c_random_w DOWNTO 0), out_ready(I));                                         -- all out_ready behave the same
     proc_dp_count_en(rst, clk, sync_dly(I), lfsr1(I)(c_random_w - 1 downto 0), state(I), verify_done(I), tb_end_vec(I), cnt_en(I));  -- all cnt_en are relatively delayed
     proc_dp_out_ready(rst, clk, sync_dly(I), lfsr2(I)(c_random_w downto 0), out_ready(I));  -- all out_ready are relatively delayed
 
@@ -225,48 +225,48 @@ begin
   end process;
 
   mux : entity work.dp_mux
-  generic map (
-    g_data_w          => c_dp_data_w,
-    g_empty_w         => c_dp_empty_w,
-    g_in_channel_w    => c_dp_data_w,
-    g_error_w         => 1,
-    g_use_empty       => true,
-    g_use_in_channel  => true,
-    g_use_error       => false,
-    g_nof_input       => g_dut_nof_output,
-    g_use_fifo        => false,
-    g_fifo_size       => array_init(1024, g_dut_nof_output),  -- FIFO is not used, but generic must match g_nof_input
-    g_fifo_fill       => array_init(   0, g_dut_nof_output)  -- FIFO is not used, but generic must match g_nof_input
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => in_siso,  -- OUT = request to upstream ST source
-    snk_in_arr  => in_sosi,
-    -- ST source
-    src_in      => mux_siso,  -- IN  = request from downstream ST sink
-    src_out     => mux_sosi
-  );
+    generic map (
+      g_data_w          => c_dp_data_w,
+      g_empty_w         => c_dp_empty_w,
+      g_in_channel_w    => c_dp_data_w,
+      g_error_w         => 1,
+      g_use_empty       => true,
+      g_use_in_channel  => true,
+      g_use_error       => false,
+      g_nof_input       => g_dut_nof_output,
+      g_use_fifo        => false,
+      g_fifo_size       => array_init(1024, g_dut_nof_output),  -- FIFO is not used, but generic must match g_nof_input
+      g_fifo_fill       => array_init(   0, g_dut_nof_output)  -- FIFO is not used, but generic must match g_nof_input
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => in_siso,  -- OUT = request to upstream ST source
+      snk_in_arr  => in_sosi,
+      -- ST source
+      src_in      => mux_siso,  -- IN  = request from downstream ST sink
+      src_out     => mux_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- DUT dp_demux
   ------------------------------------------------------------------------------
 
   dut : entity work.dp_demux
-  generic map (
-    g_nof_output    => g_dut_nof_output,
-    g_combined      => g_combined
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out     => mux_siso,  -- OUT = request to upstream ST source
-    snk_in      => mux_sosi,
-    -- ST source
-    src_in_arr  => demux_siso,  -- IN  = request from downstream ST sink
-    src_out_arr => demux_sosi
-  );
+    generic map (
+      g_nof_output    => g_dut_nof_output,
+      g_combined      => g_combined
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out     => mux_siso,  -- OUT = request to upstream ST source
+      snk_in      => mux_sosi,
+      -- ST source
+      src_in_arr  => demux_siso,  -- IN  = request from downstream ST sink
+      src_out_arr => demux_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_distribute.vhd b/libraries/base/dp/tb/vhdl/tb_dp_distribute.vhd
index f300446af5..80957b705f 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_distribute.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_distribute.vhd
@@ -39,13 +39,13 @@
 --   would need to use DP packet encoder and decoders.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_distribute is
   generic (
@@ -248,72 +248,72 @@ begin
 
   -- n --> m
   tx : entity work.dp_distribute
-  generic map (
-    -- Distribution IO
-    g_tx              => true,
-    g_nof_input       => g_nof_input,
-    g_nof_output      => g_nof_serial,
-    g_transpose       => g_transpose,
-    g_code_channel_lo => g_code_channel_lo,
-    g_data_w          => c_data_w,
-    -- Input FIFO
-    g_use_fifo        => g_tx_use_fifo,
-    g_bsn_w           => c_data_w,
-    g_empty_w         => 1,
-    g_channel_w       => 1,
-    g_error_w         => 1,
-    g_use_bsn         => g_tx_use_fifo,
-    g_use_empty       => false,
-    g_use_channel     => false,
-    g_use_error       => false,
-    g_use_sync        => g_tx_use_fifo,
-    g_fifo_fill       => g_tx_fifo_fill,
-    g_fifo_size       => c_fifo_size
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => in_siso_arr,
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_in_arr  => serial_siso_arr,
-    src_out_arr => serial_sosi_arr
-  );
+    generic map (
+      -- Distribution IO
+      g_tx              => true,
+      g_nof_input       => g_nof_input,
+      g_nof_output      => g_nof_serial,
+      g_transpose       => g_transpose,
+      g_code_channel_lo => g_code_channel_lo,
+      g_data_w          => c_data_w,
+      -- Input FIFO
+      g_use_fifo        => g_tx_use_fifo,
+      g_bsn_w           => c_data_w,
+      g_empty_w         => 1,
+      g_channel_w       => 1,
+      g_error_w         => 1,
+      g_use_bsn         => g_tx_use_fifo,
+      g_use_empty       => false,
+      g_use_channel     => false,
+      g_use_error       => false,
+      g_use_sync        => g_tx_use_fifo,
+      g_fifo_fill       => g_tx_fifo_fill,
+      g_fifo_size       => c_fifo_size
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => in_siso_arr,
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_in_arr  => serial_siso_arr,
+      src_out_arr => serial_sosi_arr
+    );
 
   -- m --> n
   rx : entity work.dp_distribute
-  generic map (
-    -- Distribution IO
-    g_tx              => false,
-    g_nof_input       => g_nof_serial,
-    g_nof_output      => g_nof_input,
-    g_transpose       => g_transpose,
-    g_code_channel_lo => g_code_channel_lo,
-    g_data_w          => c_data_w,
-    -- Input FIFO
-    g_use_fifo        => g_rx_use_fifo,
-    g_bsn_w           => c_data_w,
-    g_empty_w         => 1,
-    g_channel_w       => c_link_channel_lo,  -- c_link_channel_lo-1 DOWNTO 0
-    g_error_w         => 1,
-    g_use_bsn         => g_rx_use_fifo,
-    g_use_empty       => false,
-    g_use_channel     => c_rx_use_fifo_link_channel_lo,
-    g_use_error       => false,
-    g_use_sync        => g_rx_use_fifo,
-    g_fifo_fill       => g_rx_fifo_fill,
-    g_fifo_size       => c_fifo_size
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => serial_siso_arr,
-    snk_in_arr  => serial_sosi_arr,
-    -- ST source
-    src_in_arr  => out_siso_arr,
-    src_out_arr => out_sosi_arr
-  );
+    generic map (
+      -- Distribution IO
+      g_tx              => false,
+      g_nof_input       => g_nof_serial,
+      g_nof_output      => g_nof_input,
+      g_transpose       => g_transpose,
+      g_code_channel_lo => g_code_channel_lo,
+      g_data_w          => c_data_w,
+      -- Input FIFO
+      g_use_fifo        => g_rx_use_fifo,
+      g_bsn_w           => c_data_w,
+      g_empty_w         => 1,
+      g_channel_w       => c_link_channel_lo,  -- c_link_channel_lo-1 DOWNTO 0
+      g_error_w         => 1,
+      g_use_bsn         => g_rx_use_fifo,
+      g_use_empty       => false,
+      g_use_channel     => c_rx_use_fifo_link_channel_lo,
+      g_use_error       => false,
+      g_use_sync        => g_rx_use_fifo,
+      g_fifo_fill       => g_rx_fifo_fill,
+      g_fifo_size       => c_fifo_size
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => serial_siso_arr,
+      snk_in_arr  => serial_sosi_arr,
+      -- ST source
+      src_in_arr  => out_siso_arr,
+      src_out_arr => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_example_dut.vhd b/libraries/base/dp/tb/vhdl/tb_dp_example_dut.vhd
index d200c08db4..8e4ea252bf 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_example_dut.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_example_dut.vhd
@@ -120,13 +120,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_example_dut is
@@ -203,39 +203,39 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_data_init      => c_data_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => g_dat_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_data_init      => c_data_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => g_dat_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -270,37 +270,37 @@ begin
   verify_last_snk_in_evt.err     <= last_snk_in_evt;
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => g_dat_w,
-    g_pkt_len        => g_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => g_dat_w,
+      g_pkt_len        => g_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
@@ -318,16 +318,16 @@ begin
   -- DUT function
   gen_dut : if g_no_dut = false generate
     u_dut : entity work.dp_example_dut
-    port map (
-      rst       => rst,
-      clk       => clk,
+      port map (
+        rst       => rst,
+        clk       => clk,
 
-      snk_out   => dut_snk_out,
-      snk_in    => dut_snk_in,
+        snk_out   => dut_snk_out,
+        snk_in    => dut_snk_in,
 
-      src_in    => dut_src_in,
-      src_out   => dut_src_out
-    );
+        src_in    => dut_src_in,
+        src_out   => dut_src_out
+      );
   end generate;
 
   -- Connect DUT source output stream to verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_example_no_dut.vhd b/libraries/base/dp/tb/vhdl/tb_dp_example_no_dut.vhd
index 296ac0eab6..41c2b1e924 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_example_no_dut.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_example_no_dut.vhd
@@ -111,13 +111,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_example_no_dut is
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc.vhd
index aa85d51adb..cd62fd3b60 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_dc is
   generic (
@@ -200,32 +200,32 @@ begin
   out_eop                                <= out_sosi.eop;
 
   dut : entity work.dp_fifo_dc
-  generic map (
-    g_data_w      => c_dp_data_w,
-    g_bsn_w       => c_dp_bsn_w,
-    g_empty_w     => c_dp_empty_w,
-    g_channel_w   => c_dp_channel_w,
-    g_error_w     => 1,
-    g_use_bsn     => g_dut_use_bsn,
-    g_use_empty   => g_dut_use_empty,
-    g_use_channel => g_dut_use_channel,
-    g_use_error   => false,
-    g_use_sync    => g_dut_use_sync,
-    g_use_ctrl    => g_dut_use_ctrl,
-    g_fifo_size   => c_dut_fifo_size,
-    g_fifo_rl     => g_dut_out_latency
-  )
-  port map (
-    wr_rst      => rst,
-    wr_clk      => wr_clk,
-    rd_rst      => rst,
-    rd_clk      => rd_clk,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    wr_usedw    => usedw,
-    rd_usedw    => OPEN,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w      => c_dp_data_w,
+      g_bsn_w       => c_dp_bsn_w,
+      g_empty_w     => c_dp_empty_w,
+      g_channel_w   => c_dp_channel_w,
+      g_error_w     => 1,
+      g_use_bsn     => g_dut_use_bsn,
+      g_use_empty   => g_dut_use_empty,
+      g_use_channel => g_dut_use_channel,
+      g_use_error   => false,
+      g_use_sync    => g_dut_use_sync,
+      g_use_ctrl    => g_dut_use_ctrl,
+      g_fifo_size   => c_dut_fifo_size,
+      g_fifo_rl     => g_dut_out_latency
+    )
+    port map (
+      wr_rst      => rst,
+      wr_clk      => wr_clk,
+      rd_rst      => rst,
+      rd_clk      => rd_clk,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      wr_usedw    => usedw,
+      rd_usedw    => OPEN,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_arr.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_arr.vhd
index cfce57389f..5fe366868f 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_arr.vhd
@@ -26,11 +26,11 @@
 -- Verifies output data and ctrl signals of DUT. This is configurable using generics.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_dc_arr is
   generic (
@@ -215,37 +215,37 @@ begin
   out_eop                                <= out_sosi_arr(0).eop;
 
   dut : entity work.dp_fifo_dc_arr
-  generic map (
-    g_nof_streams => g_dut_nof_streams,
-    g_data_w      => c_dp_data_w,
-    g_bsn_w       => c_dp_bsn_w,
-    g_empty_w     => c_dp_empty_w,
-    g_channel_w   => c_dp_channel_w,
-    g_error_w     => 1,
-    g_aux_w       => 1,
-    g_use_bsn     => g_dut_use_bsn,
-    g_use_empty   => g_dut_use_empty,
-    g_use_channel => g_dut_use_channel,
-    g_use_error   => false,
-    g_use_sync    => g_dut_use_sync,
-    g_use_aux     => g_dut_use_aux,
-    g_use_ctrl    => g_dut_use_ctrl,
-    g_fifo_size   => c_dut_fifo_size,
-    g_fifo_rl     => g_dut_out_latency
-  )
-  port map (
-    wr_rst      => rst,
-    wr_clk      => wr_clk,
-    rd_rst      => rst,
-    rd_clk      => rd_clk,
-    snk_out_arr => in_siso_arr,  -- OUT = request to upstream ST source
-    snk_in_arr  => in_sosi_arr,
-    in_aux(0)   => in_aux,
-    wr_usedw    => usedw,
-    rd_usedw    => OPEN,
-    src_in_arr  => out_siso_arr,  -- IN  = request from downstream ST sink
-    src_out_arr => out_sosi_arr,
-    out_aux(0)  => out_aux
-  );
+    generic map (
+      g_nof_streams => g_dut_nof_streams,
+      g_data_w      => c_dp_data_w,
+      g_bsn_w       => c_dp_bsn_w,
+      g_empty_w     => c_dp_empty_w,
+      g_channel_w   => c_dp_channel_w,
+      g_error_w     => 1,
+      g_aux_w       => 1,
+      g_use_bsn     => g_dut_use_bsn,
+      g_use_empty   => g_dut_use_empty,
+      g_use_channel => g_dut_use_channel,
+      g_use_error   => false,
+      g_use_sync    => g_dut_use_sync,
+      g_use_aux     => g_dut_use_aux,
+      g_use_ctrl    => g_dut_use_ctrl,
+      g_fifo_size   => c_dut_fifo_size,
+      g_fifo_rl     => g_dut_out_latency
+    )
+    port map (
+      wr_rst      => rst,
+      wr_clk      => wr_clk,
+      rd_rst      => rst,
+      rd_clk      => rd_clk,
+      snk_out_arr => in_siso_arr,  -- OUT = request to upstream ST source
+      snk_in_arr  => in_sosi_arr,
+      in_aux(0)   => in_aux,
+      wr_usedw    => usedw,
+      rd_usedw    => OPEN,
+      src_in_arr  => out_siso_arr,  -- IN  = request from downstream ST sink
+      src_out_arr => out_sosi_arr,
+      out_aux(0)  => out_aux
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_mixed_widths.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_mixed_widths.vhd
index a3d7ed7ebd..586bf75014 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_mixed_widths.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_dc_mixed_widths.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- run 50 us
 
@@ -196,74 +196,74 @@ begin
 
   -- Narrow to wide FIFO
   u_dut_n2w : entity work.dp_fifo_dc_mixed_widths
-  generic map (
-    g_wr_data_w    => g_narrow_w,
-    g_rd_data_w    => c_wide_w,
-    g_use_ctrl     => g_use_ctrl,
-    g_wr_fifo_size => c_wr_fifo_size,
-    g_rd_fifo_rl   => g_read_rl
-  )
-  port map (
-    wr_rst         => narrow_rst,
-    wr_clk         => narrow_clk,
-    rd_rst         => wide_rst,
-    rd_clk         => wide_clk,
-    -- ST sink
-    snk_out        => in_siso,
-    snk_in         => in_sosi,
-    -- Monitor FIFO filling
-    wr_usedw       => fifo_n2w_wr_usedw,
-    rd_usedw       => fifo_n2w_rd_usedw,
-    rd_emp         => fifo_n2w_rd_emp,
-    -- ST source
-    src_in         => wide_siso,
-    src_out        => wide_sosi
-  );
+    generic map (
+      g_wr_data_w    => g_narrow_w,
+      g_rd_data_w    => c_wide_w,
+      g_use_ctrl     => g_use_ctrl,
+      g_wr_fifo_size => c_wr_fifo_size,
+      g_rd_fifo_rl   => g_read_rl
+    )
+    port map (
+      wr_rst         => narrow_rst,
+      wr_clk         => narrow_clk,
+      rd_rst         => wide_rst,
+      rd_clk         => wide_clk,
+      -- ST sink
+      snk_out        => in_siso,
+      snk_in         => in_sosi,
+      -- Monitor FIFO filling
+      wr_usedw       => fifo_n2w_wr_usedw,
+      rd_usedw       => fifo_n2w_rd_usedw,
+      rd_emp         => fifo_n2w_rd_emp,
+      -- ST source
+      src_in         => wide_siso,
+      src_out        => wide_sosi
+    );
 
 
   -- Adapt for wide to narrow FIFO input RL=1 in case g_read_rl=0
   u_rl : entity work.dp_latency_adapter
-  generic map (
-    g_in_latency  => g_read_rl,
-    g_out_latency => c_rl
-  )
-  port map (
-    rst       => wide_rst,
-    clk       => wide_clk,
-    -- ST sink
-    snk_out   => wide_siso,
-    snk_in    => wide_sosi,
-    -- ST source
-    src_in    => wide_siso_rl1,
-    src_out   => wide_sosi_rl1
-  );
+    generic map (
+      g_in_latency  => g_read_rl,
+      g_out_latency => c_rl
+    )
+    port map (
+      rst       => wide_rst,
+      clk       => wide_clk,
+      -- ST sink
+      snk_out   => wide_siso,
+      snk_in    => wide_sosi,
+      -- ST source
+      src_in    => wide_siso_rl1,
+      src_out   => wide_sosi_rl1
+    );
 
 
   -- Wide to narrow FIFO
   u_dut_w2n : entity work.dp_fifo_dc_mixed_widths
-  generic map (
-    g_wr_data_w    => c_wide_w,
-    g_rd_data_w    => g_narrow_w,
-    g_use_ctrl     => g_use_ctrl,
-    g_wr_fifo_size => c_wr_fifo_size,
-    g_rd_fifo_rl   => g_read_rl
-  )
-  port map (
-    wr_rst         => wide_rst,
-    wr_clk         => wide_clk,
-    rd_rst         => narrow_rst,
-    rd_clk         => narrow_clk,
-    -- ST sink
-    snk_out        => wide_siso_rl1,
-    snk_in         => wide_sosi_rl1,
-    -- Monitor FIFO filling
-    wr_usedw       => fifo_w2n_wr_usedw,
-    rd_usedw       => fifo_w2n_rd_usedw,
-    rd_emp         => fifo_w2n_rd_emp,
-    -- ST source
-    src_in         => out_siso,
-    src_out        => out_sosi
-  );
+    generic map (
+      g_wr_data_w    => c_wide_w,
+      g_rd_data_w    => g_narrow_w,
+      g_use_ctrl     => g_use_ctrl,
+      g_wr_fifo_size => c_wr_fifo_size,
+      g_rd_fifo_rl   => g_read_rl
+    )
+    port map (
+      wr_rst         => wide_rst,
+      wr_clk         => wide_clk,
+      rd_rst         => narrow_rst,
+      rd_clk         => narrow_clk,
+      -- ST sink
+      snk_out        => wide_siso_rl1,
+      snk_in         => wide_sosi_rl1,
+      -- Monitor FIFO filling
+      wr_usedw       => fifo_w2n_wr_usedw,
+      rd_usedw       => fifo_w2n_rd_usedw,
+      rd_emp         => fifo_w2n_rd_emp,
+      -- ST source
+      src_in         => out_siso,
+      src_out        => out_sosi
+    );
 
   -- 1) Verify intermediate wide data
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill.vhd
index 2678bf711e..4f7398c323 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill.vhd
@@ -35,11 +35,11 @@
 -- . the tb is self checking
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_fill is
   generic (
@@ -214,29 +214,29 @@ begin
   out_eop                                <= out_sosi.eop;
 
   dut : entity work.dp_fifo_fill
-  generic map (
-    g_data_w      => c_dp_data_w,
-    g_bsn_w       => c_dp_bsn_w,
-    g_empty_w     => c_dp_empty_w,
-    g_channel_w   => c_dp_channel_w,
-    g_error_w     => 1,
-    g_use_bsn     => g_dut_use_bsn,
-    g_use_empty   => g_dut_use_empty,
-    g_use_channel => g_dut_use_channel,
-    g_use_error   => false,
-    g_use_sync    => g_dut_use_sync,
-    g_fifo_fill   => g_dut_fifo_fill,
-    g_fifo_size   => g_dut_fifo_size,
-    g_fifo_rl     => g_dut_fifo_rl
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    wr_ful      => wr_ful,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w      => c_dp_data_w,
+      g_bsn_w       => c_dp_bsn_w,
+      g_empty_w     => c_dp_empty_w,
+      g_channel_w   => c_dp_channel_w,
+      g_error_w     => 1,
+      g_use_bsn     => g_dut_use_bsn,
+      g_use_empty   => g_dut_use_empty,
+      g_use_channel => g_dut_use_channel,
+      g_use_error   => false,
+      g_use_sync    => g_dut_use_sync,
+      g_fifo_fill   => g_dut_fifo_fill,
+      g_fifo_size   => g_dut_fifo_size,
+      g_fifo_rl     => g_dut_fifo_rl
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      wr_ful      => wr_ful,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_eop.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_eop.vhd
index b2ce169ff9..89daa75b5a 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_eop.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_eop.vhd
@@ -37,12 +37,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_fill_eop is
   generic (
@@ -190,7 +190,7 @@ begin
   in_channel <= INCR_UVEC(in_data, c_channel_offset);
 
   -- Stimuli control
-    proc_dp_count_en(rst, clk, sync, lfsr1, state, verify_done, tb_done, cnt_en);
+  proc_dp_count_en(rst, clk, sync, lfsr1, state, verify_done, tb_done, cnt_en);
   gen_random_ctrl : if g_dut_use_random_ctrl generate
     proc_dp_out_ready(rst, clk, sync, lfsr2, out_ready);
   end generate;
@@ -269,34 +269,34 @@ begin
   out_eop                                <= out_sosi.eop;
 
   dut : entity work.dp_fifo_fill_eop
-  generic map (
-    g_use_dual_clock => g_dut_use_dual_clock,
-    g_data_w         => c_dp_data_w,
-    g_bsn_w          => c_dp_bsn_w,
-    g_empty_w        => c_dp_empty_w,
-    g_channel_w      => c_dp_channel_w,
-    g_error_w        => 1,
-    g_use_bsn        => g_dut_use_bsn,
-    g_use_empty      => g_dut_use_empty,
-    g_use_channel    => g_dut_use_channel,
-    g_use_error      => false,
-    g_use_sync       => g_dut_use_sync,
-    g_fifo_fill      => g_dut_fifo_fill,
-    g_fifo_size      => g_dut_fifo_size,
-    g_fifo_rl        => g_dut_fifo_rl
-  )
-  port map (
-    rd_rst      => rst,
-    rd_clk      => clk,
-    wr_rst      => rst,
-    wr_clk      => clk,
-    wr_ful      => wr_ful,
-    rd_usedw    => rd_usedw,
-    rd_fill_32b => rd_fill_32b,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_use_dual_clock => g_dut_use_dual_clock,
+      g_data_w         => c_dp_data_w,
+      g_bsn_w          => c_dp_bsn_w,
+      g_empty_w        => c_dp_empty_w,
+      g_channel_w      => c_dp_channel_w,
+      g_error_w        => 1,
+      g_use_bsn        => g_dut_use_bsn,
+      g_use_empty      => g_dut_use_empty,
+      g_use_channel    => g_dut_use_channel,
+      g_use_error      => false,
+      g_use_sync       => g_dut_use_sync,
+      g_fifo_fill      => g_dut_fifo_fill,
+      g_fifo_size      => g_dut_fifo_size,
+      g_fifo_rl        => g_dut_fifo_rl
+    )
+    port map (
+      rd_rst      => rst,
+      rd_clk      => clk,
+      wr_rst      => rst,
+      wr_clk      => clk,
+      wr_ful      => wr_ful,
+      rd_usedw    => rd_usedw,
+      rd_fill_32b => rd_fill_32b,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_sc.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_sc.vhd
index 4f38f19304..6f6c470251 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_sc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_fill_sc.vhd
@@ -35,12 +35,12 @@
 -- . the tb is self checking
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_fill_sc is
   generic (
@@ -244,31 +244,31 @@ begin
   out_eop                                <= out_sosi.eop;
 
   dut : entity work.dp_fifo_fill_sc
-  generic map (
-    g_data_w      => c_dp_data_w,
-    g_bsn_w       => c_dp_bsn_w,
-    g_empty_w     => c_dp_empty_w,
-    g_channel_w   => c_dp_channel_w,
-    g_error_w     => 1,
-    g_use_bsn     => g_dut_use_bsn,
-    g_use_empty   => g_dut_use_empty,
-    g_use_channel => g_dut_use_channel,
-    g_use_error   => false,
-    g_use_sync    => g_dut_use_sync,
-    g_fifo_fill   => g_dut_fifo_fill,
-    g_fifo_size   => g_dut_fifo_size,
-    g_fifo_rl     => g_dut_fifo_rl
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    wr_ful      => wr_ful,
-    usedw       => rd_usedw,
-    rd_fill_32b => rd_fill_32b,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w      => c_dp_data_w,
+      g_bsn_w       => c_dp_bsn_w,
+      g_empty_w     => c_dp_empty_w,
+      g_channel_w   => c_dp_channel_w,
+      g_error_w     => 1,
+      g_use_bsn     => g_dut_use_bsn,
+      g_use_empty   => g_dut_use_empty,
+      g_use_channel => g_dut_use_channel,
+      g_use_error   => false,
+      g_use_sync    => g_dut_use_sync,
+      g_fifo_fill   => g_dut_fifo_fill,
+      g_fifo_size   => g_dut_fifo_size,
+      g_fifo_rl     => g_dut_fifo_rl
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      wr_ful      => wr_ful,
+      usedw       => rd_usedw,
+      rd_fill_32b => rd_fill_32b,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_info.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_info.vhd
index 2b872e5856..4d619b2ea0 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_info.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_info.vhd
@@ -32,13 +32,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_info is
   generic (
@@ -193,51 +193,51 @@ begin
 
   -- Stimuli data delay
   u_dp_pipeline : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => g_data_delay
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => stimuli_src_in,
-    snk_in       => stimuli_src_out,
-    -- ST source
-    src_in       => dp_pipeline_src_in,
-    src_out      => dp_pipeline_src_out
-  );
+    generic map (
+      g_pipeline   => g_data_delay
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => stimuli_src_in,
+      snk_in       => stimuli_src_out,
+      -- ST source
+      src_in       => dp_pipeline_src_in,
+      src_out      => dp_pipeline_src_out
+    );
 
   ------------------------------------------------------------------------------
   -- DUT dp_fifo_info
   ------------------------------------------------------------------------------
   dut : entity work.dp_fifo_info
-  generic map (
-    g_use_sync       => g_info_use_sync,
-    g_use_bsn        => g_info_use_bsn,
-    g_use_channel    => g_info_use_channel,
-    g_use_empty      => g_info_use_empty,
-    g_use_error      => g_info_use_error,
-    g_bsn_w          => c_dp_stream_bsn_w,
-    g_empty_w        => c_dp_stream_empty_w,
-    g_channel_w      => c_dp_stream_channel_w,
-    g_error_w        => c_dp_stream_error_w,
-    g_fifo_size      => g_info_fifo_size
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- Monitor info FIFO filling
-    fifo_wr_ful  => fifo_wr_ful,
-    fifo_usedw   => fifo_usedw,
-    fifo_rd_emp  => fifo_rd_emp,
-    -- ST sink
-    data_snk_out => dp_pipeline_src_in,
-    data_snk_in  => dp_pipeline_src_out,  -- delayed snk_in data
-    info_snk_in  => stimuli_src_out,  -- original snk_in info
-    -- ST source
-    src_in       => verify_snk_out,
-    src_out      => verify_snk_in
-  );
+    generic map (
+      g_use_sync       => g_info_use_sync,
+      g_use_bsn        => g_info_use_bsn,
+      g_use_channel    => g_info_use_channel,
+      g_use_empty      => g_info_use_empty,
+      g_use_error      => g_info_use_error,
+      g_bsn_w          => c_dp_stream_bsn_w,
+      g_empty_w        => c_dp_stream_empty_w,
+      g_channel_w      => c_dp_stream_channel_w,
+      g_error_w        => c_dp_stream_error_w,
+      g_fifo_size      => g_info_fifo_size
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- Monitor info FIFO filling
+      fifo_wr_ful  => fifo_wr_ful,
+      fifo_usedw   => fifo_usedw,
+      fifo_rd_emp  => fifo_rd_emp,
+      -- ST sink
+      data_snk_out => dp_pipeline_src_in,
+      data_snk_in  => dp_pipeline_src_out,  -- delayed snk_in data
+      info_snk_in  => stimuli_src_out,  -- original snk_in info
+      -- ST source
+      src_in       => verify_snk_out,
+      src_out      => verify_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DATA VERIFICATION
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_sc.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_sc.vhd
index 351fd26ab5..c44c9faff4 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_sc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_sc.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_sc is
   generic (
@@ -198,31 +198,31 @@ begin
   out_eop                                <= out_sosi.eop;
 
   dut : entity work.dp_fifo_sc
-  generic map (
-    g_use_lut        => g_dut_use_lut,
-    g_data_w         => c_dp_data_w,
-    g_bsn_w          => c_dp_bsn_w,
-    g_empty_w        => c_dp_empty_w,
-    g_channel_w      => c_dp_channel_w,
-    g_error_w        => 1,
-    g_use_bsn        => g_dut_use_bsn,
-    g_use_empty      => g_dut_use_empty,
-    g_use_channel    => g_dut_use_channel,
-    g_use_error      => false,
-    g_use_sync       => g_dut_use_sync,
-    g_use_ctrl       => g_dut_use_ctrl,
-    g_fifo_size      => g_dut_fifo_size,
-    g_fifo_af_margin => g_dut_fifo_af_margin,
-    g_fifo_rl        => g_dut_out_latency
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    usedw       => usedw,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_use_lut        => g_dut_use_lut,
+      g_data_w         => c_dp_data_w,
+      g_bsn_w          => c_dp_bsn_w,
+      g_empty_w        => c_dp_empty_w,
+      g_channel_w      => c_dp_channel_w,
+      g_error_w        => 1,
+      g_use_bsn        => g_dut_use_bsn,
+      g_use_empty      => g_dut_use_empty,
+      g_use_channel    => g_dut_use_channel,
+      g_use_error      => false,
+      g_use_sync       => g_dut_use_sync,
+      g_use_ctrl       => g_dut_use_ctrl,
+      g_fifo_size      => g_dut_fifo_size,
+      g_fifo_af_margin => g_dut_fifo_af_margin,
+      g_fifo_rl        => g_dut_out_latency
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      usedw       => usedw,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_to_mm.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_to_mm.vhd
index fa7c604eee..09c69ca946 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_to_mm.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_to_mm.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: This test bench verifies both dp_fifo_from_mm and dp_fifo_to_mm.
 -- Usage:
@@ -142,7 +142,7 @@ begin
           else
             state_wr <= s_random;
           end if;
-            state_wr <= s_one_go;
+          state_wr <= s_one_go;
         when s_one_go =>
           if unsigned(wr_availw) > 0 then
             mm_wr <= '1';
@@ -180,7 +180,7 @@ begin
           else
             state_rd <= s_random;
           end if;
-            state_rd <= s_one_go;
+          state_rd <= s_one_go;
         when s_one_go =>
           if unsigned(rd_usedw) > 0 then
             mm_rd <= '1';
@@ -201,66 +201,66 @@ begin
   end process;
 
   u_from_mm : entity work.dp_fifo_from_mm
-  generic map (
-    g_fifo_size      => c_fifo_size,
-    g_fifo_af_margin => c_fifo_af_margin,
-    g_mm_word_w      => c_mm_data_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST soure connected to FIFO input
-    src_out       => wr_sosi,
-    usedw         => fifo_usedw,
-    -- Control for FIFO read access
-    mm_wr         => mm_wr,
-    mm_wrdata     => mm_wrdata,
-    mm_usedw      => OPEN,
-    mm_availw     => wr_availw
-  );
+    generic map (
+      g_fifo_size      => c_fifo_size,
+      g_fifo_af_margin => c_fifo_af_margin,
+      g_mm_word_w      => c_mm_data_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST soure connected to FIFO input
+      src_out       => wr_sosi,
+      usedw         => fifo_usedw,
+      -- Control for FIFO read access
+      mm_wr         => mm_wr,
+      mm_wrdata     => mm_wrdata,
+      mm_usedw      => OPEN,
+      mm_availw     => wr_availw
+    );
 
   u_fifo : entity work.dp_fifo_sc
-  generic map (
-    g_data_w         => c_mm_data_w,
-    g_use_ctrl       => false,
-    g_fifo_size      => c_fifo_size,
-    g_fifo_af_margin => c_fifo_af_margin,
-    g_fifo_rl        => c_rl
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sink
-    snk_out     => OPEN,
-    snk_in      => wr_sosi,
-    -- Monitor FIFO filling
-    wr_ful      => fifo_full,
-    usedw       => fifo_usedw,
-    rd_emp      => OPEN,
-    -- ST source
-    src_in      => rd_siso,
-    src_out     => rd_sosi
-  );
+    generic map (
+      g_data_w         => c_mm_data_w,
+      g_use_ctrl       => false,
+      g_fifo_size      => c_fifo_size,
+      g_fifo_af_margin => c_fifo_af_margin,
+      g_fifo_rl        => c_rl
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sink
+      snk_out     => OPEN,
+      snk_in      => wr_sosi,
+      -- Monitor FIFO filling
+      wr_ful      => fifo_full,
+      usedw       => fifo_usedw,
+      rd_emp      => OPEN,
+      -- ST source
+      src_in      => rd_siso,
+      src_out     => rd_sosi
+    );
 
   rd_siso.ready <= mm_rd;
 
   u_to_mm : entity work.dp_fifo_to_mm
-  generic map (
-    g_fifo_size      => c_fifo_size,
-    g_mm_word_w      => c_mm_data_w
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST sink connected to FIFO output
-    snk_out       => rd_siso,
-    snk_in        => rd_sosi,
-    usedw         => fifo_usedw,
-    -- Control for FIFO read access
-    mm_rd         => mm_rd,
-    mm_rddata     => mm_rddata,
-    mm_usedw      => rd_usedw
-  );
+    generic map (
+      g_fifo_size      => c_fifo_size,
+      g_mm_word_w      => c_mm_data_w
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST sink connected to FIFO output
+      snk_out       => rd_siso,
+      snk_in        => rd_sosi,
+      usedw         => fifo_usedw,
+      -- Control for FIFO read access
+      mm_rd         => mm_rd,
+      mm_rddata     => mm_rddata,
+      mm_usedw      => rd_usedw
+    );
 
   p_verify : process(clk)
   begin
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_fifo_xonoff.vhd b/libraries/base/dp/tb/vhdl/tb_dp_fifo_xonoff.vhd
index cbeb6ede60..1e4519b0ec 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_fifo_xonoff.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_fifo_xonoff.vhd
@@ -75,14 +75,14 @@
 -- Self test result is OK when there is no FAILURE due to FIFO overflow.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_fifo_xonoff is
   generic (
@@ -189,17 +189,17 @@ begin
 
     gen_dp_xonoff : if g_use_in_xonoff generate
       u_dp_xonoff : entity work.dp_xonoff
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- Frame in
-        in_siso     => OPEN,
-        in_sosi     => bg_sosi_arr(I),
-        -- Frame out
-        out_siso    => fifo_in_siso_arr(I),
-        out_sosi    => fifo_in_sosi_arr(I),
-        out_en      => dp_out_en_arr(I)
-      );
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- Frame in
+          in_siso     => OPEN,
+          in_sosi     => bg_sosi_arr(I),
+          -- Frame out
+          out_siso    => fifo_in_siso_arr(I),
+          out_sosi    => fifo_in_sosi_arr(I),
+          out_en      => dp_out_en_arr(I)
+        );
     end generate;
     no_dp_xonoff : if not g_use_in_xonoff generate
       fifo_in_sosi_arr <= bg_sosi_arr;
@@ -207,35 +207,35 @@ begin
     end generate;
 
     u_in_fifo : entity work.dp_fifo_sc
-    generic map (
-      g_data_w         => c_data_w,
-      g_bsn_w          => c_nof_input_w,
-      g_use_bsn        => true,  -- use bsn to identify the inputs
-      g_use_ctrl       => true,  -- sop & eop
-      g_fifo_size      => c_in_fifo_size,
-      g_fifo_af_margin => c_fifo_af_ready,
-      g_fifo_af_xon    => c_fifo_af_xon,
-      g_fifo_rl        => c_ready_latency
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-      -- Monitor FIFO filling
-      wr_ful      => in_fifo_wr_ful_arr(I),
-      usedw       => in_fifo_usedw_arr(I),
-      rd_emp      => in_fifo_rd_emp_arr(I),
-      -- ST sink
-      snk_out     => fifo_in_siso_arr(I),  -- flush control via out_siso.xon
-      snk_in      => fifo_in_sosi_arr(I),
-      -- ST source
-      src_in      => mux_in_siso_arr(I),
-      src_out     => mux_in_sosi_arr(I)
-    );
+      generic map (
+        g_data_w         => c_data_w,
+        g_bsn_w          => c_nof_input_w,
+        g_use_bsn        => true,  -- use bsn to identify the inputs
+        g_use_ctrl       => true,  -- sop & eop
+        g_fifo_size      => c_in_fifo_size,
+        g_fifo_af_margin => c_fifo_af_ready,
+        g_fifo_af_xon    => c_fifo_af_xon,
+        g_fifo_rl        => c_ready_latency
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- Monitor FIFO filling
+        wr_ful      => in_fifo_wr_ful_arr(I),
+        usedw       => in_fifo_usedw_arr(I),
+        rd_emp      => in_fifo_rd_emp_arr(I),
+        -- ST sink
+        snk_out     => fifo_in_siso_arr(I),  -- flush control via out_siso.xon
+        snk_in      => fifo_in_sosi_arr(I),
+        -- ST source
+        src_in      => mux_in_siso_arr(I),
+        src_out     => mux_in_sosi_arr(I)
+      );
   end generate;
 
   -- Enable input after reset and disable it before tb_end, to read FIFOs empty
   in_en <= '0', '1' after c_clk_period * 17,
-                '0' after 4 * c_tb_nof_clk_cycles * c_clk_period;
+           '0' after 4 * c_tb_nof_clk_cycles * c_clk_period;
 
   -- Also verify toggling external siso.xon flow control
   out_siso.xon <= '1',
@@ -249,21 +249,21 @@ begin
   -- Multiplexer
   ------------------------------------------------------------------------------
   u_dp_mux : entity work.dp_mux
-  generic map (
-    g_nof_input   => g_nof_inputs,
-    g_fifo_size   => array_init(1024, g_nof_inputs),  -- must match g_nof_input, even when g_use_fifo=FALSE
-    g_fifo_fill   => array_init(   0, g_nof_inputs)  -- must match g_nof_input, even when g_use_fifo=FALSE
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => mux_in_siso_arr,
-    snk_in_arr  => mux_in_sosi_arr,
-    -- ST source
-    src_in      => mux_out_siso,
-    src_out     => mux_out_sosi
-  );
+    generic map (
+      g_nof_input   => g_nof_inputs,
+      g_fifo_size   => array_init(1024, g_nof_inputs),  -- must match g_nof_input, even when g_use_fifo=FALSE
+      g_fifo_fill   => array_init(   0, g_nof_inputs)  -- must match g_nof_input, even when g_use_fifo=FALSE
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => mux_in_siso_arr,
+      snk_in_arr  => mux_in_sosi_arr,
+      -- ST source
+      src_in      => mux_out_siso,
+      src_out     => mux_out_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- Output
@@ -271,17 +271,17 @@ begin
 
   gen_dp_xonoff : if g_use_out_xonoff generate
     u_dp_xonoff : entity work.dp_xonoff
-    port map (
-      rst         => rst,
-      clk         => clk,
-      -- Frame in
-      in_siso     => mux_out_siso,
-      in_sosi     => mux_out_sosi,
-      -- Frame out
-      out_siso    => fifo_fill_in_siso,
-      out_sosi    => fifo_fill_in_sosi,
-      out_en      => dp_out_en
-    );
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- Frame in
+        in_siso     => mux_out_siso,
+        in_sosi     => mux_out_sosi,
+        -- Frame out
+        out_siso    => fifo_fill_in_siso,
+        out_sosi    => fifo_fill_in_sosi,
+        out_en      => dp_out_en
+      );
   end generate;
   no_dp_xonoff : if not g_use_out_xonoff generate
     fifo_fill_in_sosi <= mux_out_sosi;
@@ -290,30 +290,30 @@ begin
   end generate;
 
   u_out_fifo : entity work.dp_fifo_fill_sc
-  --u_out_fifo : ENTITY work.dp_fifo_fill_eop_sc
-  generic map (
-    g_data_w         => c_data_w,
-    g_bsn_w          => c_nof_input_w,
-    g_use_bsn        => true,  -- use bsn to identify the inputs
-    g_fifo_fill      => c_out_fifo_fill,
-    g_fifo_size      => c_out_fifo_size,
-    g_fifo_af_margin => c_fifo_af_ready,
-    g_fifo_af_xon    => c_fifo_af_xon,
-    g_fifo_rl        => c_ready_latency
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Monitor FIFO filling
-    wr_ful      => out_fifo_wr_ful,
-    usedw       => out_fifo_usedw,
-    rd_emp      => out_fifo_rd_emp,
-    -- ST sink
-    snk_out     => fifo_fill_in_siso,
-    snk_in      => fifo_fill_in_sosi,
-    -- ST source
-    src_in      => out_siso,
-    src_out     => out_sosi
-  );
+    --u_out_fifo : ENTITY work.dp_fifo_fill_eop_sc
+    generic map (
+      g_data_w         => c_data_w,
+      g_bsn_w          => c_nof_input_w,
+      g_use_bsn        => true,  -- use bsn to identify the inputs
+      g_fifo_fill      => c_out_fifo_fill,
+      g_fifo_size      => c_out_fifo_size,
+      g_fifo_af_margin => c_fifo_af_ready,
+      g_fifo_af_xon    => c_fifo_af_xon,
+      g_fifo_rl        => c_ready_latency
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Monitor FIFO filling
+      wr_ful      => out_fifo_wr_ful,
+      usedw       => out_fifo_usedw,
+      rd_emp      => out_fifo_rd_emp,
+      -- ST sink
+      snk_out     => fifo_fill_in_siso,
+      snk_in      => fifo_fill_in_sosi,
+      -- ST source
+      src_in      => out_siso,
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_flush.vhd b/libraries/base/dp/tb/vhdl/tb_dp_flush.vhd
index 10384668f4..127fcdcb39 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_flush.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_flush.vhd
@@ -29,13 +29,13 @@
 -- . Observe m.flush_en and m.snk_in and m.src_out signals in Wave Window
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_flush is
@@ -263,23 +263,23 @@ begin
   ------------------------------------------------------------------------------
 
   u_dut: entity work.dp_flush
-  generic map (
-    g_ready_latency => g_rl,
-    g_framed_xon    => g_framed_xon,
-    g_framed_xoff   => g_framed_xoff
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_in       => in_sosi,
-    snk_out      => in_siso,
-    -- ST source
-    src_in       => out_siso,
-    src_out      => out_sosi,
-    -- Enable flush
-    flush_en     => flush_en
-  );
+    generic map (
+      g_ready_latency => g_rl,
+      g_framed_xon    => g_framed_xon,
+      g_framed_xoff   => g_framed_xoff
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_in       => in_sosi,
+      snk_out      => in_siso,
+      -- ST source
+      src_in       => out_siso,
+      src_out      => out_sosi,
+      -- Enable flush
+      flush_en     => flush_en
+    );
 
   -- Map record field to signals for easier monitoring in wave window
   m.snk_out_ready <= in_siso.ready;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_folder.vhd b/libraries/base/dp/tb/vhdl/tb_dp_folder.vhd
index 591b226ddf..64825da625 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_folder.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_folder.vhd
@@ -26,13 +26,13 @@
 -- . Verify stream through dp_unfolder->dp_folder stages
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_folder is
 end tb_dp_folder;
@@ -98,32 +98,32 @@ begin
   dp_unfolder_snk_in_arr(0) <= proc_dp_gen_block_data_src_out;
 
   u_dp_unfolder : entity work.dp_unfolder
-  generic map (
-    g_nof_inputs  => c_nof_inputs,
-    g_nof_unfolds => c_nof_unfolds,
-    g_output_align => false  -- We're going to fold these outputs again, so don't align them!
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    snk_in_arr  => dp_unfolder_snk_in_arr,
-    src_out_arr => dp_folder_snk_in_arr
-  );
+    generic map (
+      g_nof_inputs  => c_nof_inputs,
+      g_nof_unfolds => c_nof_unfolds,
+      g_output_align => false  -- We're going to fold these outputs again, so don't align them!
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      snk_in_arr  => dp_unfolder_snk_in_arr,
+      src_out_arr => dp_folder_snk_in_arr
+    );
 
   u_dp_folder : entity work.dp_folder
-  generic map (
-    g_nof_inputs => c_nof_unfolded_streams,
-    g_nof_folds  => -1  -- Fold until 1 output remains,
---    g_output_block_size => c_packet_len
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    snk_in_arr  => dp_folder_snk_in_arr,
-    src_out_arr => dp_folder_src_out_arr
-  );
+    generic map (
+      g_nof_inputs => c_nof_unfolded_streams,
+      g_nof_folds  => -1  -- Fold until 1 output remains,
+    --    g_output_block_size => c_packet_len
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      snk_in_arr  => dp_folder_snk_in_arr,
+      src_out_arr => dp_folder_src_out_arr
+    );
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_frame_rd.vhd b/libraries/base/dp/tb/vhdl/tb_dp_frame_rd.vhd
index 8673515a10..68db785a88 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_frame_rd.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_frame_rd.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_frame_rd is
@@ -56,7 +56,7 @@ architecture tb of tb_dp_frame_rd is
   --CONSTANT c_throttle_eof     : BOOLEAN := TRUE;  -- when false immediately continue request next frame after eof
   constant c_throttle_eof     : boolean := false;
   constant c_frame_request    : std_logic := '1';  -- when '1' then always request, else only in state s_request when not frm_ack
-                                                   -- use '1' to verify c_throttle_eof=FALSE
+  -- use '1' to verify c_throttle_eof=FALSE
 
   type t_state_enum is (s_request, s_eof, s_err);
 
@@ -100,14 +100,15 @@ architecture tb of tb_dp_frame_rd is
 
   signal exp_data      : std_logic_vector(c_data_w - 1 downto 0) := TO_UVEC(100, c_data_w);
 
-  procedure proc_frame(constant in_sof       : in  std_logic;
-                       constant in_data      : in  std_logic_vector(c_data_w - 1 downto 0);
-                       constant empty_length : in  natural;
-                       signal   clk          : in  std_logic;
-                       signal   frm_data     : out std_logic_vector(c_data_w - 1 downto 0);
-                       signal   frm_val      : out std_logic;
-                       signal   frm_sof      : out std_logic;
-                       signal   frm_eof      : out std_logic) is
+  procedure proc_frame (
+    constant in_sof       : in  std_logic;
+    constant in_data      : in  std_logic_vector(c_data_w - 1 downto 0);
+    constant empty_length : in  natural;
+    signal   clk          : in  std_logic;
+    signal   frm_data     : out std_logic_vector(c_data_w - 1 downto 0);
+    signal   frm_val      : out std_logic;
+    signal   frm_sof      : out std_logic;
+    signal   frm_eof      : out std_logic) is
     variable v_frm_data : std_logic_vector(frm_data'range);
   begin
     frm_val    <= '1';
@@ -204,59 +205,59 @@ begin
   fifo_rd_eof   <= rd_sosi.eop;
 
   u_fifo : entity work.dp_fifo_sc
-  generic map (
-    g_data_w      => c_data_w,
-    g_empty_w     => 1,
-    g_channel_w   => 1,
-    g_error_w     => 1,
-    g_use_empty   => false,
-    g_use_channel => false,
-    g_use_error   => false,
-    g_use_ctrl    => true,
-    g_fifo_size   => c_fifo_nof_words,
-    g_fifo_rl     => 1
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => OPEN,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    usedw       => fifo_usedw,
-    src_in      => rd_siso,  -- IN  = request from downstream ST sink
-    src_out     => rd_sosi
-  );
+    generic map (
+      g_data_w      => c_data_w,
+      g_empty_w     => 1,
+      g_channel_w   => 1,
+      g_error_w     => 1,
+      g_use_empty   => false,
+      g_use_channel => false,
+      g_use_error   => false,
+      g_use_ctrl    => true,
+      g_fifo_size   => c_fifo_nof_words,
+      g_fifo_rl     => 1
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => OPEN,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      usedw       => fifo_usedw,
+      src_in      => rd_siso,  -- IN  = request from downstream ST sink
+      src_out     => rd_sosi
+    );
 
   u_dut : entity work.dp_frame_rd
-  generic map (
-    g_dat_w          => c_data_w,
-    g_frm_cnt_max    => 1,
-    g_throttle_num   => c_throttle_num,
-    g_throttle_den   => c_throttle_den,
-    g_throttle_sof   => c_throttle_sof,
-    g_throttle_eof   => c_throttle_eof
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-
-    frm_req          => frm_req,
-    frm_flush        => frm_flush,
-    frm_ack          => frm_ack,
-    frm_busy         => frm_busy,
-    frm_err          => frm_err,
-    frm_done         => frm_done,
-
-    rd_req           => fifo_rd_req,
-    rd_dat           => fifo_rd_data,
-    rd_val           => fifo_rd_val,
-    rd_sof           => fifo_rd_sof,
-    rd_eof           => fifo_rd_eof,
-
-    out_dat          => out_data,
-    out_val          => out_val,
-    out_sof          => out_sof,
-    out_eof          => out_eof
-  );
+    generic map (
+      g_dat_w          => c_data_w,
+      g_frm_cnt_max    => 1,
+      g_throttle_num   => c_throttle_num,
+      g_throttle_den   => c_throttle_den,
+      g_throttle_sof   => c_throttle_sof,
+      g_throttle_eof   => c_throttle_eof
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+
+      frm_req          => frm_req,
+      frm_flush        => frm_flush,
+      frm_ack          => frm_ack,
+      frm_busy         => frm_busy,
+      frm_err          => frm_err,
+      frm_done         => frm_done,
+
+      rd_req           => fifo_rd_req,
+      rd_dat           => fifo_rd_data,
+      rd_val           => fifo_rd_val,
+      rd_sof           => fifo_rd_sof,
+      rd_eof           => fifo_rd_eof,
+
+      out_dat          => out_data,
+      out_val          => out_val,
+      out_sof          => out_sof,
+      out_eof          => out_eof
+    );
 
 
   p_output_stimuli : process
@@ -301,7 +302,7 @@ begin
       if out_val = '1' then
         prev_out_data <= out_data;
         if verify_en = '1' and unsigned(out_data) /= unsigned(prev_out_data) + 1 then
-           report "Wrong out_data count" severity ERROR;
+          report "Wrong out_data count" severity ERROR;
         end if;
       end if;
     end if;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_frame_scheduler.vhd b/libraries/base/dp/tb/vhdl/tb_dp_frame_scheduler.vhd
index 47bc08630f..b7cfe589ba 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_frame_scheduler.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_frame_scheduler.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_packetizing_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_packetizing_pkg.all;
 
 
 entity tb_dp_frame_scheduler is
@@ -138,15 +138,16 @@ architecture tb of tb_dp_frame_scheduler is
   signal expected_fsn_x  : natural;
   signal expected_fsn_b  : natural;
 
-  procedure proc_tx_packet(constant c_packet_size : in    natural;
-                           constant c_sfd         : in    std_logic_vector;
-                           constant c_fsn_word    : in    natural;
-                           signal   rst           : in    std_logic;
-                           signal   clk           : in    std_logic;
-                           signal   out_sof       : out   std_logic;
-                           signal   out_eof       : out   std_logic;
-                           signal   out_val       : out   std_logic;
-                           signal   out_dat       : inout std_logic_vector(c_lane_dat_w - 1 downto 0)) is
+  procedure proc_tx_packet (
+    constant c_packet_size : in    natural;
+    constant c_sfd         : in    std_logic_vector;
+    constant c_fsn_word    : in    natural;
+    signal   rst           : in    std_logic;
+    signal   clk           : in    std_logic;
+    signal   out_sof       : out   std_logic;
+    signal   out_eof       : out   std_logic;
+    signal   out_val       : out   std_logic;
+    signal   out_dat       : inout std_logic_vector(c_lane_dat_w - 1 downto 0)) is
   begin
     out_sof <= '0';
     out_eof <= '0';
@@ -179,9 +180,10 @@ architecture tb of tb_dp_frame_scheduler is
     end if;
   end proc_tx_packet;
 
-  procedure proc_idle(constant c_idle_size : in natural;
-                      signal   rst         : in std_logic;
-                      signal   clk         : in std_logic) is
+  procedure proc_idle (
+    constant c_idle_size : in natural;
+    signal   rst         : in std_logic;
+    signal   clk         : in std_logic) is
   begin
     if rst = '1' then
       wait until rising_edge(clk);
@@ -192,9 +194,10 @@ architecture tb of tb_dp_frame_scheduler is
     end if;
   end proc_idle;
 
-  procedure proc_cnt(constant c_max : in    natural;
-                     signal   rst   : in    std_logic;
-                     signal   cnt   : inout natural) is
+  procedure proc_cnt (
+    constant c_max : in    natural;
+    signal   rst   : in    std_logic;
+    signal   cnt   : inout natural) is
   begin
     if rst = '0' then
       cnt <= cnt + 1;  -- increment packet counter
@@ -293,25 +296,25 @@ begin
 
   gen_scheduler : if g_dut_verify_mux = false generate
     dut : entity work.dp_frame_scheduler
-    generic map (
-      g_dat_w      => c_lane_dat_w,
-      g_nof_input  => c_nof_input,
-      g_fifo_rl    => c_dut_fifo_rl,
-      g_fifo_size  => (c_x_scheduler_size, c_b_scheduler_size),  -- 1 DOWNTO 0
-      g_fifo_fill  => (c_x_scheduler_fill, c_b_scheduler_fill)  -- 1 DOWNTO 0
-    )
-    port map (
-      rst        => rst_dut,
-      clk        => clk,
-      in_dat     => scheduler_dat,
-      in_val     => scheduler_val,
-      in_sof     => scheduler_sof,
-      in_eof     => scheduler_eof,
-      out_dat    => lane_tx_dat,
-      out_val    => lane_tx_val,
-      out_sof    => lane_tx_sof,
-      out_eof    => lane_tx_eof
-    );
+      generic map (
+        g_dat_w      => c_lane_dat_w,
+        g_nof_input  => c_nof_input,
+        g_fifo_rl    => c_dut_fifo_rl,
+        g_fifo_size  => (c_x_scheduler_size, c_b_scheduler_size),  -- 1 DOWNTO 0
+        g_fifo_fill  => (c_x_scheduler_fill, c_b_scheduler_fill)  -- 1 DOWNTO 0
+      )
+      port map (
+        rst        => rst_dut,
+        clk        => clk,
+        in_dat     => scheduler_dat,
+        in_val     => scheduler_val,
+        in_sof     => scheduler_sof,
+        in_eof     => scheduler_eof,
+        out_dat    => lane_tx_dat,
+        out_val    => lane_tx_val,
+        out_sof    => lane_tx_sof,
+        out_eof    => lane_tx_eof
+      );
   end generate;
 
   gen_mux : if g_dut_verify_mux = true generate
@@ -332,29 +335,29 @@ begin
     lane_tx_channel <= lane_tx_sosi.channel(c_nof_input_w - 1 downto 0);
 
     dut : entity work.dp_mux
-    generic map (
-      g_data_w          => c_lane_dat_w,
-      g_empty_w         => 1,  -- not used
-      g_in_channel_w    => 1,  -- not used
-      g_error_w         => 1,  -- not used
-      g_use_empty       => false,
-      g_use_in_channel  => false,
-      g_use_error       => false,
-      g_nof_input       => c_nof_input,
-      g_use_fifo        => true,
-      g_fifo_size       => (c_b_scheduler_size, c_x_scheduler_size),  -- 0 TO 1
-      g_fifo_fill       => (c_b_scheduler_fill, c_x_scheduler_fill)  -- 0 TO 1
-    )
-    port map (
-      rst         => rst_dut,
-      clk         => clk,
-      -- ST sinks
-      snk_out_arr => OPEN,  -- OUT = request to upstream ST source
-      snk_in_arr  => scheduler_sosi,
-      -- ST source
-      src_in      => c_dp_siso_rdy,  -- IN  = request from downstream ST sink
-      src_out     => lane_tx_sosi
-    );
+      generic map (
+        g_data_w          => c_lane_dat_w,
+        g_empty_w         => 1,  -- not used
+        g_in_channel_w    => 1,  -- not used
+        g_error_w         => 1,  -- not used
+        g_use_empty       => false,
+        g_use_in_channel  => false,
+        g_use_error       => false,
+        g_nof_input       => c_nof_input,
+        g_use_fifo        => true,
+        g_fifo_size       => (c_b_scheduler_size, c_x_scheduler_size),  -- 0 TO 1
+        g_fifo_fill       => (c_b_scheduler_fill, c_x_scheduler_fill)  -- 0 TO 1
+      )
+      port map (
+        rst         => rst_dut,
+        clk         => clk,
+        -- ST sinks
+        snk_out_arr => OPEN,  -- OUT = request to upstream ST source
+        snk_in_arr  => scheduler_sosi,
+        -- ST source
+        src_in      => c_dp_siso_rdy,  -- IN  = request from downstream ST sink
+        src_out     => lane_tx_sosi
+      );
   end generate;
 
   ------------------------------------------------------------------------------
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_gap.vhd b/libraries/base/dp/tb/vhdl/tb_dp_gap.vhd
index c43c39581f..5da3695448 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_gap.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_gap.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_dp_gap is
 end tb_dp_gap;
@@ -121,7 +121,7 @@ begin
     wait;
   end process;
 
-   -- Generate tx_sosi for DUT using counter data generator
+  -- Generate tx_sosi for DUT using counter data generator
   proc_dp_gen_data(c_rl, c_dat_w, c_tx_init, rst, clk, tx_enable, tx_siso, tx_sosi);
 
   -- Enable verification when valid output data is expected, i.e. when prev_data should be /= 'X'
@@ -136,20 +136,20 @@ begin
   proc_dp_verify_data("gap_sosi.data", c_rl, clk, verify_en, gap_siso.ready, gap_sosi.valid, gap_sosi.data(c_dat_w - 1 downto 0), prev_data2);
 
   dut : entity work.dp_gap
-  generic map (
-    g_dat_len => 100,
-    g_gap_len => 5,
-    g_gap_extend => true
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-
-    snk_out   => tx_siso,
-    snk_in    => tx_sosi,
-
-    src_in    => gap_siso,
-    src_out   => gap_sosi
-  );
+    generic map (
+      g_dat_len => 100,
+      g_gap_len => 5,
+      g_gap_extend => true
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+
+      snk_out   => tx_siso,
+      snk_in    => tx_sosi,
+
+      src_in    => gap_siso,
+      src_out   => gap_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_hdr_insert_remove.vhd b/libraries/base/dp/tb/vhdl/tb_dp_hdr_insert_remove.vhd
index 7fff19d325..05fd051276 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_hdr_insert_remove.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_hdr_insert_remove.vhd
@@ -21,16 +21,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
-use common_lib.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
+  use common_lib.common_str_pkg.all;
 
 entity tb_dp_hdr_insert_remove is
   generic (
@@ -87,9 +87,9 @@ architecture tb of tb_dp_hdr_insert_remove is
   signal prev_out_bsn         : std_logic_vector(c_bsn_w - 1 downto 0) := (others => '1');  -- = -1
   signal prev_out_channel     : std_logic_vector(c_dp_stream_channel_w - 1 downto 0) := TO_SVEC(c_channel_init - 1, c_dp_stream_channel_w);
   signal prev_out_err         : std_logic_vector(c_dp_stream_error_w - 1 downto 0) := TO_SVEC(c_err_init - 1, c_dp_stream_error_w);
---  SIGNAL expected_out_bsn     : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
---  SIGNAL expected_out_channel : STD_LOGIC_VECTOR(c_dp_stream_channel_w-1 DOWNTO 0);
---  SIGNAL expected_out_err     : STD_LOGIC_VECTOR(c_dp_stream_error_w-1 DOWNTO 0);
+  --  SIGNAL expected_out_bsn     : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+  --  SIGNAL expected_out_channel : STD_LOGIC_VECTOR(c_dp_stream_channel_w-1 DOWNTO 0);
+  --  SIGNAL expected_out_err     : STD_LOGIC_VECTOR(c_dp_stream_error_w-1 DOWNTO 0);
 
   -- tb dut
   signal in_siso              : t_dp_siso;
@@ -210,13 +210,13 @@ begin
       v_symbol  := (v_symbol + g_nof_symbols) mod c_symbol_mod;
       v_channel := v_channel + 1;
       v_err     := v_err + 1;
-      --proc_common_wait_some_cycles(st_clk, 10);               -- create gap between frames
+    --proc_common_wait_some_cycles(st_clk, 10);               -- create gap between frames
     end loop;
 
     -- End of stimuli
---    expected_out_bsn     <= INCR_UVEC(v_bsn, -1);
---    expected_out_channel <= TO_UVEC(v_channel-1, c_dp_stream_channel_w);
---    expected_out_err     <= TO_UVEC(v_err-1, c_dp_stream_error_w);
+    --    expected_out_bsn     <= INCR_UVEC(v_bsn, -1);
+    --    expected_out_channel <= TO_UVEC(v_channel-1, c_dp_stream_channel_w);
+    --    expected_out_err     <= TO_UVEC(v_err-1, c_dp_stream_error_w);
 
     proc_common_wait_some_cycles(st_clk, 50);  -- depends on stream control
     verify_done <= '1';
@@ -255,50 +255,50 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_hdr_insert : entity work.dp_hdr_insert
-  generic map (
-    g_data_w        => g_data_w,
-    g_symbol_w      => g_symbol_w,
-    g_hdr_nof_words => c_hdr_nof_words
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    ram_mosi    => ram_hdr_mosi,
-    reg_mosi    => reg_hdr_mosi,
-
-    snk_out     => in_siso,
-    snk_in      => in_sosi,
-
-    src_in      => hdr_siso,
-    src_out     => hdr_sosi
-  );
+    generic map (
+      g_data_w        => g_data_w,
+      g_symbol_w      => g_symbol_w,
+      g_hdr_nof_words => c_hdr_nof_words
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      ram_mosi    => ram_hdr_mosi,
+      reg_mosi    => reg_hdr_mosi,
+
+      snk_out     => in_siso,
+      snk_in      => in_sosi,
+
+      src_in      => hdr_siso,
+      src_out     => hdr_sosi
+    );
 
   u_hdr_remove : entity work.dp_hdr_remove
-  generic map (
-    g_data_w        => g_data_w,
-    g_symbol_w      => g_symbol_w,
-    g_hdr_nof_words => c_hdr_nof_words
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    snk_out     => hdr_siso,
-    snk_in      => hdr_sosi,
-
-    sla_in      => ram_hdr_mosi,
-    sla_out     => hdr_data_miso,
-
-    src_in      => out_siso,
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w        => g_data_w,
+      g_symbol_w      => g_symbol_w,
+      g_hdr_nof_words => c_hdr_nof_words
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      snk_out     => hdr_siso,
+      snk_in      => hdr_sosi,
+
+      sla_in      => ram_hdr_mosi,
+      sla_out     => hdr_data_miso,
+
+      src_in      => out_siso,
+      src_out     => out_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   in_data     <= in_sosi.data(g_data_w - 1 downto 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_latency_adapter.vhd b/libraries/base/dp/tb/vhdl/tb_dp_latency_adapter.vhd
index 28a0757a53..e5c9d82c6e 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_latency_adapter.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_latency_adapter.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_latency_adapter is
 end tb_dp_latency_adapter;
@@ -215,20 +215,20 @@ begin
 
   gen_chain : for I in 0 to c_nof_dut - 1 generate
     dut : entity work.dp_latency_adapter
-    generic map (
-      g_in_latency  => c_dut_latency(I - 1),
-      g_out_latency => c_dut_latency(I)
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sink
-      snk_out   => dut_siso(I - 1),
-      snk_in    => dut_sosi(I - 1),
-      -- ST source
-      src_in    => dut_siso(I),
-      src_out   => dut_sosi(I)
-    );
+      generic map (
+        g_in_latency  => c_dut_latency(I - 1),
+        g_out_latency => c_dut_latency(I)
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sink
+        snk_out   => dut_siso(I - 1),
+        snk_in    => dut_sosi(I - 1),
+        -- ST source
+        src_in    => dut_siso(I),
+        src_out   => dut_sosi(I)
+      );
   end generate;
 
   -- map record to sl, slv
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_latency_fifo.vhd b/libraries/base/dp/tb/vhdl/tb_dp_latency_fifo.vhd
index 7af4073c2a..e3ae4962cd 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_latency_fifo.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_latency_fifo.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: Verify dp_latency_fifo
 -- Description:
@@ -161,7 +161,7 @@ begin
       v_symbol  := (v_symbol + g_nof_symbols_per_block) mod c_symbol_mod;
       v_channel := v_channel + 1;
       v_err     := v_err + 1;
-      --proc_common_wait_some_cycles(clk, 10);               -- create gap between frames
+    --proc_common_wait_some_cycles(clk, 10);               -- create gap between frames
     end loop;
 
     -- End of stimuli
@@ -200,26 +200,26 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.dp_latency_fifo
-  generic map (
-    g_bypass     => g_bypass,
-    g_input_rl   => g_input_rl,  -- input ready latency
-    g_output_rl  => g_output_rl,  -- output ready latency
-    g_fifo_size  => g_fifo_size
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Monitor FIFO filling
-    usedw       => fifo_usedw,
-    wr_ful      => fifo_ful,
-    rd_emp      => fifo_emp,
-    -- ST sink
-    snk_in      => in_sosi,
-    snk_out     => in_siso,
-    -- ST source
-    src_out     => out_sosi,
-    src_in      => out_siso
-  );
+    generic map (
+      g_bypass     => g_bypass,
+      g_input_rl   => g_input_rl,  -- input ready latency
+      g_output_rl  => g_output_rl,  -- output ready latency
+      g_fifo_size  => g_fifo_size
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Monitor FIFO filling
+      usedw       => fifo_usedw,
+      wr_ful      => fifo_ful,
+      rd_emp      => fifo_emp,
+      -- ST sink
+      snk_in      => in_sosi,
+      snk_out     => in_siso,
+      -- ST source
+      src_out     => out_sosi,
+      src_in      => out_siso
+    );
 
   -- Map to slv to ease monitoring in wave window
   in_data     <= in_sosi.data(c_data_w - 1 downto 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_mux.vhd b/libraries/base/dp/tb/vhdl/tb_dp_mux.vhd
index 9518336006..72e52a7206 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_mux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_mux.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_mux is
   generic (
@@ -261,31 +261,31 @@ begin
   end process;
 
   dut : entity work.dp_mux
-  generic map (
-    g_data_w          => c_dp_data_w,
-    g_empty_w         => c_dp_empty_w,
-    g_in_channel_w    => c_dut_in_channel_w,
-    g_error_w         => 1,
-    g_use_empty       => g_dut_use_empty,
-    g_use_in_channel  => g_dut_use_in_channel,
-    g_use_error       => false,
-    g_use_sync        => g_dut_use_sync,
-    g_mode            => g_mode,
-    g_nof_input       => g_dut_nof_input,
-    g_use_fifo        => g_dut_use_fifo,
-    g_fifo_size       => g_dut_fifo_size,
-    g_fifo_fill       => g_dut_fifo_fill
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- Control
-    sel_ctrl    => sel_ctrl,
-    -- ST sinks
-    snk_out_arr => in_siso,  -- OUT = request to upstream ST source
-    snk_in_arr  => in_sosi,
-    -- ST source
-    src_in      => mux_siso,  -- IN  = request from downstream ST sink
-    src_out     => mux_sosi
-  );
+    generic map (
+      g_data_w          => c_dp_data_w,
+      g_empty_w         => c_dp_empty_w,
+      g_in_channel_w    => c_dut_in_channel_w,
+      g_error_w         => 1,
+      g_use_empty       => g_dut_use_empty,
+      g_use_in_channel  => g_dut_use_in_channel,
+      g_use_error       => false,
+      g_use_sync        => g_dut_use_sync,
+      g_mode            => g_mode,
+      g_nof_input       => g_dut_nof_input,
+      g_use_fifo        => g_dut_use_fifo,
+      g_fifo_size       => g_dut_fifo_size,
+      g_fifo_fill       => g_dut_fifo_fill
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- Control
+      sel_ctrl    => sel_ctrl,
+      -- ST sinks
+      snk_out_arr => in_siso,  -- OUT = request to upstream ST source
+      snk_in_arr  => in_sosi,
+      -- ST source
+      src_in      => mux_siso,  -- IN  = request from downstream ST sink
+      src_out     => mux_sosi
+    );
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_offload_rx_filter.vhd b/libraries/base/dp/tb/vhdl/tb_dp_offload_rx_filter.vhd
index 6af75575e4..49d0a80ba2 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_offload_rx_filter.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_offload_rx_filter.vhd
@@ -36,16 +36,16 @@
 -- . signal tb_end will stop the simulation by stopping the clk
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_offload_rx_filter is
 end tb_dp_offload_rx_filter;
@@ -54,7 +54,7 @@ end tb_dp_offload_rx_filter;
 architecture tb of tb_dp_offload_rx_filter is
 
   constant c_nof_stream     : natural := 1;
-  constant c_data_w				  : natural := 64;
+  constant c_data_w          : natural := 64;
 
   constant c_correct_mac    : std_logic_vector(47 downto 0) := x"FFABCDABCDFF";
   constant c_correct_ip     : std_logic_vector(31 downto 0) := x"12345678";
@@ -66,53 +66,53 @@ architecture tb of tb_dp_offload_rx_filter is
   constant c_wrong_length   : natural := 60;
   constant c_wrong_port     : natural := 4001;
 
-  constant c_nof_packets		: natural := 5;
+  constant c_nof_packets    : natural := 5;
 
   constant c_nof_hdr_fields : natural := 3 + 12 + 4 + 9 + 1;
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := ( ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(1) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_word_align" ), "  ", 16, field_default(0) ) );
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(1) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ),
+    ( field_name_pad("usr_hdr_word_align" ), "  ", 16, field_default(0) ) );
 
   ----------------------------------------------------------------------------
   -- Clocks and resets
   ----------------------------------------------------------------------------
   constant c_dp_clk_period : time := 5 ns;
   constant c_nof_streams   : positive := 1;
-  constant hdr_fields_rst	 : t_slv_1024_arr(c_nof_streams - 1 downto 0) := (others => (others => '0'));
+  constant hdr_fields_rst   : t_slv_1024_arr(c_nof_streams - 1 downto 0) := (others => (others => '0'));
   signal dp_rst            : std_logic;
   signal dp_clk            : std_logic := '0';
 
   signal tb_end            : std_logic := '0';
-  signal toggle						 : boolean := false;
-  signal counter					 : natural := 0;
+  signal toggle             : boolean := false;
+  signal counter           : natural := 0;
 
-  signal in_sosi					 : t_dp_sosi := c_dp_sosi_rst;
+  signal in_sosi           : t_dp_sosi := c_dp_sosi_rst;
   signal snk_in_arr        : t_dp_sosi_arr(c_nof_streams - 1 downto 0) := (others => c_dp_sosi_rst);
   signal snk_out_arr       : t_dp_siso_arr(c_nof_streams - 1 downto 0);
   signal src_in_arr        : t_dp_siso_arr(c_nof_streams - 1 downto 0) := (others => c_dp_siso_rdy);
@@ -173,48 +173,48 @@ begin
 
   p_special_stimuli : process(in_sosi.sop)
   begin
-  	if in_sosi.sop = '1' then
-  		counter <= counter + 1;
-    	if toggle then
-    		hdr_fields_out_arr <= hdr_fields_in_arr;
-    	else
-    		hdr_fields_out_arr <= hdr_fields_wrong_arr;
-    	end if;
-    	toggle <= not toggle;
+    if in_sosi.sop = '1' then
+      counter <= counter + 1;
+      if toggle then
+        hdr_fields_out_arr <= hdr_fields_in_arr;
+      else
+        hdr_fields_out_arr <= hdr_fields_wrong_arr;
+      end if;
+      toggle <= not toggle;
     else
-    	hdr_fields_out_arr <= hdr_fields_rst;
+      hdr_fields_out_arr <= hdr_fields_rst;
     end if;
 
-		if c_nof_packets + 1 <= counter then
-    	tb_end <= '1';
+    if c_nof_packets + 1 <= counter then
+      tb_end <= '1';
     end if;
 
   end process;
 
 
   dut : entity work.dp_offload_rx_filter
-  generic map(
-    g_nof_streams         => c_nof_streams,  -- : POSITIVE;
-    g_data_w              => c_data_w,  -- : NATURAL;
-    g_hdr_field_arr       => c_hdr_field_arr,  -- : t_common_field_arr;
-    g_eth_dst_mac_ena     => true,  -- : BOOLEAN;
-    g_ip_dst_addr_ena     => true,  -- : BOOLEAN;
-    g_ip_total_length_ena => true,  -- : BOOLEAN;
-    g_udp_dst_port_ena    => true  -- : BOOLEAN
-  )
-  port map(
-
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-
-    snk_in_arr         => snk_in_arr,
-    snk_out_arr        => snk_out_arr,
-
-    src_out_arr        => src_out_arr,
-    src_in_arr         => src_in_arr,
-
-    hdr_fields_out_arr => hdr_fields_out_arr,
-    hdr_fields_in_arr  => hdr_fields_in_arr
-  );
+    generic map(
+      g_nof_streams         => c_nof_streams,  -- : POSITIVE;
+      g_data_w              => c_data_w,  -- : NATURAL;
+      g_hdr_field_arr       => c_hdr_field_arr,  -- : t_common_field_arr;
+      g_eth_dst_mac_ena     => true,  -- : BOOLEAN;
+      g_ip_dst_addr_ena     => true,  -- : BOOLEAN;
+      g_ip_total_length_ena => true,  -- : BOOLEAN;
+      g_udp_dst_port_ena    => true  -- : BOOLEAN
+    )
+    port map(
+
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+
+      snk_in_arr         => snk_in_arr,
+      snk_out_arr        => snk_out_arr,
+
+      src_out_arr        => src_out_arr,
+      src_in_arr         => src_in_arr,
+
+      hdr_fields_out_arr => hdr_fields_out_arr,
+      hdr_fields_in_arr  => hdr_fields_in_arr
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_offload_tx_v3.vhd b/libraries/base/dp/tb/vhdl/tb_dp_offload_tx_v3.vhd
index ad3da91825..69f465791b 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_offload_tx_v3.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_offload_tx_v3.vhd
@@ -53,17 +53,17 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_offload_tx_v3 is
@@ -138,141 +138,141 @@ architecture tb of tb_dp_offload_tx_v3 is
   -- . pre-calculated ip_header_checksum is valid only for UNB0, FN0 targeting IP 10.10.10.10
   -- . udp_total_length = 176 beamlets * 64b / 8b = 1408B + 14 DP bytes + 8 UDP bytes = 1430B
   constant c_udp_offload_hdr_field_arr : t_common_field_arr(c_udp_offload_nof_hdr_fields - 1 downto 0) := (  -- index
-         ( field_name_pad("eth_dst_mac"            ), "RW",      48, field_default(x"001B214368AC") ),  -- 21
-         ( field_name_pad("eth_src_mac"            ), "RW",      48, field_default(x"0123456789AB") ),  -- 20
-         ( field_name_pad("eth_type"               ), "RW",      16, field_default(x"0800") ),  -- 19
-         ( field_name_pad("ip_version"             ), "RW",       4, field_default(4) ),  -- 18
-         ( field_name_pad("ip_header_length"       ), "RW",       4, field_default(5) ),  -- 17
-         ( field_name_pad("ip_services"            ), "RW",       8, field_default(0) ),  -- 16
-         ( field_name_pad("ip_total_length"        ), "RW",      16, field_default(1450) ),  -- 15
-         ( field_name_pad("ip_identification"      ), "RW",      16, field_default(0) ),  -- 14
-         ( field_name_pad("ip_flags"               ), "RW",       3, field_default(2) ),  -- 13
-         ( field_name_pad("ip_fragment_offset"     ), "RW",      13, field_default(0) ),  -- 12
-         ( field_name_pad("ip_time_to_live"        ), "RW",       8, field_default(127) ),  -- 11
-         ( field_name_pad("ip_protocol"            ), "RW",       8, field_default(17) ),  -- 10
-         ( field_name_pad("ip_header_checksum"     ), "RW",      16, field_default(29928) ),  -- 9
-         ( field_name_pad("ip_src_addr"            ), "RW",      32, field_default(x"C0A80009") ),  -- 8
-         ( field_name_pad("ip_dst_addr"            ), "RW",      32, field_default(x"C0A80001") ),  -- 7
-         ( field_name_pad("udp_src_port"           ), "RW",      16, field_default(0) ),  -- 6
-         ( field_name_pad("udp_dst_port"           ), "RW",      16, field_default(0) ),  -- 5
-         ( field_name_pad("udp_total_length"       ), "RW",      16, field_default(1430) ),  -- 4
-         ( field_name_pad("udp_checksum"           ), "RW",      16, field_default(0) ),  -- 3
-         ( field_name_pad("dp_reserved"            ), "RW",      47, field_default(x"010203040506") ),  -- 2
-         ( field_name_pad("dp_sync"                ), "RW",       1, field_default(0) ),  -- 1
-         ( field_name_pad("dp_bsn"                 ), "RW", c_bsn_w, field_default(0) ) );  -- 0
+    ( field_name_pad("eth_dst_mac"            ), "RW",      48, field_default(x"001B214368AC") ),  -- 21
+    ( field_name_pad("eth_src_mac"            ), "RW",      48, field_default(x"0123456789AB") ),  -- 20
+    ( field_name_pad("eth_type"               ), "RW",      16, field_default(x"0800") ),  -- 19
+    ( field_name_pad("ip_version"             ), "RW",       4, field_default(4) ),  -- 18
+    ( field_name_pad("ip_header_length"       ), "RW",       4, field_default(5) ),  -- 17
+    ( field_name_pad("ip_services"            ), "RW",       8, field_default(0) ),  -- 16
+    ( field_name_pad("ip_total_length"        ), "RW",      16, field_default(1450) ),  -- 15
+    ( field_name_pad("ip_identification"      ), "RW",      16, field_default(0) ),  -- 14
+    ( field_name_pad("ip_flags"               ), "RW",       3, field_default(2) ),  -- 13
+    ( field_name_pad("ip_fragment_offset"     ), "RW",      13, field_default(0) ),  -- 12
+    ( field_name_pad("ip_time_to_live"        ), "RW",       8, field_default(127) ),  -- 11
+    ( field_name_pad("ip_protocol"            ), "RW",       8, field_default(17) ),  -- 10
+    ( field_name_pad("ip_header_checksum"     ), "RW",      16, field_default(29928) ),  -- 9
+    ( field_name_pad("ip_src_addr"            ), "RW",      32, field_default(x"C0A80009") ),  -- 8
+    ( field_name_pad("ip_dst_addr"            ), "RW",      32, field_default(x"C0A80001") ),  -- 7
+    ( field_name_pad("udp_src_port"           ), "RW",      16, field_default(0) ),  -- 6
+    ( field_name_pad("udp_dst_port"           ), "RW",      16, field_default(0) ),  -- 5
+    ( field_name_pad("udp_total_length"       ), "RW",      16, field_default(1430) ),  -- 4
+    ( field_name_pad("udp_checksum"           ), "RW",      16, field_default(0) ),  -- 3
+    ( field_name_pad("dp_reserved"            ), "RW",      47, field_default(x"010203040506") ),  -- 2
+    ( field_name_pad("dp_sync"                ), "RW",       1, field_default(0) ),  -- 1
+    ( field_name_pad("dp_bsn"                 ), "RW", c_bsn_w, field_default(0) ) );  -- 0
 
   -- TX: Corresponding storage of c_udp_offload_hdr_field_arr in MM register words
   -- . Note: It appears that the tx_hdr_word read values are the MM write values, so read of value from logic fields (with MM override '0', e.g. dp_bsn, eth_src_mac) is not supported.
   constant c_expected_tx_hdr_word_arr_default : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_default - 1) := (  -- word address
-                                                                             X"00000000",  -- 0   = dp_bsn[31:0]        -- readback is MM value, not the logic value
-                                                                             X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
-                                                                             X"00000000",  -- 2   = dp_sync
-                                                                             X"03040506",  -- 3   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 4   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 5   = udp_checksum
-                                                                             X"00000596",  -- 6   = udp_total_length
-                                                                             X"00000000",  -- 7   = udp_dst_port
-                                                                             X"00000000",  -- 8   = udp_src_port        -- readback is MM value, not the logic value
-                                                                             X"C0A80001",  -- 9   = ip_dst_addr
-                                                                             X"C0A80009",  -- 10  = ip_src_addr
-                                                                             X"000074E8",  -- 11  = ip_header_checksum
-                                                                             X"00000011",  -- 12  = ip_protocol
-                                                                             X"0000007F",  -- 13  = ip_time_to_live
-                                                                             X"00000000",  -- 14  = ip_fragment_offset
-                                                                             X"00000002",  -- 15  = ip_flags
-                                                                             X"00000000",  -- 16  = ip_identification
-                                                                             X"000005AA",  -- 17  = ip_total_length
-                                                                             X"00000000",  -- 18  = ip_services
-                                                                             X"00000005",  -- 19  = ip_header_length
-                                                                             X"00000004",  -- 20  = ip_version
-                                                                             X"00000800",  -- 21  = eth_type[15:0]
-                                                                             X"456789AB",  -- 22  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
-                                                                             X"00000123",  -- 23  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 24  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 25  = eth_dst_mac[47:32]
+    X"00000000",  -- 0   = dp_bsn[31:0]        -- readback is MM value, not the logic value
+    X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
+    X"00000000",  -- 2   = dp_sync
+    X"03040506",  -- 3   = dp_reserved[31:0]
+    X"00000102",  -- 4   = dp_reserved[47:32]
+    X"00000000",  -- 5   = udp_checksum
+    X"00000596",  -- 6   = udp_total_length
+    X"00000000",  -- 7   = udp_dst_port
+    X"00000000",  -- 8   = udp_src_port        -- readback is MM value, not the logic value
+    X"C0A80001",  -- 9   = ip_dst_addr
+    X"C0A80009",  -- 10  = ip_src_addr
+    X"000074E8",  -- 11  = ip_header_checksum
+    X"00000011",  -- 12  = ip_protocol
+    X"0000007F",  -- 13  = ip_time_to_live
+    X"00000000",  -- 14  = ip_fragment_offset
+    X"00000002",  -- 15  = ip_flags
+    X"00000000",  -- 16  = ip_identification
+    X"000005AA",  -- 17  = ip_total_length
+    X"00000000",  -- 18  = ip_services
+    X"00000005",  -- 19  = ip_header_length
+    X"00000004",  -- 20  = ip_version
+    X"00000800",  -- 21  = eth_type[15:0]
+    X"456789AB",  -- 22  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
+    X"00000123",  -- 23  = eth_src_mac[47:32]
+    X"214368AC",  -- 24  = eth_dst_mac[31:0]
+    X"0000001B");  -- 25  = eth_dst_mac[47:32]
 
   constant c_expected_tx_hdr_word_arr_shortened : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_shortened - 1) := (  -- word address
-                                                                             X"00000000",  -- 0   = dp_bsn[c_bsn_w-1:0] -- readback is MM value, not the logic value
-                                                                             X"00000000",  -- 1   = dp_sync
-                                                                             X"03040506",  -- 2   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 3   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 4   = udp_checksum
-                                                                             X"00000596",  -- 5   = udp_total_length
-                                                                             X"00000000",  -- 6   = udp_dst_port
-                                                                             X"00000000",  -- 7   = udp_src_port        -- readback is MM value, not the logic value
-                                                                             X"C0A80001",  -- 8   = ip_dst_addr
-                                                                             X"C0A80009",  -- 9   = ip_src_addr
-                                                                             X"000074E8",  -- 10  = ip_header_checksum
-                                                                             X"00000011",  -- 11  = ip_protocol
-                                                                             X"0000007F",  -- 12  = ip_time_to_live
-                                                                             X"00000000",  -- 13  = ip_fragment_offset
-                                                                             X"00000002",  -- 14  = ip_flags
-                                                                             X"00000000",  -- 15  = ip_identification
-                                                                             X"000005AA",  -- 16  = ip_total_length
-                                                                             X"00000000",  -- 17  = ip_services
-                                                                             X"00000005",  -- 18  = ip_header_length
-                                                                             X"00000004",  -- 19  = ip_version
-                                                                             X"00000800",  -- 20  = eth_type[15:0]
-                                                                             X"456789AB",  -- 21  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
-                                                                             X"00000123",  -- 22  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 23  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 24  = eth_dst_mac[47:32]
+    X"00000000",  -- 0   = dp_bsn[c_bsn_w-1:0] -- readback is MM value, not the logic value
+    X"00000000",  -- 1   = dp_sync
+    X"03040506",  -- 2   = dp_reserved[31:0]
+    X"00000102",  -- 3   = dp_reserved[47:32]
+    X"00000000",  -- 4   = udp_checksum
+    X"00000596",  -- 5   = udp_total_length
+    X"00000000",  -- 6   = udp_dst_port
+    X"00000000",  -- 7   = udp_src_port        -- readback is MM value, not the logic value
+    X"C0A80001",  -- 8   = ip_dst_addr
+    X"C0A80009",  -- 9   = ip_src_addr
+    X"000074E8",  -- 10  = ip_header_checksum
+    X"00000011",  -- 11  = ip_protocol
+    X"0000007F",  -- 12  = ip_time_to_live
+    X"00000000",  -- 13  = ip_fragment_offset
+    X"00000002",  -- 14  = ip_flags
+    X"00000000",  -- 15  = ip_identification
+    X"000005AA",  -- 16  = ip_total_length
+    X"00000000",  -- 17  = ip_services
+    X"00000005",  -- 18  = ip_header_length
+    X"00000004",  -- 19  = ip_version
+    X"00000800",  -- 20  = eth_type[15:0]
+    X"456789AB",  -- 21  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
+    X"00000123",  -- 22  = eth_src_mac[47:32]
+    X"214368AC",  -- 23  = eth_dst_mac[31:0]
+    X"0000001B");  -- 24  = eth_dst_mac[47:32]
 
   -- RX: Corresponding storage of c_udp_offload_hdr_field_arr in MM register words
   constant c_expected_rx_hdr_word_arr_default : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_default - 1) := (  -- word address
-                                                                             X"00000002",  -- 0   = dp_bsn[31:0]        -- dynamic value obtained from simulation
-                                                                             X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
-                                                                             X"00000001",  -- 2   = dp_sync             -- dynamic value obtained from simulation
-                                                                             X"03040506",  -- 3   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 4   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 5   = udp_checksum
-                                                                             X"00000596",  -- 6   = udp_total_length
-                                                                             X"00000000",  -- 7   = udp_dst_port
-                                                                             X"00000000",  -- 8   = udp_src_port
-                                                                             X"C0A80001",  -- 9   = ip_dst_addr
-                                                                             X"C0A80009",  -- 10  = ip_src_addr
-                                                                             X"000074E8",  -- 11  = ip_header_checksum
-                                                                             X"00000011",  -- 12  = ip_protocol
-                                                                             X"0000007F",  -- 13  = ip_time_to_live
-                                                                             X"00000000",  -- 14  = ip_fragment_offset
-                                                                             X"00000002",  -- 15  = ip_flags
-                                                                             X"00000000",  -- 16  = ip_identification
-                                                                             X"000005AA",  -- 17  = ip_total_length
-                                                                             X"00000000",  -- 18  = ip_services
-                                                                             X"00000005",  -- 19  = ip_header_length
-                                                                             X"00000004",  -- 20  = ip_version
-                                                                             X"00000800",  -- 21  = eth_type[15:0]
-                                                                             X"86080000",  -- 22  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
-                                                                             X"00000022",  -- 23  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 24  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 25  = eth_dst_mac[47:32]
+    X"00000002",  -- 0   = dp_bsn[31:0]        -- dynamic value obtained from simulation
+    X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
+    X"00000001",  -- 2   = dp_sync             -- dynamic value obtained from simulation
+    X"03040506",  -- 3   = dp_reserved[31:0]
+    X"00000102",  -- 4   = dp_reserved[47:32]
+    X"00000000",  -- 5   = udp_checksum
+    X"00000596",  -- 6   = udp_total_length
+    X"00000000",  -- 7   = udp_dst_port
+    X"00000000",  -- 8   = udp_src_port
+    X"C0A80001",  -- 9   = ip_dst_addr
+    X"C0A80009",  -- 10  = ip_src_addr
+    X"000074E8",  -- 11  = ip_header_checksum
+    X"00000011",  -- 12  = ip_protocol
+    X"0000007F",  -- 13  = ip_time_to_live
+    X"00000000",  -- 14  = ip_fragment_offset
+    X"00000002",  -- 15  = ip_flags
+    X"00000000",  -- 16  = ip_identification
+    X"000005AA",  -- 17  = ip_total_length
+    X"00000000",  -- 18  = ip_services
+    X"00000005",  -- 19  = ip_header_length
+    X"00000004",  -- 20  = ip_version
+    X"00000800",  -- 21  = eth_type[15:0]
+    X"86080000",  -- 22  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
+    X"00000022",  -- 23  = eth_src_mac[47:32]
+    X"214368AC",  -- 24  = eth_dst_mac[31:0]
+    X"0000001B");  -- 25  = eth_dst_mac[47:32]
 
   constant c_expected_rx_hdr_word_arr_shortened : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_shortened - 1) := (  -- word address
-                                                                             X"00000002",  -- 0   = dp_bsn[c_bsn_w-1:0] -- dynamic value obtained from simulation
-                                                                             X"00000001",  -- 1   = dp_sync             -- dynamic value obtained from simulation
-                                                                             X"03040506",  -- 2   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 3   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 4   = udp_checksum
-                                                                             X"00000596",  -- 5   = udp_total_length
-                                                                             X"00000000",  -- 6   = udp_dst_port
-                                                                             X"00000000",  -- 7   = udp_src_port
-                                                                             X"C0A80001",  -- 8   = ip_dst_addr
-                                                                             X"C0A80009",  -- 9   = ip_src_addr
-                                                                             X"000074E8",  -- 10  = ip_header_checksum
-                                                                             X"00000011",  -- 11  = ip_protocol
-                                                                             X"0000007F",  -- 12  = ip_time_to_live
-                                                                             X"00000000",  -- 13  = ip_fragment_offset
-                                                                             X"00000002",  -- 14  = ip_flags
-                                                                             X"00000000",  -- 15  = ip_identification
-                                                                             X"000005AA",  -- 16  = ip_total_length
-                                                                             X"00000000",  -- 17  = ip_services
-                                                                             X"00000005",  -- 18  = ip_header_length
-                                                                             X"00000004",  -- 19  = ip_version
-                                                                             X"00000800",  -- 20  = eth_type[15:0]
-                                                                             X"86080000",  -- 21  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
-                                                                             X"00000022",  -- 22  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 23  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 24  = eth_dst_mac[47:32]
+    X"00000002",  -- 0   = dp_bsn[c_bsn_w-1:0] -- dynamic value obtained from simulation
+    X"00000001",  -- 1   = dp_sync             -- dynamic value obtained from simulation
+    X"03040506",  -- 2   = dp_reserved[31:0]
+    X"00000102",  -- 3   = dp_reserved[47:32]
+    X"00000000",  -- 4   = udp_checksum
+    X"00000596",  -- 5   = udp_total_length
+    X"00000000",  -- 6   = udp_dst_port
+    X"00000000",  -- 7   = udp_src_port
+    X"C0A80001",  -- 8   = ip_dst_addr
+    X"C0A80009",  -- 9   = ip_src_addr
+    X"000074E8",  -- 10  = ip_header_checksum
+    X"00000011",  -- 11  = ip_protocol
+    X"0000007F",  -- 12  = ip_time_to_live
+    X"00000000",  -- 13  = ip_fragment_offset
+    X"00000002",  -- 14  = ip_flags
+    X"00000000",  -- 15  = ip_identification
+    X"000005AA",  -- 16  = ip_total_length
+    X"00000000",  -- 17  = ip_services
+    X"00000005",  -- 18  = ip_header_length
+    X"00000004",  -- 19  = ip_version
+    X"00000800",  -- 20  = eth_type[15:0]
+    X"86080000",  -- 21  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
+    X"00000022",  -- 22  = eth_src_mac[47:32]
+    X"214368AC",  -- 23  = eth_dst_mac[31:0]
+    X"0000001B");  -- 24  = eth_dst_mac[47:32]
 
   -- From apertif_unb1_fn_beamformer_udp_offload.vhd:                                           221   111111111000   0000   000
   -- Override ('1') only the Ethernet fields so we can use MM defaults there.                   109   876543210987   6543   210
@@ -358,38 +358,38 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_data_init      => c_data_init,
-    g_bsn_init       => c_bsn_init,
-    -- specific
-    g_in_dat_w       => g_data_w,
-    g_nof_repeat     => c_nof_packets,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_wait_last_evt
-  )
-  port map (
-    rst                 => dp_rst,
-    clk                 => dp_clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_data_init      => c_data_init,
+      g_bsn_init       => c_bsn_init,
+      -- specific
+      g_in_dat_w       => g_data_w,
+      g_nof_repeat     => c_nof_packets,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_wait_last_evt
+    )
+    port map (
+      rst                 => dp_rst,
+      clk                 => dp_clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -424,37 +424,37 @@ begin
   verify_last_snk_in_evt.err     <= '0';
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => g_data_w,
-    g_pkt_len        => c_expected_pkt_len
-  )
-  port map (
-    rst                        => dp_rst,
-    clk                        => dp_clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => g_data_w,
+      g_pkt_len        => c_expected_pkt_len
+    )
+    port map (
+      rst                        => dp_rst,
+      clk                        => dp_clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT offload Tx
@@ -464,23 +464,23 @@ begin
   -- Use FIFO to mimic apertif_unb1_fn_beamformer_udp_offload.vhd, without FIFO dp_stream_stimuli
   -- would handle the back pressure
   u_dp_fifo_sc : entity work.dp_fifo_sc
-  generic map (
-    g_data_w         => g_data_w,
-    g_bsn_w          => 64,
-    g_use_sync       => true,
-    g_use_bsn        => true,
-    g_fifo_size      => 1024
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-
-    snk_out     => OPEN,  -- stimuli_src_in
-    snk_in      => stimuli_src_out,
-
-    src_in      => dp_fifo_sc_src_in,
-    src_out     => dp_fifo_sc_src_out
-  );
+    generic map (
+      g_data_w         => g_data_w,
+      g_bsn_w          => 64,
+      g_use_sync       => true,
+      g_use_bsn        => true,
+      g_fifo_size      => 1024
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+
+      snk_out     => OPEN,  -- stimuli_src_in
+      snk_in      => stimuli_src_out,
+
+      src_in      => dp_fifo_sc_src_in,
+      src_out     => dp_fifo_sc_src_out
+    );
 
   dp_offload_tx_snk_in_arr(0) <= dp_fifo_sc_src_out;
   dp_fifo_sc_src_in           <= dp_offload_tx_snk_out_arr(0);
@@ -499,32 +499,32 @@ begin
   tx_hdr_fields_in_arr(0)(field_hi(c_udp_offload_hdr_field_arr, "dp_bsn"      ) downto field_lo(c_udp_offload_hdr_field_arr, "dp_bsn"          )) <=     dp_offload_tx_snk_in_arr(0).bsn(c_bsn_w - 1 downto 0);
 
   u_tx : entity work.dp_offload_tx_v3
-  generic map (
-    g_nof_streams    => 1,
-    g_data_w         => g_data_w,
-    g_symbol_w       => g_symbol_w,
-    g_hdr_field_arr  => c_udp_offload_hdr_field_arr,
-    g_hdr_field_sel  => c_hdr_field_ovr_init
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_tx_snk_in_arr,
-    snk_out_arr           => dp_offload_tx_snk_out_arr,
-
-    src_out_arr           => tx_offload_sosi_arr,
-    src_in_arr            => tx_offload_siso_arr,
-
-    hdr_fields_in_arr     => tx_hdr_fields_in_arr,
-    hdr_fields_out_arr    => tx_hdr_fields_out_arr
-  );
+    generic map (
+      g_nof_streams    => 1,
+      g_data_w         => g_data_w,
+      g_symbol_w       => g_symbol_w,
+      g_hdr_field_arr  => c_udp_offload_hdr_field_arr,
+      g_hdr_field_sel  => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_tx_snk_in_arr,
+      snk_out_arr           => dp_offload_tx_snk_out_arr,
+
+      src_out_arr           => tx_offload_sosi_arr,
+      src_in_arr            => tx_offload_siso_arr,
+
+      hdr_fields_in_arr     => tx_hdr_fields_in_arr,
+      hdr_fields_out_arr    => tx_hdr_fields_out_arr
+    );
 
 
   p_rd_tx_hdr_words : process
@@ -583,33 +583,33 @@ begin
   ------------------------------------------------------------------------------
 
   u_rx : entity work.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => g_data_w,
-    g_symbol_w            => g_symbol_w,
-    g_hdr_field_arr       => c_udp_offload_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
-
-    snk_in_arr            => link_offload_sosi_arr,
-    snk_out_arr           => link_offload_siso_arr,
-
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
-
-    hdr_fields_out_arr    => rx_hdr_fields_out_arr,
-    hdr_fields_raw_arr    => rx_hdr_fields_raw_arr
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => g_data_w,
+      g_symbol_w            => g_symbol_w,
+      g_hdr_field_arr       => c_udp_offload_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+
+      snk_in_arr            => link_offload_sosi_arr,
+      snk_out_arr           => link_offload_siso_arr,
+
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => rx_hdr_fields_out_arr,
+      hdr_fields_raw_arr    => rx_hdr_fields_raw_arr
+    );
 
   p_restore_sync_bsn : process(dp_offload_rx_src_out_arr, rx_hdr_fields_out_arr)
   begin
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_packet.vhd b/libraries/base/dp/tb/vhdl/tb_dp_packet.vhd
index 0a4f1ea664..a03a8c5610 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_packet.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_packet.vhd
@@ -27,13 +27,13 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_packet is
@@ -68,8 +68,8 @@ architecture tb of tb_dp_packet is
   constant c_nof_ch                   : natural := 10;
   constant c_len_arr                  : t_natural_arr(0 to c_nof_ch - 1) := (1, 1, 1, 2, 3, 5, 10, 17, 32, 100);
   -- Use these to verify the entire channel field width.
---   CONSTANT c_nof_ch                   : NATURAL := c_channel_mod + 10;
---   CONSTANT c_len_arr                  : t_natural_arr(0 TO c_nof_ch-1) := array_init(1, c_nof_ch, 0);
+  --   CONSTANT c_nof_ch                   : NATURAL := c_channel_mod + 10;
+  --   CONSTANT c_len_arr                  : t_natural_arr(0 TO c_nof_ch-1) := array_init(1, c_nof_ch, 0);
 
   signal tb_end            : std_logic := '0';
   signal clk               : std_logic := '1';
@@ -248,19 +248,19 @@ begin
 
   -- Encode the data block to a DP packet
   u_dp_packet_enc : entity work.dp_packet_enc
-  generic map (
-    g_data_w => g_data_w
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    -- ST sinks
-    snk_out   => in_siso,
-    snk_in    => in_sosi,
-    -- ST source
-    src_in    => enc_siso,
-    src_out   => enc_sosi
-  );
+    generic map (
+      g_data_w => g_data_w
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      -- ST sinks
+      snk_out   => in_siso,
+      snk_in    => in_sosi,
+      -- ST source
+      src_in    => enc_siso,
+      src_out   => enc_sosi
+    );
 
   -- Restrict to link g_data_w
   enc_siso <= pkt_siso;
@@ -284,19 +284,19 @@ begin
 
   -- Decode the DP packet into a data block
   u_dp_packet_dec : entity work.dp_packet_dec
-  generic map (
-    g_data_w => g_data_w
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    -- ST sinks
-    snk_out   => pkt_siso,
-    snk_in    => pkt_sosi,
-    -- ST source
-    src_in    => rx_siso,
-    src_out   => rx_sosi
-  );
+    generic map (
+      g_data_w => g_data_w
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      -- ST sinks
+      snk_out   => pkt_siso,
+      snk_in    => pkt_sosi,
+      -- ST source
+      src_in    => rx_siso,
+      src_out   => rx_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   rx_data    <= rx_sosi.data(rx_data'range);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_packet_merge.vhd b/libraries/base/dp/tb/vhdl/tb_dp_packet_merge.vhd
index 2c60d0f34f..085d69d443 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_packet_merge.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_packet_merge.vhd
@@ -45,13 +45,13 @@
 -- . Add tb_tb_dp_packet_merge to the DP lib regression testbench tb_tb_tb_backpressure.vhd
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_packet_merge is
@@ -302,22 +302,22 @@ begin
 
   -- Merge every g_nof_pkt incomming packets into output packets
   u_dp_packet_merge : entity work.dp_packet_merge
-  generic map (
-    g_nof_pkt       => g_nof_pkt,
-    g_align_at_sync => g_align_at_sync,
-    g_bsn_increment => g_bsn_increment,
-    g_bsn_err_bi    => c_bsn_err_bi
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-
-    snk_out   => dp_packet_merge_snk_out,
-    snk_in    => dp_packet_merge_snk_in,
-
-    src_in    => dp_packet_merge_src_in,
-    src_out   => dp_packet_merge_src_out
-  );
+    generic map (
+      g_nof_pkt       => g_nof_pkt,
+      g_align_at_sync => g_align_at_sync,
+      g_bsn_increment => g_bsn_increment,
+      g_bsn_err_bi    => c_bsn_err_bi
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+
+      snk_out   => dp_packet_merge_snk_out,
+      snk_in    => dp_packet_merge_snk_in,
+
+      src_in    => dp_packet_merge_src_in,
+      src_out   => dp_packet_merge_src_out
+    );
 
 
   ------------------------------------------------------------------------------
@@ -330,19 +330,19 @@ begin
 
   gen_dp_packet_unmerge : if g_use_dp_packet_unmerge = true generate
     u_dp_packet_unmerge : entity work.dp_packet_unmerge
-    generic map (
-      g_nof_pkt => g_nof_pkt
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-
-      snk_out   => dp_packet_merge_src_in,
-      snk_in    => dp_packet_merge_src_out,
-
-      src_in    => dp_packet_unmerge_src_in,
-      src_out   => dp_packet_unmerge_src_out
-    );
+      generic map (
+        g_nof_pkt => g_nof_pkt
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+
+        snk_out   => dp_packet_merge_src_in,
+        snk_in    => dp_packet_merge_src_out,
+
+        src_in    => dp_packet_unmerge_src_in,
+        src_out   => dp_packet_unmerge_src_out
+      );
 
     dp_packet_unmerge_src_in <= verify_snk_out;
     verify_snk_in            <= dp_packet_unmerge_src_out;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_packetizing.vhd b/libraries/base/dp/tb/vhdl/tb_dp_packetizing.vhd
index ceb0c22c1d..432155bb5e 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_packetizing.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_packetizing.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_packetizing_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_packetizing_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_packetizing is
@@ -200,95 +200,95 @@ begin
 
   -- Determine the data block control signals: sof, eof, err and fsn
   u_frame_fsn : entity work.dp_frame_fsn
-  generic map (
-    g_fsn_w      => c_fsn_w,
-    g_dat_w      => g_usr_dat_w,
-    g_use_sync   => true,
-    g_block_size => c_usr_block_size
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    in_sync      => in_sync,
-    in_val       => in_val,
-    in_dat       => in_dat,
-    out_fsn      => xmt_fsn,
-    out_dat      => xmt_dat,
-    out_val      => xmt_val,
-    out_sof      => xmt_sof,
-    out_eof      => xmt_eof,
-    out_err      => xmt_err
-  );
+    generic map (
+      g_fsn_w      => c_fsn_w,
+      g_dat_w      => g_usr_dat_w,
+      g_use_sync   => true,
+      g_block_size => c_usr_block_size
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      in_sync      => in_sync,
+      in_val       => in_val,
+      in_dat       => in_dat,
+      out_fsn      => xmt_fsn,
+      out_dat      => xmt_dat,
+      out_val      => xmt_val,
+      out_sof      => xmt_sof,
+      out_eof      => xmt_eof,
+      out_err      => xmt_err
+    );
 
   -- Insert the fsn and err into the internal data path frame format
   u_frame : entity work.dp_frame
-  generic map (
-    g_fsn_w      => c_fsn_w,
-    g_dat_w      => g_usr_dat_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_fsn       => xmt_fsn,
-    in_dat       => xmt_dat,
-    in_val       => xmt_val,
-    in_sof       => xmt_sof,
-    in_eof       => xmt_eof,
-    in_err       => xmt_err,
-
-    out_dat      => xmt_frm_dat,
-    out_val      => xmt_frm_val,
-    out_sof      => xmt_frm_sof,
-    out_eof      => xmt_frm_eof
-  );
+    generic map (
+      g_fsn_w      => c_fsn_w,
+      g_dat_w      => g_usr_dat_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_fsn       => xmt_fsn,
+      in_dat       => xmt_dat,
+      in_val       => xmt_val,
+      in_sof       => xmt_sof,
+      in_eof       => xmt_eof,
+      in_err       => xmt_err,
+
+      out_dat      => xmt_frm_dat,
+      out_val      => xmt_frm_val,
+      out_sof      => xmt_frm_sof,
+      out_eof      => xmt_frm_eof
+    );
 
   -- Pack the user data width frame data into the PHY data width frame data
   -- Note:
   -- . The proc_dp_gen_block_data appies throttling if g_usr_nof_words <
   --   g_phy_nof_words, so xmt_frm_val has a sufficiently slow pace.
   u_frame_pack : entity work.dp_frame_repack
-  generic map (
-    g_in_dat_w      => g_usr_dat_w,
-    g_in_nof_words  => g_usr_nof_words,
-    g_out_dat_w     => g_phy_dat_w,
-    g_out_nof_words => g_phy_nof_words
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => xmt_frm_dat,
-    in_val       => xmt_frm_val,
-    in_sof       => xmt_frm_sof,
-    in_eof       => xmt_frm_eof,
-
-    out_dat      => xmt_pack_dat,
-    out_val      => xmt_pack_val,
-    out_sof      => xmt_pack_sof,
-    out_eof      => xmt_pack_eof
-  );
+    generic map (
+      g_in_dat_w      => g_usr_dat_w,
+      g_in_nof_words  => g_usr_nof_words,
+      g_out_dat_w     => g_phy_dat_w,
+      g_out_nof_words => g_phy_nof_words
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => xmt_frm_dat,
+      in_val       => xmt_frm_val,
+      in_sof       => xmt_frm_sof,
+      in_eof       => xmt_frm_eof,
+
+      out_dat      => xmt_pack_dat,
+      out_val      => xmt_pack_val,
+      out_sof      => xmt_pack_sof,
+      out_eof      => xmt_pack_eof
+    );
 
   -- Transmit the internal data path frame as a dp PHY frame with SFD and a true CRC
   u_frame_tx : entity work.dp_frame_tx
-  generic map (
-    g_sfd        => c_dp_sfd,
-    g_dat_w      => g_phy_dat_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => xmt_pack_dat,
-    in_val       => xmt_pack_val,
-    in_sof       => xmt_pack_sof,
-    in_eof       => xmt_pack_eof,
-
-    out_dat      => xmt_pace_dat,
-    out_val      => xmt_pace_val(0),
-    out_sof      => xmt_pace_sof(0),
-    out_eof      => xmt_pace_eof(0)
-  );
+    generic map (
+      g_sfd        => c_dp_sfd,
+      g_dat_w      => g_phy_dat_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => xmt_pack_dat,
+      in_val       => xmt_pack_val,
+      in_sof       => xmt_pack_sof,
+      in_eof       => xmt_pack_eof,
+
+      out_dat      => xmt_pace_dat,
+      out_val      => xmt_pace_val(0),
+      out_sof      => xmt_pace_sof(0),
+      out_eof      => xmt_pace_eof(0)
+    );
 
 
   ------------------------------------------------------------------------------
@@ -297,37 +297,37 @@ begin
 
   -- Model the transceiver link
   u_transceiver_link : entity work.dp_phy_link
-  generic map (
-    g_latency        => c_phy_link_latency,
-    g_valid_support  => c_phy_link_valid_support
-  )
-  port map (
-    in_dat       => phy_tx_dat,
-    in_val       => phy_tx_val,
-
-    out_dat      => phy_rx_dat,
-    out_val      => phy_rx_val
-  );
+    generic map (
+      g_latency        => c_phy_link_latency,
+      g_valid_support  => c_phy_link_valid_support
+    )
+    port map (
+      in_dat       => phy_tx_dat,
+      in_val       => phy_tx_val,
+
+      out_dat      => phy_rx_dat,
+      out_val      => phy_rx_val
+    );
 
   -- Receive the dp PHY frame with SFD and a true CRC and extract the internal data path frame
   u_frame_rx : entity work.dp_frame_rx
-  generic map (
-    g_sfd        => c_dp_sfd,
-    g_dat_w      => g_phy_dat_w,
-    g_block_size => c_phy_block_size
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => phy_rx_dat,
-    in_val       => phy_rx_val,
-
-    out_dat      => rcv_pack_dat,
-    out_val      => rcv_pack_val,
-    out_sof      => rcv_pack_sof,
-    out_eof      => rcv_pack_eof
-  );
+    generic map (
+      g_sfd        => c_dp_sfd,
+      g_dat_w      => g_phy_dat_w,
+      g_block_size => c_phy_block_size
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => phy_rx_dat,
+      in_val       => phy_rx_val,
+
+      out_dat      => rcv_pack_dat,
+      out_val      => rcv_pack_val,
+      out_sof      => rcv_pack_sof,
+      out_eof      => rcv_pack_eof
+    );
 
   -- Transceiver link interface control
   gen_valid_support : if c_phy_link_valid_support = true generate
@@ -382,26 +382,26 @@ begin
       phy_tx_val <= phy_tx_sosi.valid;
 
       u_fifo_fill : entity work.dp_fifo_fill
-      generic map (
-        g_data_w      => g_phy_dat_w,
-        g_empty_w     => 1,
-        g_channel_w   => 1,
-        g_error_w     => 1,
-        g_use_empty   => false,
-        g_use_channel => false,
-        g_use_error   => false,
-        g_fifo_fill   => c_fifo_fill,
-        g_fifo_size   => c_fifo_size,
-        g_fifo_rl     => 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        snk_out     => OPEN,  -- OUT = request to upstream ST source
-        snk_in      => xmt_pace_sosi,
-        src_in      => c_dp_siso_rdy,  -- IN  = request from downstream ST sink
-        src_out     => phy_tx_sosi
-      );
+        generic map (
+          g_data_w      => g_phy_dat_w,
+          g_empty_w     => 1,
+          g_channel_w   => 1,
+          g_error_w     => 1,
+          g_use_empty   => false,
+          g_use_channel => false,
+          g_use_error   => false,
+          g_fifo_fill   => c_fifo_fill,
+          g_fifo_size   => c_fifo_size,
+          g_fifo_rl     => 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          snk_out     => OPEN,  -- OUT = request to upstream ST source
+          snk_in      => xmt_pace_sosi,
+          src_in      => c_dp_siso_rdy,  -- IN  = request from downstream ST sink
+          src_out     => phy_tx_sosi
+        );
     end generate;
 
     -- ==> 2) Use FIFO and dp_frame_rd to throttle the output frame for unpack if necessary
@@ -426,58 +426,58 @@ begin
       rcv_fifo_rd_eof <= rcv_fifo_rd_sosi.eop;
 
       dut : entity work.dp_fifo_sc
-      generic map (
-        g_data_w      => g_phy_dat_w,
-        g_empty_w     => 1,
-        g_channel_w   => 1,
-        g_error_w     => 1,
-        g_use_empty   => false,
-        g_use_channel => false,
-        g_use_error   => false,
-        g_use_ctrl    => true,
-        g_fifo_size   => c_rcv_fifo_nof_words,
-        g_fifo_rl     => 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        snk_out     => OPEN,  -- OUT = request to upstream ST source
-        snk_in      => rcv_pack_sosi,
-        usedw       => OPEN,
-        src_in      => rcv_fifo_rd_siso,  -- IN  = request from downstream ST sink
-        src_out     => rcv_fifo_rd_sosi
-      );
+        generic map (
+          g_data_w      => g_phy_dat_w,
+          g_empty_w     => 1,
+          g_channel_w   => 1,
+          g_error_w     => 1,
+          g_use_empty   => false,
+          g_use_channel => false,
+          g_use_error   => false,
+          g_use_ctrl    => true,
+          g_fifo_size   => c_rcv_fifo_nof_words,
+          g_fifo_rl     => 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          snk_out     => OPEN,  -- OUT = request to upstream ST source
+          snk_in      => rcv_pack_sosi,
+          usedw       => OPEN,
+          src_in      => rcv_fifo_rd_siso,  -- IN  = request from downstream ST sink
+          src_out     => rcv_fifo_rd_sosi
+        );
 
       u_rcv_throttle : entity work.dp_frame_rd
-      generic map (
-        g_dat_w          => g_phy_dat_w,
-        g_throttle_num   => g_phy_nof_words,
-        g_throttle_den   => g_usr_nof_words,
-        g_throttle_sof   => false,
-        g_throttle_eof   => false
-      )
-      port map (
-        rst              => rst,
-        clk              => clk,
-
-        frm_req          => '1',
-        frm_flush        => '0',
-        frm_ack          => OPEN,
-        frm_busy         => OPEN,
-        frm_err          => OPEN,
-        frm_done         => OPEN,
-
-        rd_req           => rcv_fifo_rd_req,
-        rd_dat           => rcv_fifo_rd_dat,
-        rd_val           => rcv_fifo_rd_val,
-        rd_sof           => rcv_fifo_rd_sof,
-        rd_eof           => rcv_fifo_rd_eof,
-
-        out_dat          => rcv_throttle_dat,
-        out_val          => rcv_throttle_val,
-        out_sof          => rcv_throttle_sof,
-        out_eof          => rcv_throttle_eof
-      );
+        generic map (
+          g_dat_w          => g_phy_dat_w,
+          g_throttle_num   => g_phy_nof_words,
+          g_throttle_den   => g_usr_nof_words,
+          g_throttle_sof   => false,
+          g_throttle_eof   => false
+        )
+        port map (
+          rst              => rst,
+          clk              => clk,
+
+          frm_req          => '1',
+          frm_flush        => '0',
+          frm_ack          => OPEN,
+          frm_busy         => OPEN,
+          frm_err          => OPEN,
+          frm_done         => OPEN,
+
+          rd_req           => rcv_fifo_rd_req,
+          rd_dat           => rcv_fifo_rd_dat,
+          rd_val           => rcv_fifo_rd_val,
+          rd_sof           => rcv_fifo_rd_sof,
+          rd_eof           => rcv_fifo_rd_eof,
+
+          out_dat          => rcv_throttle_dat,
+          out_val          => rcv_throttle_val,
+          out_sof          => rcv_throttle_sof,
+          out_eof          => rcv_throttle_eof
+        );
     end generate;  -- gen_rcv_throttle
   end generate;  -- no_valid_support
 
@@ -488,49 +488,49 @@ begin
 
   -- Unpack the PHY data width frame data into the user data width frame data
   u_frame_unpack : entity work.dp_frame_repack
-  generic map (
-    g_in_dat_w      => g_phy_dat_w,
-    g_in_nof_words  => g_phy_nof_words,
-    g_out_dat_w     => g_usr_dat_w,
-    g_out_nof_words => g_usr_nof_words
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => rcv_throttle_dat,
-    in_val       => rcv_throttle_val,
-    in_sof       => rcv_throttle_sof,
-    in_eof       => rcv_throttle_eof,
-
-    out_dat      => rcv_frm_dat,
-    out_val      => rcv_frm_val,
-    out_sof      => rcv_frm_sof,
-    out_eof      => rcv_frm_eof
-  );
+    generic map (
+      g_in_dat_w      => g_phy_dat_w,
+      g_in_nof_words  => g_phy_nof_words,
+      g_out_dat_w     => g_usr_dat_w,
+      g_out_nof_words => g_usr_nof_words
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => rcv_throttle_dat,
+      in_val       => rcv_throttle_val,
+      in_sof       => rcv_throttle_sof,
+      in_eof       => rcv_throttle_eof,
+
+      out_dat      => rcv_frm_dat,
+      out_val      => rcv_frm_val,
+      out_sof      => rcv_frm_sof,
+      out_eof      => rcv_frm_eof
+    );
 
   -- Extract the dat, fsn and err from the internal data path frame format
   u_unframe : entity work.dp_unframe
-  generic map (
-    g_fsn_w      => c_fsn_w,
-    g_dat_w      => g_usr_dat_w
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-
-    in_dat       => rcv_frm_dat,
-    in_val       => rcv_frm_val,
-    in_sof       => rcv_frm_sof,
-    in_eof       => rcv_frm_eof,
-
-    out_fsn      => rcv_fsn,
-    out_sync     => rcv_sync,
-    out_dat      => rcv_dat,
-    out_val      => rcv_val,
-    out_sof      => rcv_sof,
-    out_eof      => rcv_eof,
-    out_err      => rcv_err
-  );
+    generic map (
+      g_fsn_w      => c_fsn_w,
+      g_dat_w      => g_usr_dat_w
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+
+      in_dat       => rcv_frm_dat,
+      in_val       => rcv_frm_val,
+      in_sof       => rcv_frm_sof,
+      in_eof       => rcv_frm_eof,
+
+      out_fsn      => rcv_fsn,
+      out_sync     => rcv_sync,
+      out_dat      => rcv_dat,
+      out_val      => rcv_val,
+      out_sof      => rcv_sof,
+      out_eof      => rcv_eof,
+      out_err      => rcv_err
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_pad_insert_remove.vhd b/libraries/base/dp/tb/vhdl/tb_dp_pad_insert_remove.vhd
index c4f631b23f..f8504ca19d 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_pad_insert_remove.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_pad_insert_remove.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: Verify dp_pad_insert and dp_pad_remove
 -- Description:
@@ -178,7 +178,7 @@ begin
       v_symbol  := (v_symbol + g_nof_symbols) mod c_symbol_mod;
       v_channel := v_channel + 1;
       v_err     := v_err + 1;
-      --proc_common_wait_some_cycles(clk, 10);               -- create gap between frames
+    --proc_common_wait_some_cycles(clk, 10);               -- create gap between frames
     end loop;
 
     -- End of stimuli
@@ -228,34 +228,34 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_pad_insert : entity work.dp_pad_insert
-  generic map (
-    g_data_w      => g_data_w,
-    g_symbol_w    => g_symbol_w,
-    g_nof_padding => g_nof_padding
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => in_siso,
-    snk_in      => in_sosi,
-    src_in      => pad_siso,
-    src_out     => pad_sosi
-  );
+    generic map (
+      g_data_w      => g_data_w,
+      g_symbol_w    => g_symbol_w,
+      g_nof_padding => g_nof_padding
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => in_siso,
+      snk_in      => in_sosi,
+      src_in      => pad_siso,
+      src_out     => pad_sosi
+    );
 
   u_pad_remove : entity work.dp_pad_remove
-  generic map (
-    g_data_w      => g_data_w,
-    g_symbol_w    => g_symbol_w,
-    g_nof_padding => g_nof_padding
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => pad_siso,
-    snk_in      => pad_sosi,
-    src_in      => out_siso,
-    src_out     => out_sosi
-  );
+    generic map (
+      g_data_w      => g_data_w,
+      g_symbol_w    => g_symbol_w,
+      g_nof_padding => g_nof_padding
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => pad_siso,
+      snk_in      => pad_sosi,
+      src_in      => out_siso,
+      src_out     => out_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   in_data     <= in_sosi.data(g_data_w - 1 downto 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_pipeline.vhd b/libraries/base/dp/tb/vhdl/tb_dp_pipeline.vhd
index 55baa7e428..e2cf3d0926 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_pipeline.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_pipeline.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_pipeline is
   generic (
@@ -149,16 +149,16 @@ begin
   out_eop  <= out_sosi.eop;
 
   dut : entity work.dp_pipeline
-  generic map (
-    g_pipeline => g_pipeline
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    src_in      => out_siso,  -- IN  = request from downstream ST sink
-    src_out     => out_sosi
-  );
+    generic map (
+      g_pipeline => g_pipeline
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      src_in      => out_siso,  -- IN  = request from downstream ST sink
+      src_out     => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_pipeline_ready.vhd b/libraries/base/dp/tb/vhdl/tb_dp_pipeline_ready.vhd
index c218fc8360..cbfad0269c 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_pipeline_ready.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_pipeline_ready.vhd
@@ -28,13 +28,13 @@
 -- . The verify procedures check the correct output
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_pipeline_ready is
@@ -156,20 +156,20 @@ begin
 
   -- proc_dp_gen_block_data() only supports RL=0 or 1, so use a latency adpater to support any g_in_latency
   u_input_adapt : entity work.dp_latency_adapter
-  generic map (
-    g_in_latency   => c_rl,
-    g_out_latency  => g_in_latency
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => in_siso,
-    snk_in       => in_sosi,
-    -- ST source
-    src_in       => adapt_siso,
-    src_out      => adapt_sosi
-  );
+    generic map (
+      g_in_latency   => c_rl,
+      g_out_latency  => g_in_latency
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => in_siso,
+      snk_in       => in_sosi,
+      -- ST source
+      src_in       => adapt_siso,
+      src_out      => adapt_sosi
+    );
 
 
   ------------------------------------------------------------------------------
@@ -180,7 +180,7 @@ begin
   -- Verification logistics
   verify_en <= '1'          when rising_edge(clk) and out_sosi.sop = '1';  -- enable verify after first output sop
   count_eop <= count_eop + 1  when rising_edge(clk) and out_sosi.eop = '1' and((g_out_latency > 0) or
-                                                                           (g_out_latency = 0 and out_siso.ready = '1'));  -- count number of output eop
+                                                                                (g_out_latency = 0 and out_siso.ready = '1'));  -- count number of output eop
   verify_done <= '1'        when rising_edge(clk) and count_eop = g_nof_repeat;  -- signal verify done after g_nof_repeat frames
 
   -- Actual verification of the output streams
@@ -204,20 +204,20 @@ begin
   ------------------------------------------------------------------------------
 
   pipeline : entity work.dp_pipeline_ready
-  generic map (
-    g_in_latency   => g_in_latency,
-    g_out_latency  => g_out_latency
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => adapt_siso,
-    snk_in       => adapt_sosi,
-    -- ST source
-    src_in       => out_siso,
-    src_out      => out_sosi
-  );
+    generic map (
+      g_in_latency   => g_in_latency,
+      g_out_latency  => g_out_latency
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => adapt_siso,
+      snk_in       => adapt_sosi,
+      -- ST source
+      src_in       => out_siso,
+      src_out      => out_sosi
+    );
 
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_pkg.vhd b/libraries/base/dp/tb/vhdl/tb_dp_pkg.vhd
index b52ebc9b10..f5d426610f 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_pkg.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_pkg.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
 
 
 package tb_dp_pkg is
@@ -114,500 +114,553 @@ package tb_dp_pkg is
   -- Block data generator with feedforward throttle control
   -- !!! old style: sync before sop
   -- !!! used by tb_dp_packetizing, do not use for new DP components
-  procedure proc_dp_gen_block_data(constant c_nof_block_per_sync : in    natural;
-                                   constant c_block_size         : in    natural;
-                                   constant c_gap_size           : in    natural;
-                                   constant c_throttle_num       : in    natural;
-                                   constant c_throttle_den       : in    natural;
-                                   signal   rst                  : in    std_logic;
-                                   signal   clk                  : in    std_logic;
-                                   signal   sync_nr              : inout natural;
-                                   signal   block_nr             : inout natural;
-                                   signal   cnt_sync             : out   std_logic;
-                                   signal   cnt_val              : out   std_logic;
-                                   signal   cnt_dat              : inout std_logic_vector);
+  procedure proc_dp_gen_block_data (
+    constant c_nof_block_per_sync : in    natural;
+    constant c_block_size         : in    natural;
+    constant c_gap_size           : in    natural;
+    constant c_throttle_num       : in    natural;
+    constant c_throttle_den       : in    natural;
+    signal   rst                  : in    std_logic;
+    signal   clk                  : in    std_logic;
+    signal   sync_nr              : inout natural;
+    signal   block_nr             : inout natural;
+    signal   cnt_sync             : out   std_logic;
+    signal   cnt_val              : out   std_logic;
+    signal   cnt_dat              : inout std_logic_vector);
 
   -- Block data generator with ready flow control and symbols counter
-  procedure proc_dp_gen_block_data(constant c_ready_latency  : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                                   constant c_use_data       : in  boolean;  -- when TRUE use data field, else use re, im fields, and keep unused fields at 'X'
-                                   constant c_data_w         : in  natural;  -- data width for the data, re and im fields
-                                   constant c_symbol_w       : in  natural;  -- c_data_w/c_symbol_w must be an integer
-                                   constant c_symbol_init    : in  natural;  -- init counter for symbols in data field
-                                   constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
-                                   constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
-                                   constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data, re and im fields
-                                   constant c_channel        : in  natural;  -- channel field
-                                   constant c_error          : in  natural;  -- error field
-                                   constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
-                                   constant c_bsn            : in  std_logic_vector;  -- bsn field
-                                   signal   clk              : in  std_logic;
-                                   signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                                   signal   src_in           : in  t_dp_siso;
-                                   signal   src_out          : out t_dp_sosi);
+  procedure proc_dp_gen_block_data (
+    constant c_ready_latency  : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_use_data       : in  boolean;  -- when TRUE use data field, else use re, im fields, and keep unused fields at 'X'
+    constant c_data_w         : in  natural;  -- data width for the data, re and im fields
+    constant c_symbol_w       : in  natural;  -- c_data_w/c_symbol_w must be an integer
+    constant c_symbol_init    : in  natural;  -- init counter for symbols in data field
+    constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
+    constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
+    constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data, re and im fields
+    constant c_channel        : in  natural;  -- channel field
+    constant c_error          : in  natural;  -- error field
+    constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
+    constant c_bsn            : in  std_logic_vector;  -- bsn field
+    signal   clk              : in  std_logic;
+    signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in           : in  t_dp_siso;
+    signal   src_out          : out t_dp_sosi);
 
   -- Increment only sosi.data, keep complex re,im = 0
-  procedure proc_dp_gen_block_data(constant c_data_w         : in  natural;  -- data width for the data field
-                                   constant c_symbol_init    : in  natural;  -- init counter for the data in the data field
-                                   constant c_nof_symbols    : in  natural;  -- nof symbols = nof data per frame for the data fields
-                                   constant c_channel        : in  natural;  -- channel field
-                                   constant c_error          : in  natural;  -- error field
-                                   constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
-                                   constant c_bsn            : in  std_logic_vector;  -- bsn field
-                                   signal   clk              : in  std_logic;
-                                   signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                                   signal   src_in           : in  t_dp_siso;
-                                   signal   src_out          : out t_dp_sosi);
+  procedure proc_dp_gen_block_data (
+    constant c_data_w         : in  natural;  -- data width for the data field
+    constant c_symbol_init    : in  natural;  -- init counter for the data in the data field
+    constant c_nof_symbols    : in  natural;  -- nof symbols = nof data per frame for the data fields
+    constant c_channel        : in  natural;  -- channel field
+    constant c_error          : in  natural;  -- error field
+    constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
+    constant c_bsn            : in  std_logic_vector;  -- bsn field
+    signal   clk              : in  std_logic;
+    signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in           : in  t_dp_siso;
+    signal   src_out          : out t_dp_sosi);
 
   -- Increment only sosi.re, im, keep data = 0
-  procedure proc_dp_gen_block_complex(constant c_data_w         : in  natural;  -- data width for the re, im field
-                                      constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
-                                      constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
-                                      constant c_nof_symbols    : in  natural;  -- nof symbols = nof data per frame for the data fields
-                                      constant c_channel        : in  natural;  -- channel field
-                                      constant c_error          : in  natural;  -- error field
-                                      constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
-                                      constant c_bsn            : in  std_logic_vector;  -- bsn field
-                                      signal   clk              : in  std_logic;
-                                      signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                                      signal   src_in           : in  t_dp_siso;
-                                      signal   src_out          : out t_dp_sosi);
+  procedure proc_dp_gen_block_complex (
+    constant c_data_w         : in  natural;  -- data width for the re, im field
+    constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
+    constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
+    constant c_nof_symbols    : in  natural;  -- nof symbols = nof data per frame for the data fields
+    constant c_channel        : in  natural;  -- channel field
+    constant c_error          : in  natural;  -- error field
+    constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
+    constant c_bsn            : in  std_logic_vector;  -- bsn field
+    signal   clk              : in  std_logic;
+    signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in           : in  t_dp_siso;
+    signal   src_out          : out t_dp_sosi);
 
   -- Handle stream ready signal, only support RL=0 or 1.
-  procedure proc_dp_stream_ready_latency(constant c_latency : in  natural;
-                                         signal   clk       : in  std_logic;
-                                         signal   ready     : in  std_logic;
-                                         signal   in_en     : in  std_logic;  -- when '1' then active output when ready
-                                         constant c_sync    : in  std_logic;
-                                         constant c_valid   : in  std_logic;
-                                         constant c_sop     : in  std_logic;
-                                         constant c_eop     : in  std_logic;
-                                         signal   out_sync  : out std_logic;
-                                         signal   out_valid : out std_logic;
-                                         signal   out_sop   : out std_logic;
-                                         signal   out_eop   : out std_logic);
+  procedure proc_dp_stream_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    signal   in_en     : in  std_logic;  -- when '1' then active output when ready
+    constant c_sync    : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_sync  : out std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic);
 
   -- Initialize the data per symbol
-  function func_dp_data_init(c_data_w, c_symbol_w, init : natural) return std_logic_vector;
+  function func_dp_data_init (c_data_w, c_symbol_w, init : natural) return std_logic_vector;
 
   -- Increment the data per symbol
-  function func_dp_data_incr(c_data_w, c_symbol_w : natural; data : std_logic_vector) return std_logic_vector;
+  function func_dp_data_incr (c_data_w, c_symbol_w : natural; data : std_logic_vector) return std_logic_vector;
 
   -- Generate a counter data with valid
-  procedure proc_dp_gen_data(constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                             constant c_data_w        : in  natural;
-                             constant c_data_init     : in  natural;
-                             signal   rst             : in  std_logic;
-                             signal   clk             : in  std_logic;
-                             signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                             signal   src_in          : in  t_dp_siso;
-                             signal   src_out         : out t_dp_sosi);
+  procedure proc_dp_gen_data (
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_data_w        : in  natural;
+    constant c_data_init     : in  natural;
+    signal   rst             : in  std_logic;
+    signal   clk             : in  std_logic;
+    signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in          : in  t_dp_siso;
+    signal   src_out         : out t_dp_sosi);
 
   -- As above but with counter max
-  procedure proc_dp_gen_data(constant c_ready_latency   : in  natural;
-                             constant c_data_w          : in  natural;
-                             constant c_data_init       : in  natural;
-                             constant c_data_max        : in  natural;
-                             signal   rst             : in  std_logic;
-                             signal   clk             : in  std_logic;
-                             signal   in_en           : in  std_logic;
-                             signal   src_in          : in  t_dp_siso;
-                             signal   src_out         : out t_dp_sosi);
+  procedure proc_dp_gen_data (
+    constant c_ready_latency   : in  natural;
+    constant c_data_w          : in  natural;
+    constant c_data_init       : in  natural;
+    constant c_data_max        : in  natural;
+    signal   rst             : in  std_logic;
+    signal   clk             : in  std_logic;
+    signal   in_en           : in  std_logic;
+    signal   src_in          : in  t_dp_siso;
+    signal   src_out         : out t_dp_sosi);
 
   -- Generate a frame with symbols counter
-  procedure proc_dp_gen_frame(constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                              constant c_data_w        : in  natural;
-                              constant c_symbol_w      : in  natural;  -- c_data_w/c_symbol_w must be an integer
-                              constant c_symbol_init   : in  natural;
-                              constant c_nof_symbols   : in  natural;
-                              constant c_bsn           : in  natural;
-                              constant c_sync          : in  std_logic;
-                              signal   clk             : in  std_logic;
-                              signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                              signal   src_in          : in  t_dp_siso;
-                              signal   src_out         : out t_dp_sosi);
+  procedure proc_dp_gen_frame (
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_data_w        : in  natural;
+    constant c_symbol_w      : in  natural;  -- c_data_w/c_symbol_w must be an integer
+    constant c_symbol_init   : in  natural;
+    constant c_nof_symbols   : in  natural;
+    constant c_bsn           : in  natural;
+    constant c_sync          : in  std_logic;
+    signal   clk             : in  std_logic;
+    signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in          : in  t_dp_siso;
+    signal   src_out         : out t_dp_sosi);
 
   -- Input data counter
-  procedure proc_dp_cnt_dat(signal rst     : in    std_logic;
-                            signal clk     : in    std_logic;
-                            signal in_en   : in    std_logic;
-                            signal cnt_dat : inout std_logic_vector);
-
-  procedure proc_dp_cnt_dat(signal rst     : in    std_logic;
-                            signal clk     : in    std_logic;
-                            signal in_en   : in    std_logic;
-                            signal cnt_val : inout std_logic;
-                            signal cnt_dat : inout std_logic_vector);
+  procedure proc_dp_cnt_dat (
+    signal rst     : in    std_logic;
+    signal clk     : in    std_logic;
+    signal in_en   : in    std_logic;
+    signal cnt_dat : inout std_logic_vector);
+
+  procedure proc_dp_cnt_dat (
+    signal rst     : in    std_logic;
+    signal clk     : in    std_logic;
+    signal in_en   : in    std_logic;
+    signal cnt_val : inout std_logic;
+    signal cnt_dat : inout std_logic_vector);
 
   -- Transmit data
-  procedure proc_dp_tx_data(constant c_ready_latency : in    natural;
-                            signal   rst             : in    std_logic;
-                            signal   clk             : in    std_logic;
-                            signal   cnt_val         : in    std_logic;
-                            signal   cnt_dat         : in    std_logic_vector;
-                            signal   tx_data         : inout t_dp_data_arr;
-                            signal   tx_val          : inout std_logic_vector;
-                            signal   out_data        : out   std_logic_vector;
-                            signal   out_val         : out   std_logic);
+  procedure proc_dp_tx_data (
+    constant c_ready_latency : in    natural;
+    signal   rst             : in    std_logic;
+    signal   clk             : in    std_logic;
+    signal   cnt_val         : in    std_logic;
+    signal   cnt_dat         : in    std_logic_vector;
+    signal   tx_data         : inout t_dp_data_arr;
+    signal   tx_val          : inout std_logic_vector;
+    signal   out_data        : out   std_logic_vector;
+    signal   out_val         : out   std_logic);
 
   -- Transmit data control (use for sop, eop)
-  procedure proc_dp_tx_ctrl(constant c_offset : in  natural;
-                            constant c_period : in  natural;
-                            signal   data     : in  std_logic_vector;
-                            signal   valid    : in  std_logic;
-                            signal   ctrl     : out std_logic);
+  procedure proc_dp_tx_ctrl (
+    constant c_offset : in  natural;
+    constant c_period : in  natural;
+    signal   data     : in  std_logic_vector;
+    signal   valid    : in  std_logic;
+    signal   ctrl     : out std_logic);
 
   -- Define sync interval
-  procedure proc_dp_sync_interval(signal clk  : in  std_logic;
-                                  signal sync : out std_logic);
+  procedure proc_dp_sync_interval (
+    signal clk  : in  std_logic;
+    signal sync : out std_logic);
 
   -- Stimuli for cnt_en
-  procedure proc_dp_count_en(signal rst    : in    std_logic;
-                             signal clk    : in    std_logic;
-                             signal sync   : in    std_logic;
-                             signal lfsr   : inout std_logic_vector;
-                             signal state  : out   t_dp_state_enum;
-                             signal done   : out   std_logic;
-                             signal tb_end : out   std_logic;
-                             signal cnt_en : out   std_logic);
+  procedure proc_dp_count_en (
+    signal rst    : in    std_logic;
+    signal clk    : in    std_logic;
+    signal sync   : in    std_logic;
+    signal lfsr   : inout std_logic_vector;
+    signal state  : out   t_dp_state_enum;
+    signal done   : out   std_logic;
+    signal tb_end : out   std_logic;
+    signal cnt_en : out   std_logic);
 
   ------------------------------------------------------------------------------
   -- Stream sink functions
   ------------------------------------------------------------------------------
 
   -- Stimuli for out_ready
-  procedure proc_dp_out_ready(signal rst       : in    std_logic;
-                              signal clk       : in    std_logic;
-                              signal sync      : in    std_logic;
-                              signal lfsr      : inout std_logic_vector;
-                              signal out_ready : out   std_logic);
+  procedure proc_dp_out_ready (
+    signal rst       : in    std_logic;
+    signal clk       : in    std_logic;
+    signal sync      : in    std_logic;
+    signal lfsr      : inout std_logic_vector;
+    signal out_ready : out   std_logic);
 
   -- DUT output verify enable
-  procedure proc_dp_verify_en(constant c_delay   : in  natural;
-                              signal   rst       : in  std_logic;
-                              signal   clk       : in  std_logic;
-                              signal   sync      : in  std_logic;
-                              signal   verify_en : out std_logic);
-
-  procedure proc_dp_verify_en(constant c_continuous : in  boolean;
-                              signal   clk          : in  std_logic;
-                              signal   valid        : in  std_logic;
-                              signal   sop          : in  std_logic;
-                              signal   eop          : in  std_logic;
-                              signal   verify_en    : out std_logic);
+  procedure proc_dp_verify_en (
+    constant c_delay   : in  natural;
+    signal   rst       : in  std_logic;
+    signal   clk       : in  std_logic;
+    signal   sync      : in  std_logic;
+    signal   verify_en : out std_logic);
+
+  procedure proc_dp_verify_en (
+    constant c_continuous : in  boolean;
+    signal   clk          : in  std_logic;
+    signal   valid        : in  std_logic;
+    signal   sop          : in  std_logic;
+    signal   eop          : in  std_logic;
+    signal   verify_en    : out std_logic);
 
   -- Run and verify for some cycles
-  procedure proc_dp_verify_run_some_cycles(constant nof_pre_clk    : in   natural;
-                                           constant nof_verify_clk : in   natural;
-                                           constant nof_post_clk   : in   natural;
-                                           signal   clk            : in   std_logic;
-                                           signal   verify_en      : out  std_logic);
+  procedure proc_dp_verify_run_some_cycles (
+    constant nof_pre_clk    : in   natural;
+    constant nof_verify_clk : in   natural;
+    constant nof_post_clk   : in   natural;
+    signal   clk            : in   std_logic;
+    signal   verify_en      : out  std_logic);
 
   -- Verify the expected value
-  procedure proc_dp_verify_value(constant c_str : in string;
-                                 constant mode  : in t_dp_value_enum;
-                                 signal   clk   : in std_logic;
-                                 signal   en    : in std_logic;
-                                 signal   exp   : in std_logic_vector;
-                                 signal   res   : in std_logic_vector);
-
-  procedure proc_dp_verify_value(constant mode : in t_dp_value_enum;
-                                 signal   clk  : in std_logic;
-                                 signal   en   : in std_logic;
-                                 signal   exp  : in std_logic_vector;
-                                 signal   res  : in std_logic_vector);
-
-  procedure proc_dp_verify_value(constant c_str : in string;
-                                 signal   clk   : in std_logic;
-                                 signal   en    : in std_logic;
-                                 signal   exp   : in std_logic;
-                                 signal   res   : in std_logic);
+  procedure proc_dp_verify_value (
+    constant c_str : in string;
+    constant mode  : in t_dp_value_enum;
+    signal   clk   : in std_logic;
+    signal   en    : in std_logic;
+    signal   exp   : in std_logic_vector;
+    signal   res   : in std_logic_vector);
+
+  procedure proc_dp_verify_value (
+    constant mode : in t_dp_value_enum;
+    signal   clk  : in std_logic;
+    signal   en   : in std_logic;
+    signal   exp  : in std_logic_vector;
+    signal   res  : in std_logic_vector);
+
+  procedure proc_dp_verify_value (
+    constant c_str : in string;
+    signal   clk   : in std_logic;
+    signal   en    : in std_logic;
+    signal   exp   : in std_logic;
+    signal   res   : in std_logic);
 
   -- Verify output global and local BSN
   -- . incrementing or replicated global BSN
   -- . incrementing local BSN that starts at 1
-  procedure proc_dp_verify_bsn(constant c_use_local_bsn             : in    boolean;  -- use local BSN or only use global BSN
-                               constant c_global_bsn_increment      : in    positive;  -- increment per global BSN
-                               constant c_nof_replicated_global_bsn : in    positive;  -- number of replicated global BSN
-                               constant c_block_per_sync            : in    positive;  -- of sop/eop blocks per sync interval
-                               signal   clk                         : in    std_logic;
-                               signal   out_sync                    : in    std_logic;
-                               signal   out_sop                     : in    std_logic;
-                               signal   out_bsn                     : in    std_logic_vector;
-                               signal   verify_en                   : inout std_logic;  -- initialize '0', becomes '1' when bsn verification starts
-                               signal   cnt_replicated_global_bsn   : inout natural;
-                               signal   prev_out_bsn_global         : inout std_logic_vector;
-                               signal   prev_out_bsn_local          : inout std_logic_vector);
+  procedure proc_dp_verify_bsn (
+    constant c_use_local_bsn             : in    boolean;  -- use local BSN or only use global BSN
+    constant c_global_bsn_increment      : in    positive;  -- increment per global BSN
+    constant c_nof_replicated_global_bsn : in    positive;  -- number of replicated global BSN
+    constant c_block_per_sync            : in    positive;  -- of sop/eop blocks per sync interval
+    signal   clk                         : in    std_logic;
+    signal   out_sync                    : in    std_logic;
+    signal   out_sop                     : in    std_logic;
+    signal   out_bsn                     : in    std_logic_vector;
+    signal   verify_en                   : inout std_logic;  -- initialize '0', becomes '1' when bsn verification starts
+    signal   cnt_replicated_global_bsn   : inout natural;
+    signal   prev_out_bsn_global         : inout std_logic_vector;
+    signal   prev_out_bsn_local          : inout std_logic_vector);
 
   -- Verify incrementing data
   -- . wrap at c_out_data_max when >0, else no wrap when c_out_data_max=0
   -- . default increment by +1, but also allow an increment by +c_out_data_gap
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_ready_latency : in    natural;
-                                constant c_out_data_max  : in    unsigned;
-                                constant c_out_data_gap  : in    unsigned;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_ready       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_ready_latency : in    natural;
+    constant c_out_data_max  : in    unsigned;
+    constant c_out_data_gap  : in    unsigned;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify the DUT incrementing output data that wraps in range 0 ... c_out_data_max
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_ready_latency : in    natural;
-                                constant c_out_data_max  : in    unsigned;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_ready       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_ready_latency : in    natural;
+    constant c_out_data_max  : in    unsigned;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify the DUT incrementing output data, fixed increment +1
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_ready_latency : in    natural;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_ready       : in    std_logic;
-                                signal   out_val         : in    std_logic;  -- by using sop or eop proc_dp_verify_data() can also be used to verify other SOSI fields like bsn, error, channel, empty
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;  -- by using sop or eop proc_dp_verify_data() can also be used to verify other SOSI fields like bsn, error, channel, empty
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify incrementing data with RL > 0 or no flow control, support wrap at maximum and increment gap
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_out_data_max  : in    unsigned;
-                                constant c_out_data_gap  : in    unsigned;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
-
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_out_data_max  : in    natural;
-                                constant c_out_data_gap  : in    natural;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
-
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_out_data_max  : in    natural;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_out_data_max  : in    unsigned;
+    constant c_out_data_gap  : in    unsigned;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
+
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_out_data_max  : in    natural;
+    constant c_out_data_gap  : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
+
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_out_data_max  : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify incrementing data with RL > 0 or no flow control, fixed increment +1
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify the DUT output symbols
-  procedure proc_dp_verify_symbols(constant c_ready_latency : in    natural;
-                                   constant c_data_w        : in    natural;
-                                   constant c_symbol_w      : in    natural;
-                                   signal   clk             : in    std_logic;
-                                   signal   verify_en       : in    std_logic;
-                                   signal   out_ready       : in    std_logic;
-                                   signal   out_val         : in    std_logic;
-                                   signal   out_eop         : in    std_logic;
-                                   signal   out_data        : in    std_logic_vector;
-                                   signal   out_empty       : in    std_logic_vector;
-                                   signal   prev_out_data   : inout std_logic_vector);
+  procedure proc_dp_verify_symbols (
+    constant c_ready_latency : in    natural;
+    constant c_data_w        : in    natural;
+    constant c_symbol_w      : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   out_empty       : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector);
 
   -- Verify the DUT output data with empty
-  procedure proc_dp_verify_data_empty(constant c_ready_latency : in    natural;
-                                      constant c_last_word     : in    natural;
-                                      signal   clk             : in    std_logic;
-                                      signal   verify_en       : in    std_logic;
-                                      signal   out_ready       : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   out_eop_1       : inout std_logic;
-                                      signal   out_eop_2       : inout std_logic;
-                                      signal   out_data        : in    std_logic_vector;
-                                      signal   out_data_1      : inout std_logic_vector;
-                                      signal   out_data_2      : inout std_logic_vector;
-                                      signal   out_data_3      : inout std_logic_vector;
-                                      signal   out_empty       : in    std_logic_vector;
-                                      signal   out_empty_1     : inout std_logic_vector);
-
-  procedure proc_dp_verify_other_sosi(constant c_str       : in string;
-                                      constant c_exp_data  : in std_logic_vector;
-                                      signal   clk         : in std_logic;
-                                      signal   verify_en   : in std_logic;
-                                      signal   res_data    : in std_logic_vector);
-
-  procedure proc_dp_verify_sosi_equal(constant c_str       : in string;
-                                      signal   clk         : in std_logic;
-                                      signal   verify_en   : in std_logic;
-                                      signal   dut_sosi    : in t_dp_sosi_integer;  -- use func_dp_stream_slv_to_integer for conversion
-                                      signal   exp_sosi    : in t_dp_sosi_integer);  -- use func_dp_stream_slv_to_integer for conversion
-
-  procedure proc_dp_verify_sosi_equal(constant c_use_complex : in boolean;
-                                      signal   dut_sosi      : in t_dp_sosi;
-                                      signal   exp_sosi      : in t_dp_sosi);
-
-  procedure proc_dp_verify_valid(constant c_ready_latency : in    natural;
-                                 signal   clk             : in    std_logic;
-                                 signal   verify_en       : in    std_logic;
-                                 signal   out_ready       : in    std_logic;
-                                 signal   prev_out_ready  : inout std_logic_vector;
-                                 signal   out_val         : in    std_logic);
-
-  procedure proc_dp_verify_valid(signal   clk             : in    std_logic;
-                                 signal   verify_en       : in    std_logic;
-                                 signal   out_ready       : in    std_logic;
-                                 signal   prev_out_ready  : inout std_logic;
-                                 signal   out_val         : in    std_logic);
+  procedure proc_dp_verify_data_empty (
+    constant c_ready_latency : in    natural;
+    constant c_last_word     : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   out_eop_1       : inout std_logic;
+    signal   out_eop_2       : inout std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   out_data_1      : inout std_logic_vector;
+    signal   out_data_2      : inout std_logic_vector;
+    signal   out_data_3      : inout std_logic_vector;
+    signal   out_empty       : in    std_logic_vector;
+    signal   out_empty_1     : inout std_logic_vector);
+
+  procedure proc_dp_verify_other_sosi (
+    constant c_str       : in string;
+    constant c_exp_data  : in std_logic_vector;
+    signal   clk         : in std_logic;
+    signal   verify_en   : in std_logic;
+    signal   res_data    : in std_logic_vector);
+
+  procedure proc_dp_verify_sosi_equal (
+    constant c_str       : in string;
+    signal   clk         : in std_logic;
+    signal   verify_en   : in std_logic;
+    signal   dut_sosi    : in t_dp_sosi_integer;  -- use func_dp_stream_slv_to_integer for conversion
+    signal   exp_sosi    : in t_dp_sosi_integer);  -- use func_dp_stream_slv_to_integer for conversion
+
+  procedure proc_dp_verify_sosi_equal (
+    constant c_use_complex : in boolean;
+    signal   dut_sosi      : in t_dp_sosi;
+    signal   exp_sosi      : in t_dp_sosi);
+
+  procedure proc_dp_verify_valid (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   prev_out_ready  : inout std_logic_vector;
+    signal   out_val         : in    std_logic);
+
+  procedure proc_dp_verify_valid (
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   prev_out_ready  : inout std_logic;
+    signal   out_val         : in    std_logic);
 
   -- Verify the DUT output sync
-  procedure proc_dp_verify_sync(signal   clk           : in    std_logic;
-                                signal   verify_en     : in    std_logic;
-                                signal   sync          : in    std_logic;
-                                signal   sop           : in    std_logic;
-                                         expected_sync : in    std_logic);
-
-  procedure proc_dp_verify_sync(signal   clk           : in    std_logic;
-                                signal   verify_en     : in    std_logic;
-                                signal   sync          : in    std_logic;
-                                signal   sop           : in    std_logic;
-                                         bsn           : in    natural;  -- for reporting
-                                         expected_bsn  : in    natural;  -- for reporting
-                                         expected_sync : in    std_logic);
-    -- Note: A SIGNAL IN can only connect a SIGNAL. Therefore define IN as
-    --       default (= CONSTANT) instead of SIGNAL to be able to connect
-    --       VARIABLE or SIGNAL.
-
-  procedure proc_dp_verify_sync(constant c_sync_period : in    natural;
-                                constant c_sync_offset : in    natural;
-                                signal   clk           : in    std_logic;
-                                signal   verify_en     : in    std_logic;
-                                signal   sync          : in    std_logic;
-                                signal   sop           : in    std_logic;
-                                signal   bsn           : in    std_logic_vector);
-
-  procedure proc_dp_verify_sync(constant c_start_bsn      : in    natural;
-                                constant c_sync_period    : in    natural;
-                                constant c_block_size     : in    natural;
-                                signal   clk              : in    std_logic;
-                                signal   verify_en        : in    std_logic;
-                                signal   sync             : in    std_logic;
-                                signal   sop              : in    std_logic;
-                                signal   bsn              : in    std_logic_vector;
-                                -- for debug purposes
-                                signal   dbg_nof_blk      : out   natural;
-                                signal   dbg_accumulate   : out   natural;
-                                signal   dbg_expected_bsn : out   natural);
-
-  procedure proc_dp_verify_sync(constant c_start_bsn      : in    natural;
-                                constant c_sync_period    : in    natural;
-                                constant c_block_size     : in    natural;
-                                constant c_bsn_is_rsn     : in    boolean;  -- increment BSN by 1 or by c_block_size for RSN
-                                signal   clk              : in    std_logic;
-                                signal   verify_en        : in    std_logic;
-                                signal   sync             : in    std_logic;
-                                signal   sop              : in    std_logic;
-                                signal   bsn              : in    std_logic_vector;
-                                -- for debug purposes
-                                signal   dbg_nof_blk      : out   natural;
-                                signal   dbg_accumulate   : out   natural;
-                                signal   dbg_expected_bsn : out   natural);
+  procedure proc_dp_verify_sync (
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   sync          : in    std_logic;
+    signal   sop           : in    std_logic;
+    expected_sync : in    std_logic);
+
+  procedure proc_dp_verify_sync (
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   sync          : in    std_logic;
+    signal   sop           : in    std_logic;
+    bsn           : in    natural;  -- for reporting
+    expected_bsn  : in    natural;  -- for reporting
+    expected_sync : in    std_logic);
+  -- Note: A SIGNAL IN can only connect a SIGNAL. Therefore define IN as
+  --       default (= CONSTANT) instead of SIGNAL to be able to connect
+  --       VARIABLE or SIGNAL.
+
+  procedure proc_dp_verify_sync (
+    constant c_sync_period : in    natural;
+    constant c_sync_offset : in    natural;
+    signal   clk           : in    std_logic;
+    signal   verify_en     : in    std_logic;
+    signal   sync          : in    std_logic;
+    signal   sop           : in    std_logic;
+    signal   bsn           : in    std_logic_vector);
+
+  procedure proc_dp_verify_sync (
+    constant c_start_bsn      : in    natural;
+    constant c_sync_period    : in    natural;
+    constant c_block_size     : in    natural;
+    signal   clk              : in    std_logic;
+    signal   verify_en        : in    std_logic;
+    signal   sync             : in    std_logic;
+    signal   sop              : in    std_logic;
+    signal   bsn              : in    std_logic_vector;
+    -- for debug purposes
+    signal   dbg_nof_blk      : out   natural;
+    signal   dbg_accumulate   : out   natural;
+    signal   dbg_expected_bsn : out   natural);
+
+  procedure proc_dp_verify_sync (
+    constant c_start_bsn      : in    natural;
+    constant c_sync_period    : in    natural;
+    constant c_block_size     : in    natural;
+    constant c_bsn_is_rsn     : in    boolean;  -- increment BSN by 1 or by c_block_size for RSN
+    signal   clk              : in    std_logic;
+    signal   verify_en        : in    std_logic;
+    signal   sync             : in    std_logic;
+    signal   sop              : in    std_logic;
+    signal   bsn              : in    std_logic_vector;
+    -- for debug purposes
+    signal   dbg_nof_blk      : out   natural;
+    signal   dbg_accumulate   : out   natural;
+    signal   dbg_expected_bsn : out   natural);
 
   -- Verify the DUT output sop and eop
-  procedure proc_dp_verify_sop_and_eop(constant c_ready_latency : in    natural;
-                                       constant c_verify_valid  : in    boolean;
-                                       signal   clk             : in    std_logic;
-                                       signal   out_ready       : in    std_logic;
-                                       signal   out_val         : in    std_logic;
-                                       signal   out_sop         : in    std_logic;
-                                       signal   out_eop         : in    std_logic;
-                                       signal   hold_sop        : inout std_logic);
-
-  procedure proc_dp_verify_sop_and_eop(constant c_ready_latency : in    natural;
-                                       signal   clk             : in    std_logic;
-                                       signal   out_ready       : in    std_logic;
-                                       signal   out_val         : in    std_logic;
-                                       signal   out_sop         : in    std_logic;
-                                       signal   out_eop         : in    std_logic;
-                                       signal   hold_sop        : inout std_logic);
-
-  procedure proc_dp_verify_sop_and_eop(signal clk      : in    std_logic;
-                                       signal out_val  : in    std_logic;
-                                       signal out_sop  : in    std_logic;
-                                       signal out_eop  : in    std_logic;
-                                       signal hold_sop : inout std_logic);
-
-  procedure proc_dp_verify_block_size(constant c_ready_latency : in    natural;
-                                      signal   alt_size        : in    natural;  -- alternative size (eg. use exp_size'last_value)
-                                      signal   exp_size        : in    natural;  -- expected size
-                                      signal   clk             : in    std_logic;
-                                      signal   out_ready       : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_sop         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   cnt_size        : inout natural);
-
-  procedure proc_dp_verify_block_size(constant c_ready_latency : in    natural;
-                                      signal   exp_size        : in    natural;  -- expected size
-                                      signal   clk             : in    std_logic;
-                                      signal   out_ready       : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_sop         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   cnt_size        : inout natural);
-
-  procedure proc_dp_verify_block_size(signal alt_size : in    natural;  -- alternative size (eg. use exp_size'last_value)
-                                      signal exp_size : in    natural;  -- expected size
-                                      signal clk      : in    std_logic;
-                                      signal out_val  : in    std_logic;
-                                      signal out_sop  : in    std_logic;
-                                      signal out_eop  : in    std_logic;
-                                      signal cnt_size : inout natural);
-
-  procedure proc_dp_verify_block_size(signal exp_size : in    natural;  -- expected size
-                                      signal clk      : in    std_logic;
-                                      signal out_val  : in    std_logic;
-                                      signal out_sop  : in    std_logic;
-                                      signal out_eop  : in    std_logic;
-                                      signal cnt_size : inout natural);
+  procedure proc_dp_verify_sop_and_eop (
+    constant c_ready_latency : in    natural;
+    constant c_verify_valid  : in    boolean;
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   hold_sop        : inout std_logic);
+
+  procedure proc_dp_verify_sop_and_eop (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   hold_sop        : inout std_logic);
+
+  procedure proc_dp_verify_sop_and_eop (
+    signal clk      : in    std_logic;
+    signal out_val  : in    std_logic;
+    signal out_sop  : in    std_logic;
+    signal out_eop  : in    std_logic;
+    signal hold_sop : inout std_logic);
+
+  procedure proc_dp_verify_block_size (
+    constant c_ready_latency : in    natural;
+    signal   alt_size        : in    natural;  -- alternative size (eg. use exp_size'last_value)
+    signal   exp_size        : in    natural;  -- expected size
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   cnt_size        : inout natural);
+
+  procedure proc_dp_verify_block_size (
+    constant c_ready_latency : in    natural;
+    signal   exp_size        : in    natural;  -- expected size
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   cnt_size        : inout natural);
+
+  procedure proc_dp_verify_block_size (
+    signal alt_size : in    natural;  -- alternative size (eg. use exp_size'last_value)
+    signal exp_size : in    natural;  -- expected size
+    signal clk      : in    std_logic;
+    signal out_val  : in    std_logic;
+    signal out_sop  : in    std_logic;
+    signal out_eop  : in    std_logic;
+    signal cnt_size : inout natural);
+
+  procedure proc_dp_verify_block_size (
+    signal exp_size : in    natural;  -- expected size
+    signal clk      : in    std_logic;
+    signal out_val  : in    std_logic;
+    signal out_sop  : in    std_logic;
+    signal out_eop  : in    std_logic;
+    signal cnt_size : inout natural);
 
   -- Verify the DUT output invalid between frames
-  procedure proc_dp_verify_gap_invalid(signal clk     : in    std_logic;
-                                       signal in_val  : in    std_logic;
-                                       signal in_sop  : in    std_logic;
-                                       signal in_eop  : in    std_logic;
-                                       signal out_gap : inout std_logic);  -- declare initial gap signal = '1'
+  procedure proc_dp_verify_gap_invalid (
+    signal clk     : in    std_logic;
+    signal in_val  : in    std_logic;
+    signal in_sop  : in    std_logic;
+    signal in_eop  : in    std_logic;
+    signal out_gap : inout std_logic);  -- declare initial gap signal = '1'
 
   -- Verify the DUT output control (use for sop, eop)
-  procedure proc_dp_verify_ctrl(constant c_offset  : in natural;
-                                constant c_period  : in natural;
-                                constant c_str     : in string;
-                                signal   clk       : in std_logic;
-                                signal   verify_en : in std_logic;
-                                signal   data      : in std_logic_vector;
-                                signal   valid     : in std_logic;
-                                signal   ctrl      : in std_logic);
+  procedure proc_dp_verify_ctrl (
+    constant c_offset  : in natural;
+    constant c_period  : in natural;
+    constant c_str     : in string;
+    signal   clk       : in std_logic;
+    signal   verify_en : in std_logic;
+    signal   data      : in std_logic_vector;
+    signal   valid     : in std_logic;
+    signal   ctrl      : in std_logic);
 
   -- Wait for stream valid
-  procedure proc_dp_stream_valid(signal clk      : in  std_logic;
-                                 signal in_valid : in  std_logic);
+  procedure proc_dp_stream_valid (
+    signal clk      : in  std_logic;
+    signal in_valid : in  std_logic);
 
   -- Wait for stream valid AND sop
-  procedure proc_dp_stream_valid_sop(signal clk      : in  std_logic;
-                                     signal in_valid : in  std_logic;
-                                     signal in_sop   : in  std_logic);
+  procedure proc_dp_stream_valid_sop (
+    signal clk      : in  std_logic;
+    signal in_valid : in  std_logic;
+    signal in_sop   : in  std_logic);
 
   -- Wait for stream valid AND eop
-  procedure proc_dp_stream_valid_eop(signal clk      : in  std_logic;
-                                     signal in_valid : in  std_logic;
-                                     signal in_eop   : in  std_logic);
+  procedure proc_dp_stream_valid_eop (
+    signal clk      : in  std_logic;
+    signal in_valid : in  std_logic;
+    signal in_eop   : in  std_logic);
 
 end tb_dp_pkg;
 
@@ -617,18 +670,19 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Block data generator with feedforward throttle control
   ------------------------------------------------------------------------------
-  procedure proc_dp_gen_block_data(constant c_nof_block_per_sync : in    natural;
-                                   constant c_block_size         : in    natural;
-                                   constant c_gap_size           : in    natural;
-                                   constant c_throttle_num       : in    natural;
-                                   constant c_throttle_den       : in    natural;
-                                   signal   rst                  : in    std_logic;
-                                   signal   clk                  : in    std_logic;
-                                   signal   sync_nr              : inout natural;
-                                   signal   block_nr             : inout natural;
-                                   signal   cnt_sync             : out   std_logic;
-                                   signal   cnt_val              : out   std_logic;
-                                   signal   cnt_dat              : inout std_logic_vector) is
+  procedure proc_dp_gen_block_data (
+    constant c_nof_block_per_sync : in    natural;
+    constant c_block_size         : in    natural;
+    constant c_gap_size           : in    natural;
+    constant c_throttle_num       : in    natural;
+    constant c_throttle_den       : in    natural;
+    signal   rst                  : in    std_logic;
+    signal   clk                  : in    std_logic;
+    signal   sync_nr              : inout natural;
+    signal   block_nr             : inout natural;
+    signal   cnt_sync             : out   std_logic;
+    signal   cnt_val              : out   std_logic;
+    signal   cnt_dat              : inout std_logic_vector) is
     constant c_start_delay : natural := 10;
     variable v_throttle    : natural;
   begin
@@ -693,22 +747,23 @@ package body tb_dp_pkg is
   -- . dependent on in_en and src_in.ready
   -- . optional sync pulse at end of frame
   ------------------------------------------------------------------------------
-  procedure proc_dp_gen_block_data(constant c_ready_latency  : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                                   constant c_use_data       : in  boolean;  -- when TRUE use data field, else use re, im fields, and keep unused fields at 'X'
-                                   constant c_data_w         : in  natural;  -- data width for the data, re and im fields
-                                   constant c_symbol_w       : in  natural;  -- c_data_w/c_symbol_w must be an integer
-                                   constant c_symbol_init    : in  natural;  -- init counter for symbols in data field
-                                   constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
-                                   constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
-                                   constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data, re and im fields
-                                   constant c_channel        : in  natural;  -- channel field
-                                   constant c_error          : in  natural;  -- error field
-                                   constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
-                                   constant c_bsn            : in  std_logic_vector;  -- bsn field
-                                   signal   clk              : in  std_logic;
-                                   signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                                   signal   src_in           : in  t_dp_siso;
-                                   signal   src_out          : out t_dp_sosi) is
+  procedure proc_dp_gen_block_data (
+    constant c_ready_latency  : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_use_data       : in  boolean;  -- when TRUE use data field, else use re, im fields, and keep unused fields at 'X'
+    constant c_data_w         : in  natural;  -- data width for the data, re and im fields
+    constant c_symbol_w       : in  natural;  -- c_data_w/c_symbol_w must be an integer
+    constant c_symbol_init    : in  natural;  -- init counter for symbols in data field
+    constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
+    constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
+    constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data, re and im fields
+    constant c_channel        : in  natural;  -- channel field
+    constant c_error          : in  natural;  -- error field
+    constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
+    constant c_bsn            : in  std_logic_vector;  -- bsn field
+    signal   clk              : in  std_logic;
+    signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in           : in  t_dp_siso;
+    signal   src_out          : out t_dp_sosi) is
     constant c_nof_symbols_per_data : natural := c_data_w / c_symbol_w;
     constant c_div                  : natural := c_nof_symbols   / c_nof_symbols_per_data;
     constant c_mod                  : natural := c_nof_symbols mod c_nof_symbols_per_data;
@@ -761,34 +816,36 @@ package body tb_dp_pkg is
   end proc_dp_gen_block_data;
 
   -- Increment only sosi.data, keep complex re,im = 0
-  procedure proc_dp_gen_block_data(constant c_data_w         : in  natural;  -- data width for the data field
-                                   constant c_symbol_init    : in  natural;  -- init counter for the data in the data field
-                                   constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data fields
-                                   constant c_channel        : in  natural;  -- channel field
-                                   constant c_error          : in  natural;  -- error field
-                                   constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
-                                   constant c_bsn            : in  std_logic_vector;  -- bsn field
-                                   signal   clk              : in  std_logic;
-                                   signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                                   signal   src_in           : in  t_dp_siso;
-                                   signal   src_out          : out t_dp_sosi) is
+  procedure proc_dp_gen_block_data (
+    constant c_data_w         : in  natural;  -- data width for the data field
+    constant c_symbol_init    : in  natural;  -- init counter for the data in the data field
+    constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data fields
+    constant c_channel        : in  natural;  -- channel field
+    constant c_error          : in  natural;  -- error field
+    constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
+    constant c_bsn            : in  std_logic_vector;  -- bsn field
+    signal   clk              : in  std_logic;
+    signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in           : in  t_dp_siso;
+    signal   src_out          : out t_dp_sosi) is
   begin
     proc_dp_gen_block_data(1, true, c_data_w, c_data_w, c_symbol_init, 0, 0, c_nof_symbols, c_channel, c_error, c_sync, c_bsn, clk, in_en, src_in, src_out);
   end proc_dp_gen_block_data;
 
   -- Increment only sosi.re, im, keep data = 0
-  procedure proc_dp_gen_block_complex(constant c_data_w         : in  natural;  -- data width for the re, im field
-                                      constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
-                                      constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
-                                      constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data fields
-                                      constant c_channel        : in  natural;  -- channel field
-                                      constant c_error          : in  natural;  -- error field
-                                      constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
-                                      constant c_bsn            : in  std_logic_vector;  -- bsn field
-                                      signal   clk              : in  std_logic;
-                                      signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                                      signal   src_in           : in  t_dp_siso;
-                                      signal   src_out          : out t_dp_sosi) is
+  procedure proc_dp_gen_block_complex (
+    constant c_data_w         : in  natural;  -- data width for the re, im field
+    constant c_symbol_re_init : in  natural;  -- init counter for symbols in re field
+    constant c_symbol_im_init : in  natural;  -- init counter for symbols in im field
+    constant c_nof_symbols    : in  natural;  -- nof symbols per frame for the data fields
+    constant c_channel        : in  natural;  -- channel field
+    constant c_error          : in  natural;  -- error field
+    constant c_sync           : in  std_logic;  -- when '1' issue sync pulse during this block
+    constant c_bsn            : in  std_logic_vector;  -- bsn field
+    signal   clk              : in  std_logic;
+    signal   in_en            : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in           : in  t_dp_siso;
+    signal   src_out          : out t_dp_sosi) is
   begin
     proc_dp_gen_block_data(1, false, c_data_w, c_data_w, 0, c_symbol_re_init, c_symbol_im_init, c_nof_symbols, c_channel, c_error, c_sync, c_bsn, clk, in_en, src_in, src_out);
   end proc_dp_gen_block_complex;
@@ -798,18 +855,19 @@ package body tb_dp_pkg is
   -- . output active when src_in is ready and in_en='1'
   -- . only support RL=0 or 1, support for RL>1 requires keeping previous ready information in a STD_LOGIC_VECTOR(RL-1 DOWNTO 0).
   ------------------------------------------------------------------------------
-  procedure proc_dp_stream_ready_latency(constant c_latency : in  natural;
-                                         signal   clk       : in  std_logic;
-                                         signal   ready     : in  std_logic;
-                                         signal   in_en     : in  std_logic;
-                                         constant c_sync    : in  std_logic;
-                                         constant c_valid   : in  std_logic;
-                                         constant c_sop     : in  std_logic;
-                                         constant c_eop     : in  std_logic;
-                                         signal   out_sync  : out std_logic;
-                                         signal   out_valid : out std_logic;
-                                         signal   out_sop   : out std_logic;
-                                         signal   out_eop   : out std_logic) is
+  procedure proc_dp_stream_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    signal   in_en     : in  std_logic;
+    constant c_sync    : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_sync  : out std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     -- Default no output
     out_sync  <= '0';
@@ -834,7 +892,7 @@ package body tb_dp_pkg is
       while ready /= '1' loop
         wait until rising_edge(clk);
       end loop;
-      -- ready has acknowledged the valid output
+    -- ready has acknowledged the valid output
     end if;
 
     -- . RL = 1
@@ -864,7 +922,7 @@ package body tb_dp_pkg is
   -- . use big endian
   -- . if c_data_w=32, c_symbol_w=8, init=3 then return 0x03040506
   ------------------------------------------------------------------------------
-  function func_dp_data_init(c_data_w, c_symbol_w, init : natural) return std_logic_vector is
+  function func_dp_data_init (c_data_w, c_symbol_w, init : natural) return std_logic_vector is
     constant c_nof_symbols_per_data : natural := c_data_w / c_symbol_w;
     variable v_data                 : std_logic_vector(c_data_w - 1 downto 0);
     variable v_sym                  : std_logic_vector(c_symbol_w - 1 downto 0);
@@ -886,7 +944,7 @@ package body tb_dp_pkg is
   -- . the actual data'LENGTH must be >= c_data_w, unused bits become 0
   -- . c_data_w/c_symbol_w must be an integer
   ------------------------------------------------------------------------------
-  function func_dp_data_incr(c_data_w, c_symbol_w : natural; data : std_logic_vector) return std_logic_vector is
+  function func_dp_data_incr (c_data_w, c_symbol_w : natural; data : std_logic_vector) return std_logic_vector is
     constant c_nof_symbols_per_data : natural := c_data_w / c_symbol_w;
     variable v_data                 : std_logic_vector(data'length - 1 downto 0);
     variable v_sym                  : std_logic_vector(c_symbol_w - 1 downto 0);
@@ -905,14 +963,15 @@ package body tb_dp_pkg is
   -- PROCEDURE: Generate counter data with valid
   -- . Output counter data dependent on in_en and src_in.ready
   ------------------------------------------------------------------------------
-  procedure proc_dp_gen_data(constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                             constant c_data_w        : in  natural;
-                             constant c_data_init     : in  natural;
-                             signal   rst             : in  std_logic;
-                             signal   clk             : in  std_logic;
-                             signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                             signal   src_in          : in  t_dp_siso;
-                             signal   src_out         : out t_dp_sosi) is
+  procedure proc_dp_gen_data (
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_data_w        : in  natural;
+    constant c_data_init     : in  natural;
+    signal   rst             : in  std_logic;
+    signal   clk             : in  std_logic;
+    signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in          : in  t_dp_siso;
+    signal   src_out         : out t_dp_sosi) is
     variable v_data : std_logic_vector(c_data_w - 1 downto 0) := TO_UVEC(c_data_init, c_data_w);
   begin
     src_out      <= c_dp_sosi_rst;
@@ -933,15 +992,16 @@ package body tb_dp_pkg is
   -- . Output counter data dependent on in_en and src_in.ready
   -- . with maximum count value
   ------------------------------------------------------------------------------
-  procedure proc_dp_gen_data(constant c_ready_latency   : in  natural;
-                             constant c_data_w          : in  natural;
-                             constant c_data_init       : in  natural;
-                             constant c_data_max        : in  natural;
-                             signal   rst               : in  std_logic;
-                             signal   clk               : in  std_logic;
-                             signal   in_en             : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                             signal   src_in            : in  t_dp_siso;
-                             signal   src_out           : out t_dp_sosi) is
+  procedure proc_dp_gen_data (
+    constant c_ready_latency   : in  natural;
+    constant c_data_w          : in  natural;
+    constant c_data_init       : in  natural;
+    constant c_data_max        : in  natural;
+    signal   rst               : in  std_logic;
+    signal   clk               : in  std_logic;
+    signal   in_en             : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in            : in  t_dp_siso;
+    signal   src_out           : out t_dp_sosi) is
     variable v_cnt     : std_logic_vector(c_data_w - 1 downto 0) := TO_UVEC(c_data_init, c_data_w);
   begin
     src_out         <= c_dp_sosi_rst;
@@ -965,17 +1025,18 @@ package body tb_dp_pkg is
   -- PROCEDURE: Generate a frame with symbols counter
   -- . dependent on in_en and src_in.ready
   ------------------------------------------------------------------------------
-  procedure proc_dp_gen_frame(constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                              constant c_data_w        : in  natural;
-                              constant c_symbol_w      : in  natural;  -- c_data_w/c_symbol_w must be an integer
-                              constant c_symbol_init   : in  natural;
-                              constant c_nof_symbols   : in  natural;
-                              constant c_bsn           : in  natural;
-                              constant c_sync          : in  std_logic;
-                              signal   clk             : in  std_logic;
-                              signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
-                              signal   src_in          : in  t_dp_siso;
-                              signal   src_out         : out t_dp_sosi) is
+  procedure proc_dp_gen_frame (
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_data_w        : in  natural;
+    constant c_symbol_w      : in  natural;  -- c_data_w/c_symbol_w must be an integer
+    constant c_symbol_init   : in  natural;
+    constant c_nof_symbols   : in  natural;
+    constant c_bsn           : in  natural;
+    constant c_sync          : in  std_logic;
+    signal   clk             : in  std_logic;
+    signal   in_en           : in  std_logic;  -- when '0' then no valid output even when src_in is ready
+    signal   src_in          : in  t_dp_siso;
+    signal   src_out         : out t_dp_sosi) is
     constant c_nof_symbols_per_data : natural := c_data_w / c_symbol_w;
     constant c_div                  : natural := c_nof_symbols   / c_nof_symbols_per_data;
     constant c_mod                  : natural := c_nof_symbols mod c_nof_symbols_per_data;
@@ -1014,10 +1075,11 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Input data counter
   ------------------------------------------------------------------------------
-  procedure proc_dp_cnt_dat(signal rst     : in    std_logic;
-                            signal clk     : in    std_logic;
-                            signal in_en   : in    std_logic;
-                            signal cnt_dat : inout std_logic_vector) is
+  procedure proc_dp_cnt_dat (
+    signal rst     : in    std_logic;
+    signal clk     : in    std_logic;
+    signal in_en   : in    std_logic;
+    signal cnt_dat : inout std_logic_vector) is
   begin
     if rst = '1' then
       cnt_dat <= (cnt_dat'range => '0');
@@ -1028,11 +1090,12 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_cnt_dat;
 
-  procedure proc_dp_cnt_dat(signal rst     : in    std_logic;
-                            signal clk     : in    std_logic;
-                            signal in_en   : in    std_logic;
-                            signal cnt_val : inout std_logic;
-                            signal cnt_dat : inout std_logic_vector) is
+  procedure proc_dp_cnt_dat (
+    signal rst     : in    std_logic;
+    signal clk     : in    std_logic;
+    signal in_en   : in    std_logic;
+    signal cnt_val : inout std_logic;
+    signal cnt_dat : inout std_logic_vector) is
   begin
     if rst = '1' then
       cnt_val <= '0';
@@ -1049,15 +1112,16 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Transmit data
   ------------------------------------------------------------------------------
-  procedure proc_dp_tx_data(constant c_ready_latency : in    natural;
-                            signal   rst             : in    std_logic;
-                            signal   clk             : in    std_logic;
-                            signal   cnt_val         : in    std_logic;
-                            signal   cnt_dat         : in    std_logic_vector;
-                            signal   tx_data         : inout t_dp_data_arr;
-                            signal   tx_val          : inout std_logic_vector;
-                            signal   out_data        : out   std_logic_vector;
-                            signal   out_val         : out   std_logic) is
+  procedure proc_dp_tx_data (
+    constant c_ready_latency : in    natural;
+    signal   rst             : in    std_logic;
+    signal   clk             : in    std_logic;
+    signal   cnt_val         : in    std_logic;
+    signal   cnt_dat         : in    std_logic_vector;
+    signal   tx_data         : inout t_dp_data_arr;
+    signal   tx_val          : inout std_logic_vector;
+    signal   out_data        : out   std_logic_vector;
+    signal   out_val         : out   std_logic) is
     constant c_void : natural := sel_a_b(c_ready_latency, 1, 0);  -- used to avoid empty range VHDL warnings when c_ready_latency=0
   begin
     -- TX data array for output ready latency [c_ready_latency], index [0] for zero latency combinatorial
@@ -1080,11 +1144,12 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Transmit data control (use for sop, eop)
   ------------------------------------------------------------------------------
-  procedure proc_dp_tx_ctrl(constant c_offset : in  natural;
-                            constant c_period : in  natural;
-                            signal   data     : in  std_logic_vector;
-                            signal   valid    : in  std_logic;
-                            signal   ctrl     : out std_logic) is
+  procedure proc_dp_tx_ctrl (
+    constant c_offset : in  natural;
+    constant c_period : in  natural;
+    signal   data     : in  std_logic_vector;
+    signal   valid    : in  std_logic;
+    signal   ctrl     : out std_logic) is
     variable v_data : integer;
   begin
     v_data := TO_UINT(data);
@@ -1098,8 +1163,9 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Define test sync interval
   ------------------------------------------------------------------------------
-  procedure proc_dp_sync_interval(signal clk  : in  std_logic;
-                                  signal sync : out std_logic) is
+  procedure proc_dp_sync_interval (
+    signal clk  : in  std_logic;
+    signal sync : out std_logic) is
   begin
     sync <= '0';
     for I in 1 to c_dp_sync_interval - 1 loop wait until rising_edge(clk); end loop;
@@ -1111,14 +1177,15 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Stimuli for cnt_en
   ------------------------------------------------------------------------------
-  procedure proc_dp_count_en(signal rst    : in    std_logic;
-                             signal clk    : in    std_logic;
-                             signal sync   : in    std_logic;
-                             signal lfsr   : inout std_logic_vector;
-                             signal state  : out   t_dp_state_enum;
-                             signal done   : out   std_logic;
-                             signal tb_end : out   std_logic;
-                             signal cnt_en : out   std_logic) is
+  procedure proc_dp_count_en (
+    signal rst    : in    std_logic;
+    signal clk    : in    std_logic;
+    signal sync   : in    std_logic;
+    signal lfsr   : inout std_logic_vector;
+    signal state  : out   t_dp_state_enum;
+    signal done   : out   std_logic;
+    signal tb_end : out   std_logic;
+    signal cnt_en : out   std_logic) is
   begin
     -- The counter operates at zero latency
     state <= s_idle;
@@ -1419,11 +1486,12 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Stimuli for out_ready
   ------------------------------------------------------------------------------
-  procedure proc_dp_out_ready(signal rst       : in    std_logic;
-                              signal clk       : in    std_logic;
-                              signal sync      : in    std_logic;
-                              signal lfsr      : inout std_logic_vector;
-                              signal out_ready : out   std_logic) is
+  procedure proc_dp_out_ready (
+    signal rst       : in    std_logic;
+    signal clk       : in    std_logic;
+    signal sync      : in    std_logic;
+    signal lfsr      : inout std_logic_vector;
+    signal out_ready : out   std_logic) is
   begin
     out_ready <= '0';
     wait until rst = '0';
@@ -1693,11 +1761,12 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
 
   -- Fixed delay until verify_en active
-  procedure proc_dp_verify_en(constant c_delay   : in  natural;
-                              signal   rst       : in  std_logic;
-                              signal   clk       : in  std_logic;
-                              signal   sync      : in  std_logic;
-                              signal   verify_en : out std_logic) is
+  procedure proc_dp_verify_en (
+    constant c_delay   : in  natural;
+    signal   rst       : in  std_logic;
+    signal   clk       : in  std_logic;
+    signal   sync      : in  std_logic;
+    signal   verify_en : out std_logic) is
   begin
     verify_en <= '0';
     wait until rst = '0';
@@ -1713,12 +1782,13 @@ package body tb_dp_pkg is
 
 
   -- Dynamicly depend on first valid data to make verify_en active
-  procedure proc_dp_verify_en(constant c_continuous : in  boolean;
-                              signal   clk          : in  std_logic;
-                              signal   valid        : in  std_logic;
-                              signal   sop          : in  std_logic;
-                              signal   eop          : in  std_logic;
-                              signal   verify_en    : out std_logic) is
+  procedure proc_dp_verify_en (
+    constant c_continuous : in  boolean;
+    signal   clk          : in  std_logic;
+    signal   valid        : in  std_logic;
+    signal   sop          : in  std_logic;
+    signal   eop          : in  std_logic;
+    signal   verify_en    : out std_logic) is
   begin
     if rising_edge(clk) then
       if c_continuous = true then
@@ -1738,11 +1808,12 @@ package body tb_dp_pkg is
   end proc_dp_verify_en;
 
   -- Run and verify for some cycles
-  procedure proc_dp_verify_run_some_cycles(constant nof_pre_clk    : in   natural;
-                                           constant nof_verify_clk : in   natural;
-                                           constant nof_post_clk   : in   natural;
-                                           signal   clk            : in   std_logic;
-                                           signal   verify_en      : out  std_logic) is
+  procedure proc_dp_verify_run_some_cycles (
+    constant nof_pre_clk    : in   natural;
+    constant nof_verify_clk : in   natural;
+    constant nof_post_clk   : in   natural;
+    signal   clk            : in   std_logic;
+    signal   verify_en      : out  std_logic) is
   begin
     proc_common_wait_some_cycles(clk, nof_pre_clk);
     verify_en <= '1';
@@ -1756,12 +1827,13 @@ package body tb_dp_pkg is
   -- PROCEDURE: Verify the expected value
   ------------------------------------------------------------------------------
   --  e.g. to check that a test has ran at all
-  procedure proc_dp_verify_value(constant c_str : in string;
-                                 constant mode  : in t_dp_value_enum;
-                                 signal   clk   : in std_logic;
-                                 signal   en    : in std_logic;
-                                 signal   exp   : in std_logic_vector;
-                                 signal   res   : in std_logic_vector) is
+  procedure proc_dp_verify_value (
+    constant c_str : in string;
+    constant mode  : in t_dp_value_enum;
+    signal   clk   : in std_logic;
+    signal   en    : in std_logic;
+    signal   exp   : in std_logic_vector;
+    signal   res   : in std_logic_vector) is
   begin
     if rising_edge(clk) then
       if en = '1' then
@@ -1775,20 +1847,22 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_verify_value;
 
-  procedure proc_dp_verify_value(constant mode : in t_dp_value_enum;
-                                 signal   clk  : in std_logic;
-                                 signal   en   : in std_logic;
-                                 signal   exp  : in std_logic_vector;
-                                 signal   res  : in std_logic_vector) is
+  procedure proc_dp_verify_value (
+    constant mode : in t_dp_value_enum;
+    signal   clk  : in std_logic;
+    signal   en   : in std_logic;
+    signal   exp  : in std_logic_vector;
+    signal   res  : in std_logic_vector) is
   begin
     proc_dp_verify_value("", mode, clk, en, exp, res);
   end proc_dp_verify_value;
 
-  procedure proc_dp_verify_value(constant c_str : in string;
-                                 signal   clk   : in std_logic;
-                                 signal   en    : in std_logic;
-                                 signal   exp   : in std_logic;
-                                 signal   res   : in std_logic) is
+  procedure proc_dp_verify_value (
+    constant c_str : in string;
+    signal   clk   : in std_logic;
+    signal   en    : in std_logic;
+    signal   exp   : in std_logic;
+    signal   res   : in std_logic) is
   begin
     if rising_edge(clk) then
       if en = '1' then
@@ -1826,18 +1900,19 @@ package body tb_dp_pkg is
   -- The verify_en should initially be set to '0' and gets enabled when
   -- sufficient BSN history is available to do the verification.
   --
-  procedure proc_dp_verify_bsn(constant c_use_local_bsn             : in    boolean;  -- use local BSN or only use global BSN
-                               constant c_global_bsn_increment      : in    positive;  -- increment per global BSN
-                               constant c_nof_replicated_global_bsn : in    positive;  -- number of replicated global BSN
-                               constant c_block_per_sync            : in    positive;  -- of sop/eop blocks per sync interval
-                               signal   clk                         : in    std_logic;
-                               signal   out_sync                    : in    std_logic;
-                               signal   out_sop                     : in    std_logic;
-                               signal   out_bsn                     : in    std_logic_vector;
-                               signal   verify_en                   : inout std_logic;  -- initialize '0', becomes '1' when bsn verification starts
-                               signal   cnt_replicated_global_bsn   : inout natural;
-                               signal   prev_out_bsn_global         : inout std_logic_vector;
-                               signal   prev_out_bsn_local          : inout std_logic_vector) is
+  procedure proc_dp_verify_bsn (
+    constant c_use_local_bsn             : in    boolean;  -- use local BSN or only use global BSN
+    constant c_global_bsn_increment      : in    positive;  -- increment per global BSN
+    constant c_nof_replicated_global_bsn : in    positive;  -- number of replicated global BSN
+    constant c_block_per_sync            : in    positive;  -- of sop/eop blocks per sync interval
+    signal   clk                         : in    std_logic;
+    signal   out_sync                    : in    std_logic;
+    signal   out_sop                     : in    std_logic;
+    signal   out_bsn                     : in    std_logic_vector;
+    signal   verify_en                   : inout std_logic;  -- initialize '0', becomes '1' when bsn verification starts
+    signal   cnt_replicated_global_bsn   : inout natural;
+    signal   prev_out_bsn_global         : inout std_logic_vector;
+    signal   prev_out_bsn_local          : inout std_logic_vector) is
   begin
     if rising_edge(clk) then
       -- out_sop must be active, because only then out_bsn will differ from the previous out_bsn
@@ -1896,16 +1971,17 @@ package body tb_dp_pkg is
   -- Verify incrementing data
   -- . wrap at c_out_data_max when >0, else no wrap when c_out_data_max=0
   -- . default increment by 1, but also allow an increment by c_out_data_gap
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_ready_latency : in    natural;
-                                constant c_out_data_max  : in    unsigned;
-                                constant c_out_data_gap  : in    unsigned;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_ready       : in    std_logic;  -- only needed when c_ready_latency = 0
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_ready_latency : in    natural;
+    constant c_out_data_max  : in    unsigned;
+    constant c_out_data_gap  : in    unsigned;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;  -- only needed when c_ready_latency = 0
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
   begin
     if rising_edge(clk) then
       -- out_val must be active, because only the out_data will it differ from the previous out_data
@@ -1933,77 +2009,83 @@ package body tb_dp_pkg is
   end proc_dp_verify_data;
 
   -- Verify incrementing data that wraps in range 0 ... c_out_data_max
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_ready_latency : in    natural;
-                                constant c_out_data_max  : in    unsigned;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_ready       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_ready_latency : in    natural;
+    constant c_out_data_max  : in    unsigned;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
   begin
     proc_dp_verify_data(c_str, c_ready_latency, c_out_data_max, to_unsigned(1,1), clk, verify_en, out_ready, out_val, out_data, prev_out_data);
   end proc_dp_verify_data;
 
   -- Verify incrementing data
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_ready_latency : in    natural;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_ready       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
   begin
     proc_dp_verify_data(c_str, c_ready_latency, to_unsigned(0,1), to_unsigned(1,1), clk, verify_en, out_ready, out_val, out_data, prev_out_data);
   end proc_dp_verify_data;
 
   -- Verify incrementing data with RL > 0 or no flow control
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_out_data_max  : in    unsigned;
-                                constant c_out_data_gap  : in    unsigned;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_out_data_max  : in    unsigned;
+    constant c_out_data_gap  : in    unsigned;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
   begin
     -- Use out_val as void signal to pass on to unused out_ready, because a signal input can not connect a constant or variable
     proc_dp_verify_data(c_str, 1, c_out_data_max, c_out_data_gap, clk, verify_en, out_val, out_val, out_data, prev_out_data);
   end proc_dp_verify_data;
 
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_out_data_max  : in    natural;
-                                constant c_out_data_gap  : in    natural;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_out_data_max  : in    natural;
+    constant c_out_data_gap  : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
     constant c_data_w : natural := out_data'length;
   begin
     proc_dp_verify_data(c_str, to_unsigned(c_out_data_max, c_data_w), to_unsigned(c_out_data_gap, c_data_w), clk, verify_en, out_val, out_data, prev_out_data);
   end proc_dp_verify_data;
 
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                constant c_out_data_max  : in    natural;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    constant c_out_data_max  : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
     constant c_data_w : natural := out_data'length;
   begin
     proc_dp_verify_data(c_str, to_unsigned(c_out_data_max, c_data_w), to_unsigned(1, 1), clk, verify_en, out_val, out_data, prev_out_data);
   end proc_dp_verify_data;
 
-  procedure proc_dp_verify_data(constant c_str           : in    string;
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   out_val         : in    std_logic;
-                                signal   out_data        : in    std_logic_vector;
-                                signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_data (
+    constant c_str           : in    string;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
   begin
     -- Use out_val as void signal to pass on to unused out_ready, because a signal input can not connect a constant or variable
     proc_dp_verify_data(c_str, 1, to_unsigned(0,1), to_unsigned(1,1), clk, verify_en, out_val, out_val, out_data, prev_out_data);
@@ -2013,17 +2095,18 @@ package body tb_dp_pkg is
   -- PROCEDURE: Verify incrementing symbols in data
   -- . for c_data_w = c_symbol_w proc_dp_verify_symbols() = proc_dp_verify_data()
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_symbols(constant c_ready_latency : in    natural;
-                                   constant c_data_w        : in    natural;
-                                   constant c_symbol_w      : in    natural;
-                                   signal   clk             : in    std_logic;
-                                   signal   verify_en       : in    std_logic;
-                                   signal   out_ready       : in    std_logic;
-                                   signal   out_val         : in    std_logic;
-                                   signal   out_eop         : in    std_logic;
-                                   signal   out_data        : in    std_logic_vector;
-                                   signal   out_empty       : in    std_logic_vector;
-                                   signal   prev_out_data   : inout std_logic_vector) is
+  procedure proc_dp_verify_symbols (
+    constant c_ready_latency : in    natural;
+    constant c_data_w        : in    natural;
+    constant c_symbol_w      : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   out_empty       : in    std_logic_vector;
+    signal   prev_out_data   : inout std_logic_vector) is
     constant c_nof_symbols_per_data : natural := c_data_w / c_symbol_w;  -- must be an integer
     constant c_empty_w              : natural := ceil_log2(c_nof_symbols_per_data);
     variable v_data                 : std_logic_vector(c_data_w - 1 downto 0);
@@ -2074,21 +2157,22 @@ package body tb_dp_pkg is
   -- . support last word replace (e.g. by a CRC instead of the count, or use
   --   c_last_word=out_data for no replace)
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_data_empty(constant c_ready_latency : in    natural;
-                                      constant c_last_word     : in    natural;
-                                      signal   clk             : in    std_logic;
-                                      signal   verify_en       : in    std_logic;
-                                      signal   out_ready       : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   out_eop_1       : inout std_logic;
-                                      signal   out_eop_2       : inout std_logic;
-                                      signal   out_data        : in    std_logic_vector;
-                                      signal   out_data_1      : inout std_logic_vector;
-                                      signal   out_data_2      : inout std_logic_vector;
-                                      signal   out_data_3      : inout std_logic_vector;
-                                      signal   out_empty       : in    std_logic_vector;
-                                      signal   out_empty_1     : inout std_logic_vector) is
+  procedure proc_dp_verify_data_empty (
+    constant c_ready_latency : in    natural;
+    constant c_last_word     : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   out_eop_1       : inout std_logic;
+    signal   out_eop_2       : inout std_logic;
+    signal   out_data        : in    std_logic_vector;
+    signal   out_data_1      : inout std_logic_vector;
+    signal   out_data_2      : inout std_logic_vector;
+    signal   out_data_3      : inout std_logic_vector;
+    signal   out_empty       : in    std_logic_vector;
+    signal   out_empty_1     : inout std_logic_vector) is
     variable v_last_word    : std_logic_vector(out_data'high downto 0);
     variable v_ref_data     : std_logic_vector(out_data'high downto 0);
     variable v_empty_data   : std_logic_vector(out_data'high downto 0);
@@ -2161,11 +2245,12 @@ package body tb_dp_pkg is
   -- . Suited to verify the empty, error, channel fields assuming that these
   --   are treated in the same way in parallel to the SOSI data.
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_other_sosi(constant c_str       : in string;
-                                      constant c_exp_data  : in std_logic_vector;  -- use constant to support assignment via FUNCTION return value
-                                      signal   clk         : in std_logic;
-                                      signal   verify_en   : in std_logic;
-                                      signal   res_data    : in std_logic_vector) is
+  procedure proc_dp_verify_other_sosi (
+    constant c_str       : in string;
+    constant c_exp_data  : in std_logic_vector;  -- use constant to support assignment via FUNCTION return value
+    signal   clk         : in std_logic;
+    signal   verify_en   : in std_logic;
+    signal   res_data    : in std_logic_vector) is
   begin
     if rising_edge(clk) then
       if verify_en = '1' then
@@ -2195,11 +2280,12 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Verify per field whether DUT output SOSI is equal to expected SOSI
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_sosi_equal(constant c_str       : in string;
-                                      signal   clk         : in std_logic;
-                                      signal   verify_en   : in std_logic;
-                                      signal   dut_sosi    : in t_dp_sosi_integer;  -- use func_dp_stream_slv_to_integer for conversion
-                                      signal   exp_sosi    : in t_dp_sosi_integer) is  -- use func_dp_stream_slv_to_integer for conversion
+  procedure proc_dp_verify_sosi_equal (
+    constant c_str       : in string;
+    signal   clk         : in std_logic;
+    signal   verify_en   : in std_logic;
+    signal   dut_sosi    : in t_dp_sosi_integer;  -- use func_dp_stream_slv_to_integer for conversion
+    signal   exp_sosi    : in t_dp_sosi_integer) is  -- use func_dp_stream_slv_to_integer for conversion
   begin
     -- Use sosi integers, instead of sosi slv, for easier comparision. This
     -- implies that only integer low part of sosi slv fields is checked, so
@@ -2265,9 +2351,10 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_verify_sosi_equal;
 
-  procedure proc_dp_verify_sosi_equal(constant c_use_complex : in boolean;
-                                      signal   dut_sosi      : in t_dp_sosi;
-                                      signal   exp_sosi      : in t_dp_sosi) is
+  procedure proc_dp_verify_sosi_equal (
+    constant c_use_complex : in boolean;
+    signal   dut_sosi      : in t_dp_sosi;
+    signal   exp_sosi      : in t_dp_sosi) is
   begin
     assert dut_sosi.sync  = exp_sosi.sync  report "Wrong dut_sosi.sync"  severity ERROR;
     assert dut_sosi.sop   = exp_sosi.sop   report "Wrong dut_sosi.sop"   severity ERROR;
@@ -2294,12 +2381,13 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Verify the DUT output valid
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_valid(constant c_ready_latency : in    natural;
-                                 signal   clk             : in    std_logic;
-                                 signal   verify_en       : in    std_logic;
-                                 signal   out_ready       : in    std_logic;
-                                 signal   prev_out_ready  : inout std_logic_vector;
-                                 signal   out_val         : in    std_logic) is
+  procedure proc_dp_verify_valid (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   prev_out_ready  : inout std_logic_vector;
+    signal   out_val         : in    std_logic) is
   begin
     if rising_edge(clk) then
       -- for ready_latency > 0 out_val may only be asserted after out_ready
@@ -2320,11 +2408,12 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_verify_valid;
 
-  procedure proc_dp_verify_valid(signal   clk             : in    std_logic;
-                                 signal   verify_en       : in    std_logic;
-                                 signal   out_ready       : in    std_logic;
-                                 signal   prev_out_ready  : inout std_logic;
-                                 signal   out_val         : in    std_logic) is
+  procedure proc_dp_verify_valid (
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   prev_out_ready  : inout std_logic;
+    signal   out_val         : in    std_logic) is
   begin
     -- Can not reuse:
     --   proc_dp_verify_valid(1, clk, verify_en, out_ready, prev_out_ready, out_val);
@@ -2345,11 +2434,12 @@ package body tb_dp_pkg is
   -- . sync is defined such that it can only be active at sop
   -- . report expected_sync from input
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_sync(signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   sync            : in    std_logic;
-                                signal   sop             : in    std_logic;
-                                         expected_sync   : in    std_logic) is
+  procedure proc_dp_verify_sync (
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   sync            : in    std_logic;
+    signal   sop             : in    std_logic;
+    expected_sync   : in    std_logic) is
   begin
     if rising_edge(clk) then
       if verify_en = '1' then
@@ -2369,13 +2459,14 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_verify_sync;
 
-  procedure proc_dp_verify_sync(signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   sync            : in    std_logic;
-                                signal   sop             : in    std_logic;
-                                         bsn             : in    natural;  -- for reporting
-                                         expected_bsn    : in    natural;  -- for reporting
-                                         expected_sync   : in    std_logic) is
+  procedure proc_dp_verify_sync (
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   sync            : in    std_logic;
+    signal   sop             : in    std_logic;
+    bsn             : in    natural;  -- for reporting
+    expected_bsn    : in    natural;  -- for reporting
+    expected_sync   : in    std_logic) is
   begin
     if rising_edge(clk) then
       if verify_en = '1' then
@@ -2400,13 +2491,14 @@ package body tb_dp_pkg is
   -- . sync is defined such that it can only be active at sop
   -- . assume that the sync occures priodically at bsn MOD c_sync_period = c_sync_offset
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_sync(constant c_sync_period   : in    natural;  -- BSN sync period
-                                constant c_sync_offset   : in    natural;  -- BSN sync offset
-                                signal   clk             : in    std_logic;
-                                signal   verify_en       : in    std_logic;
-                                signal   sync            : in    std_logic;
-                                signal   sop             : in    std_logic;
-                                signal   bsn             : in    std_logic_vector) is
+  procedure proc_dp_verify_sync (
+    constant c_sync_period   : in    natural;  -- BSN sync period
+    constant c_sync_offset   : in    natural;  -- BSN sync offset
+    signal   clk             : in    std_logic;
+    signal   verify_en       : in    std_logic;
+    signal   sync            : in    std_logic;
+    signal   sop             : in    std_logic;
+    signal   bsn             : in    std_logic_vector) is
     constant c_bsn_w         : natural := sel_a_b(bsn'length > 31, 31, bsn'length);  -- use maximally c_natural_w = 31 bit of BSN slv to allow calculations with integers
     variable v_bsn           : natural := TO_UINT(bsn(c_bsn_w - 1 downto 0));
     variable v_expected_sync : boolean;
@@ -2425,18 +2517,19 @@ package body tb_dp_pkg is
   --   dp_bsn_source_v2, dp_bsn_sync_scheduler.
   -- . Use block sequence number (BSN) in dbg_expected_bsn.
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_sync(constant c_start_bsn      : in    natural;  -- BSN of first sync, start of fractional periods
-                                constant c_sync_period    : in    natural;  -- number of sample per sync period
-                                constant c_block_size     : in    natural;  -- number of sample per block
-                                signal   clk              : in    std_logic;
-                                signal   verify_en        : in    std_logic;
-                                signal   sync             : in    std_logic;
-                                signal   sop              : in    std_logic;
-                                signal   bsn              : in    std_logic_vector;
-                                -- for debug purposes
-                                signal   dbg_nof_blk      : out   natural;
-                                signal   dbg_accumulate   : out   natural;
-                                signal   dbg_expected_bsn : out   natural) is
+  procedure proc_dp_verify_sync (
+    constant c_start_bsn      : in    natural;  -- BSN of first sync, start of fractional periods
+    constant c_sync_period    : in    natural;  -- number of sample per sync period
+    constant c_block_size     : in    natural;  -- number of sample per block
+    signal   clk              : in    std_logic;
+    signal   verify_en        : in    std_logic;
+    signal   sync             : in    std_logic;
+    signal   sop              : in    std_logic;
+    signal   bsn              : in    std_logic_vector;
+    -- for debug purposes
+    signal   dbg_nof_blk      : out   natural;
+    signal   dbg_accumulate   : out   natural;
+    signal   dbg_expected_bsn : out   natural) is
   begin
     proc_dp_verify_sync(c_start_bsn,
                         c_sync_period,
@@ -2461,19 +2554,20 @@ package body tb_dp_pkg is
   -- . support using block sequence number (BSN) in dbg_expected_bsn or using
   --   raw samples sequence number (RSN) in dbg_expected_bsn
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_sync(constant c_start_bsn      : in    natural;  -- BSN of first sync, start of fractional periods
-                                constant c_sync_period    : in    natural;  -- number of sample per sync period
-                                constant c_block_size     : in    natural;  -- number of sample per block
-                                constant c_bsn_is_rsn     : in    boolean;  -- increment BSN by 1 or by c_block_size for RSN
-                                signal   clk              : in    std_logic;
-                                signal   verify_en        : in    std_logic;
-                                signal   sync             : in    std_logic;
-                                signal   sop              : in    std_logic;
-                                signal   bsn              : in    std_logic_vector;
-                                -- for debug purposes
-                                signal   dbg_nof_blk      : out   natural;
-                                signal   dbg_accumulate   : out   natural;
-                                signal   dbg_expected_bsn : out   natural) is
+  procedure proc_dp_verify_sync (
+    constant c_start_bsn      : in    natural;  -- BSN of first sync, start of fractional periods
+    constant c_sync_period    : in    natural;  -- number of sample per sync period
+    constant c_block_size     : in    natural;  -- number of sample per block
+    constant c_bsn_is_rsn     : in    boolean;  -- increment BSN by 1 or by c_block_size for RSN
+    signal   clk              : in    std_logic;
+    signal   verify_en        : in    std_logic;
+    signal   sync             : in    std_logic;
+    signal   sop              : in    std_logic;
+    signal   bsn              : in    std_logic_vector;
+    -- for debug purposes
+    signal   dbg_nof_blk      : out   natural;
+    signal   dbg_accumulate   : out   natural;
+    signal   dbg_expected_bsn : out   natural) is
     constant c_bsn_w         : natural := sel_a_b(bsn'length > 31, 31, bsn'length);  -- use maximally c_natural_w = 31 bit of BSN slv to allow calculations with integers
     constant c_nof_blk_min   : natural := c_sync_period / c_block_size;  -- minimum number of blocks in sync period
     constant c_extra         : natural := c_sync_period mod c_block_size;  -- number of extra samples in sync period
@@ -2528,14 +2622,15 @@ package body tb_dp_pkg is
   -- PROCEDURE: Verify the DUT output sop and eop
   ------------------------------------------------------------------------------
   -- sop and eop in pairs, valid during packet and invalid between packets
-  procedure proc_dp_verify_sop_and_eop(constant c_ready_latency : in    natural;
-                                       constant c_verify_valid  : in    boolean;
-                                       signal   clk             : in    std_logic;
-                                       signal   out_ready       : in    std_logic;
-                                       signal   out_val         : in    std_logic;
-                                       signal   out_sop         : in    std_logic;
-                                       signal   out_eop         : in    std_logic;
-                                       signal   hold_sop        : inout std_logic) is
+  procedure proc_dp_verify_sop_and_eop (
+    constant c_ready_latency : in    natural;
+    constant c_verify_valid  : in    boolean;
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   hold_sop        : inout std_logic) is
   begin
     if rising_edge(clk) then
       if out_val = '0' then
@@ -2566,36 +2661,39 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_verify_sop_and_eop;
 
-  procedure proc_dp_verify_sop_and_eop(constant c_ready_latency : in    natural;
-                                       signal   clk             : in    std_logic;
-                                       signal   out_ready       : in    std_logic;
-                                       signal   out_val         : in    std_logic;
-                                       signal   out_sop         : in    std_logic;
-                                       signal   out_eop         : in    std_logic;
-                                       signal   hold_sop        : inout std_logic) is
+  procedure proc_dp_verify_sop_and_eop (
+    constant c_ready_latency : in    natural;
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   hold_sop        : inout std_logic) is
   begin
     proc_dp_verify_sop_and_eop(c_ready_latency, true, clk, out_ready, out_val, out_sop, out_eop, hold_sop);
   end proc_dp_verify_sop_and_eop;
 
-  procedure proc_dp_verify_sop_and_eop(signal   clk      : in    std_logic;
-                                       signal   out_val  : in    std_logic;
-                                       signal   out_sop  : in    std_logic;
-                                       signal   out_eop  : in    std_logic;
-                                       signal   hold_sop : inout std_logic) is
+  procedure proc_dp_verify_sop_and_eop (
+    signal   clk      : in    std_logic;
+    signal   out_val  : in    std_logic;
+    signal   out_sop  : in    std_logic;
+    signal   out_eop  : in    std_logic;
+    signal   hold_sop : inout std_logic) is
   begin
     -- Use out_val as void signal to pass on to unused out_ready, because a signal input can not connect a constant or variable
     proc_dp_verify_sop_and_eop(1, true, clk, out_val, out_val, out_sop, out_eop, hold_sop);
   end proc_dp_verify_sop_and_eop;
 
-  procedure proc_dp_verify_block_size(constant c_ready_latency : in    natural;
-                                      signal   alt_size        : in    natural;  -- alternative size
-                                      signal   exp_size        : in    natural;  -- expected size
-                                      signal   clk             : in    std_logic;
-                                      signal   out_ready       : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_sop         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   cnt_size        : inout natural) is
+  procedure proc_dp_verify_block_size (
+    constant c_ready_latency : in    natural;
+    signal   alt_size        : in    natural;  -- alternative size
+    signal   exp_size        : in    natural;  -- expected size
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   cnt_size        : inout natural) is
   begin
     if rising_edge(clk) then
       if out_val = '1' then
@@ -2617,36 +2715,39 @@ package body tb_dp_pkg is
     end if;
   end proc_dp_verify_block_size;
 
-  procedure proc_dp_verify_block_size(constant c_ready_latency : in    natural;
-                                      signal   exp_size        : in    natural;
-                                      signal   clk             : in    std_logic;
-                                      signal   out_ready       : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_sop         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   cnt_size        : inout natural) is
+  procedure proc_dp_verify_block_size (
+    constant c_ready_latency : in    natural;
+    signal   exp_size        : in    natural;
+    signal   clk             : in    std_logic;
+    signal   out_ready       : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   cnt_size        : inout natural) is
   begin
     proc_dp_verify_block_size(c_ready_latency, exp_size, exp_size, clk, out_ready, out_val, out_sop, out_eop, cnt_size);
   end proc_dp_verify_block_size;
 
-  procedure proc_dp_verify_block_size(signal   alt_size        : in    natural;  -- alternative size
-                                      signal   exp_size        : in    natural;  -- expected size
-                                      signal   clk             : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_sop         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   cnt_size        : inout natural) is
+  procedure proc_dp_verify_block_size (
+    signal   alt_size        : in    natural;  -- alternative size
+    signal   exp_size        : in    natural;  -- expected size
+    signal   clk             : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   cnt_size        : inout natural) is
   begin
     -- Use out_val as void signal to pass on to unused out_ready, because a signal input can not connect a constant or variable
     proc_dp_verify_block_size(1, alt_size, exp_size, clk, out_val, out_val, out_sop, out_eop, cnt_size);
   end proc_dp_verify_block_size;
 
-  procedure proc_dp_verify_block_size(signal   exp_size        : in    natural;
-                                      signal   clk             : in    std_logic;
-                                      signal   out_val         : in    std_logic;
-                                      signal   out_sop         : in    std_logic;
-                                      signal   out_eop         : in    std_logic;
-                                      signal   cnt_size        : inout natural) is
+  procedure proc_dp_verify_block_size (
+    signal   exp_size        : in    natural;
+    signal   clk             : in    std_logic;
+    signal   out_val         : in    std_logic;
+    signal   out_sop         : in    std_logic;
+    signal   out_eop         : in    std_logic;
+    signal   cnt_size        : inout natural) is
   begin
     -- Use out_val as void signal to pass on to unused out_ready, because a signal input can not connect a constant or variable
     proc_dp_verify_block_size(1, exp_size, exp_size, clk, out_val, out_val, out_sop, out_eop, cnt_size);
@@ -2655,11 +2756,12 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Verify the DUT output invalid between frames
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_gap_invalid(signal clk     : in    std_logic;
-                                       signal in_val  : in    std_logic;
-                                       signal in_sop  : in    std_logic;
-                                       signal in_eop  : in    std_logic;
-                                       signal out_gap : inout std_logic) is
+  procedure proc_dp_verify_gap_invalid (
+    signal clk     : in    std_logic;
+    signal in_val  : in    std_logic;
+    signal in_sop  : in    std_logic;
+    signal in_eop  : in    std_logic;
+    signal out_gap : inout std_logic) is
   begin
     if rising_edge(clk) then
       if in_eop = '1' then
@@ -2676,14 +2778,15 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Verify the DUT output control (use for sop, eop)
   ------------------------------------------------------------------------------
-  procedure proc_dp_verify_ctrl(constant c_offset  : in natural;
-                                constant c_period  : in natural;
-                                constant c_str     : in string;
-                                signal   clk       : in std_logic;
-                                signal   verify_en : in std_logic;
-                                signal   data      : in std_logic_vector;
-                                signal   valid     : in std_logic;
-                                signal   ctrl      : in std_logic) is
+  procedure proc_dp_verify_ctrl (
+    constant c_offset  : in natural;
+    constant c_period  : in natural;
+    constant c_str     : in string;
+    signal   clk       : in std_logic;
+    signal   verify_en : in std_logic;
+    signal   data      : in std_logic_vector;
+    signal   valid     : in std_logic;
+    signal   ctrl      : in std_logic) is
     variable v_data : integer;
   begin
     if rising_edge(clk) then
@@ -2706,8 +2809,9 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Wait for stream valid
   ------------------------------------------------------------------------------
-  procedure proc_dp_stream_valid(signal clk      : in  std_logic;
-                                 signal in_valid : in  std_logic) is
+  procedure proc_dp_stream_valid (
+    signal clk      : in  std_logic;
+    signal in_valid : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -2719,9 +2823,10 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Wait for stream valid AND sop
   ------------------------------------------------------------------------------
-  procedure proc_dp_stream_valid_sop(signal clk      : in  std_logic;
-                                     signal in_valid : in  std_logic;
-                                     signal in_sop   : in  std_logic) is
+  procedure proc_dp_stream_valid_sop (
+    signal clk      : in  std_logic;
+    signal in_valid : in  std_logic;
+    signal in_sop   : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -2733,9 +2838,10 @@ package body tb_dp_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Wait for stream valid AND eop
   ------------------------------------------------------------------------------
-  procedure proc_dp_stream_valid_eop(signal clk      : in  std_logic;
-                                     signal in_valid : in  std_logic;
-                                     signal in_eop   : in  std_logic) is
+  procedure proc_dp_stream_valid_eop (
+    signal clk      : in  std_logic;
+    signal in_valid : in  std_logic;
+    signal in_eop   : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_eop /= '1' loop
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_reinterleave.vhd b/libraries/base/dp/tb/vhdl/tb_dp_reinterleave.vhd
index 5e78c8d0c2..e5cb3768db 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_reinterleave.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_reinterleave.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.dp_stream_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: Test bench to check reinterleave function on DP level
 -- Usage:
@@ -44,7 +44,7 @@ entity tb_dp_reinterleave is
     g_inter_block_size : natural := 2;
     g_use_complex    : boolean := true;
     g_align_out  : boolean := true
- );
+  );
 end;
 
 architecture rtl of tb_dp_reinterleave is
@@ -97,7 +97,7 @@ begin
         proc_common_wait_some_cycles(clk, c_input_inval);
         v_bsn := INCR_UVEC(v_bsn, 1);
       end loop;
-     end process;
+    end process;
 
     -----------------------------------------------------------------------------
     -- The I/O of common_reinterleave and its lower level components operate
@@ -119,21 +119,21 @@ begin
   -- DUT
   -----------------------------------------------------------------------------
   u_reinterleave : entity work.dp_reinterleave
-  generic map (
-    g_nof_in         => g_nof_in,
-    g_deint_block_size  => g_deint_block_size,
-    g_nof_out        => g_nof_out,
-    g_inter_block_size => g_inter_block_size,
-    g_dat_w          => g_dat_w,
-    g_use_complex    => g_use_complex,
-    g_align_out => g_align_out
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    snk_in_arr  => snk_in_arr,
-    src_out_arr => src_out_arr
-  );
+    generic map (
+      g_nof_in         => g_nof_in,
+      g_deint_block_size  => g_deint_block_size,
+      g_nof_out        => g_nof_out,
+      g_inter_block_size => g_inter_block_size,
+      g_dat_w          => g_dat_w,
+      g_use_complex    => g_use_complex,
+      g_align_out => g_align_out
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      snk_in_arr  => snk_in_arr,
+      src_out_arr => src_out_arr
+    );
 
 end rtl;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_repack.vhd b/libraries/base/dp/tb/vhdl/tb_dp_repack.vhd
index 3e7ba004a1..9c6c9e339f 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_repack.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_repack.vhd
@@ -32,13 +32,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_repack is
@@ -230,28 +230,28 @@ begin
   stimuli_src_in <= pack_src_in;
 
   u_pack : entity work.dp_repack
-  generic map (
-    g_in_dat_w       => g_in_dat_w,
-    g_in_nof_words   => g_in_nof_words,
-    g_out_dat_w      => g_pack_dat_w,
-    g_out_nof_words  => g_pack_nof_words
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-
-    in_dat           => stimuli_src_out.data(g_in_dat_w - 1 downto 0),
-    in_val           => stimuli_src_out.valid,
-    in_sof           => stimuli_src_out.sop,
-    in_eof           => stimuli_src_out.eop,
-    in_sync          => stimuli_src_out.sync,  -- DP style sync at sof
-
-    out_dat          => pack_src_out.data(g_pack_dat_w - 1 downto 0),
-    out_val          => pack_src_out.valid,
-    out_sof          => pack_src_out.sop,
-    out_eof          => pack_src_out.eop,
-    sof_sync         => pack_src_out.sync  -- DP style sync at sof, passes on in_sync
-  );
+    generic map (
+      g_in_dat_w       => g_in_dat_w,
+      g_in_nof_words   => g_in_nof_words,
+      g_out_dat_w      => g_pack_dat_w,
+      g_out_nof_words  => g_pack_nof_words
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+
+      in_dat           => stimuli_src_out.data(g_in_dat_w - 1 downto 0),
+      in_val           => stimuli_src_out.valid,
+      in_sof           => stimuli_src_out.sop,
+      in_eof           => stimuli_src_out.eop,
+      in_sync          => stimuli_src_out.sync,  -- DP style sync at sof
+
+      out_dat          => pack_src_out.data(g_pack_dat_w - 1 downto 0),
+      out_val          => pack_src_out.valid,
+      out_sof          => pack_src_out.sop,
+      out_eof          => pack_src_out.eop,
+      sof_sync         => pack_src_out.sync  -- DP style sync at sof, passes on in_sync
+    );
 
   ------------------------------------------------------------------------------
   -- Unpack
@@ -260,28 +260,28 @@ begin
   pack_src_in <= unpack_src_in;
 
   u_unpack : entity work.dp_repack
-  generic map (
-    g_in_dat_w       => g_pack_dat_w,
-    g_in_nof_words   => g_pack_nof_words,
-    g_out_dat_w      => g_in_dat_w,
-    g_out_nof_words  => g_in_nof_words
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-
-    in_dat           => pack_src_out.data(g_pack_dat_w - 1 downto 0),
-    in_val           => pack_src_out.valid,
-    in_sof           => pack_src_out.sop,
-    in_eof           => pack_src_out.eop,
-    in_sync          => pack_src_out.sync,  -- DP style sync at sof
-
-    out_dat          => unpack_src_out.data(g_in_dat_w - 1 downto 0),
-    out_val          => unpack_src_out.valid,
-    out_sof          => unpack_src_out.sop,
-    out_eof          => unpack_src_out.eop,
-    sof_sync         => unpack_src_out.sync  -- DP style sync at sof, passes on in_sync
-  );
+    generic map (
+      g_in_dat_w       => g_pack_dat_w,
+      g_in_nof_words   => g_pack_nof_words,
+      g_out_dat_w      => g_in_dat_w,
+      g_out_nof_words  => g_in_nof_words
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+
+      in_dat           => pack_src_out.data(g_pack_dat_w - 1 downto 0),
+      in_val           => pack_src_out.valid,
+      in_sof           => pack_src_out.sop,
+      in_eof           => pack_src_out.eop,
+      in_sync          => pack_src_out.sync,  -- DP style sync at sof
+
+      out_dat          => unpack_src_out.data(g_in_dat_w - 1 downto 0),
+      out_val          => unpack_src_out.valid,
+      out_sof          => unpack_src_out.sop,
+      out_eof          => unpack_src_out.eop,
+      sof_sync         => unpack_src_out.sync  -- DP style sync at sof, passes on in_sync
+    );
 
   unpack_src_in <= verify_snk_out;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_repack_data.vhd b/libraries/base/dp/tb/vhdl/tb_dp_repack_data.vhd
index 116b8e6fd5..ce84f7b0b0 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_repack_data.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_repack_data.vhd
@@ -40,13 +40,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_repack_data is
@@ -137,39 +137,39 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_data_init      => c_data_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => g_in_dat_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_data_init      => c_data_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => g_in_dat_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -204,65 +204,65 @@ begin
   verify_last_snk_in_evt.err     <= last_snk_in_evt;
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => g_in_dat_w,
-    g_pkt_len        => c_expected_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => g_in_dat_w,
+      g_pkt_len        => c_expected_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT Pack
   ------------------------------------------------------------------------------
 
   u_pack : entity work.dp_repack_data
-  generic map (
-    g_enable_repack_in  => c_enable_repack_in,
-    g_enable_repack_out => c_enable_repack_out,
-    g_in_bypass         => g_in_bypass,
-    g_in_dat_w          => g_in_dat_w,
-    g_in_nof_words      => g_in_nof_words,
-    g_in_symbol_w       => g_in_symbol_w,
-    g_out_bypass        => g_pack_bypass,
-    g_out_dat_w         => g_pack_dat_w,
-    g_out_nof_words     => g_pack_nof_words,
-    g_out_symbol_w      => g_pack_symbol_w
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-
-    snk_out          => stimuli_src_in,
-    snk_in           => stimuli_src_out,
-
-    src_in           => pack_src_in,
-    src_out          => pack_src_out
-  );
+    generic map (
+      g_enable_repack_in  => c_enable_repack_in,
+      g_enable_repack_out => c_enable_repack_out,
+      g_in_bypass         => g_in_bypass,
+      g_in_dat_w          => g_in_dat_w,
+      g_in_nof_words      => g_in_nof_words,
+      g_in_symbol_w       => g_in_symbol_w,
+      g_out_bypass        => g_pack_bypass,
+      g_out_dat_w         => g_pack_dat_w,
+      g_out_nof_words     => g_pack_nof_words,
+      g_out_symbol_w      => g_pack_symbol_w
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+
+      snk_out          => stimuli_src_in,
+      snk_in           => stimuli_src_out,
+
+      src_in           => pack_src_in,
+      src_out          => pack_src_out
+    );
 
   pack_src_out_data <= pack_src_out.data(g_pack_dat_w - 1 downto 0);
 
@@ -277,28 +277,28 @@ begin
 
   gen_unpack : if c_no_unpack = false generate
     u_unpack : entity work.dp_repack_data
-    generic map (
-      g_enable_repack_in  => c_enable_repack_out,
-      g_enable_repack_out => c_enable_repack_in,
-      g_in_bypass         => g_pack_bypass,
-      g_in_dat_w          => g_pack_dat_w,
-      g_in_nof_words      => g_pack_nof_words,
-      g_in_symbol_w       => g_pack_symbol_w,
-      g_out_bypass        => g_in_bypass,
-      g_out_dat_w         => g_in_dat_w,
-      g_out_nof_words     => g_in_nof_words,
-      g_out_symbol_w      => g_in_symbol_w
-    )
-    port map (
-      rst              => rst,
-      clk              => clk,
-
-      snk_out          => pack_src_in,
-      snk_in           => pack_src_out,
-
-      src_in           => unpack_src_in,
-      src_out          => unpack_src_out
-    );
+      generic map (
+        g_enable_repack_in  => c_enable_repack_out,
+        g_enable_repack_out => c_enable_repack_in,
+        g_in_bypass         => g_pack_bypass,
+        g_in_dat_w          => g_pack_dat_w,
+        g_in_nof_words      => g_pack_nof_words,
+        g_in_symbol_w       => g_pack_symbol_w,
+        g_out_bypass        => g_in_bypass,
+        g_out_dat_w         => g_in_dat_w,
+        g_out_nof_words     => g_in_nof_words,
+        g_out_symbol_w      => g_in_symbol_w
+      )
+      port map (
+        rst              => rst,
+        clk              => clk,
+
+        snk_out          => pack_src_in,
+        snk_in           => pack_src_out,
+
+        src_in           => unpack_src_in,
+        src_out          => unpack_src_out
+      );
   end generate;
 
   unpack_src_out_data <= unpack_src_out.data(g_in_dat_w - 1 downto 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_repack_legacy.vhd b/libraries/base/dp/tb/vhdl/tb_dp_repack_legacy.vhd
index e6d77cdd7b..63f801022d 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_repack_legacy.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_repack_legacy.vhd
@@ -32,13 +32,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_repack_legacy is
@@ -47,13 +47,13 @@ entity tb_dp_repack_legacy is
     g_flow_control_stimuli   : t_dp_flow_control_enum := e_pulse;  -- always active, random or pulse flow control
     g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
     -- specific
---    g_in_dat_w               : NATURAL := 8;
---    g_in_nof_words           : NATURAL := 9;
---    g_pack_dat_w             : NATURAL := 24;
---    g_pack_nof_words         : NATURAL := 3;
---    g_nof_repeat             : NATURAL := 24;
---    g_pkt_len                : NATURAL := 36;  -- must be a multiple of g_in_nof_words
---    g_pkt_gap                : NATURAL := 4    -- must be >= g_pack_nof_words
+    --    g_in_dat_w               : NATURAL := 8;
+    --    g_in_nof_words           : NATURAL := 9;
+    --    g_pack_dat_w             : NATURAL := 24;
+    --    g_pack_nof_words         : NATURAL := 3;
+    --    g_nof_repeat             : NATURAL := 24;
+    --    g_pkt_len                : NATURAL := 36;  -- must be a multiple of g_in_nof_words
+    --    g_pkt_gap                : NATURAL := 4    -- must be >= g_pack_nof_words
     g_in_dat_w               : natural := 8;
     g_in_nof_words           : natural := 4;
     g_pack_dat_w             : natural := 16;
@@ -125,39 +125,39 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_data_init      => c_data_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => g_in_dat_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_data_init      => c_data_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => g_in_dat_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -192,37 +192,37 @@ begin
   verify_last_snk_in_evt.err     <= '0';
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => g_in_dat_w,
-    g_pkt_len        => g_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => g_in_dat_w,
+      g_pkt_len        => g_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT Pack
@@ -231,28 +231,28 @@ begin
   stimuli_src_in <= pack_src_in;
 
   u_pack : entity work.dp_repack_legacy
-  generic map (
-    g_in_dat_w       => g_in_dat_w,
-    g_in_nof_words   => g_in_nof_words,
-    g_out_dat_w      => g_pack_dat_w,
-    g_out_nof_words  => g_pack_nof_words
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-
-    in_dat           => stimuli_src_out.data(g_in_dat_w - 1 downto 0),
-    in_val           => stimuli_src_out.valid,
-    in_sof           => stimuli_src_out.sop,
-    in_eof           => stimuli_src_out.eop,
-    in_sync          => stimuli_src_out.sync,  -- DP style sync at sof
-
-    out_dat          => pack_src_out.data(g_pack_dat_w - 1 downto 0),
-    out_val          => pack_src_out.valid,
-    out_sof          => pack_src_out.sop,
-    out_eof          => pack_src_out.eop,
-    sof_sync         => pack_src_out.sync  -- DP style sync at sof, passes on in_sync
-  );
+    generic map (
+      g_in_dat_w       => g_in_dat_w,
+      g_in_nof_words   => g_in_nof_words,
+      g_out_dat_w      => g_pack_dat_w,
+      g_out_nof_words  => g_pack_nof_words
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+
+      in_dat           => stimuli_src_out.data(g_in_dat_w - 1 downto 0),
+      in_val           => stimuli_src_out.valid,
+      in_sof           => stimuli_src_out.sop,
+      in_eof           => stimuli_src_out.eop,
+      in_sync          => stimuli_src_out.sync,  -- DP style sync at sof
+
+      out_dat          => pack_src_out.data(g_pack_dat_w - 1 downto 0),
+      out_val          => pack_src_out.valid,
+      out_sof          => pack_src_out.sop,
+      out_eof          => pack_src_out.eop,
+      sof_sync         => pack_src_out.sync  -- DP style sync at sof, passes on in_sync
+    );
 
   ------------------------------------------------------------------------------
   -- DUT Unpack
@@ -261,28 +261,28 @@ begin
   pack_src_in <= unpack_src_in;
 
   u_unpack : entity work.dp_repack_legacy
-  generic map (
-    g_in_dat_w       => g_pack_dat_w,
-    g_in_nof_words   => g_pack_nof_words,
-    g_out_dat_w      => g_in_dat_w,
-    g_out_nof_words  => g_in_nof_words
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-
-    in_dat           => pack_src_out.data(g_pack_dat_w - 1 downto 0),
-    in_val           => pack_src_out.valid,
-    in_sof           => pack_src_out.sop,
-    in_eof           => pack_src_out.eop,
-    in_sync          => pack_src_out.sync,  -- DP style sync at sof
-
-    out_dat          => unpack_src_out.data(g_in_dat_w - 1 downto 0),
-    out_val          => unpack_src_out.valid,
-    out_sof          => unpack_src_out.sop,
-    out_eof          => unpack_src_out.eop,
-    sof_sync         => unpack_src_out.sync  -- DP style sync at sof, passes on in_sync
-  );
+    generic map (
+      g_in_dat_w       => g_pack_dat_w,
+      g_in_nof_words   => g_pack_nof_words,
+      g_out_dat_w      => g_in_dat_w,
+      g_out_nof_words  => g_in_nof_words
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+
+      in_dat           => pack_src_out.data(g_pack_dat_w - 1 downto 0),
+      in_val           => pack_src_out.valid,
+      in_sof           => pack_src_out.sop,
+      in_eof           => pack_src_out.eop,
+      in_sync          => pack_src_out.sync,  -- DP style sync at sof
+
+      out_dat          => unpack_src_out.data(g_in_dat_w - 1 downto 0),
+      out_val          => unpack_src_out.valid,
+      out_sof          => unpack_src_out.sop,
+      out_eof          => unpack_src_out.eop,
+      sof_sync         => unpack_src_out.sync  -- DP style sync at sof, passes on in_sync
+    );
 
   unpack_src_in <= verify_snk_out;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data.vhd b/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data.vhd
index ed94016f85..c85a3dd8bd 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data.vhd
@@ -40,14 +40,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_reverse_n_data is
   generic (
@@ -124,40 +124,40 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_flow_control   => e_active,  -- always active, no flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_use_complex    => false,
-    g_data_init      => c_data_init,
-    g_re_init        => c_re_init,
-    g_im_init        => c_im_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => c_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => c_dp_siso_rdy,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_flow_control   => e_active,  -- always active, no flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_use_complex    => false,
+      g_data_init      => c_data_init,
+      g_re_init        => c_re_init,
+      g_im_init        => c_im_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => c_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => c_dp_siso_rdy,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -188,34 +188,34 @@ begin
   verify_last_snk_in_evt.err     <= last_snk_in_evt;
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_flow_control   => e_active,  -- always active, no flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_pkt_len        => c_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => OPEN,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_flow_control   => e_active,  -- always active, no flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_pkt_len        => c_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => OPEN,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT: Using dp_reverse_n_data
@@ -227,37 +227,37 @@ begin
 
   -- Reverse
   u_reverse : entity work.dp_reverse_n_data
-  generic map (
-    g_pipeline_demux_in  => g_pipeline,
-    g_pipeline_demux_out => 0,
-    g_pipeline_mux_in    => 0,
-    g_pipeline_mux_out   => g_pipeline,
-    g_reverse_len        => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_in      => stimuli_src_out,
-    src_out     => reverse_src_out
-  );
+    generic map (
+      g_pipeline_demux_in  => g_pipeline,
+      g_pipeline_demux_out => 0,
+      g_pipeline_mux_in    => 0,
+      g_pipeline_mux_out   => g_pipeline,
+      g_reverse_len        => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_in      => stimuli_src_out,
+      src_out     => reverse_src_out
+    );
 
   -- Reverse again to unreverse
   u_unreverse : entity work.dp_reverse_n_data
-  generic map (
-    g_pipeline_demux_in  => g_pipeline,
-    g_pipeline_demux_out => 0,
-    g_pipeline_mux_in    => 0,
-    g_pipeline_mux_out   => g_pipeline,
-    g_reverse_len        => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_in      => reverse_src_out,
-    src_out     => verify_snk_in
-  );
+    generic map (
+      g_pipeline_demux_in  => g_pipeline,
+      g_pipeline_demux_out => 0,
+      g_pipeline_mux_in    => 0,
+      g_pipeline_mux_out   => g_pipeline,
+      g_reverse_len        => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_in      => reverse_src_out,
+      src_out     => verify_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT: Using dp_reverse_n_data_fc, but with c_dp_siso_rdy so no flow control
@@ -265,33 +265,33 @@ begin
 
   -- Reverse
   u_reverse_fc : entity work.dp_reverse_n_data_fc
-  generic map (
-    g_pipeline_in  => g_pipeline,
-    g_pipeline_out => g_pipeline,
-    g_reverse_len  => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_in      => stimuli_src_out,
-    src_out     => reverse_fc_src_out
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline,
+      g_pipeline_out => g_pipeline,
+      g_reverse_len  => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_in      => stimuli_src_out,
+      src_out     => reverse_fc_src_out
+    );
 
   -- Reverse again to unreverse
   u_unreverse_fc : entity work.dp_reverse_n_data_fc
-  generic map (
-    g_pipeline_in  => g_pipeline,
-    g_pipeline_out => g_pipeline,
-    g_reverse_len  => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_in      => reverse_fc_src_out,
-    src_out     => verify_fc_snk_in
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline,
+      g_pipeline_out => g_pipeline,
+      g_reverse_len  => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_in      => reverse_fc_src_out,
+      src_out     => verify_fc_snk_in
+    );
 
   -- Verify that dp_reverse_n_data_fc is equivalent to dp_reverse_n_data, when
   -- no flow control is used.
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data_fc.vhd b/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data_fc.vhd
index e9b6d64ff7..fd90ec59f0 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data_fc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_reverse_n_data_fc.vhd
@@ -36,14 +36,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_reverse_n_data_fc is
   generic (
@@ -127,43 +127,43 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_use_complex    => false,
-    g_data_init      => c_data_init,
-    g_re_init        => c_re_init,
-    g_im_init        => c_im_init,
-    g_bsn_init       => c_bsn_init,
-    g_err_init       => c_err_init,
-    g_channel_init   => c_channel_init,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_nof_repeat     => g_nof_repeat,
-    g_pkt_len        => c_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_use_complex    => false,
+      g_data_init      => c_data_init,
+      g_re_init        => c_re_init,
+      g_im_init        => c_im_init,
+      g_bsn_init       => c_bsn_init,
+      g_err_init       => c_err_init,
+      g_channel_init   => c_channel_init,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_nof_repeat     => g_nof_repeat,
+      g_pkt_len        => c_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_flow_control_latency  -- number of clk cycles to wait with last_snk_in_evt after finishing the stimuli
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -194,37 +194,37 @@ begin
   verify_last_snk_in_evt.err     <= last_snk_in_evt;
 
   u_dp_stream_verify : entity work.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => c_data_w,
-    g_pkt_len        => c_pkt_len
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => c_data_w,
+      g_pkt_len        => c_pkt_len
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
@@ -232,37 +232,37 @@ begin
 
   -- Reverse
   u_reverse_fc : entity work.dp_reverse_n_data_fc
-  generic map (
-    g_pipeline_in  => g_pipeline,
-    g_pipeline_out => g_pipeline,
-    g_reverse_len  => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out     => stimuli_src_in,
-    snk_in      => stimuli_src_out,
-    src_in      => reverse_src_in,
-    src_out     => reverse_src_out
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline,
+      g_pipeline_out => g_pipeline,
+      g_reverse_len  => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out     => stimuli_src_in,
+      snk_in      => stimuli_src_out,
+      src_in      => reverse_src_in,
+      src_out     => reverse_src_out
+    );
 
   -- Reverse again to unreverse
   u_unreverse_fc : entity work.dp_reverse_n_data_fc
-  generic map (
-    g_pipeline_in  => g_pipeline,
-    g_pipeline_out => g_pipeline,
-    g_reverse_len  => g_reverse_len
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_out     => reverse_src_in,
-    snk_in      => reverse_src_out,
-    src_in      => verify_snk_out,
-    src_out     => verify_snk_in
-  );
+    generic map (
+      g_pipeline_in  => g_pipeline,
+      g_pipeline_out => g_pipeline,
+      g_reverse_len  => g_reverse_len
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_out     => reverse_src_in,
+      snk_in      => reverse_src_out,
+      src_in      => verify_snk_out,
+      src_out     => verify_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- Auxiliary
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_rsn_source.vhd b/libraries/base/dp/tb/vhdl/tb_dp_rsn_source.vhd
index ef9aff6ee3..661f1249f1 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_rsn_source.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_rsn_source.vhd
@@ -30,12 +30,12 @@
 --   needed
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_dp_rsn_source is
   generic (
@@ -396,49 +396,49 @@ begin
   -----------------------------------------------------------------------------
 
   u_bsn : entity work.dp_bsn_source_v2
-  generic map (
-    g_block_size         => g_bs_block_size,
-    g_nof_clk_per_sync   => g_pps_interval,
-    g_bsn_w              => c_bsn_w,
-    g_bsn_time_offset_w  => c_bsn_time_offset_w
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-    pps              => ref_grid_bs.pps,
-    -- MM control
-    dp_on            => dp_on,
-    dp_on_pps        => dp_on_pps,
-
-    dp_on_status     => dp_on_status,  -- = src_out.valid
-    bs_restart       => bs_restart,  -- = src_out.sync for first sync after dp_on went high
-    bs_new_interval  => bs_new_interval,  -- active during first src_out.sync interval
-
-    bsn_init         => bsn_init,
-    bsn_time_offset  => bsn_time_offset,
-
-    -- Streaming
-    src_out          => bs_sosi
-  );
+    generic map (
+      g_block_size         => g_bs_block_size,
+      g_nof_clk_per_sync   => g_pps_interval,
+      g_bsn_w              => c_bsn_w,
+      g_bsn_time_offset_w  => c_bsn_time_offset_w
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+      pps              => ref_grid_bs.pps,
+      -- MM control
+      dp_on            => dp_on,
+      dp_on_pps        => dp_on_pps,
+
+      dp_on_status     => dp_on_status,  -- = src_out.valid
+      bs_restart       => bs_restart,  -- = src_out.sync for first sync after dp_on went high
+      bs_new_interval  => bs_new_interval,  -- active during first src_out.sync interval
+
+      bsn_init         => bsn_init,
+      bsn_time_offset  => bsn_time_offset,
+
+      -- Streaming
+      src_out          => bs_sosi
+    );
 
   u_rsn : entity work.dp_rsn_source
-  generic map (
-    g_bs_block_size     => g_bs_block_size,
-    g_rs_block_size     => g_rs_block_size,
-    g_nof_clk_per_sync  => g_pps_interval,
-    g_bsn_w             => c_bsn_w
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Input stream sosi control using BSN
-    bs_sosi             => bs_sosi,  -- input reference stream using BSN
-
-    -- Output stream sosi control using RSN
-    rs_sosi             => rs_sosi,  -- output stream using RSN and g_rs_block_size, g_nof_clk_per_sync
-    rs_restart          => rs_restart,  -- = rs_sosi.sync for first sync after bs_sosi.valid went high
-    rs_new_interval     => rs_new_interval  -- = active during first rs_sosi.sync interval
-  );
+    generic map (
+      g_bs_block_size     => g_bs_block_size,
+      g_rs_block_size     => g_rs_block_size,
+      g_nof_clk_per_sync  => g_pps_interval,
+      g_bsn_w             => c_bsn_w
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Input stream sosi control using BSN
+      bs_sosi             => bs_sosi,  -- input reference stream using BSN
+
+      -- Output stream sosi control using RSN
+      rs_sosi             => rs_sosi,  -- output stream using RSN and g_rs_block_size, g_nof_clk_per_sync
+      rs_restart          => rs_restart,  -- = rs_sosi.sync for first sync after bs_sosi.valid went high
+      rs_new_interval     => rs_new_interval  -- = active during first rs_sosi.sync interval
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_selector_arr.vhd b/libraries/base/dp/tb/vhdl/tb_dp_selector_arr.vhd
index b2d945c887..a3bb2b99ba 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_selector_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_selector_arr.vhd
@@ -37,15 +37,15 @@
 -- Remarks:
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_selector_arr is
   generic (
@@ -187,61 +187,61 @@ begin
 
   -- DUT that selects pipe_sosi_arr
   u_dut_pipe : entity work.dp_selector_arr
-  generic map(
-    g_nof_arr     => c_nof_streams,
-    g_pipeline    => 1
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst       => rst,
-    mm_clk       => clk,
-
-    reg_selector_mosi     => mm_mosi_pipe,
-    reg_selector_miso     => OPEN,
-
-    -- Streaming clock domain
-    dp_rst       => rst,
-    dp_clk       => clk,
-
-    -- ST sinks
-    pipe_sosi_arr  => pipe_sosi_arr,
-    ref_sosi_arr   => ref_sosi_arr,
-    -- ST source
-    out_sosi_arr  => out_pipe_sosi_arr
-  );
+    generic map(
+      g_nof_arr     => c_nof_streams,
+      g_pipeline    => 1
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst       => rst,
+      mm_clk       => clk,
+
+      reg_selector_mosi     => mm_mosi_pipe,
+      reg_selector_miso     => OPEN,
+
+      -- Streaming clock domain
+      dp_rst       => rst,
+      dp_clk       => clk,
+
+      -- ST sinks
+      pipe_sosi_arr  => pipe_sosi_arr,
+      ref_sosi_arr   => ref_sosi_arr,
+      -- ST source
+      out_sosi_arr  => out_pipe_sosi_arr
+    );
 
   -- DUT that selects ref_sosi_arr
   u_dut_ref : entity work.dp_selector_arr
-  generic map(
-    g_nof_arr     => c_nof_streams,
-    g_pipeline    => 1
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst       => rst,
-    mm_clk       => clk,
-
-    reg_selector_mosi     => mm_mosi_ref,
-    reg_selector_miso     => OPEN,
-
-    -- Streaming clock domain
-    dp_rst       => rst,
-    dp_clk       => clk,
-
-    -- ST sinks
-    pipe_sosi_arr  => pipe_sosi_arr,
-    ref_sosi_arr   => ref_sosi_arr,
-    -- ST source
-    out_sosi_arr  => out_ref_sosi_arr
-  );
+    generic map(
+      g_nof_arr     => c_nof_streams,
+      g_pipeline    => 1
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst       => rst,
+      mm_clk       => clk,
+
+      reg_selector_mosi     => mm_mosi_ref,
+      reg_selector_miso     => OPEN,
+
+      -- Streaming clock domain
+      dp_rst       => rst,
+      dp_clk       => clk,
+
+      -- ST sinks
+      pipe_sosi_arr  => pipe_sosi_arr,
+      ref_sosi_arr   => ref_sosi_arr,
+      -- ST source
+      out_sosi_arr  => out_ref_sosi_arr
+    );
 
   p_stim: process
   begin
-     wait until rst = '0';
-     proc_mem_mm_bus_wr(0, x"0", clk, mm_mosi_ref);  -- select ref_sosi_arr on dut_ref
-     proc_mem_mm_bus_wr(0, x"1", clk, mm_mosi_pipe);  -- select pipe_sosi_arr on dut_pipe
-     wait;
-   end process;
+    wait until rst = '0';
+    proc_mem_mm_bus_wr(0, x"0", clk, mm_mosi_ref);  -- select ref_sosi_arr on dut_ref
+    proc_mem_mm_bus_wr(0, x"1", clk, mm_mosi_pipe);  -- select pipe_sosi_arr on dut_pipe
+    wait;
+  end process;
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_shiftram.vhd b/libraries/base/dp/tb/vhdl/tb_dp_shiftram.vhd
index ed63595ab8..f85bc3037f 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_shiftram.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_shiftram.vhd
@@ -32,15 +32,15 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_shiftram is
@@ -155,7 +155,7 @@ begin
   begin
     state <= 0;
     reg_mosi <= c_mem_mosi_rst;
-     proc_common_wait_some_cycles(mm_clk, 10);
+    proc_common_wait_some_cycles(mm_clk, 10);
     proc_mem_mm_bus_wr( 0, c_half_nof_words, mm_clk, reg_mosi);
     proc_common_wait_some_cycles(mm_clk, 12);
     state <= 1;
@@ -189,30 +189,30 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dut: entity work.dp_shiftram
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_nof_words   => g_nof_words,
-    g_data_w      => g_data_w,
-    g_use_sync_in => g_use_sync_in
-
-  )
-  port map (
-    dp_rst       => dp_rst,
-    dp_clk       => dp_clk,
-
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    sync_in      => sync_in,
-
-    reg_mosi     => reg_mosi,
-    reg_miso     => reg_miso,
-
-    -- Streaming sink
-    snk_in_arr   => ref_sosi_arr,
-    -- Streaming source
-    src_out_arr  => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_nof_words   => g_nof_words,
+      g_data_w      => g_data_w,
+      g_use_sync_in => g_use_sync_in
+
+    )
+    port map (
+      dp_rst       => dp_rst,
+      dp_clk       => dp_clk,
+
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      sync_in      => sync_in,
+
+      reg_mosi     => reg_mosi,
+      reg_miso     => reg_miso,
+
+      -- Streaming sink
+      snk_in_arr   => ref_sosi_arr,
+      -- Streaming source
+      src_out_arr  => out_sosi_arr
+    );
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_shiftreg.vhd b/libraries/base/dp/tb/vhdl/tb_dp_shiftreg.vhd
index 8454ab7834..bbdec42938 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_shiftreg.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_shiftreg.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_shiftreg is
 end tb_dp_shiftreg;
@@ -149,24 +149,24 @@ begin
   out_eop  <= out_sosi.eop;
 
   dut : entity work.dp_shiftreg
-  generic map (
-    g_output_reg     => c_dut_output_reg,
-    g_flush_eop      => c_dut_flush_eop,
-    g_modify_support => c_modify_support,
-    g_nof_words      => c_dut_nof_words
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-    -- ST sink
-    snk_out             => in_siso,  -- OUT = request to upstream ST source
-    snk_in              => in_sosi,
-    -- Control shift register contents
-    cur_shiftreg_inputs => cur_shiftreg_inputs,
-    new_shiftreg_inputs => new_shiftreg_inputs,
-    -- ST source
-    src_in              => out_siso,  -- IN  = request from downstream ST sink
-    src_out             => out_sosi
-  );
+    generic map (
+      g_output_reg     => c_dut_output_reg,
+      g_flush_eop      => c_dut_flush_eop,
+      g_modify_support => c_modify_support,
+      g_nof_words      => c_dut_nof_words
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+      -- ST sink
+      snk_out             => in_siso,  -- OUT = request to upstream ST source
+      snk_in              => in_sosi,
+      -- Control shift register contents
+      cur_shiftreg_inputs => cur_shiftreg_inputs,
+      new_shiftreg_inputs => new_shiftreg_inputs,
+      -- ST source
+      src_in              => out_siso,  -- IN  = request from downstream ST sink
+      src_out             => out_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_split.vhd b/libraries/base/dp/tb/vhdl/tb_dp_split.vhd
index 8618312e29..8d31d15363 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_split.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_split.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- run 300 us
 
@@ -34,7 +34,7 @@ entity tb_dp_split is
   generic (
     g_data_w               : natural := 64;  -- g_data_w/g_symbol_w must be an integer
     g_symbol_w             : natural := 16;  -- use sufficient width to avoid wrap in proc_dp_gen_frame()
-                                               -- use symbols width that contains whole nibbles (4-bit) to easy debugging in HEX
+    -- use symbols width that contains whole nibbles (4-bit) to easy debugging in HEX
     g_nof_symbols_max      : natural := 50;  -- maximum supported frame size
     g_random_control       : boolean := false  -- use TRUE for random snk_in.valid and src_in.ready control
   );
@@ -112,8 +112,8 @@ begin
 
     -- frames
     vINIT := 0;
---     FOR vLEN IN 3 TO 3 LOOP
---       FOR vNOF IN 1 TO 1 LOOP --vLEN+c_nof_symbols_per_data LOOP
+    --     FOR vLEN IN 3 TO 3 LOOP
+    --       FOR vNOF IN 1 TO 1 LOOP --vLEN+c_nof_symbols_per_data LOOP
     for vLEN in 1 to g_nof_symbols_max loop
       for vNOF in 0 to vLEN + c_nof_symbols_per_data loop
         nof_symbols <= TO_UVEC(vNOF, c_nof_symbols_w);
@@ -141,20 +141,20 @@ begin
   end process;
 
   dut : entity work.dp_split
-  generic map (
-    g_data_w      => g_data_w,
-    g_symbol_w    => g_symbol_w,
-    g_nof_symbols => g_nof_symbols_max
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    nof_symbols => nof_symbols,
-    snk_out     => in_siso,  -- OUT = request to upstream ST source
-    snk_in      => in_sosi,
-    src_in_arr  => out_siso,  -- IN  = request from downstream ST sink
-    src_out_arr => out_sosi
-  );
+    generic map (
+      g_data_w      => g_data_w,
+      g_symbol_w    => g_symbol_w,
+      g_nof_symbols => g_nof_symbols_max
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      nof_symbols => nof_symbols,
+      snk_out     => in_siso,  -- OUT = request to upstream ST source
+      snk_in      => in_sosi,
+      src_in_arr  => out_siso,  -- IN  = request from downstream ST sink
+      src_out_arr => out_sosi
+    );
 
   -- Output verify
   -- Note that verify per frame can only verify frames that are longer than 1 data word
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_strobe_total_count.vhd b/libraries/base/dp/tb/vhdl/tb_dp_strobe_total_count.vhd
index ac39b4dcf6..bb60f8a3d2 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_strobe_total_count.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_strobe_total_count.vhd
@@ -32,15 +32,15 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.dp_components_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.dp_components_pkg.all;
 
 entity tb_dp_strobe_total_count is
   generic (
@@ -114,22 +114,22 @@ begin
 
   -- Generate snk_in with data frames
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period => g_nof_blocks_per_sync,
-    g_nof_repeat  => g_nof_blocks_per_sync * c_tb_nof_sync,
-    g_pkt_len     => g_nof_valid_per_blk,
-    g_pkt_gap     => g_gap_size
-  )
-  port map (
-    rst               => stimuli_rst,
-    clk               => dp_clk,
-
-    -- Generate stimuli
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period => g_nof_blocks_per_sync,
+      g_nof_repeat  => g_nof_blocks_per_sync * c_tb_nof_sync,
+      g_pkt_len     => g_nof_valid_per_blk,
+      g_pkt_gap     => g_gap_size
+    )
+    port map (
+      rst               => stimuli_rst,
+      clk               => dp_clk,
+
+      -- Generate stimuli
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   stimuli_strobe_arr(0) <= stimuli_sosi.sync;
   stimuli_strobe_arr(1) <= stimuli_sosi.sop;
@@ -139,25 +139,25 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dut : entity work.dp_strobe_total_count
-  generic map (
-    g_mm_w           => g_mm_w,
-    g_nof_counts     => c_nof_counts,
-    g_count_w        => g_count_w,
-    g_clip           => c_clip
-  )
-  port map (
-    dp_rst        => rst,
-    dp_clk        => dp_clk,
-
-    ref_sync      => stimuli_sosi.sync,
-    in_strobe_arr => stimuli_strobe_arr,
-
-    mm_rst        => rst,
-    mm_clk        => mm_clk,
-
-    reg_mosi      => reg_mosi,
-    reg_miso      => reg_miso
-  );
+    generic map (
+      g_mm_w           => g_mm_w,
+      g_nof_counts     => c_nof_counts,
+      g_count_w        => g_count_w,
+      g_clip           => c_clip
+    )
+    port map (
+      dp_rst        => rst,
+      dp_clk        => dp_clk,
+
+      ref_sync      => stimuli_sosi.sync,
+      in_strobe_arr => stimuli_strobe_arr,
+
+      mm_rst        => rst,
+      mm_clk        => mm_clk,
+
+      reg_mosi      => reg_mosi,
+      reg_miso      => reg_miso
+    );
 
   ------------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_switch.vhd b/libraries/base/dp/tb/vhdl/tb_dp_switch.vhd
index f7c67797eb..98ffbe72d0 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_switch.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_switch.vhd
@@ -26,15 +26,15 @@
 -- . Generate input streams for dp_switch, verify by eye in wave window.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_switch is
@@ -165,26 +165,26 @@ begin
   end generate;
 
   u_dp_switch : entity work.dp_switch
-  generic map (
-    g_nof_inputs      => c_nof_inputs,
-    g_default_enabled => 0
-   )
-  port map (
-    dp_clk      => dp_clk,
-    dp_rst      => dp_rst,
-
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
-
-    snk_in_arr  => dp_switch_snk_in_arr,
-    snk_out_arr => dp_switch_snk_out_arr,
-
-    src_out     => dp_switch_src_out,
-    src_in      => dp_switch_src_in,
-
-    reg_mosi    => reg_dp_switch_mosi,
-    reg_miso    => reg_dp_switch_miso
-  );
+    generic map (
+      g_nof_inputs      => c_nof_inputs,
+      g_default_enabled => 0
+    )
+    port map (
+      dp_clk      => dp_clk,
+      dp_rst      => dp_rst,
+
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
+
+      snk_in_arr  => dp_switch_snk_in_arr,
+      snk_out_arr => dp_switch_snk_out_arr,
+
+      src_out     => dp_switch_src_out,
+      src_in      => dp_switch_src_in,
+
+      reg_mosi    => reg_dp_switch_mosi,
+      reg_miso    => reg_dp_switch_miso
+    );
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_sync_checker.vhd b/libraries/base/dp/tb/vhdl/tb_dp_sync_checker.vhd
index 5b35a7a9d5..6e72dead1e 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_sync_checker.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_sync_checker.vhd
@@ -35,13 +35,13 @@
 -- . the tb is self checking
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_sync_checker is
   generic (
@@ -257,19 +257,19 @@ begin
 
   -- DUT function
   dut : entity work.dp_sync_checker
-  generic map (
-    g_nof_blk_per_sync => g_nof_blk_per_sync
-  )
-  port map (
-    dp_rst          => rst,
-    dp_clk          => clk,
-    snk_out         => dut_snk_out,
-    snk_in          => dut_snk_in,
-    src_in          => dut_src_in,
-    src_out         => dut_src_out,
-    nof_early_syncs => dut_nof_early_syncs,
-    nof_late_syncs  => dut_nof_late_syncs
-  );
+    generic map (
+      g_nof_blk_per_sync => g_nof_blk_per_sync
+    )
+    port map (
+      dp_rst          => rst,
+      dp_clk          => clk,
+      snk_out         => dut_snk_out,
+      snk_in          => dut_snk_in,
+      src_in          => dut_src_in,
+      src_out         => dut_src_out,
+      nof_early_syncs => dut_nof_early_syncs,
+      nof_late_syncs  => dut_nof_late_syncs
+    );
 
   -- Connect DUT source output stream to verification
   dut_src_in     <= verify_snk_out;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_sync_insert.vhd b/libraries/base/dp/tb/vhdl/tb_dp_sync_insert.vhd
index 2c615fd695..f1c22c9b61 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_sync_insert.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_sync_insert.vhd
@@ -32,13 +32,13 @@
 --   proc_dp_verify_bsn().
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_sync_insert is
@@ -153,18 +153,18 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dut: entity work.dp_sync_insert
-  generic map (
-    g_nof_data_per_blk   => g_nof_data_per_block,
-    g_nof_blk_per_sync   => g_nof_blk_per_sync
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    -- Streaming sink
-    snk_in     => ref_sosi,
-    -- Streaming source
-    src_out    => out_sosi
-  );
+    generic map (
+      g_nof_data_per_blk   => g_nof_data_per_block,
+      g_nof_blk_per_sync   => g_nof_blk_per_sync
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      -- Streaming sink
+      snk_in     => ref_sosi,
+      -- Streaming source
+      src_out    => out_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_sync_insert_v2.vhd b/libraries/base/dp/tb/vhdl/tb_dp_sync_insert_v2.vhd
index bc3fef9e79..a084322527 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_sync_insert_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_sync_insert_v2.vhd
@@ -35,14 +35,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_sync_insert_v2 is
@@ -170,25 +170,25 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dut: entity work.dp_sync_insert_v2
-  generic map (
-    g_nof_streams          => g_nof_streams,
-    g_nof_blk_per_sync     => g_nof_blk_per_sync,
-    g_nof_blk_per_sync_min => g_nof_blk_per_sync_min
-  )
-  port map (
-    mm_rst        => rst,
-    mm_clk        => mm_clk,
-    dp_rst        => rst,
-    dp_clk        => dp_clk,
-
-    reg_mosi      => reg_mosi,
-    reg_miso      => reg_miso,
-
-    -- Streaming sink
-    in_sosi_arr   => ref_sosi_arr,
-    -- Streaming source
-    out_sosi_arr  => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams          => g_nof_streams,
+      g_nof_blk_per_sync     => g_nof_blk_per_sync,
+      g_nof_blk_per_sync_min => g_nof_blk_per_sync_min
+    )
+    port map (
+      mm_rst        => rst,
+      mm_clk        => mm_clk,
+      dp_rst        => rst,
+      dp_clk        => dp_clk,
+
+      reg_mosi      => reg_mosi,
+      reg_miso      => reg_miso,
+
+      -- Streaming sink
+      in_sosi_arr   => ref_sosi_arr,
+      -- Streaming source
+      out_sosi_arr  => out_sosi_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_sync_recover.vhd b/libraries/base/dp/tb/vhdl/tb_dp_sync_recover.vhd
index f54683b6e6..c39a38f525 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_sync_recover.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_sync_recover.vhd
@@ -35,14 +35,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_dp_sync_recover is
@@ -90,7 +90,7 @@ begin
   ------------------------------------------------------------------------------
 
   p_stimuli : process
-   variable v_bsn : natural;
+    variable v_bsn : natural;
   begin
     proc_common_wait_until_low(dp_clk, rst);
     proc_common_wait_some_cycles(dp_clk, 5);
@@ -175,20 +175,20 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_dut: entity work.dp_sync_recover
-  generic map (
-    g_nof_data_per_block => g_nof_data_per_block
-  )
-  port map (
-    dp_rst        => rst,
-    dp_clk        => dp_clk,
-
-    -- Streaming sink
-    in_sosi       => ref_sosi,
-    recover_val   => dly_ref_sosi_arr(g_dut_latency).valid,
-    restart       => restart,
-    -- Streaming source
-    out_sosi      => out_sosi
-  );
+    generic map (
+      g_nof_data_per_block => g_nof_data_per_block
+    )
+    port map (
+      dp_rst        => rst,
+      dp_clk        => dp_clk,
+
+      -- Streaming sink
+      in_sosi       => ref_sosi,
+      recover_val   => dly_ref_sosi_arr(g_dut_latency).valid,
+      restart       => restart,
+      -- Streaming source
+      out_sosi      => out_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- Verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_tail_remove.vhd b/libraries/base/dp/tb/vhdl/tb_dp_tail_remove.vhd
index 0d0dd4e959..393afc6399 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_tail_remove.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_tail_remove.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_tail_remove is
   generic (
@@ -75,11 +75,11 @@ begin
     wait for 10 * c_clk_period;
     wait until rising_edge(clk);
 
-      for i in 0 to c_nof_frames loop
-        proc_dp_gen_frame(c_rl, g_data_w, g_symbol_w, v_symbol_init, c_nof_symbols_per_frame, v_bsn, '0', clk, in_en(0), in_siso_arr(0), in_sosi_arr(0));
-        v_symbol_init := v_symbol_init + c_nof_symbols_per_frame;
-        v_bsn := v_bsn + 1;
-      end loop;
+    for i in 0 to c_nof_frames loop
+      proc_dp_gen_frame(c_rl, g_data_w, g_symbol_w, v_symbol_init, c_nof_symbols_per_frame, v_bsn, '0', clk, in_en(0), in_siso_arr(0), in_sosi_arr(0));
+      v_symbol_init := v_symbol_init + c_nof_symbols_per_frame;
+      v_bsn := v_bsn + 1;
+    end loop;
 
     wait;
   end process;
@@ -91,11 +91,11 @@ begin
     wait for 15 * c_clk_period;
     wait until rising_edge(clk);
 
-      for i in 0 to c_nof_frames loop
-        proc_dp_gen_frame(c_rl, g_data_w, g_symbol_w, v_symbol_init, c_nof_symbols_per_tail, v_bsn, '0', clk, in_en(1), in_siso_arr(1), in_sosi_arr(1));
-        v_symbol_init := v_symbol_init + c_nof_symbols_per_tail;
-        v_bsn := v_bsn + 1;
-      end loop;
+    for i in 0 to c_nof_frames loop
+      proc_dp_gen_frame(c_rl, g_data_w, g_symbol_w, v_symbol_init, c_nof_symbols_per_tail, v_bsn, '0', clk, in_en(1), in_siso_arr(1), in_sosi_arr(1));
+      v_symbol_init := v_symbol_init + c_nof_symbols_per_tail;
+      v_bsn := v_bsn + 1;
+    end loop;
 
     -- As the tail frame will allways be last we can end the TB here:
     tb_end <= '1';
@@ -105,35 +105,35 @@ begin
 
   -- Concatenate the two streams so we can remove stream 1 (='tail')
   u_dp_concat : entity work.dp_concat
-  generic map (
-    g_data_w    => g_data_w,
-    g_symbol_w  => g_symbol_w
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out_arr => in_siso_arr,
-    snk_in_arr  => in_sosi_arr,
-    src_in      => concat_siso,
-    src_out     => concat_sosi
-  );
+    generic map (
+      g_data_w    => g_data_w,
+      g_symbol_w  => g_symbol_w
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out_arr => in_siso_arr,
+      snk_in_arr  => in_sosi_arr,
+      src_in      => concat_siso,
+      src_out     => concat_sosi
+    );
 
   -- Now feed the concatenated streams into dp_tail_remove to remove the tail
   u_dp_tail_remove : entity work.dp_tail_remove
-  generic map (
-    g_data_w    => g_data_w,
-    g_symbol_w  => g_symbol_w,
-    g_nof_symbols => c_nof_symbols_per_tail
-  )
-  port map (
-    st_rst      => rst,
-    st_clk      => clk,
-
-    snk_out => concat_siso,
-    snk_in  => concat_sosi,
-
-    src_in => detailed_siso,
-    src_out => detailed_sosi
-  );
+    generic map (
+      g_data_w    => g_data_w,
+      g_symbol_w  => g_symbol_w,
+      g_nof_symbols => c_nof_symbols_per_tail
+    )
+    port map (
+      st_rst      => rst,
+      st_clk      => clk,
+
+      snk_out => concat_siso,
+      snk_in  => concat_sosi,
+
+      src_in => detailed_siso,
+      src_out => detailed_sosi
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_throttle_sop.vhd b/libraries/base/dp/tb/vhdl/tb_dp_throttle_sop.vhd
index 7b3716afa5..58c5af7bdd 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_throttle_sop.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_throttle_sop.vhd
@@ -29,11 +29,11 @@
 --   without throttling as the minimum of c_period is met.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_dp_throttle_sop is
 end tb_dp_throttle_sop;
@@ -94,37 +94,37 @@ begin
   -- FIFO acts as sink that dp_throttle_sop provides a constant flow for
   -----------------------------------------------------------------------------
   u_dp_fifo_sc : entity work.dp_fifo_sc
-  generic map (
-    g_data_w      => c_gen_data_w,
-    g_use_bsn     => false,
-    g_use_empty   => false,
-    g_use_channel => false,
-    g_use_error   => false,
-    g_use_sync    => false,
-    g_use_ctrl    => true,
-    g_fifo_size   => 100
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => gen_src_in,
-    snk_in      => gen_src_out,
-    src_in      => fifo_src_in,
-    src_out     => fifo_src_out
-  );
+    generic map (
+      g_data_w      => c_gen_data_w,
+      g_use_bsn     => false,
+      g_use_empty   => false,
+      g_use_channel => false,
+      g_use_error   => false,
+      g_use_sync    => false,
+      g_use_ctrl    => true,
+      g_fifo_size   => 100
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => gen_src_in,
+      snk_in      => gen_src_out,
+      src_in      => fifo_src_in,
+      src_out     => fifo_src_out
+    );
 
   -----------------------------------------------------------------------------
   -- DUT
   -----------------------------------------------------------------------------
   u_dp_throttle_sop : entity work.dp_throttle_sop
-  generic map (
-    g_period    => c_period
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_out     => fifo_src_in,
-    snk_in      => fifo_src_out
-  );
+    generic map (
+      g_period    => c_period
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_out     => fifo_src_in,
+      snk_in      => fifo_src_out
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_throttle_xon.vhd b/libraries/base/dp/tb/vhdl/tb_dp_throttle_xon.vhd
index 02f3834cef..4b8131b6ce 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_throttle_xon.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_throttle_xon.vhd
@@ -32,13 +32,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_throttle_xon is
@@ -205,12 +205,12 @@ begin
             end if;
           end if;
         else
-          -- no verification yet for restart at sync, add when necessary
+        -- no verification yet for restart at sync, add when necessary
         end if;
 
       end if;
     else
-      -- no verification needed, because the ready flow control does not affect the xonoff
+    -- no verification needed, because the ready flow control does not affect the xonoff
     end if;
   end process;
 
@@ -219,21 +219,21 @@ begin
   ------------------------------------------------------------------------------
 
   u_dut : entity work.dp_throttle_xon
-  generic map (
-    g_restart_at_sync => g_restart_at_sync,
-    g_block_size      => g_block_size,
-    g_nof_block_on    => g_nof_block_on,
-    g_nof_clk_off     => c_nof_clk_off
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- Frame in
-    snk_out       => stimuli_src_in,
-    snk_in        => stimuli_src_out,
-    -- Frame out
-    src_in        => verify_snk_out,  -- flush control via out_siso.xon
-    src_out       => verify_snk_in
-  );
+    generic map (
+      g_restart_at_sync => g_restart_at_sync,
+      g_block_size      => g_block_size,
+      g_nof_block_on    => g_nof_block_on,
+      g_nof_clk_off     => c_nof_clk_off
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- Frame in
+      snk_out       => stimuli_src_in,
+      snk_in        => stimuli_src_out,
+      -- Frame out
+      src_in        => verify_snk_out,  -- flush control via out_siso.xon
+      src_out       => verify_snk_in
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_xonoff.vhd b/libraries/base/dp/tb/vhdl/tb_dp_xonoff.vhd
index 549d6e57cf..24c6f7f9f6 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_xonoff.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_xonoff.vhd
@@ -52,13 +52,13 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_dp_xonoff is
@@ -284,16 +284,16 @@ begin
   -- DUT function
   gen_dut : for I in 0 to g_nof_dut - 1 generate
     u_dut : entity work.dp_xonoff
-    port map (
-      rst           => rst,
-      clk           => clk,
-      -- Frame in
-      in_siso       => dut_siso_arr(I - 1),
-      in_sosi       => dut_sosi_arr(I - 1),
-      -- Frame out
-      out_siso      => dut_siso_arr(I),  -- flush control via out_siso.xon
-      out_sosi      => dut_sosi_arr(I)
-    );
+      port map (
+        rst           => rst,
+        clk           => clk,
+        -- Frame in
+        in_siso       => dut_siso_arr(I - 1),
+        in_sosi       => dut_sosi_arr(I - 1),
+        -- Frame out
+        out_siso      => dut_siso_arr(I),  -- flush control via out_siso.xon
+        out_sosi      => dut_sosi_arr(I)
+      );
   end generate;
 
   -- Connect DUT source output stream to verification
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_xonoff_reg_timeout.vhd b/libraries/base/dp/tb/vhdl/tb_dp_xonoff_reg_timeout.vhd
index 37d8f40879..1bafcda5bf 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_xonoff_reg_timeout.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_xonoff_reg_timeout.vhd
@@ -27,11 +27,11 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 
@@ -106,20 +106,20 @@ begin
 
 
   u_dut : entity work.dp_xonoff_reg_timeout
-  generic map (
-    g_mm_timeout  => 1,
-    g_sim         => true
-  )
-  port map (
-    mm_rst        => rst,
-    mm_clk        => clk,
-    st_rst        => rst,
-    st_clk        => st_clk,
-
-    sla_in        => sla_in_mosi,
-    sla_out       => sla_out_miso,
-
-    xonoff_reg    => xonoff_reg
-  );
+    generic map (
+      g_mm_timeout  => 1,
+      g_sim         => true
+    )
+    port map (
+      mm_rst        => rst,
+      mm_clk        => clk,
+      st_rst        => rst,
+      st_clk        => st_clk,
+
+      sla_in        => sla_in_mosi,
+      sla_out       => sla_out_miso,
+
+      xonoff_reg    => xonoff_reg
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd b/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd
index d2b8f68425..5e0a3daa50 100644
--- a/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd
@@ -34,15 +34,15 @@
 -- > run -all
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mmp_dp_bsn_align_v2 is
   generic (
@@ -91,7 +91,7 @@ architecture tb of tb_mmp_dp_bsn_align_v2 is
   constant c_diff_delay                 : natural := c_diff_delay_max;
 
   -- Return input delay as function of inputs stream index I
-  function func_input_delay(I : natural) return natural is
+  function func_input_delay (I : natural) return natural is
   begin
     return c_diff_delay * I / (c_nof_streams - 1);
   end;
@@ -427,47 +427,47 @@ begin
   ------------------------------------------------------------------------------
 
   u_mmp_dp_bsn_align : entity work.mmp_dp_bsn_align_v2
-  generic map (
-    g_nof_streams                => c_nof_streams,
-    g_bsn_latency_max            => c_bsn_latency_max,
-    g_nof_aligners_max           => c_nof_aligners_max,
-    g_block_size                 => c_block_size,
-    g_bsn_w                      => c_bsn_w,
-    g_data_w                     => c_data_w,
-    g_data_replacement_value     => c_data_replacement_value,
-    g_use_mm_output              => c_use_mm_output,
-    g_pipeline_input             => c_pipeline_input,
-    g_pipeline_output            => c_pipeline_output,
-    g_rd_latency                 => c_rd_latency,
-    g_nof_clk_per_sync           => c_nof_clk_per_sync,
-    g_nof_input_bsn_monitors     => c_nof_input_bsn_monitors,
-    g_use_bsn_output_monitor     => c_use_bsn_output_monitor
-  )
-  port map (
-    mm_rst                  => mm_rst,
-    mm_clk                  => mm_clk,
-
-    reg_bsn_align_copi      => reg_bsn_align_copi,
-    reg_bsn_align_cipo      => reg_bsn_align_cipo,
-
-    reg_input_monitor_copi  => reg_input_monitor_copi,
-    reg_input_monitor_cipo  => reg_input_monitor_cipo,
-
-    reg_output_monitor_copi => reg_output_monitor_copi,
-    reg_output_monitor_cipo => reg_output_monitor_cipo,
-
-    dp_rst                  => dp_rst,
-    dp_clk                  => dp_clk,
-
-    node_index              => node_index,
-    -- Streaming input
-    in_sosi_arr             => in_sosi_arr,
-    -- Output via local MM in dp_clk domain
-    --mm_sosi                 => mm_sosi,
-    --mm_copi                 => mm_copi,
-    --mm_cipo_arr             => mm_cipo_arr,
-    -- Output via streaming DP interface, when g_use_mm_output = TRUE.
-    out_sosi_arr            => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams                => c_nof_streams,
+      g_bsn_latency_max            => c_bsn_latency_max,
+      g_nof_aligners_max           => c_nof_aligners_max,
+      g_block_size                 => c_block_size,
+      g_bsn_w                      => c_bsn_w,
+      g_data_w                     => c_data_w,
+      g_data_replacement_value     => c_data_replacement_value,
+      g_use_mm_output              => c_use_mm_output,
+      g_pipeline_input             => c_pipeline_input,
+      g_pipeline_output            => c_pipeline_output,
+      g_rd_latency                 => c_rd_latency,
+      g_nof_clk_per_sync           => c_nof_clk_per_sync,
+      g_nof_input_bsn_monitors     => c_nof_input_bsn_monitors,
+      g_use_bsn_output_monitor     => c_use_bsn_output_monitor
+    )
+    port map (
+      mm_rst                  => mm_rst,
+      mm_clk                  => mm_clk,
+
+      reg_bsn_align_copi      => reg_bsn_align_copi,
+      reg_bsn_align_cipo      => reg_bsn_align_cipo,
+
+      reg_input_monitor_copi  => reg_input_monitor_copi,
+      reg_input_monitor_cipo  => reg_input_monitor_cipo,
+
+      reg_output_monitor_copi => reg_output_monitor_copi,
+      reg_output_monitor_cipo => reg_output_monitor_cipo,
+
+      dp_rst                  => dp_rst,
+      dp_clk                  => dp_clk,
+
+      node_index              => node_index,
+      -- Streaming input
+      in_sosi_arr             => in_sosi_arr,
+      -- Output via local MM in dp_clk domain
+      --mm_sosi                 => mm_sosi,
+      --mm_copi                 => mm_copi,
+      --mm_cipo_arr             => mm_cipo_arr,
+      -- Output via streaming DP interface, when g_use_mm_output = TRUE.
+      out_sosi_arr            => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_sync_scheduler.vhd b/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_sync_scheduler.vhd
index 56dbef924e..983eea10f6 100644
--- a/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_sync_scheduler.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_sync_scheduler.vhd
@@ -26,15 +26,15 @@
 --   . View *_64 BSN values as radix hex in Wave window to recognize BSN hi word.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mmp_dp_bsn_sync_scheduler is
 end tb_mmp_dp_bsn_sync_scheduler;
@@ -369,53 +369,53 @@ begin
 
   -- Generate data blocks with input sync
   u_stimuli : entity work.dp_stream_stimuli
-  generic map (
-    g_sync_period  => c_nof_block_per_input_sync,
-    g_err_init     => 0,
-    g_err_incr     => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
-    g_channel_init => 0,
-    g_channel_incr => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
-    g_nof_repeat   => c_sim_nof_blocks,
-    g_pkt_len      => c_block_size,
-    g_pkt_gap      => c_input_gap_size
-  )
-  port map (
-    rst               => dp_rst,
-    clk               => dp_clk,
-
-    -- Generate stimuli
-    src_out           => stimuli_sosi,
-
-    -- End of stimuli
-    tb_end            => stimuli_end
-  );
+    generic map (
+      g_sync_period  => c_nof_block_per_input_sync,
+      g_err_init     => 0,
+      g_err_incr     => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
+      g_channel_init => 0,
+      g_channel_incr => 0,  -- do not increment, to not distract from viewing of BSN in Wave window
+      g_nof_repeat   => c_sim_nof_blocks,
+      g_pkt_len      => c_block_size,
+      g_pkt_gap      => c_input_gap_size
+    )
+    port map (
+      rst               => dp_rst,
+      clk               => dp_clk,
+
+      -- Generate stimuli
+      src_out           => stimuli_sosi,
+
+      -- End of stimuli
+      tb_end            => stimuli_end
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
 
   u_mmp_dp_bsn_sync_scheduler : entity work.mmp_dp_bsn_sync_scheduler
-  generic map (
-    g_bsn_w                  => c_bsn_w,
-    g_block_size             => c_block_size,
-    g_ctrl_interval_size_min => c_ctrl_interval_size_min
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
-    dp_rst          => dp_rst,
-    dp_clk          => dp_clk,
-
-    -- MM control
-    reg_mosi        => reg_mosi,
-    reg_miso        => reg_miso,
-
-    -- Streaming
-    in_sosi         => in_sosi,
-    out_sosi        => out_sosi,
-    out_start       => out_start,
-    out_enable      => out_enable
-  );
+    generic map (
+      g_bsn_w                  => c_bsn_w,
+      g_block_size             => c_block_size,
+      g_ctrl_interval_size_min => c_ctrl_interval_size_min
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
+      dp_rst          => dp_rst,
+      dp_clk          => dp_clk,
+
+      -- MM control
+      reg_mosi        => reg_mosi,
+      reg_miso        => reg_miso,
+
+      -- Streaming
+      in_sosi         => in_sosi,
+      out_sosi        => out_sosi,
+      out_start       => out_start,
+      out_enable      => out_enable
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_align.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_align.vhd
index 464360979b..3bed2c92bb 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_align.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_align.vhd
@@ -34,15 +34,15 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_mms_dp_bsn_align is
@@ -302,7 +302,7 @@ begin
 
     verify_dis_arr <= (others => '0');
     proc_common_wait_some_cycles(clk, 1000);
---     verify_dis_arr <= (OTHERS=>'1');
+    --     verify_dis_arr <= (OTHERS=>'1');
 
     -- . enforce large BSN misalignment
     tb_state <= s_large_bsn_diff;
@@ -311,8 +311,8 @@ begin
     proc_common_wait_until_high(clk, bsn_event_ack);
     bsn_event <= '0';
     -- expect no output, because difference remains too large, so do not restart verify_en here and leave it commented:
---     proc_common_wait_some_cycles(clk, 100);
---     verify_dis_arr <= (OTHERS=>'0');
+    --     proc_common_wait_some_cycles(clk, 100);
+    --     verify_dis_arr <= (OTHERS=>'0');
     proc_common_wait_some_cycles(clk, 1000);
     verify_dis_arr <= (others => '1');
 
@@ -334,10 +334,10 @@ begin
     verify_dis_arr <= (others => '1');
 
 
---    in_en_event <= '1';
+    --    in_en_event <= '1';
     in_en_arr(c_event_input) <= '0';  -- switch an input off
---    proc_common_wait_some_cycles(clk, 1);
---    in_en_event <= '0';
+    --    proc_common_wait_some_cycles(clk, 1);
+    --    in_en_event <= '0';
     proc_common_wait_some_cycles(mm_clk, 1);
     proc_mem_mm_bus_wr(c_event_input, x"0", mm_clk, mm_mosi);
 
@@ -348,10 +348,10 @@ begin
     tb_state <= s_enable_inputs;
     verify_dis_arr <= (others => '1');
 
---    in_en_event <= '1';
+    --    in_en_event <= '1';
     in_en_arr(c_event_input) <= '1';  -- switch this input on
---    proc_common_wait_some_cycles(clk, 1);
---    in_en_event <= '0';
+    --    proc_common_wait_some_cycles(clk, 1);
+    --    in_en_event <= '0';
     proc_common_wait_some_cycles(mm_clk, 1);
     proc_mem_mm_bus_wr(c_event_input, x"1", mm_clk, mm_mosi);
 
@@ -431,30 +431,30 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_mms_bsn_align : entity work.mms_dp_bsn_align
-  generic map (
-    g_block_size           => g_block_size,
-    g_nof_input            => g_nof_input,
-    g_xoff_timeout         => c_xoff_timeout,
-    g_sop_timeout          => c_sop_timeout,
-    g_bsn_latency          => g_bsn_latency,
-    g_bsn_request_pipeline => g_bsn_request_pipeline,
-    g_cross_clock_domain   => true
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => mm_mosi,
-    reg_miso    => mm_miso,
-    -- Streaming clock domain
-    dp_rst      => rst,
-    dp_clk      => clk,
-    -- ST sinks
-    snk_out_arr => in_siso_arr,
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_in_arr  => out_siso_arr,
-    src_out_arr => out_sosi_arr
-  );
+    generic map (
+      g_block_size           => g_block_size,
+      g_nof_input            => g_nof_input,
+      g_xoff_timeout         => c_xoff_timeout,
+      g_sop_timeout          => c_sop_timeout,
+      g_bsn_latency          => g_bsn_latency,
+      g_bsn_request_pipeline => g_bsn_request_pipeline,
+      g_cross_clock_domain   => true
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => mm_mosi,
+      reg_miso    => mm_miso,
+      -- Streaming clock domain
+      dp_rst      => rst,
+      dp_clk      => clk,
+      -- ST sinks
+      snk_out_arr => in_siso_arr,
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_in_arr  => out_siso_arr,
+      src_out_arr => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source.vhd
index e2f52dd07a..8c6dc8d859 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source.vhd
@@ -30,14 +30,14 @@
 -- > view expanded bs_sosi in Wave window
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mms_dp_bsn_source is
 end tb_mms_dp_bsn_source;
@@ -86,99 +86,99 @@ begin
 
   p_mm_stimuli : process
   begin
-     wait until rst = '0';
-     proc_common_wait_some_cycles(clk, 10);
-
-     -- Write initial BSN and number of block per sync interval
-     proc_mem_mm_bus_wr(c_mm_addr_bsn_lo,                       c_init_bsn, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_wr(c_mm_addr_bsn_hi,                                0, clk, mm_miso, mm_mosi);  -- must also write hi part to trigger transfer accross clock domain
-     proc_mem_mm_bus_wr(c_mm_addr_nof_block_per_sync, c_nof_block_per_sync, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
-
-     --------------------------------------------------------------------------
-     -- DP on immediate
-     --------------------------------------------------------------------------
-
-     -- Wait until after PPS
-     proc_common_wait_until_hi_lo(clk, pps);
-
-     -- Write DP on immediate
-     proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_on_immediate, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
-
-     -- Read dp on status
-     proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
-     proc_common_wait_some_cycles(clk, 1);
-     assert mm_dp_on_status = c_mm_dp_on_immediate report "Wrong DP on status, expected DP on immediate." severity ERROR;
-
-     -- Read BSN twice in same PPS interval
-     proc_common_wait_some_cycles(clk, 3 * c_block_size);
-
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
-
-     proc_common_wait_some_cycles(clk, c_block_size);
-
-     mm_bsn_prev <= mm_bsn;
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
-     proc_common_wait_some_cycles(clk, 1);
-
-     -- Uncomment appropriate assert line dependent on fixed code for capture_bsn in mms_dp_bsn_source:
-     --ASSERT mm_bsn_prev<mm_bsn REPORT "Wrong BSN, expected incrementing BSN during PPS or sync interval." SEVERITY ERROR;
-     assert mm_bsn_prev = mm_bsn report "Wrong BSN, expected constant BSN during PPS or sync interval." severity ERROR;
-
-     -- Run few sync intervals
-     proc_common_wait_some_cycles(clk, 3 * c_sync_interval);
-
-     -- Write DP off
-     proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_off, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, c_block_size);
-
-     -- Read dp on status
-     proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
-     proc_common_wait_some_cycles(clk, 1);
-     assert mm_dp_on_status = c_mm_dp_off report "Wrong DP on status, expected DP off." severity ERROR;
-
-     proc_common_wait_some_cycles(clk, c_sync_interval);
-     tb_end <= '1';
-     wait;
+    wait until rst = '0';
+    proc_common_wait_some_cycles(clk, 10);
+
+    -- Write initial BSN and number of block per sync interval
+    proc_mem_mm_bus_wr(c_mm_addr_bsn_lo,                       c_init_bsn, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_wr(c_mm_addr_bsn_hi,                                0, clk, mm_miso, mm_mosi);  -- must also write hi part to trigger transfer accross clock domain
+    proc_mem_mm_bus_wr(c_mm_addr_nof_block_per_sync, c_nof_block_per_sync, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
+
+    --------------------------------------------------------------------------
+    -- DP on immediate
+    --------------------------------------------------------------------------
+
+    -- Wait until after PPS
+    proc_common_wait_until_hi_lo(clk, pps);
+
+    -- Write DP on immediate
+    proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_on_immediate, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
+
+    -- Read dp on status
+    proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
+    proc_common_wait_some_cycles(clk, 1);
+    assert mm_dp_on_status = c_mm_dp_on_immediate report "Wrong DP on status, expected DP on immediate." severity ERROR;
+
+    -- Read BSN twice in same PPS interval
+    proc_common_wait_some_cycles(clk, 3 * c_block_size);
+
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
+
+    proc_common_wait_some_cycles(clk, c_block_size);
+
+    mm_bsn_prev <= mm_bsn;
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
+    proc_common_wait_some_cycles(clk, 1);
+
+    -- Uncomment appropriate assert line dependent on fixed code for capture_bsn in mms_dp_bsn_source:
+    --ASSERT mm_bsn_prev<mm_bsn REPORT "Wrong BSN, expected incrementing BSN during PPS or sync interval." SEVERITY ERROR;
+    assert mm_bsn_prev = mm_bsn report "Wrong BSN, expected constant BSN during PPS or sync interval." severity ERROR;
+
+    -- Run few sync intervals
+    proc_common_wait_some_cycles(clk, 3 * c_sync_interval);
+
+    -- Write DP off
+    proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_off, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, c_block_size);
+
+    -- Read dp on status
+    proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
+    proc_common_wait_some_cycles(clk, 1);
+    assert mm_dp_on_status = c_mm_dp_off report "Wrong DP on status, expected DP off." severity ERROR;
+
+    proc_common_wait_some_cycles(clk, c_sync_interval);
+    tb_end <= '1';
+    wait;
   end process;
 
   u_dut : entity work.mms_dp_bsn_source
-  generic map (
-    g_cross_clock_domain => true,  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
-    g_block_size         => c_block_size,
-    g_nof_block_per_sync => 1,  -- overrule via MM write
-    g_bsn_w              => c_dp_stream_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => rst,
-    mm_clk            => clk,
-    dp_rst            => rst,
-    dp_clk            => clk,
-    dp_pps            => pps,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => mm_mosi,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
-    reg_miso          => mm_miso,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi
-  );
+    generic map (
+      g_cross_clock_domain => true,  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
+      g_block_size         => c_block_size,
+      g_nof_block_per_sync => 1,  -- overrule via MM write
+      g_bsn_w              => c_dp_stream_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => rst,
+      mm_clk            => clk,
+      dp_rst            => rst,
+      dp_clk            => clk,
+      dp_pps            => pps,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => mm_mosi,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
+      reg_miso          => mm_miso,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi
+    );
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source_v2.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source_v2.vhd
index c47ce8adbf..064dc69d11 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_bsn_source_v2.vhd
@@ -31,14 +31,14 @@
 -- > view expanded bs_sosi in Wave window
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mms_dp_bsn_source_v2 is
 end tb_mms_dp_bsn_source_v2;
@@ -92,118 +92,118 @@ begin
 
   p_mm_stimuli : process
   begin
-     wait until rst = '0';
-     proc_common_wait_some_cycles(clk, 10);
-
-     -- Write initial BSN and number of block per sync interval
-     proc_mem_mm_bus_wr(c_mm_addr_bsn_lo,                       c_bsn_init, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_wr(c_mm_addr_bsn_hi,                                0, clk, mm_miso, mm_mosi);  -- must also write hi part to trigger transfer accross clock domain
-     proc_mem_mm_bus_wr(c_mm_addr_nof_block_per_sync, c_nof_block_per_sync, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
-
-     --------------------------------------------------------------------------
-     -- DP on immediate
-     --------------------------------------------------------------------------
-
-     -- Wait until after PPS
-     proc_common_wait_until_hi_lo(clk, pps);
-
-     -- Write DP on immediate
-     proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_on_immediate, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
-
-     -- Read dp on status
-     proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
-     proc_common_wait_some_cycles(clk, 1);
-     assert mm_dp_on_status = c_mm_dp_on_immediate report "Wrong DP on status, expected DP on immediate." severity ERROR;
-
-     -- Read BSN twice in same PPS interval
-     proc_common_wait_some_cycles(clk, c_block_size);
-
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
-
-     proc_common_wait_some_cycles(clk, c_block_size);
-
-     mm_bsn_prev <= mm_bsn;
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
-     proc_common_wait_some_cycles(clk, 1);
-
-     -- Uncomment appropriate assert line dependent on fixed code for capture_bsn in mms_dp_bsn_source:
-     --ASSERT mm_bsn_prev<mm_bsn REPORT "Wrong BSN, expected incrementing BSN during PPS or sync interval." SEVERITY ERROR;
-     assert mm_bsn_prev = mm_bsn report "Wrong BSN, expected constant BSN during PPS or sync interval." severity ERROR;
-
-     -- Run few sync intervals
-     proc_common_wait_some_cycles(clk, 3 * c_sync_interval);
-
-     -- Write DP off
-     proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_off, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, c_block_size);
-
-     -- Read dp on status
-     proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
-     proc_common_wait_some_cycles(clk, 1);
-     assert mm_dp_on_status = c_mm_dp_off report "Wrong DP on status, expected DP off." severity ERROR;
-
-     -- Set bsn_time_offset and read back 2 times 0 and 5
-     proc_mem_mm_bus_wr(c_mm_addr_bsn_time_offset, 0, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, 2 * c_cross_clock_domain_latency);
-
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_time_offset, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0) <= mm_miso.rddata(c_bsn_time_offset_w - 1 downto 0);
-     proc_common_wait_some_cycles(clk, 1);
-     assert TO_UINT(mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0)) = 0 report "Wrong offset, expected 0" severity ERROR;
-
-     proc_mem_mm_bus_wr(c_mm_addr_bsn_time_offset, 5, clk, mm_miso, mm_mosi);
-     proc_common_wait_some_cycles(clk, 2 * c_cross_clock_domain_latency);
-
-     proc_mem_mm_bus_rd(c_mm_addr_bsn_time_offset, clk, mm_miso, mm_mosi);
-     proc_mem_mm_bus_rd_latency(1, clk);
-     mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0) <= mm_miso.rddata(c_bsn_time_offset_w - 1 downto 0);
-     proc_common_wait_some_cycles(clk, 1);
-     assert TO_UINT(mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0)) = 5 report "Wrong offset, expected 5" severity ERROR;
-
-     proc_common_wait_some_cycles(clk, c_sync_interval);
-     tb_end <= '1';
-     wait;
+    wait until rst = '0';
+    proc_common_wait_some_cycles(clk, 10);
+
+    -- Write initial BSN and number of block per sync interval
+    proc_mem_mm_bus_wr(c_mm_addr_bsn_lo,                       c_bsn_init, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_wr(c_mm_addr_bsn_hi,                                0, clk, mm_miso, mm_mosi);  -- must also write hi part to trigger transfer accross clock domain
+    proc_mem_mm_bus_wr(c_mm_addr_nof_block_per_sync, c_nof_block_per_sync, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
+
+    --------------------------------------------------------------------------
+    -- DP on immediate
+    --------------------------------------------------------------------------
+
+    -- Wait until after PPS
+    proc_common_wait_until_hi_lo(clk, pps);
+
+    -- Write DP on immediate
+    proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_on_immediate, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, c_cross_clock_domain_latency);
+
+    -- Read dp on status
+    proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
+    proc_common_wait_some_cycles(clk, 1);
+    assert mm_dp_on_status = c_mm_dp_on_immediate report "Wrong DP on status, expected DP on immediate." severity ERROR;
+
+    -- Read BSN twice in same PPS interval
+    proc_common_wait_some_cycles(clk, c_block_size);
+
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
+
+    proc_common_wait_some_cycles(clk, c_block_size);
+
+    mm_bsn_prev <= mm_bsn;
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_lo, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(c_word_w - 1 downto 0) <= mm_miso.rddata(c_word_w - 1 downto 0);
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_hi, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn(2 * c_word_w - 1 downto c_word_w) <= mm_miso.rddata(c_word_w - 1 downto 0);
+    proc_common_wait_some_cycles(clk, 1);
+
+    -- Uncomment appropriate assert line dependent on fixed code for capture_bsn in mms_dp_bsn_source:
+    --ASSERT mm_bsn_prev<mm_bsn REPORT "Wrong BSN, expected incrementing BSN during PPS or sync interval." SEVERITY ERROR;
+    assert mm_bsn_prev = mm_bsn report "Wrong BSN, expected constant BSN during PPS or sync interval." severity ERROR;
+
+    -- Run few sync intervals
+    proc_common_wait_some_cycles(clk, 3 * c_sync_interval);
+
+    -- Write DP off
+    proc_mem_mm_bus_wr(c_mm_addr_dp_on, c_mm_dp_off, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, c_block_size);
+
+    -- Read dp on status
+    proc_mem_mm_bus_rd(c_mm_addr_dp_on, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_dp_on_status <= TO_UINT(mm_miso.rddata(1 downto 0));
+    proc_common_wait_some_cycles(clk, 1);
+    assert mm_dp_on_status = c_mm_dp_off report "Wrong DP on status, expected DP off." severity ERROR;
+
+    -- Set bsn_time_offset and read back 2 times 0 and 5
+    proc_mem_mm_bus_wr(c_mm_addr_bsn_time_offset, 0, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, 2 * c_cross_clock_domain_latency);
+
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_time_offset, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0) <= mm_miso.rddata(c_bsn_time_offset_w - 1 downto 0);
+    proc_common_wait_some_cycles(clk, 1);
+    assert TO_UINT(mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0)) = 0 report "Wrong offset, expected 0" severity ERROR;
+
+    proc_mem_mm_bus_wr(c_mm_addr_bsn_time_offset, 5, clk, mm_miso, mm_mosi);
+    proc_common_wait_some_cycles(clk, 2 * c_cross_clock_domain_latency);
+
+    proc_mem_mm_bus_rd(c_mm_addr_bsn_time_offset, clk, mm_miso, mm_mosi);
+    proc_mem_mm_bus_rd_latency(1, clk);
+    mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0) <= mm_miso.rddata(c_bsn_time_offset_w - 1 downto 0);
+    proc_common_wait_some_cycles(clk, 1);
+    assert TO_UINT(mm_bsn_time_offset(c_bsn_time_offset_w - 1 downto 0)) = 5 report "Wrong offset, expected 5" severity ERROR;
+
+    proc_common_wait_some_cycles(clk, c_sync_interval);
+    tb_end <= '1';
+    wait;
   end process;
 
   u_dut : entity work.mms_dp_bsn_source_v2
-  generic map (
-    g_cross_clock_domain => true,  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
-    g_block_size         => c_block_size,
-    g_nof_clk_per_sync   => 200 * 10**6,  -- overrule via MM write
-    g_bsn_w              => c_dp_stream_bsn_w
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => rst,
-    mm_clk            => clk,
-    dp_rst            => rst,
-    dp_clk            => clk,
-    dp_pps            => pps,
-
-    -- Memory-mapped clock domain
-    reg_mosi          => mm_mosi,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
-    reg_miso          => mm_miso,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
-
-    -- Streaming clock domain
-    bs_sosi           => bs_sosi
-  );
+    generic map (
+      g_cross_clock_domain => true,  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
+      g_block_size         => c_block_size,
+      g_nof_clk_per_sync   => 200 * 10**6,  -- overrule via MM write
+      g_bsn_w              => c_dp_stream_bsn_w
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => rst,
+      mm_clk            => clk,
+      dp_rst            => rst,
+      dp_clk            => clk,
+      dp_pps            => pps,
+
+      -- Memory-mapped clock domain
+      reg_mosi          => mm_mosi,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
+      reg_miso          => mm_miso,  -- actual ranges defined by c_mm_reg in dp_bsn_source_reg
+
+      -- Streaming clock domain
+      bs_sosi           => bs_sosi
+    );
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_fields.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_fields.vhd
index 55a2753195..098cd335e1 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_fields.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_fields.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 entity tb_mms_dp_fields is
 end tb_mms_dp_fields;
@@ -37,12 +37,12 @@ architecture tb of tb_mms_dp_fields is
   constant c_delay_len  : natural := 3;
 
   constant c_field_arr : t_common_field_arr(2 downto 0) := (( field_name_pad("test_field_2"), "RW", 36, field_default(x"BADC0DE56") ),  -- 0xCAFEDEADB
-                                                            ( field_name_pad("test_field_1"), "RO",  8, field_default(x"AA") ),  -- 0xEE
-                                                            ( field_name_pad("test_field_0"), "RO",  4, field_default(x"B") ));  -- 0xF
+    ( field_name_pad("test_field_1"), "RO",  8, field_default(x"AA") ),  -- 0xEE
+    ( field_name_pad("test_field_0"), "RO",  4, field_default(x"B") ));  -- 0xF
 
   constant c_field_arr2: t_common_field_arr(2 downto 0) := (( field_name_pad("test_field_2"), "RO", 4 , field_default(x"B")),  -- 0xF
-                                                            ( field_name_pad("test_field_1"), "RO", 8 , field_default(x"AA")),  -- 0xEE
-                                                            ( field_name_pad("test_field_0"), "RW", 36, field_default(x"BADC0DE56")));  -- 0xCAFEDEADB
+    ( field_name_pad("test_field_1"), "RO", 8 , field_default(x"AA")),  -- 0xEE
+    ( field_name_pad("test_field_0"), "RW", 36, field_default(x"BADC0DE56")));  -- 0xCAFEDEADB
 
   signal clk     : std_logic := '0';
   signal rst     : std_logic := '1';
@@ -59,48 +59,48 @@ begin
   rst <= '0' after 100 ns;
 
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_field_arr => c_field_arr2
-  )
-  port map (
-    mm_clk  => clk,
-    mm_rst  => rst,
+    generic map(
+      g_field_arr => c_field_arr2
+    )
+    port map (
+      mm_clk  => clk,
+      mm_rst  => rst,
 
-    mm_mosi => mm_mosi,
-    mm_miso => mm_miso,
+      mm_mosi => mm_mosi,
+      mm_miso => mm_miso,
 
-    slv_clk => clk,
-    slv_rst => rst,
+      slv_clk => clk,
+      slv_rst => rst,
 
-    slv_in  => my_slv,
-    slv_out => slv_out
-  );
+      slv_in  => my_slv,
+      slv_out => slv_out
+    );
 
   p_stim: process
   begin
-     wait until rst = '0';
-     proc_mem_mm_bus_rd(0, clk, mm_mosi);
-     proc_mem_mm_bus_rd(1, clk, mm_mosi);
-     proc_mem_mm_bus_rd(2, clk, mm_mosi);
-     proc_mem_mm_bus_rd(3, clk, mm_mosi);
-
-     -- c_field_arr write
---   proc_mem_mm_bus_wr(2, x"AFEDEADB", clk, mm_mosi);
---   proc_mem_mm_bus_wr(3, x"0000000C", clk, mm_mosi);
-
-     -- c_field_arr2 write
-     proc_mem_mm_bus_wr(0, x"AFEDEADB", clk, mm_mosi);
-     proc_mem_mm_bus_wr(1, x"0000000C", clk, mm_mosi);
-
-     -- Wait until words have been written
-     wait for 250 ns;
-
-     proc_mem_mm_bus_rd(0, clk, mm_mosi);
-     proc_mem_mm_bus_rd(1, clk, mm_mosi);
-     proc_mem_mm_bus_rd(2, clk, mm_mosi);
-     proc_mem_mm_bus_rd(3, clk, mm_mosi);
-
-     wait;
-   end process;
+    wait until rst = '0';
+    proc_mem_mm_bus_rd(0, clk, mm_mosi);
+    proc_mem_mm_bus_rd(1, clk, mm_mosi);
+    proc_mem_mm_bus_rd(2, clk, mm_mosi);
+    proc_mem_mm_bus_rd(3, clk, mm_mosi);
+
+    -- c_field_arr write
+    --   proc_mem_mm_bus_wr(2, x"AFEDEADB", clk, mm_mosi);
+    --   proc_mem_mm_bus_wr(3, x"0000000C", clk, mm_mosi);
+
+    -- c_field_arr2 write
+    proc_mem_mm_bus_wr(0, x"AFEDEADB", clk, mm_mosi);
+    proc_mem_mm_bus_wr(1, x"0000000C", clk, mm_mosi);
+
+    -- Wait until words have been written
+    wait for 250 ns;
+
+    proc_mem_mm_bus_rd(0, clk, mm_mosi);
+    proc_mem_mm_bus_rd(1, clk, mm_mosi);
+    proc_mem_mm_bus_rd(2, clk, mm_mosi);
+    proc_mem_mm_bus_rd(3, clk, mm_mosi);
+
+    wait;
+  end process;
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_fifo_fill.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_fifo_fill.vhd
index 7fc96c30e1..af1767ab30 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_fifo_fill.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_fifo_fill.vhd
@@ -36,15 +36,15 @@
 -- . signal tb_end will stop the simulation by stopping the clk
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mms_dp_fifo_fill is
   generic (
@@ -153,11 +153,11 @@ begin
       proc_mem_mm_bus_rd(I * c_nof_regs_per_stream + c_reg_max_used_words_offset, mm_clk, reg_dp_fifo_fill_mosi);
     end loop;
 
---    proc_mem_mm_bus_rd(2, mm_clk, reg_dp_fifo_fill_mosi);
+    --    proc_mem_mm_bus_rd(2, mm_clk, reg_dp_fifo_fill_mosi);
 
     -- Read out the rd_empty bit
     proc_common_wait_some_cycles(mm_clk, 100);
---    proc_mem_mm_bus_rd(1, mm_clk, reg_dp_fifo_fill_mosi);
+    --    proc_mem_mm_bus_rd(1, mm_clk, reg_dp_fifo_fill_mosi);
     for I in 0 to g_nof_streams - 1 loop
       proc_mem_mm_bus_rd(I * c_nof_regs_per_stream + c_reg_fifo_flags, mm_clk, reg_dp_fifo_fill_mosi);
     end loop;
@@ -169,7 +169,7 @@ begin
     for I in 0 to g_nof_streams - 1 loop
       proc_mem_mm_bus_rd(I * c_nof_regs_per_stream + c_reg_fifo_flags, mm_clk, reg_dp_fifo_fill_mosi);
     end loop;
---    proc_mem_mm_bus_rd(1, mm_clk, reg_dp_fifo_fill_mosi);
+    --    proc_mem_mm_bus_rd(1, mm_clk, reg_dp_fifo_fill_mosi);
 
     proc_common_wait_some_cycles(mm_clk, 10);
     tb_end <= '1';
@@ -177,42 +177,42 @@ begin
   end process;
 
   dut : entity work.mms_dp_fifo_fill
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_cross_clock_domain => true,
-    g_data_w             => c_dp_data_w,
-    g_bsn_w              => c_dp_bsn_w,
-    g_empty_w            => c_dp_empty_w,
-    g_channel_w          => c_dp_channel_w,
-    g_error_w            => 1,
-    g_use_bsn            => g_dut_use_bsn,
-    g_use_empty          => g_dut_use_empty,
-    g_use_channel        => g_dut_use_channel,
-    g_use_error          => false,
-    g_use_sync           => g_dut_use_sync,
-    g_use_complex        => true,
-    g_fifo_fill          => g_dut_fifo_fill,
-    g_fifo_size          => g_dut_fifo_size,
-    g_fifo_af_margin     => 4,
-    g_fifo_rl            => g_dut_fifo_rl
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_dp_fifo_fill_mosi,
-    reg_miso    => reg_dp_fifo_fill_miso,
-
-    -- Streaming clock domain
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    -- ST sink
-    snk_out_arr => OPEN,
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_in_arr  => out_siso_arr,
-    src_out_arr => open
-  );
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_cross_clock_domain => true,
+      g_data_w             => c_dp_data_w,
+      g_bsn_w              => c_dp_bsn_w,
+      g_empty_w            => c_dp_empty_w,
+      g_channel_w          => c_dp_channel_w,
+      g_error_w            => 1,
+      g_use_bsn            => g_dut_use_bsn,
+      g_use_empty          => g_dut_use_empty,
+      g_use_channel        => g_dut_use_channel,
+      g_use_error          => false,
+      g_use_sync           => g_dut_use_sync,
+      g_use_complex        => true,
+      g_fifo_fill          => g_dut_fifo_fill,
+      g_fifo_size          => g_dut_fifo_size,
+      g_fifo_af_margin     => 4,
+      g_fifo_rl            => g_dut_fifo_rl
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_dp_fifo_fill_mosi,
+      reg_miso    => reg_dp_fifo_fill_miso,
+
+      -- Streaming clock domain
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      -- ST sink
+      snk_out_arr => OPEN,
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_in_arr  => out_siso_arr,
+      src_out_arr => open
+    );
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_parallel_arr.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_parallel_arr.vhd
index e86703b12a..110608d1a1 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_parallel_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_parallel_arr.vhd
@@ -30,16 +30,16 @@
 
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_mms_dp_force_data_parallel_arr is
@@ -155,17 +155,17 @@ begin
   snk_in_complex <= func_dp_stream_set_data(snk_in_re, INCR_UVEC(snk_in.data, 2), "IM");  -- apply im = data+2
 
   u_snk_in_complex_dly : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- latency of DUT
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    snk_out  => OPEN,
-    snk_in   => snk_in_complex,
-    src_in   => src_in_arr(0),
-    src_out  => snk_in_complex_dly
-  );
+    generic map (
+      g_pipeline   => 1  -- latency of DUT
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      snk_out  => OPEN,
+      snk_in   => snk_in_complex,
+      src_in   => src_in_arr(0),
+      src_out  => snk_in_complex_dly
+    );
 
   snk_out    <= snk_out_arr(0);  -- use stream 0 for flow control, all tb streams have same flow control
   snk_in_arr <= (others => snk_in_complex);  -- apply same default tb data to all streams
@@ -302,73 +302,73 @@ begin
 
   gen_one : if g_nof_streams = 1 generate
     u_dut_one : entity work.mms_dp_force_data_parallel
-    generic map (
-      g_dat_w                 => g_dat_w,
-      g_increment_data        => g_increment_data,
-      g_increment_re          => g_increment_re,
-      g_increment_im          => g_increment_im,
-      g_increment_data_on_sop => g_increment_on_sop,
-      g_increment_re_on_sop   => g_increment_on_sop,
-      g_increment_im_on_sop   => g_increment_on_sop,
-      g_restart_data_on_sync  => g_restart_on_sync,
-      g_restart_re_on_sync    => g_restart_on_sync,
-      g_restart_im_on_sync    => g_restart_on_sync,
-      g_restart_data_on_sop   => g_restart_on_sop,
-      g_restart_re_on_sop     => g_restart_on_sop,
-      g_restart_im_on_sop     => g_restart_on_sop
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      -- MM control
-      reg_force_data_mosi => reg_force_data_mosi,
-      reg_force_data_miso => reg_force_data_miso,
-      -- ST sink
-      snk_out             => snk_out_arr(0),
-      snk_in              => snk_in_arr(0),
-      -- ST source
-      src_in              => src_in_arr(0),
-      src_out             => src_out_arr(0)
-    );
+      generic map (
+        g_dat_w                 => g_dat_w,
+        g_increment_data        => g_increment_data,
+        g_increment_re          => g_increment_re,
+        g_increment_im          => g_increment_im,
+        g_increment_data_on_sop => g_increment_on_sop,
+        g_increment_re_on_sop   => g_increment_on_sop,
+        g_increment_im_on_sop   => g_increment_on_sop,
+        g_restart_data_on_sync  => g_restart_on_sync,
+        g_restart_re_on_sync    => g_restart_on_sync,
+        g_restart_im_on_sync    => g_restart_on_sync,
+        g_restart_data_on_sop   => g_restart_on_sop,
+        g_restart_re_on_sop     => g_restart_on_sop,
+        g_restart_im_on_sop     => g_restart_on_sop
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        -- MM control
+        reg_force_data_mosi => reg_force_data_mosi,
+        reg_force_data_miso => reg_force_data_miso,
+        -- ST sink
+        snk_out             => snk_out_arr(0),
+        snk_in              => snk_in_arr(0),
+        -- ST source
+        src_in              => src_in_arr(0),
+        src_out             => src_out_arr(0)
+      );
   end generate;
 
   gen_arr : if g_nof_streams > 1 generate
     u_dut_arr : entity work.mms_dp_force_data_parallel_arr
-    generic map (
-      g_nof_streams           => g_nof_streams,
-      g_dat_w                 => g_dat_w,
-      g_increment_data        => g_increment_data,
-      g_increment_re          => g_increment_re,
-      g_increment_im          => g_increment_im,
-      g_increment_data_on_sop => g_increment_on_sop,
-      g_increment_re_on_sop   => g_increment_on_sop,
-      g_increment_im_on_sop   => g_increment_on_sop,
-      g_restart_data_on_sync  => g_restart_on_sync,
-      g_restart_re_on_sync    => g_restart_on_sync,
-      g_restart_im_on_sync    => g_restart_on_sync,
-      g_restart_data_on_sop   => g_restart_on_sop,
-      g_restart_re_on_sop     => g_restart_on_sop,
-      g_restart_im_on_sop     => g_restart_on_sop
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      -- MM control
-      reg_force_data_mosi => reg_force_data_mosi,
-      reg_force_data_miso => reg_force_data_miso,
-      -- ST sink
-      snk_out_arr         => snk_out_arr,
-      snk_in_arr          => snk_in_arr,
-      -- ST source
-      src_in_arr          => src_in_arr,
-      src_out_arr         => src_out_arr
-    );
+      generic map (
+        g_nof_streams           => g_nof_streams,
+        g_dat_w                 => g_dat_w,
+        g_increment_data        => g_increment_data,
+        g_increment_re          => g_increment_re,
+        g_increment_im          => g_increment_im,
+        g_increment_data_on_sop => g_increment_on_sop,
+        g_increment_re_on_sop   => g_increment_on_sop,
+        g_increment_im_on_sop   => g_increment_on_sop,
+        g_restart_data_on_sync  => g_restart_on_sync,
+        g_restart_re_on_sync    => g_restart_on_sync,
+        g_restart_im_on_sync    => g_restart_on_sync,
+        g_restart_data_on_sop   => g_restart_on_sop,
+        g_restart_re_on_sop     => g_restart_on_sop,
+        g_restart_im_on_sop     => g_restart_on_sop
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        -- MM control
+        reg_force_data_mosi => reg_force_data_mosi,
+        reg_force_data_miso => reg_force_data_miso,
+        -- ST sink
+        snk_out_arr         => snk_out_arr,
+        snk_in_arr          => snk_in_arr,
+        -- ST source
+        src_in_arr          => src_in_arr,
+        src_out_arr         => src_out_arr
+      );
   end generate;
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_serial_arr.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_serial_arr.vhd
index 56b7209aab..4f968aa644 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_serial_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_force_data_serial_arr.vhd
@@ -30,16 +30,16 @@
 
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_mms_dp_force_data_serial_arr is
@@ -153,17 +153,17 @@ begin
   snk_in_complex <= func_dp_stream_set_data(snk_in_re, INCR_UVEC(snk_in.data, 2), "IM");  -- apply im = data+2
 
   u_snk_in_complex_dly : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 1  -- latency of DUT
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    snk_out  => OPEN,
-    snk_in   => snk_in_complex,
-    src_in   => src_in_arr(0),
-    src_out  => snk_in_complex_dly
-  );
+    generic map (
+      g_pipeline   => 1  -- latency of DUT
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      snk_out  => OPEN,
+      snk_in   => snk_in_complex,
+      src_in   => src_in_arr(0),
+      src_out  => snk_in_complex_dly
+    );
 
   snk_out    <= snk_out_arr(0);  -- use stream 0 for flow control, all tb streams have same flow control
   snk_in_arr <= (others => snk_in_complex);  -- apply same default tb data to all streams
@@ -298,53 +298,53 @@ begin
 
   gen_one : if g_nof_streams = 1 generate
     u_dut_one : entity work.mms_dp_force_data_serial
-    generic map (
-      g_dat_w                => g_dat_w,
-      g_index_period         => g_index_period,
-      g_index_sample_block_n => g_index_sample_block_n
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      -- MM control
-      reg_force_data_mosi => reg_force_data_mosi,
-      reg_force_data_miso => reg_force_data_miso,
-      -- ST sink
-      snk_out             => snk_out_arr(0),
-      snk_in              => snk_in_arr(0),
-      -- ST source
-      src_in              => src_in_arr(0),
-      src_out             => src_out_arr(0)
-    );
+      generic map (
+        g_dat_w                => g_dat_w,
+        g_index_period         => g_index_period,
+        g_index_sample_block_n => g_index_sample_block_n
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        -- MM control
+        reg_force_data_mosi => reg_force_data_mosi,
+        reg_force_data_miso => reg_force_data_miso,
+        -- ST sink
+        snk_out             => snk_out_arr(0),
+        snk_in              => snk_in_arr(0),
+        -- ST source
+        src_in              => src_in_arr(0),
+        src_out             => src_out_arr(0)
+      );
   end generate;
 
   gen_arr : if g_nof_streams > 1 generate
     u_dut_arr : entity work.mms_dp_force_data_serial_arr
-    generic map (
-      g_nof_streams          => g_nof_streams,
-      g_dat_w                => g_dat_w,
-      g_index_period         => g_index_period,
-      g_index_sample_block_n => g_index_sample_block_n
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      -- MM control
-      reg_force_data_mosi => reg_force_data_mosi,
-      reg_force_data_miso => reg_force_data_miso,
-      -- ST sink
-      snk_out_arr         => snk_out_arr,
-      snk_in_arr          => snk_in_arr,
-      -- ST source
-      src_in_arr          => src_in_arr,
-      src_out_arr         => src_out_arr
-    );
+      generic map (
+        g_nof_streams          => g_nof_streams,
+        g_dat_w                => g_dat_w,
+        g_index_period         => g_index_period,
+        g_index_sample_block_n => g_index_sample_block_n
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        -- MM control
+        reg_force_data_mosi => reg_force_data_mosi,
+        reg_force_data_miso => reg_force_data_miso,
+        -- ST sink
+        snk_out_arr         => snk_out_arr,
+        snk_in_arr          => snk_in_arr,
+        -- ST source
+        src_in_arr          => src_in_arr,
+        src_out_arr         => src_out_arr
+      );
   end generate;
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_arr.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_arr.vhd
index ba8e803f1f..f50617292a 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_arr.vhd
@@ -29,16 +29,16 @@
 
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_mms_dp_gain_arr is
@@ -129,15 +129,15 @@ begin
   in_sosi_arr <= (others => in_sosi);  -- use same data on all input streams
 
   u_in_sosi_dly : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 3  -- latency of DUT
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    snk_in   => in_sosi,
-    src_out  => in_sosi_dly
-  );
+    generic map (
+      g_pipeline   => 3  -- latency of DUT
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      snk_in   => in_sosi,
+      src_out  => in_sosi_dly
+    );
 
   p_mm_stimuli : process
     variable v_gain_re : integer;
@@ -229,65 +229,65 @@ begin
 
   gen_one : if g_nof_streams = 1 generate
     u_dut_one : entity work.mms_dp_gain
-    generic map (
-      g_technology   => g_technology,
-      g_complex_data => g_complex_data,
-      g_complex_gain => g_complex_gain,
-      g_gain_init_re => 1,
-      g_gain_init_im => 0,
-      g_gain_w       => c_gain_w,
-      g_in_dat_w     => c_in_dat_w,
-      g_out_dat_w    => c_out_dat_w
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-
-      -- MM access to gain
-      reg_gain_re_mosi  => reg_gain_re_mosi,
-      reg_gain_re_miso  => reg_gain_re_miso,
-      reg_gain_im_mosi  => reg_gain_im_mosi,
-      reg_gain_im_miso  => reg_gain_im_miso,
-
-      -- ST
-      in_sosi           => in_sosi_arr(0),
-      out_sosi          => out_sosi_arr(0)
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_complex_data => g_complex_data,
+        g_complex_gain => g_complex_gain,
+        g_gain_init_re => 1,
+        g_gain_init_im => 0,
+        g_gain_w       => c_gain_w,
+        g_in_dat_w     => c_in_dat_w,
+        g_out_dat_w    => c_out_dat_w
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+
+        -- MM access to gain
+        reg_gain_re_mosi  => reg_gain_re_mosi,
+        reg_gain_re_miso  => reg_gain_re_miso,
+        reg_gain_im_mosi  => reg_gain_im_mosi,
+        reg_gain_im_miso  => reg_gain_im_miso,
+
+        -- ST
+        in_sosi           => in_sosi_arr(0),
+        out_sosi          => out_sosi_arr(0)
+      );
   end generate;
 
   gen_arr : if g_nof_streams > 1 generate
     u_dut_arr : entity work.mms_dp_gain_arr
-    generic map (
-      g_technology   => g_technology,
-      g_nof_streams  => g_nof_streams,
-      g_complex_data => g_complex_data,
-      g_complex_gain => g_complex_gain,
-      g_gain_init_re => 1,
-      g_gain_init_im => 0,
-      g_gain_w       => c_gain_w,
-      g_in_dat_w     => c_in_dat_w,
-      g_out_dat_w    => c_out_dat_w
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-
-      -- MM access to gain
-      reg_gain_re_mosi  => reg_gain_re_mosi,
-      reg_gain_re_miso  => reg_gain_re_miso,
-      reg_gain_im_mosi  => reg_gain_im_mosi,
-      reg_gain_im_miso  => reg_gain_im_miso,
-
-      -- ST
-      in_sosi_arr       => in_sosi_arr,
-      out_sosi_arr      => out_sosi_arr
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_nof_streams  => g_nof_streams,
+        g_complex_data => g_complex_data,
+        g_complex_gain => g_complex_gain,
+        g_gain_init_re => 1,
+        g_gain_init_im => 0,
+        g_gain_w       => c_gain_w,
+        g_in_dat_w     => c_in_dat_w,
+        g_out_dat_w    => c_out_dat_w
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+
+        -- MM access to gain
+        reg_gain_re_mosi  => reg_gain_re_mosi,
+        reg_gain_re_miso  => reg_gain_re_miso,
+        reg_gain_im_mosi  => reg_gain_im_mosi,
+        reg_gain_im_miso  => reg_gain_im_miso,
+
+        -- ST
+        in_sosi_arr       => in_sosi_arr,
+        out_sosi_arr      => out_sosi_arr
+      );
   end generate;
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_serial_arr.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_serial_arr.vhd
index ac6a6dfac1..da2ed524c4 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_serial_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_gain_serial_arr.vhd
@@ -28,16 +28,16 @@
 
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_mms_dp_gain_serial_arr is
@@ -152,28 +152,28 @@ begin
   end process;
 
   u_in_sosi_dly : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => c_dut_latency
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    snk_in   => in_sosi,
-    src_out  => in_sosi_dly
-  );
+    generic map (
+      g_pipeline   => c_dut_latency
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      snk_in   => in_sosi,
+      src_out  => in_sosi_dly
+    );
 
   u_gains_rd_address_dly : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => c_dut_latency,
-    g_in_dat_w  => c_nof_gains_w,
-    g_out_dat_w => c_nof_gains_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    in_dat  => gains_rd_address,
-    out_dat => gains_rd_address_dly
-  );
+    generic map (
+      g_pipeline  => c_dut_latency,
+      g_in_dat_w  => c_nof_gains_w,
+      g_out_dat_w => c_nof_gains_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      in_dat  => gains_rd_address,
+      out_dat => gains_rd_address_dly
+    );
 
   p_mm_stimuli : process
   begin
@@ -261,67 +261,67 @@ begin
 
   gen_one : if g_nof_streams = 1 generate
     u_dut_one : entity work.mms_dp_gain_serial
-    generic map (
-      g_technology        => c_tech_select_default,
-      g_nof_gains         => g_nof_gains,  -- number of gains in series per stream
-      g_complex_data      => g_complex_data,
-      g_complex_gain      => g_complex_gain,
-      g_gain_w            => c_gain_w,
-      g_in_dat_w          => c_in_dat_w,
-      g_out_dat_w         => c_out_dat_w,
-      g_gains_file_name   => "UNUSED",  -- "UNUSED" or relative path to some "gains_#.hex" file, where # is the stream index
-      g_gains_write_only  => false  -- When set to TRUE the M9K blocks are forced to Simple Dual Port mode to save memory. When FALSE it is True Dual Port.
-    )
-    port map (
-      -- System
-      mm_rst                  => mm_rst,
-      mm_clk                  => mm_clk,
-      dp_rst                  => dp_rst,
-      dp_clk                  => dp_clk,
-
-      -- MM interface
-      ram_gains_mosi          => ram_gains_mosi,  -- write side
-      ram_gains_miso          => ram_gains_miso,
-
-      -- ST interface
-      gains_rd_address        => gains_rd_address,  -- read side, same read address for all streams
-
-      in_sosi                 => in_sosi_arr(0),
-      out_sosi                => out_sosi_arr(0)
-    );
+      generic map (
+        g_technology        => c_tech_select_default,
+        g_nof_gains         => g_nof_gains,  -- number of gains in series per stream
+        g_complex_data      => g_complex_data,
+        g_complex_gain      => g_complex_gain,
+        g_gain_w            => c_gain_w,
+        g_in_dat_w          => c_in_dat_w,
+        g_out_dat_w         => c_out_dat_w,
+        g_gains_file_name   => "UNUSED",  -- "UNUSED" or relative path to some "gains_#.hex" file, where # is the stream index
+        g_gains_write_only  => false  -- When set to TRUE the M9K blocks are forced to Simple Dual Port mode to save memory. When FALSE it is True Dual Port.
+      )
+      port map (
+        -- System
+        mm_rst                  => mm_rst,
+        mm_clk                  => mm_clk,
+        dp_rst                  => dp_rst,
+        dp_clk                  => dp_clk,
+
+        -- MM interface
+        ram_gains_mosi          => ram_gains_mosi,  -- write side
+        ram_gains_miso          => ram_gains_miso,
+
+        -- ST interface
+        gains_rd_address        => gains_rd_address,  -- read side, same read address for all streams
+
+        in_sosi                 => in_sosi_arr(0),
+        out_sosi                => out_sosi_arr(0)
+      );
   end generate;
 
   gen_par : if g_nof_streams > 1 generate
     u_dut_par : entity work.mms_dp_gain_serial_arr
-    generic map (
-      g_technology        => c_tech_select_default,
-      g_nof_streams       => g_nof_streams,
-      g_nof_gains         => g_nof_gains,  -- number of gains in series per stream
-      g_complex_data      => g_complex_data,
-      g_complex_gain      => g_complex_gain,
-      g_gain_w            => c_gain_w,
-      g_in_dat_w          => c_in_dat_w,
-      g_out_dat_w         => c_out_dat_w,
-      g_gains_file_name   => "UNUSED",  -- "UNUSED" or relative path to some "gains_#.hex" file, where # is the stream index
-      g_gains_write_only  => false  -- When set to TRUE the M9K blocks are forced to Simple Dual Port mode to save memory. When FALSE it is True Dual Port.
-    )
-    port map (
-      -- System
-      mm_rst                  => mm_rst,
-      mm_clk                  => mm_clk,
-      dp_rst                  => dp_rst,
-      dp_clk                  => dp_clk,
-
-      -- MM interface
-      ram_gains_mosi          => ram_gains_mosi,  -- write side
-      ram_gains_miso          => ram_gains_miso,
-
-      -- ST interface
-      gains_rd_address        => gains_rd_address,  -- read side, same read address for all streams
-
-      in_sosi_arr             => in_sosi_arr,
-      out_sosi_arr            => out_sosi_arr
-    );
+      generic map (
+        g_technology        => c_tech_select_default,
+        g_nof_streams       => g_nof_streams,
+        g_nof_gains         => g_nof_gains,  -- number of gains in series per stream
+        g_complex_data      => g_complex_data,
+        g_complex_gain      => g_complex_gain,
+        g_gain_w            => c_gain_w,
+        g_in_dat_w          => c_in_dat_w,
+        g_out_dat_w         => c_out_dat_w,
+        g_gains_file_name   => "UNUSED",  -- "UNUSED" or relative path to some "gains_#.hex" file, where # is the stream index
+        g_gains_write_only  => false  -- When set to TRUE the M9K blocks are forced to Simple Dual Port mode to save memory. When FALSE it is True Dual Port.
+      )
+      port map (
+        -- System
+        mm_rst                  => mm_rst,
+        mm_clk                  => mm_clk,
+        dp_rst                  => dp_rst,
+        dp_clk                  => dp_clk,
+
+        -- MM interface
+        ram_gains_mosi          => ram_gains_mosi,  -- write side
+        ram_gains_miso          => ram_gains_miso,
+
+        -- ST interface
+        gains_rd_address        => gains_rd_address,  -- read side, same read address for all streams
+
+        in_sosi_arr             => in_sosi_arr,
+        out_sosi_arr            => out_sosi_arr
+      );
   end generate;
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_scale.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_scale.vhd
index d3012fd5dd..43e9814cc8 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_scale.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_scale.vhd
@@ -29,16 +29,16 @@
 -- The tb can be simple as the components in the DUT are already verified.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_mms_dp_scale is
@@ -112,15 +112,15 @@ begin
   in_sosi.valid <= cnt_val;
 
   u_in_sosi_dly : entity work.dp_pipeline
-  generic map (
-    g_pipeline   => 3  -- latency of DUT
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    snk_in   => in_sosi,
-    src_out  => in_sosi_dly
-  );
+    generic map (
+      g_pipeline   => 3  -- latency of DUT
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      snk_in   => in_sosi,
+      src_out  => in_sosi_dly
+    );
 
   p_mm_stimuli : process
   begin
@@ -163,7 +163,7 @@ begin
   ------------------------------------------------------------------------------
   -- DUT
   ------------------------------------------------------------------------------
-    u_dut : entity work.mms_dp_scale
+  u_dut : entity work.mms_dp_scale
     generic map (
       g_complex_data => c_complex_data,
       g_complex_gain => c_complex_gain,
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_sync_checker.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_sync_checker.vhd
index da263914f8..eb313386ee 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_sync_checker.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_sync_checker.vhd
@@ -35,15 +35,15 @@
 -- . the tb is self checking
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mms_dp_sync_checker is
   generic (
@@ -273,22 +273,22 @@ begin
 
   -- DUT function
   dut : entity work.mms_dp_sync_checker
-  generic map (
-    g_cross_clock_domain => true,
-    g_nof_blk_per_sync   => g_nof_blk_per_sync
-  )
-  port map (
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    dp_rst                   => rst,
-    dp_clk                   => clk,
-    snk_out                  => dut_snk_out,
-    snk_in                   => dut_snk_in,
-    src_in                   => dut_src_in,
-    src_out                  => dut_src_out,
-    reg_dp_sync_checker_mosi => mm_mosi,
-    reg_dp_sync_checker_miso => mm_miso
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_nof_blk_per_sync   => g_nof_blk_per_sync
+    )
+    port map (
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      dp_rst                   => rst,
+      dp_clk                   => clk,
+      snk_out                  => dut_snk_out,
+      snk_in                   => dut_snk_in,
+      src_in                   => dut_src_in,
+      src_out                  => dut_src_out,
+      reg_dp_sync_checker_mosi => mm_mosi,
+      reg_dp_sync_checker_miso => mm_miso
+    );
 
 
 
diff --git a/libraries/base/dp/tb/vhdl/tb_mms_dp_xonoff.vhd b/libraries/base/dp/tb/vhdl/tb_mms_dp_xonoff.vhd
index 4121a346b6..8fa495c81c 100644
--- a/libraries/base/dp/tb/vhdl/tb_mms_dp_xonoff.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mms_dp_xonoff.vhd
@@ -35,15 +35,15 @@
 --   When g_combine_streams = TRUE: check that all streams start again atthe same time.
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_mms_dp_xonoff is
   generic (
@@ -162,64 +162,64 @@ begin
   stimuli_src_in <= c_dp_siso_rdy;
 
   u_dut : entity work.mms_dp_xonoff
-  generic map(
-    g_nof_streams     => c_nof_streams,
-    g_combine_streams => g_combine_streams,
-    g_bypass          => false
-  )
-  port map(
-    -- Memory-mapped clock domain
-    mm_rst       => rst,
-    mm_clk       => clk,
-
-    reg_mosi     => mm_mosi,
-    reg_miso     => mm_miso,
-
-    -- Streaming clock domain
-    dp_rst       => rst,
-    dp_clk       => clk,
-
-    -- ST sinks
-    snk_out_arr  => in_siso_arr,
-    snk_in_arr   => in_sosi_arr,
-    -- ST source
-    src_in_arr   => out_siso_arr,
-    src_out_arr  => out_sosi_arr
-  );
+    generic map(
+      g_nof_streams     => c_nof_streams,
+      g_combine_streams => g_combine_streams,
+      g_bypass          => false
+    )
+    port map(
+      -- Memory-mapped clock domain
+      mm_rst       => rst,
+      mm_clk       => clk,
+
+      reg_mosi     => mm_mosi,
+      reg_miso     => mm_miso,
+
+      -- Streaming clock domain
+      dp_rst       => rst,
+      dp_clk       => clk,
+
+      -- ST sinks
+      snk_out_arr  => in_siso_arr,
+      snk_in_arr   => in_sosi_arr,
+      -- ST source
+      src_in_arr   => out_siso_arr,
+      src_out_arr  => out_sosi_arr
+    );
 
   p_stim: process
   begin
-     wait until rst = '0';
-     proc_mem_mm_bus_wr(0, x"1", clk, mm_mosi);
-     proc_mem_mm_bus_wr(2, x"1", clk, mm_mosi);
-     proc_mem_mm_bus_wr(4, x"1", clk, mm_mosi);
-     proc_mem_mm_bus_wr(6, x"1", clk, mm_mosi);
+    wait until rst = '0';
+    proc_mem_mm_bus_wr(0, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(2, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(4, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(6, x"1", clk, mm_mosi);
 
-     wait for 500 ns;
+    wait for 500 ns;
 
-     proc_mem_mm_bus_wr(0, x"0", clk, mm_mosi);
-     proc_mem_mm_bus_wr(2, x"0", clk, mm_mosi);
-     proc_mem_mm_bus_wr(4, x"0", clk, mm_mosi);
-     proc_mem_mm_bus_wr(6, x"0", clk, mm_mosi);
+    proc_mem_mm_bus_wr(0, x"0", clk, mm_mosi);
+    proc_mem_mm_bus_wr(2, x"0", clk, mm_mosi);
+    proc_mem_mm_bus_wr(4, x"0", clk, mm_mosi);
+    proc_mem_mm_bus_wr(6, x"0", clk, mm_mosi);
 
-     wait for 1200 ns;
+    wait for 1200 ns;
 
-     proc_mem_mm_bus_wr(0, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(0, x"1", clk, mm_mosi);
 
-     wait for 1400 ns;
+    wait for 1400 ns;
 
-     proc_mem_mm_bus_wr(2, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(2, x"1", clk, mm_mosi);
 
-     wait for 2000 ns;
+    wait for 2000 ns;
 
-     proc_mem_mm_bus_wr(4, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(4, x"1", clk, mm_mosi);
 
-     wait for 1700 ns;
+    wait for 1700 ns;
 
-     proc_mem_mm_bus_wr(6, x"1", clk, mm_mosi);
+    proc_mem_mm_bus_wr(6, x"1", clk, mm_mosi);
 
-     wait;
-   end process;
+    wait;
+  end process;
 
 end tb;
 
diff --git a/libraries/base/dp/tb/vhdl/tb_tb2_dp_demux.vhd b/libraries/base/dp/tb/vhdl/tb_tb2_dp_demux.vhd
index 3214efff2c..a0567e0cbe 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb2_dp_demux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb2_dp_demux.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 2
diff --git a/libraries/base/dp/tb/vhdl/tb_tb2_dp_mux.vhd b/libraries/base/dp/tb/vhdl/tb_tb2_dp_mux.vhd
index fd91af5ef8..7d2a4525dd 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb2_dp_mux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb2_dp_mux.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 2
diff --git a/libraries/base/dp/tb/vhdl/tb_tb3_dp_demux.vhd b/libraries/base/dp/tb/vhdl/tb_tb3_dp_demux.vhd
index 1169f22669..69589d881e 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb3_dp_demux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb3_dp_demux.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 2
diff --git a/libraries/base/dp/tb/vhdl/tb_tb3_dp_mux.vhd b/libraries/base/dp/tb/vhdl/tb_tb3_dp_mux.vhd
index af85f4c9db..06f1591dc5 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb3_dp_mux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb3_dp_mux.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 2
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_from_mm.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_from_mm.vhd
index d471109778..b7b748261b 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_from_mm.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_from_mm.vhd
@@ -30,8 +30,8 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_block_from_mm is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen.vhd
index 598d37181b..1a56fcfc52 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_block_gen is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen_valid_arr.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen_valid_arr.vhd
index 3edfc19a45..dd26c8b6de 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen_valid_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_gen_valid_arr.vhd
@@ -25,8 +25,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_block_gen_valid_arr is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape.vhd
index 7c4b2ba3eb..7420c71b49 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape.vhd
@@ -23,8 +23,8 @@
 -- Purpose: Verify pipelining or no pipelining of dp_block_reshape
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_block_reshape is
@@ -38,7 +38,7 @@ begin
   -- > as 5
   -- > run -all                 --> OK
 
---    g_pipeline   : NATURAL := 1   -- use 0 or 1
+  --    g_pipeline   : NATURAL := 1   -- use 0 or 1
 
   u_comb   : entity work.tb_dp_block_reshape generic map (0);
   u_pipe   : entity work.tb_dp_block_reshape generic map (1);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape_sync.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape_sync.vhd
index 3d5c9a4b50..5ca616dc3d 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape_sync.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_reshape_sync.vhd
@@ -23,8 +23,8 @@
 -- Purpose: Verify pipelining or no pipelining of dp_block_reshape_sync
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_block_reshape_sync is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_select.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_select.vhd
index efb2e23d31..7e20c9f36a 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_select.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_select.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Author: Eric Kooistra, 14 Dec 2018
 -- Purpose: Verify multiple variations of tb_dp_block_select
@@ -43,10 +43,10 @@ architecture tb of tb_tb_dp_block_select is
 
 begin
 
--- g_dut_pipeline         : NATURAL := 1;
--- g_nof_blocks_per_sync  : NATURAL := c_length;
--- g_index_lo             : NATURAL := 0;
--- g_index_hi             : NATURAL := c_length-1 = c_end
+  -- g_dut_pipeline         : NATURAL := 1;
+  -- g_nof_blocks_per_sync  : NATURAL := c_length;
+  -- g_index_lo             : NATURAL := 0;
+  -- g_index_hi             : NATURAL := c_length-1 = c_end
 
   u_0_end_comb  : entity work.tb_dp_block_select generic map(0, c_length, 0, c_end);  -- pass on unchanged without pipeline so combinatorially
   u_0_end       : entity work.tb_dp_block_select generic map(1, c_length, 0, c_end);  -- pass on unchanged with pipeline
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_bsn_at_sync.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_bsn_at_sync.vhd
index d7b934cce4..83b7ed2aee 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_bsn_at_sync.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_bsn_at_sync.vhd
@@ -27,7 +27,7 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_block_validate_bsn_at_sync is
 end tb_tb_dp_block_validate_bsn_at_sync;
@@ -41,9 +41,9 @@ architecture tb of tb_tb_dp_block_validate_bsn_at_sync is
   constant c_data_per_blk   : natural := 9;
 
 begin
---    g_nof_blocks_per_sync  : NATURAL := 5;
---    g_nof_data_per_blk     : NATURAL := 6;
---    g_bsn_init             : NATURAL := 7 -- >= g_nof_blocks_per_sync for discarded sync, < g_nof_blocks_per_sync for no discarded sync.
+  --    g_nof_blocks_per_sync  : NATURAL := 5;
+  --    g_nof_data_per_blk     : NATURAL := 6;
+  --    g_bsn_init             : NATURAL := 7 -- >= g_nof_blocks_per_sync for discarded sync, < g_nof_blocks_per_sync for no discarded sync.
 
   u_smaller    : entity work.tb_dp_block_validate_bsn_at_sync generic map(c_blk_per_sync, c_data_per_blk, c_blk_per_sync - 4);  -- g_bsn_init < g_nof_blocks_per_sync
   u_equal      : entity work.tb_dp_block_validate_bsn_at_sync generic map(c_blk_per_sync, c_data_per_blk, c_blk_per_sync);  -- g_bsn_init = g_nof_blocks_per_sync
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_channel.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_channel.vhd
index 72b0bc3f90..51a87e8bb5 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_channel.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_channel.vhd
@@ -27,7 +27,7 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_block_validate_channel is
 end tb_tb_dp_block_validate_channel;
@@ -42,11 +42,11 @@ architecture tb of tb_tb_dp_block_validate_channel is
   constant c_gap_size       : natural := 5;
 
 begin
---    g_nof_blocks_per_sync : NATURAL := 8;
---    g_nof_data_per_blk    : NATURAL := 8;
---    g_gap_size            : NATURAL := 5;
---    g_remove_channel      : NATURAL := 0;
---    g_mode                : STRING  := "="
+  --    g_nof_blocks_per_sync : NATURAL := 8;
+  --    g_nof_data_per_blk    : NATURAL := 8;
+  --    g_gap_size            : NATURAL := 5;
+  --    g_remove_channel      : NATURAL := 0;
+  --    g_mode                : STRING  := "="
 
   u_equal   : entity work.tb_dp_block_validate_channel generic map(c_blk_per_sync, c_data_per_blk, c_gap_size, 7, "=");
   u_smaller : entity work.tb_dp_block_validate_channel generic map(c_blk_per_sync, c_data_per_blk, c_gap_size, 7, "<");
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_err.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_err.vhd
index 6ee7473a84..7a616405d7 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_err.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_err.vhd
@@ -27,7 +27,7 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_block_validate_err is
 end tb_tb_dp_block_validate_err;
@@ -43,12 +43,12 @@ architecture tb of tb_tb_dp_block_validate_err is
   constant c_nof_err_counts : natural := 5;
 
 begin
---    g_nof_blocks_per_sync  : NATURAL := 5;
---    g_nof_data_per_blk     : NATURAL := 9;
---    g_max_block_size       : NATURAL := 9;
---    g_nof_err_counts       : NATURAL := 8;
---    g_gap_size             : NATURAL := 4;
---    g_cnt_w                : NATURAL := 3
+  --    g_nof_blocks_per_sync  : NATURAL := 5;
+  --    g_nof_data_per_blk     : NATURAL := 9;
+  --    g_max_block_size       : NATURAL := 9;
+  --    g_nof_err_counts       : NATURAL := 8;
+  --    g_gap_size             : NATURAL := 4;
+  --    g_cnt_w                : NATURAL := 3
 
   u_normal      : entity work.tb_dp_block_validate_err generic map(c_blk_per_sync, c_data_per_blk, c_max_block_size, c_nof_err_counts, 4,   3);
   u_clip        : entity work.tb_dp_block_validate_err generic map(c_blk_per_sync, c_data_per_blk, c_max_block_size, c_nof_err_counts, 4,   3);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_length.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_length.vhd
index d18309018a..43162ac107 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_length.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_block_validate_length.vhd
@@ -27,7 +27,7 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_block_validate_length is
 end tb_tb_dp_block_validate_length;
@@ -44,10 +44,10 @@ architecture tb of tb_tb_dp_block_validate_length is
 
 begin
 
---    g_nof_blocks_per_sync  : NATURAL := 5;
---    g_nof_data_per_blk     : NATURAL := 9;
---    g_expected_length      : NATURAL := 3;
---    g_err_bi               : NATURAL := 3
+  --    g_nof_blocks_per_sync  : NATURAL := 5;
+  --    g_nof_data_per_blk     : NATURAL := 9;
+  --    g_expected_length      : NATURAL := 3;
+  --    g_err_bi               : NATURAL := 3
 
   u_equal   : entity work.tb_dp_block_validate_length generic map(c_blk_per_sync, c_data_per_blk, c_exp_length,     c_err_bi);  -- g_expected_length = g_nof_data_per_blk
   u_smaller : entity work.tb_dp_block_validate_length generic map(c_blk_per_sync, c_data_per_blk, c_exp_length - 3, c_err_bi);  -- g_expected_length < g_nof_data_per_blk
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align.vhd
index dbb098d933..e065be8538 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_bsn_align is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align_v2.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align_v2.vhd
index 395d190780..1de88b392b 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_align_v2.vhd
@@ -24,8 +24,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_bsn_align_v2 is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_source_v2.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_source_v2.vhd
index e53132411d..c68976df54 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_source_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_source_v2.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 4
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_sync_scheduler.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_sync_scheduler.vhd
index e1286df5cf..82f0119ce1 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_sync_scheduler.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_bsn_sync_scheduler.vhd
@@ -23,8 +23,8 @@
 -- Purpose: Multi test bench for tb_dp_bsn_sync_scheduler.vhd
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 4
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_calculate_crc.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_calculate_crc.vhd
index 2a92925a25..5164cca63f 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_calculate_crc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_calculate_crc.vhd
@@ -28,14 +28,14 @@
 -- . run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.dp_stream_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.dp_stream_pkg.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_dp_calculate_crc is
 end tb_tb_dp_calculate_crc;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_concat.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_concat.vhd
index bbd6541b66..2a14297d08 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_concat.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_concat.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_concat is
 end tb_tb_dp_concat;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_concat_field_blk.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_concat_field_blk.vhd
index 6a8a85c3de..b79ee63bfb 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_concat_field_blk.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_concat_field_blk.vhd
@@ -27,8 +27,8 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_concat_field_blk is
@@ -39,14 +39,14 @@ architecture tb of tb_tb_dp_concat_field_blk is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
--- -- general
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
--- -- specific
--- g_data_w                 : NATURAL := 64;
--- g_nof_repeat             : NATURAL := 13;
--- g_pkt_len                : NATURAL := 100;
--- g_pkt_gap                : NATURAL := 0
+  -- -- general
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
+  -- -- specific
+  -- g_data_w                 : NATURAL := 64;
+  -- g_nof_repeat             : NATURAL := 13;
+  -- g_pkt_len                : NATURAL := 100;
+  -- g_pkt_gap                : NATURAL := 0
 
   u_large_gap       : entity work.tb_dp_concat_field_blk generic map (e_active, e_active, 64, 13, 100, 10);  -- g_pkt_gap > header length
   u_zero_gap        : entity work.tb_dp_concat_field_blk generic map (e_active, e_active, 64, 13, 100,  0);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_counter.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_counter.vhd
index 219f007cb2..f27bdfad18 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_counter.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_counter.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_counter
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_interleave_to_one.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_interleave_to_one.vhd
index 997d70b2bd..4583973a65 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_interleave_to_one.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_interleave_to_one.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_dp_deinterleave_interleave_to_one is
 end tb_tb_dp_deinterleave_interleave_to_one;
@@ -44,19 +44,19 @@ architecture tb of tb_tb_dp_deinterleave_interleave_to_one is
 
 begin
 
--- -- general
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always e_active, e_random or e_pulse flow control
--- -- specific
--- g_use_complex            : BOOLEAN := FALSE;
--- g_nof_repeat             : NATURAL := 5;
--- g_nof_streams            : NATURAL := 4;
--- g_pkt_len                : NATURAL := 12;  -- typcially multiple of g_nof_streams
--- g_pkt_gap                : NATURAL := 10
+  -- -- general
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always e_active, e_random or e_pulse flow control
+  -- -- specific
+  -- g_use_complex            : BOOLEAN := FALSE;
+  -- g_nof_repeat             : NATURAL := 5;
+  -- g_nof_streams            : NATURAL := 4;
+  -- g_pkt_len                : NATURAL := 12;  -- typcially multiple of g_nof_streams
+  -- g_pkt_gap                : NATURAL := 10
 
---      g_nof_streams
---      |  g_pkt_len
---      |  | g_pkt_gap
---      |  | |
+  --      g_nof_streams
+  --      |  g_pkt_len
+  --      |  | g_pkt_gap
+  --      |  | |
   u_act_1_12_0         : entity work.tb_dp_deinterleave_interleave_to_one generic map(e_active, false, c_rep_act, 1, 12, 0);
   u_act_2_12_0         : entity work.tb_dp_deinterleave_interleave_to_one generic map(e_active, false, c_rep_act, 2, 12, 0);
   u_act_3_12_0         : entity work.tb_dp_deinterleave_interleave_to_one generic map(e_active, false, c_rep_act, 3, 12, 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_one_to_n_to_one.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_one_to_n_to_one.vhd
index 4920cb3708..8a0d94c7ea 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_one_to_n_to_one.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_deinterleave_one_to_n_to_one.vhd
@@ -28,8 +28,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_dp_deinterleave_one_to_n_to_one is
 end tb_tb_dp_deinterleave_one_to_n_to_one;
@@ -44,23 +44,23 @@ architecture tb of tb_tb_dp_deinterleave_one_to_n_to_one is
 
 begin
 
--- -- general
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always e_active, e_random or e_pulse flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
--- -- specific
--- g_use_fifo               : BOOLEAN := TRUE;  -- use TRUE to break flow control between 1 to N and N to 1
--- g_use_complex            : BOOLEAN := FALSE;  -- needed when g_use_fifo=TRUE
--- g_pipeline               : NATURAL := 1;  -- 0 for combinatorial, > 0 for registers
--- g_nof_repeat             : NATURAL := 5;
--- g_nof_streams            : NATURAL := 4;
--- g_pkt_len                : NATURAL := 11;  -- should be multiple of g_nof_streams
--- g_pkt_gap                : NATURAL := 0
+  -- -- general
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always e_active, e_random or e_pulse flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
+  -- -- specific
+  -- g_use_fifo               : BOOLEAN := TRUE;  -- use TRUE to break flow control between 1 to N and N to 1
+  -- g_use_complex            : BOOLEAN := FALSE;  -- needed when g_use_fifo=TRUE
+  -- g_pipeline               : NATURAL := 1;  -- 0 for combinatorial, > 0 for registers
+  -- g_nof_repeat             : NATURAL := 5;
+  -- g_nof_streams            : NATURAL := 4;
+  -- g_pkt_len                : NATURAL := 11;  -- should be multiple of g_nof_streams
+  -- g_pkt_gap                : NATURAL := 0
 
---            g_pipeline
---            |  g_nof_streams
---            |  |  g_pkt_len
---            |  |  | g_pkt_gap
---            |  |  | |
+  --            g_pipeline
+  --            |  g_nof_streams
+  --            |  |  g_pkt_len
+  --            |  |  | g_pkt_gap
+  --            |  |  | |
   u_act_act_pipe_1_12_0         : entity work.tb_dp_deinterleave_one_to_n_to_one generic map(e_active, e_active,  true, false, 1, c_rep_act, 1, 12, 0);
   u_act_act_pipe_2_12_0         : entity work.tb_dp_deinterleave_one_to_n_to_one generic map(e_active, e_active,  true, false, 1, c_rep_act, 2, 12, 0);
   u_act_act_pipe_3_12_0         : entity work.tb_dp_deinterleave_one_to_n_to_one generic map(e_active, e_active,  true, false, 1, c_rep_act, 3, 12, 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_demux.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_demux.vhd
index 5bd8e9f123..ab14a92088 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_demux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_demux.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_demux is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_distribute.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_distribute.vhd
index dbcb3fa429..b01ab60671 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_distribute.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_distribute.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_distribute is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_example_dut.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_example_dut.vhd
index 07fdc0a274..048e92283a 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_example_dut.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_example_dut.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_example_dut
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_example_no_dut.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_example_no_dut.vhd
index e15807ddd5..6fbb2ba080 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_example_no_dut.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_example_no_dut.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_example_no_dut
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc.vhd
index ed39753a36..1a979dc84c 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_dc is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_arr.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_arr.vhd
index 91a5b2a748..e7deda59ed 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_arr.vhd
@@ -24,7 +24,7 @@
 -- Purpose: Test multiple instances of tb_dp_fifo_dc_arr.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_dc_arr is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_mixed_widths.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_mixed_widths.vhd
index 61b8c7c696..0b8f510574 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_mixed_widths.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_dc_mixed_widths.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_dc_mixed_widths is
@@ -65,6 +65,6 @@ begin
 
   u_seq_nof_1_rl_1_rnd           : entity work.tb_dp_fifo_dc_mixed_widths generic map ( true, 10 ns, 10 ns, 16, 1, false, 1);
   u_seq_nof_2_rl_1_rnd           : entity work.tb_dp_fifo_dc_mixed_widths generic map ( true, 10 ns, 10 ns, 16, 2, false, 1);
-  --u_seq_nof_3_rl_1_rnd           : ENTITY work.tb_dp_fifo_dc_mixed_widths GENERIC MAP ( TRUE, 10 ns, 10 ns, 16, 3, FALSE, 1);
+--u_seq_nof_3_rl_1_rnd           : ENTITY work.tb_dp_fifo_dc_mixed_widths GENERIC MAP ( TRUE, 10 ns, 10 ns, 16, 3, FALSE, 1);
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill.vhd
index 44537192f1..9452569ed3 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_fill is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_eop.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_eop.vhd
index 60fe18d027..6149036a4f 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_eop.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_eop.vhd
@@ -35,7 +35,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_fill_eop is
@@ -73,6 +73,6 @@ begin
   u_dut_dc_0_rand_no_gap : entity work.tb_dp_fifo_fill_eop generic map (g_dut_use_dual_clock => true,  g_dut_fifo_rl => 0, g_dut_use_random_ctrl => true, g_dut_use_gap => false);
 
   u_dut_sc_1_blk_gt_fill : entity work.tb_dp_fifo_fill_eop generic map (g_dut_use_dual_clock => false, g_dut_fifo_rl => 1, g_dut_use_random_ctrl => false, g_dut_use_gap => false,
-                                                                        g_dut_fifo_fill => 10, g_block_size => 20);
+      g_dut_fifo_fill => 10, g_block_size => 20);
 
 end tb;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_sc.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_sc.vhd
index 6824407602..b493dc9e82 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_sc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_fill_sc.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_fill_sc is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_info.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_info.vhd
index 633ad861d6..577d2d12b8 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_info.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_info.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_fifo_info
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_sc.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_sc.vhd
index a4c840ed65..bc25cf99d6 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_sc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_fifo_sc.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_fifo_sc is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_flush.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_flush.vhd
index b8297054b8..e4e4107654 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_flush.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_flush.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_flush
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_frame_scheduler.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_frame_scheduler.vhd
index bc1000d192..54b9656c17 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_frame_scheduler.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_frame_scheduler.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_frame_scheduler is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_latency_fifo.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_latency_fifo.vhd
index f3509c059e..7837a26721 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_latency_fifo.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_latency_fifo.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_latency_fifo is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_mux.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_mux.vhd
index 9934decb32..62b03ff2ea 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_mux.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_mux.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_mux is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_offload_tx_v3.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_offload_tx_v3.vhd
index cdd77af84b..a11bfd5507 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_offload_tx_v3.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_offload_tx_v3.vhd
@@ -24,8 +24,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;  -- for t_dp_flow_control_enum
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;  -- for t_dp_flow_control_enum
 
 entity tb_tb_dp_offload_tx_v3 is
 end tb_tb_dp_offload_tx_v3;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_packet.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_packet.vhd
index e2a0bc2efd..48d257aaeb 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_packet.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_packet.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_packet
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_packet_merge.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_packet_merge.vhd
index e62fe8c16a..a3d18d8e3c 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_packet_merge.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_packet_merge.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_packet_merge
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_packetizing.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_packetizing.vhd
index 28d6e8d12a..1e8b827e35 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_packetizing.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_packetizing.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_dp_packetizing is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_pad_insert_remove.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_pad_insert_remove.vhd
index 2ed88677ca..cd6f2a3fbb 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_pad_insert_remove.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_pad_insert_remove.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_pad_insert_remove is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline.vhd
index 18b60bcde4..7f1c427c77 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- > as 3
 -- > run -all --> OK
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline_ready.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline_ready.vhd
index 2046b8fe06..9d1b80bd92 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline_ready.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_pipeline_ready.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 -- > as 2
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_repack_data.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_repack_data.vhd
index f92622f3db..6d84b5b4f0 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_repack_data.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_repack_data.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_repack_data
 -- Description:
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data.vhd
index f5840e0536..fb1685da3e 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data.vhd
@@ -26,8 +26,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_dp_reverse_n_data is
 end tb_tb_dp_reverse_n_data;
@@ -41,15 +41,15 @@ architecture tb of tb_tb_dp_reverse_n_data is
 
 begin
 
--- g_pipeline               : NATURAL := 1;  -- 0 for combinatorial, > 0 for registers
--- g_nof_repeat             : NATURAL := 5;
--- g_reverse_len            : NATURAL := 4;
--- g_pkt_gap                : NATURAL := 0
+  -- g_pipeline               : NATURAL := 1;  -- 0 for combinatorial, > 0 for registers
+  -- g_nof_repeat             : NATURAL := 5;
+  -- g_reverse_len            : NATURAL := 4;
+  -- g_pkt_gap                : NATURAL := 0
 
---    g_pipeline
---    |  g_reverse_len
---    |  | g_pkt_gap
---    |  | |
+  --    g_pipeline
+  --    |  g_reverse_len
+  --    |  | g_pkt_gap
+  --    |  | |
   u_pipe_1_0  : entity work.tb_dp_reverse_n_data generic map(1, c_rep_act, 1, 0);
   u_comb_2_0  : entity work.tb_dp_reverse_n_data generic map(0, c_rep_act, 2, 0);
   u_pipe_2_0  : entity work.tb_dp_reverse_n_data generic map(1, c_rep_act, 2, 0);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data_fc.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data_fc.vhd
index ebac7a7adb..d1837d73d8 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data_fc.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_reverse_n_data_fc.vhd
@@ -26,8 +26,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_dp_reverse_n_data_fc is
 end tb_tb_dp_reverse_n_data_fc;
@@ -42,19 +42,19 @@ architecture tb of tb_tb_dp_reverse_n_data_fc is
 
 begin
 
--- -- general
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always e_active, e_random or e_pulse flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
--- -- specific
--- g_pipeline               : NATURAL := 1;  -- 0 for combinatorial, > 0 for registers
--- g_nof_repeat             : NATURAL := 5;
--- g_reverse_len            : NATURAL := 4;
--- g_pkt_gap                : NATURAL := 0
+  -- -- general
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always e_active, e_random or e_pulse flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
+  -- -- specific
+  -- g_pipeline               : NATURAL := 1;  -- 0 for combinatorial, > 0 for registers
+  -- g_nof_repeat             : NATURAL := 5;
+  -- g_reverse_len            : NATURAL := 4;
+  -- g_pkt_gap                : NATURAL := 0
 
---            g_pipeline
---            |  g_reverse_len
---            |  | g_pkt_gap
---            |  | |
+  --            g_pipeline
+  --            |  g_reverse_len
+  --            |  | g_pkt_gap
+  --            |  | |
   u_act_act_pipe_1_0  : entity work.tb_dp_reverse_n_data_fc generic map(e_active, e_active, 1, c_rep_act, 1, 0);
   u_act_act_pipe_2_0  : entity work.tb_dp_reverse_n_data_fc generic map(e_active, e_active, 1, c_rep_act, 2, 0);
   u_act_act_pipe_4_7  : entity work.tb_dp_reverse_n_data_fc generic map(e_active, e_active, 1, c_rep_act, 4, 7);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_rsn_source.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_rsn_source.vhd
index 02c69fd10c..a8a4793a3d 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_rsn_source.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_rsn_source.vhd
@@ -28,8 +28,8 @@
 -- > run -all --> OK
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_rsn_source is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_split.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_split.vhd
index b4e4ded739..9dca204646 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_split.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_split.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_split is
 end tb_tb_dp_split;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_strobe_total_count.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_strobe_total_count.vhd
index ead7204e7b..7c047bb851 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_strobe_total_count.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_strobe_total_count.vhd
@@ -26,7 +26,7 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_strobe_total_count is
 end tb_tb_dp_strobe_total_count;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_checker.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_checker.vhd
index 23f051a75d..91ed6b750a 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_checker.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_checker.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- Purpose: Verify multiple variations of tb_dp_sync_checker
 -- Description:
@@ -41,18 +41,18 @@ architecture tb of tb_tb_dp_sync_checker is
 
 begin
 
---    -- general
---    g_flow_control_stimuli : t_dp_flow_control_enum := e_active;   -- always active, random or pulse flow control
---    g_flow_control_verify  : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
---    -- specific
---    g_in_dat_w             : NATURAL  := 32;
---    g_in_nof_words         : NATURAL  := 1;
---    g_nof_repeat           : NATURAL  := 100;
---    g_pkt_len              : NATURAL  := 16;  -- must be a multiple of g_in_nof_words
---    g_pkt_gap              : NATURAL  := 0;
---    g_sync_period          : NATURAL  := 16;  -- The sync period generated in the stimuli.
---    -- DUT
---    g_nof_blk_per_sync     : POSITIVE := 16  -- The sync period as expected by the sync_checker
+  --    -- general
+  --    g_flow_control_stimuli : t_dp_flow_control_enum := e_active;   -- always active, random or pulse flow control
+  --    g_flow_control_verify  : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
+  --    -- specific
+  --    g_in_dat_w             : NATURAL  := 32;
+  --    g_in_nof_words         : NATURAL  := 1;
+  --    g_nof_repeat           : NATURAL  := 100;
+  --    g_pkt_len              : NATURAL  := 16;  -- must be a multiple of g_in_nof_words
+  --    g_pkt_gap              : NATURAL  := 0;
+  --    g_sync_period          : NATURAL  := 16;  -- The sync period generated in the stimuli.
+  --    -- DUT
+  --    g_nof_blk_per_sync     : POSITIVE := 16  -- The sync period as expected by the sync_checker
 
   u_sync_ok    : entity work.tb_dp_sync_checker generic map(e_active, e_active, 16, 1, c_nof_repeat, 16, 0, 16, 16);
   u_sync_early : entity work.tb_dp_sync_checker generic map(e_active, e_active, 16, 1, c_nof_repeat, 16, 0, 14, 16);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert.vhd
index 30f74c4653..8e870bd2df 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 -- Purpose: Verify multiple variations of tb_dp_sync_insert
 -- Description:
@@ -40,13 +40,13 @@ architecture tb of tb_tb_dp_sync_insert is
 
 begin
 
---    g_block_size_input       : NATURAL := 16;
---    g_nof_blk_per_sync_input : NATURAL := 32;
---    g_gap_size_during_block  : NATURAL := 0;
---    g_gap_size_between_block : NATURAL := 0;
---    g_nof_data_per_block     : NATURAL := 8;
---    g_nof_blk_per_sync       : NATURAL := 4;
---    g_nof_repeat             : NATURAL := 14
+  --    g_block_size_input       : NATURAL := 16;
+  --    g_nof_blk_per_sync_input : NATURAL := 32;
+  --    g_gap_size_during_block  : NATURAL := 0;
+  --    g_gap_size_between_block : NATURAL := 0;
+  --    g_nof_data_per_block     : NATURAL := 8;
+  --    g_nof_blk_per_sync       : NATURAL := 4;
+  --    g_nof_repeat             : NATURAL := 14
 
   u_no_gaps    : entity work.tb_dp_sync_insert generic map(16, 32, 0, 0, 8, 4, 14);
   u_no_gap     : entity work.tb_dp_sync_insert generic map(16, 32, 1, 3, 8, 4, 14);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert_v2.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert_v2.vhd
index d7857dfc1f..12957e2a71 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_insert_v2.vhd
@@ -28,7 +28,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_sync_insert_v2 is
 end tb_tb_dp_sync_insert_v2;
@@ -37,14 +37,14 @@ architecture tb of tb_tb_dp_sync_insert_v2 is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
---    g_nof_streams            : NATURAL := 2;
---    g_block_size_input       : NATURAL := 16;
---    g_nof_blk_per_sync_input : NATURAL := 32;
---    g_gap_size_during_block  : NATURAL := 0;
---    g_gap_size_between_block : NATURAL := 0;
---    g_nof_blk_per_sync       : NATURAL := 8;
---    g_nof_blk_per_sync_min   : NATURAL := 2;
---    g_nof_repeat             : NATURAL := 14
+  --    g_nof_streams            : NATURAL := 2;
+  --    g_block_size_input       : NATURAL := 16;
+  --    g_nof_blk_per_sync_input : NATURAL := 32;
+  --    g_gap_size_during_block  : NATURAL := 0;
+  --    g_gap_size_between_block : NATURAL := 0;
+  --    g_nof_blk_per_sync       : NATURAL := 8;
+  --    g_nof_blk_per_sync_min   : NATURAL := 2;
+  --    g_nof_repeat             : NATURAL := 14
 
   u_no_gaps            : entity work.tb_dp_sync_insert_v2 generic map(2, 16, 32, 0, 0, 8, 2, 14);
   u_gap                : entity work.tb_dp_sync_insert_v2 generic map(2, 16, 32, 1, 3, 8, 2, 14);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_recover.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_recover.vhd
index a5047a87f8..f3e14a5979 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_recover.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_sync_recover.vhd
@@ -28,7 +28,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_dp_sync_recover is
 end tb_tb_dp_sync_recover;
@@ -37,14 +37,14 @@ architecture tb of tb_tb_dp_sync_recover is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
---    g_nof_data_per_block     : NATURAL := 16;
---    g_nof_blk_per_sync       : NATURAL := 8;
---    g_gap_size_during_block  : NATURAL := 0;
---    g_gap_size_between_block : NATURAL := 0;
---    g_init_bsn               : NATURAL := 23;
---    g_bsn_at_restart         : NATURAL := 40; -- the bsn index at which to restart the dut.
---    g_dut_latency            : NATURAL := 25;
---    g_nof_repeat             : NATURAL := 14
+  --    g_nof_data_per_block     : NATURAL := 16;
+  --    g_nof_blk_per_sync       : NATURAL := 8;
+  --    g_gap_size_during_block  : NATURAL := 0;
+  --    g_gap_size_between_block : NATURAL := 0;
+  --    g_init_bsn               : NATURAL := 23;
+  --    g_bsn_at_restart         : NATURAL := 40; -- the bsn index at which to restart the dut.
+  --    g_dut_latency            : NATURAL := 25;
+  --    g_nof_repeat             : NATURAL := 14
 
   u_no_gaps            : entity work.tb_dp_sync_recover generic map(16, 8, 0, 0, 3, 50,     10,  14);
   u_gap                : entity work.tb_dp_sync_recover generic map(16, 8, 1, 3, 3, 50,     10,  14);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_throttle_xon.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_throttle_xon.vhd
index 885b4484bc..318b667a19 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_throttle_xon.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_throttle_xon.vhd
@@ -29,8 +29,8 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_throttle_xon is
@@ -44,15 +44,15 @@ begin
   -- > as 3
   -- > run -all                 --> OK
 
--- -- general
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;  -- always active or random flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always active or random flow control
--- -- specific
--- g_restart_at_sync    : BOOLEAN := FALSE;  -- if true restart xon control at each snk_in_sync, else and start at first valid and never restart
--- g_block_size         : NATURAL := 10;    -- number of valid data per block marked by sop and eop
--- g_nof_block_on       : NATURAL := 13;     -- number of blocks that snk_out.xon is active
--- g_nof_block_per_sync : NATURAL := 10;
--- g_nof_clk_off        : NATURAL := 37     -- must be > g_block_size, number of clock cycles that snk_out.xon is kept inactive
+  -- -- general
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;  -- always active or random flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always active or random flow control
+  -- -- specific
+  -- g_restart_at_sync    : BOOLEAN := FALSE;  -- if true restart xon control at each snk_in_sync, else and start at first valid and never restart
+  -- g_block_size         : NATURAL := 10;    -- number of valid data per block marked by sop and eop
+  -- g_nof_block_on       : NATURAL := 13;     -- number of blocks that snk_out.xon is active
+  -- g_nof_block_per_sync : NATURAL := 10;
+  -- g_nof_clk_off        : NATURAL := 37     -- must be > g_block_size, number of clock cycles that snk_out.xon is kept inactive
 
   u_act_xon_shorter_than_sync_interval : entity work.tb_dp_throttle_xon generic map (e_active, e_active, false, 20,  7, 10, 37);
   u_act_xon_equal_to_sync_interval     : entity work.tb_dp_throttle_xon generic map (e_active, e_active, false, 20, 10, 10, 37);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_dp_xonoff.vhd b/libraries/base/dp/tb/vhdl/tb_tb_dp_xonoff.vhd
index aa6e4deefe..bf6a4cb084 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_dp_xonoff.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_dp_xonoff.vhd
@@ -29,8 +29,8 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_dp_xonoff is
@@ -46,16 +46,16 @@ begin
   -- > as 3
   -- > run -all                 --> OK
 
--- -- general
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_random;   -- always active, random or pulse flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_random;  -- always active, random or pulse flow control
--- -- specific
--- g_in_dat_w               : NATURAL := 32;
--- g_in_nof_words           : NATURAL := 1;
--- g_nof_repeat             : NATURAL := 1000;
--- g_nof_dut                : NATURAL := 10;
--- g_pkt_len                : NATURAL := 5;  -- must be a multiple of g_in_nof_words
--- g_pkt_gap                : NATURAL := 4
+  -- -- general
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_random;   -- always active, random or pulse flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_random;  -- always active, random or pulse flow control
+  -- -- specific
+  -- g_in_dat_w               : NATURAL := 32;
+  -- g_in_nof_words           : NATURAL := 1;
+  -- g_nof_repeat             : NATURAL := 1000;
+  -- g_nof_dut                : NATURAL := 10;
+  -- g_pkt_len                : NATURAL := 5;  -- must be a multiple of g_in_nof_words
+  -- g_pkt_gap                : NATURAL := 4
 
   u_act_act         : entity work.tb_dp_xonoff generic map (e_active, e_active, 32, 1, c_rep, 10, 5, 1);
   u_rnd_rnd_1       : entity work.tb_dp_xonoff generic map (e_random, e_random, 32, 1, c_rep,  1, 5, 3);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_mmp_dp_bsn_align_v2.vhd b/libraries/base/dp/tb/vhdl/tb_tb_mmp_dp_bsn_align_v2.vhd
index 8dc6420dde..b90780eb27 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_mmp_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_mmp_dp_bsn_align_v2.vhd
@@ -24,8 +24,8 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_mmp_dp_bsn_align_v2 is
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_parallel_arr.vhd b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_parallel_arr.vhd
index 0365151c13..22bd068f5d 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_parallel_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_parallel_arr.vhd
@@ -28,8 +28,8 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_mms_dp_force_data_parallel_arr is
 end tb_tb_mms_dp_force_data_parallel_arr;
@@ -40,20 +40,20 @@ architecture tb of tb_tb_mms_dp_force_data_parallel_arr is
 
 begin
 
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always active or random stimuli valid flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_active;   -- always active or random verify  ready flow control
--- g_nof_streams            : NATURAL := 1;     -- >= 1
--- g_dat_w                  : NATURAL := 32;    -- must be <= 32 to fit INTEGER range
--- g_force_stream           : INTEGER := 0;     -- must be < g_nof_streams, force data on this stream
--- g_force_data             : INTEGER := -1;
--- g_force_re               : INTEGER := 2;
--- g_force_im               : INTEGER := -3;
--- g_increment_data         : INTEGER := 0;
--- g_increment_re           : INTEGER := 0;
--- g_increment_im           : INTEGER := 0;
--- g_increment_on_sop       : BOOLEAN := FALSE;  -- in this tb use same generic for data, re, im
--- g_restart_on_sync        : BOOLEAN := FALSE;  -- in this tb use same generic for data, re, im
--- g_restart_on_sop         : BOOLEAN := FALSE   -- in this tb use same generic for data, re, im
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always active or random stimuli valid flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;   -- always active or random verify  ready flow control
+  -- g_nof_streams            : NATURAL := 1;     -- >= 1
+  -- g_dat_w                  : NATURAL := 32;    -- must be <= 32 to fit INTEGER range
+  -- g_force_stream           : INTEGER := 0;     -- must be < g_nof_streams, force data on this stream
+  -- g_force_data             : INTEGER := -1;
+  -- g_force_re               : INTEGER := 2;
+  -- g_force_im               : INTEGER := -3;
+  -- g_increment_data         : INTEGER := 0;
+  -- g_increment_re           : INTEGER := 0;
+  -- g_increment_im           : INTEGER := 0;
+  -- g_increment_on_sop       : BOOLEAN := FALSE;  -- in this tb use same generic for data, re, im
+  -- g_restart_on_sync        : BOOLEAN := FALSE;  -- in this tb use same generic for data, re, im
+  -- g_restart_on_sop         : BOOLEAN := FALSE   -- in this tb use same generic for data, re, im
 
   u_act_force_data_one_stream        : entity work.tb_mms_dp_force_data_parallel_arr generic map (e_active, e_active, 1, 16, 0,  -1, 2, -3,  0, 0, 0,  false, false, false);
   u_act_force_data_incr_stream       : entity work.tb_mms_dp_force_data_parallel_arr generic map (e_active, e_active, 1, 16, 0,  -1, 2, -3,  1, 1, 1,  false, false, false);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_serial_arr.vhd b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_serial_arr.vhd
index c3749bd26b..ac6d07b831 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_serial_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_force_data_serial_arr.vhd
@@ -28,8 +28,8 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
 
 entity tb_tb_mms_dp_force_data_serial_arr is
 end tb_tb_mms_dp_force_data_serial_arr;
@@ -40,18 +40,18 @@ architecture tb of tb_tb_mms_dp_force_data_serial_arr is
 
 begin
 
--- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always active or random stimuli valid flow control
--- g_flow_control_verify    : t_dp_flow_control_enum := e_active;   -- always active or random verify  ready flow control
--- g_nof_streams            : NATURAL := 1;      -- >= 1
--- g_dat_w                  : NATURAL := 5;      -- must be <= 32 to fit INTEGER range
--- g_force_stream           : INTEGER := 0;      -- must be < g_nof_streams, force data on this stream
--- g_force_value            : BOOLEAN := TRUE;   -- when TRUE force value at index, else pass on snk_in at index
--- g_force_index            : NATURAL := 3;      -- sample index or block index in time dependent on g_index_sample_block_n
--- g_force_data             : INTEGER := -1;
--- g_force_re               : INTEGER := 2;
--- g_force_im               : INTEGER := -3;
--- g_index_period           : NATURAL := 17;    -- number of indices in time, must be <= 2*31 to fit in NATURAL range
--- g_index_sample_block_n   : BOOLEAN := TRUE   -- when TRUE sample index in block, else block index in sync interval
+  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;   -- always active or random stimuli valid flow control
+  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;   -- always active or random verify  ready flow control
+  -- g_nof_streams            : NATURAL := 1;      -- >= 1
+  -- g_dat_w                  : NATURAL := 5;      -- must be <= 32 to fit INTEGER range
+  -- g_force_stream           : INTEGER := 0;      -- must be < g_nof_streams, force data on this stream
+  -- g_force_value            : BOOLEAN := TRUE;   -- when TRUE force value at index, else pass on snk_in at index
+  -- g_force_index            : NATURAL := 3;      -- sample index or block index in time dependent on g_index_sample_block_n
+  -- g_force_data             : INTEGER := -1;
+  -- g_force_re               : INTEGER := 2;
+  -- g_force_im               : INTEGER := -3;
+  -- g_index_period           : NATURAL := 17;    -- number of indices in time, must be <= 2*31 to fit in NATURAL range
+  -- g_index_sample_block_n   : BOOLEAN := TRUE   -- when TRUE sample index in block, else block index in sync interval
 
   u_act_force_data_value_sample_one_stream    : entity work.tb_mms_dp_force_data_serial_arr generic map (e_active, e_active, 1, 16, 0,  true, 7, -1, 2, -3,  17,  true);
   u_rnd_force_data_value_sample_stream0       : entity work.tb_mms_dp_force_data_serial_arr generic map (e_random, e_random, 3, 16, 0,  true, 7, -1, 2, -3,  17,  true);
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_arr.vhd b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_arr.vhd
index 3ce6852087..6243b02d4e 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_arr.vhd
@@ -28,9 +28,9 @@
 --   > run -all
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity tb_tb_mms_dp_gain_arr is
 end tb_tb_mms_dp_gain_arr;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_serial_arr.vhd b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_serial_arr.vhd
index 9d586aaadd..bf757e1753 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_serial_arr.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_mms_dp_gain_serial_arr.vhd
@@ -27,9 +27,9 @@
 --   > run -all
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use work.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity tb_tb_mms_dp_gain_serial_arr is
 end tb_tb_mms_dp_gain_serial_arr;
diff --git a/libraries/base/dp/tb/vhdl/tb_tb_tb_dp_backpressure.vhd b/libraries/base/dp/tb/vhdl/tb_tb_tb_dp_backpressure.vhd
index beab667b26..824133d3b8 100644
--- a/libraries/base/dp/tb/vhdl/tb_tb_tb_dp_backpressure.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_tb_tb_dp_backpressure.vhd
@@ -30,9 +30,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tb_dp_pkg.all;
 
 
 entity tb_tb_tb_dp_backpressure is
diff --git a/libraries/base/mm/src/vhdl/mm_arbiter.vhd b/libraries/base/mm/src/vhdl/mm_arbiter.vhd
index 3c5695f706..4f67190e1f 100644
--- a/libraries/base/mm/src/vhdl/mm_arbiter.vhd
+++ b/libraries/base/mm/src/vhdl/mm_arbiter.vhd
@@ -22,9 +22,9 @@
 -- Purpose: VHDL wrapper for wbs_arbiter.v
 
 library IEEE, technology_lib, tech_fifo_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_arbiter is
   generic (
@@ -54,56 +54,56 @@ architecture str of mm_arbiter is
   -- Wishbone Arbiter
   ----------------------------------------------------------------------------
   component wbs_arbiter
-  generic(
-    NUM_SLAVES : natural;
-    SLAVE_ADDR : std_logic_vector;  -- NUM_SLAVES concatenated 32b integers
-    SLAVE_HIGH : std_logic_vector;  -- NUM_SLAVES concatenated 32b integers
-    TIMEOUT    : natural
-  );
-  port (
-    wb_clk_i     : in  std_logic;
-    wb_rst_i     : in  std_logic;
+    generic(
+      NUM_SLAVES : natural;
+      SLAVE_ADDR : std_logic_vector;  -- NUM_SLAVES concatenated 32b integers
+      SLAVE_HIGH : std_logic_vector;  -- NUM_SLAVES concatenated 32b integers
+      TIMEOUT    : natural
+    );
+    port (
+      wb_clk_i     : in  std_logic;
+      wb_rst_i     : in  std_logic;
 
-    wbm_cyc_i     : in  std_logic;
-    wbm_stb_i     : in  std_logic;
-    wbm_we_i      : in  std_logic;
-    wbm_sel_i     : in  std_logic_vector(       4 - 1 downto 0);
-    wbm_adr_i     : in  std_logic_vector(c_word_w - 1 downto 0);
-    wbm_dat_i     : in  std_logic_vector(c_word_w - 1 downto 0);
-    wbm_dat_o     : out std_logic_vector(c_word_w - 1 downto 0);
-    wbm_ack_o     : out std_logic;
-    wbm_err_o     : out std_logic;
+      wbm_cyc_i     : in  std_logic;
+      wbm_stb_i     : in  std_logic;
+      wbm_we_i      : in  std_logic;
+      wbm_sel_i     : in  std_logic_vector(       4 - 1 downto 0);
+      wbm_adr_i     : in  std_logic_vector(c_word_w - 1 downto 0);
+      wbm_dat_i     : in  std_logic_vector(c_word_w - 1 downto 0);
+      wbm_dat_o     : out std_logic_vector(c_word_w - 1 downto 0);
+      wbm_ack_o     : out std_logic;
+      wbm_err_o     : out std_logic;
 
-    wbs_cyc_o     : out std_logic_vector(g_nof_slaves - 1 downto 0);
-    wbs_stb_o     : out std_logic_vector(g_nof_slaves - 1 downto 0);
-    wbs_we_o      : out std_logic;
-    wbs_sel_o     : out std_logic_vector(       4 - 1 downto 0);
-    wbs_adr_o     : out std_logic_vector(c_word_w - 1 downto 0);
-    wbs_dat_o     : out std_logic_vector(c_word_w - 1 downto 0);
-    wbs_dat_i     : in  std_logic_vector(g_nof_slaves * c_word_w - 1 downto 0);
-    wbs_ack_i     : in  std_logic_vector(g_nof_slaves - 1 downto 0)
-  );
+      wbs_cyc_o     : out std_logic_vector(g_nof_slaves - 1 downto 0);
+      wbs_stb_o     : out std_logic_vector(g_nof_slaves - 1 downto 0);
+      wbs_we_o      : out std_logic;
+      wbs_sel_o     : out std_logic_vector(       4 - 1 downto 0);
+      wbs_adr_o     : out std_logic_vector(c_word_w - 1 downto 0);
+      wbs_dat_o     : out std_logic_vector(c_word_w - 1 downto 0);
+      wbs_dat_i     : in  std_logic_vector(g_nof_slaves * c_word_w - 1 downto 0);
+      wbs_ack_i     : in  std_logic_vector(g_nof_slaves - 1 downto 0)
+    );
   end component;
---  input  wb_clk_i, wb_rst_i;
---
---  input  wbm_cyc_i;
---  input  wbm_stb_i;
---  input  wbm_we_i;
---  input   [3:0] wbm_sel_i;
---  input  [31:0] wbm_adr_i;
---  input  [31:0] wbm_dat_i;
---  output [31:0] wbm_dat_o;
---  output wbm_ack_o;
---  output wbm_err_o;
---
---  output [NUM_SLAVES - 1:0] wbs_cyc_o;
---  output [NUM_SLAVES - 1:0] wbs_stb_o;
---  output wbs_we_o;
---  output  [3:0] wbs_sel_o;
---  output [31:0] wbs_adr_o;
---  output [31:0] wbs_dat_o;
---  input  [NUM_SLAVES*32 - 1:0] wbs_dat_i;
---  input  [NUM_SLAVES - 1:0] wbs_ack_i;
+  --  input  wb_clk_i, wb_rst_i;
+  --
+  --  input  wbm_cyc_i;
+  --  input  wbm_stb_i;
+  --  input  wbm_we_i;
+  --  input   [3:0] wbm_sel_i;
+  --  input  [31:0] wbm_adr_i;
+  --  input  [31:0] wbm_dat_i;
+  --  output [31:0] wbm_dat_o;
+  --  output wbm_ack_o;
+  --  output wbm_err_o;
+  --
+  --  output [NUM_SLAVES - 1:0] wbs_cyc_o;
+  --  output [NUM_SLAVES - 1:0] wbs_stb_o;
+  --  output wbs_we_o;
+  --  output  [3:0] wbs_sel_o;
+  --  output [31:0] wbs_adr_o;
+  --  output [31:0] wbs_dat_o;
+  --  input  [NUM_SLAVES*32 - 1:0] wbs_dat_i;
+  --  input  [NUM_SLAVES - 1:0] wbs_ack_i;
 
   ----------------------------------------------------------------------------
   -- wbs_arbiter i/o signals
@@ -158,7 +158,7 @@ architecture str of mm_arbiter is
   type t_wb_miso is record  -- Master In Slave Out
     dat : std_logic_vector(c_word_w - 1 downto 0);
     ack : std_logic;
---    err : STD_LOGIC;
+  --    err : STD_LOGIC;
   end record;
 
   type t_wb_miso_arr is array (integer range <>) of t_wb_miso;
@@ -169,34 +169,34 @@ architecture str of mm_arbiter is
 
 begin
 
---  wbs_arbiter #(
---    .NUM_SLAVES (N_WB_SLAVES),
---    .SLAVE_ADDR (SLAVE_BASE),
---    .SLAVE_HIGH (SLAVE_HIGH),
---    .TIMEOUT    (1024)
---  ) wbs_arbiter_inst (
---    .wb_clk_i  (wb_clk_i), //mm_clk
---    .wb_rst_i  (wb_rst_i), //mm_rst
---
---    .wbm_cyc_i (wbm_cyc_o),//
---    .wbm_stb_i (wbm_stb_o),//
---    .wbm_we_i  (wbm_we_o), //master_mosi.wr
---    .wbm_sel_i (wbm_sel_o),//byte select, one hot.
---    .wbm_adr_i (wbm_adr_o),//master_mosi.address
---    .wbm_dat_i (wbm_dat_o),//master_miso.data
---    .wbm_dat_o (wbm_dat_i),//master_mosi.data
---    .wbm_ack_o (wbm_ack_i),//master_miso.rdval
---    .wbm_err_o (wbm_err_i),//
---
---    .wbs_cyc_o (wbs_cyc_o),//
---    .wbs_stb_o (wbs_stb_o),//
---    .wbs_we_o  (wbs_we_o), //Write not read.
---    .wbs_sel_o (wbs_sel_o),//Byte select, one hot.
---    .wbs_adr_o (wbs_adr_o),//slave_mosi.address
---    .wbs_dat_o (wbs_dat_o),//slave_mosi.data
---    .wbs_dat_i (wbs_dat_i),//slave_miso.data
---    .wbs_ack_i (wbs_ack_i) //slave_miso.rdval
---  );
+  --  wbs_arbiter #(
+  --    .NUM_SLAVES (N_WB_SLAVES),
+  --    .SLAVE_ADDR (SLAVE_BASE),
+  --    .SLAVE_HIGH (SLAVE_HIGH),
+  --    .TIMEOUT    (1024)
+  --  ) wbs_arbiter_inst (
+  --    .wb_clk_i  (wb_clk_i), //mm_clk
+  --    .wb_rst_i  (wb_rst_i), //mm_rst
+  --
+  --    .wbm_cyc_i (wbm_cyc_o),//
+  --    .wbm_stb_i (wbm_stb_o),//
+  --    .wbm_we_i  (wbm_we_o), //master_mosi.wr
+  --    .wbm_sel_i (wbm_sel_o),//byte select, one hot.
+  --    .wbm_adr_i (wbm_adr_o),//master_mosi.address
+  --    .wbm_dat_i (wbm_dat_o),//master_miso.data
+  --    .wbm_dat_o (wbm_dat_i),//master_mosi.data
+  --    .wbm_ack_o (wbm_ack_i),//master_miso.rdval
+  --    .wbm_err_o (wbm_err_i),//
+  --
+  --    .wbs_cyc_o (wbs_cyc_o),//
+  --    .wbs_stb_o (wbs_stb_o),//
+  --    .wbs_we_o  (wbs_we_o), //Write not read.
+  --    .wbs_sel_o (wbs_sel_o),//Byte select, one hot.
+  --    .wbs_adr_o (wbs_adr_o),//slave_mosi.address
+  --    .wbs_dat_o (wbs_dat_o),//slave_mosi.data
+  --    .wbs_dat_i (wbs_dat_i),//slave_miso.data
+  --    .wbs_ack_i (wbs_ack_i) //slave_miso.rdval
+  --  );
 
   ----------------------------------------------------------------------------
   -- Wire wbs_arbiter master side i/o to WB SLV array types
@@ -264,34 +264,34 @@ begin
   --
   ----------------------------------------------------------------------------
   u_wbs_arbiter :  wbs_arbiter
-  generic map (
-    NUM_SLAVES => g_nof_slaves,
-    SLAVE_ADDR => c_slave_base,
-    SLAVE_HIGH => c_slave_high,
-    TIMEOUT    => 1024
-  )
-  port map (
-    wb_clk_i  => mm_clk,
-    wb_rst_i  => mm_rst,
+    generic map (
+      NUM_SLAVES => g_nof_slaves,
+      SLAVE_ADDR => c_slave_base,
+      SLAVE_HIGH => c_slave_high,
+      TIMEOUT    => 1024
+    )
+    port map (
+      wb_clk_i  => mm_clk,
+      wb_rst_i  => mm_rst,
 
-    wbm_cyc_i => wbm_cyc_i,
-    wbm_stb_i => wbm_stb_i,
-    wbm_we_i  => wbm_we_i,
-    wbm_sel_i => wbm_sel_i,
-    wbm_adr_i => wbm_adr_i,
-    wbm_dat_i => wbm_dat_i,
-    wbm_dat_o => wbm_dat_o,
-    wbm_ack_o => wbm_ack_o,
-    wbm_err_o => wbm_err_o,
+      wbm_cyc_i => wbm_cyc_i,
+      wbm_stb_i => wbm_stb_i,
+      wbm_we_i  => wbm_we_i,
+      wbm_sel_i => wbm_sel_i,
+      wbm_adr_i => wbm_adr_i,
+      wbm_dat_i => wbm_dat_i,
+      wbm_dat_o => wbm_dat_o,
+      wbm_ack_o => wbm_ack_o,
+      wbm_err_o => wbm_err_o,
 
-    wbs_cyc_o => wbs_cyc_o,
-    wbs_stb_o => wbs_stb_o,
-    wbs_we_o  => wbs_we_o,
-    wbs_sel_o => wbs_sel_o,
-    wbs_adr_o => wbs_adr_o,
-    wbs_dat_o => wbs_dat_o,
-    wbs_dat_i => wbs_dat_i,
-    wbs_ack_i => wbs_ack_i
-  );
+      wbs_cyc_o => wbs_cyc_o,
+      wbs_stb_o => wbs_stb_o,
+      wbs_we_o  => wbs_we_o,
+      wbs_sel_o => wbs_sel_o,
+      wbs_adr_o => wbs_adr_o,
+      wbs_dat_o => wbs_dat_o,
+      wbs_dat_i => wbs_dat_i,
+      wbs_ack_i => wbs_ack_i
+    );
 
 end str;
diff --git a/libraries/base/mm/src/vhdl/mm_bus.vhd b/libraries/base/mm/src/vhdl/mm_bus.vhd
index d7be2cd14f..28b940f663 100644
--- a/libraries/base/mm/src/vhdl/mm_bus.vhd
+++ b/libraries/base/mm/src/vhdl/mm_bus.vhd
@@ -105,9 +105,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_bus is
   generic (
@@ -140,44 +140,44 @@ begin
 
   -- MM bus
   u_mm_bus_pipe : entity work.mm_bus_pipe
-  generic map (
-    g_nof_slaves          => g_nof_slaves,
-    g_base_arr            => g_base_arr,
-    g_width_arr           => g_width_arr,
-    g_rd_latency_arr      => g_rd_latency_arr,
-    g_waitrequest_arr     => g_waitrequest_arr,
-    g_pipeline_mosi       => g_pipeline_mosi,
-    g_pipeline_miso_rdval => g_pipeline_miso_rdval,
-    g_pipeline_miso_wait  => g_pipeline_miso_wait
-  )
-  port map (
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    master_mosi    => master_mosi,
-    master_miso    => master_miso,
-    slave_mosi_arr => bus_mosi_arr,
-    slave_miso_arr => bus_miso_arr
-  );
+    generic map (
+      g_nof_slaves          => g_nof_slaves,
+      g_base_arr            => g_base_arr,
+      g_width_arr           => g_width_arr,
+      g_rd_latency_arr      => g_rd_latency_arr,
+      g_waitrequest_arr     => g_waitrequest_arr,
+      g_pipeline_mosi       => g_pipeline_mosi,
+      g_pipeline_miso_rdval => g_pipeline_miso_rdval,
+      g_pipeline_miso_wait  => g_pipeline_miso_wait
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      master_mosi    => master_mosi,
+      master_miso    => master_miso,
+      slave_mosi_arr => bus_mosi_arr,
+      slave_miso_arr => bus_miso_arr
+    );
 
   -- The MM bus interface with the MM slaves
   gen_slave_ports : for I in 0 to g_nof_slaves - 1 generate
     -- Rewire not connected slaves and slave that do not need mosi flow control via miso.waitrequest
     u_slave_enable : entity work.mm_slave_enable
-    generic map (
-      g_enable       => g_slave_enable_arr(I),
-      g_waitrequest  => g_waitrequest_arr(I),
-      g_rd_latency   => g_rd_latency_arr(I)
-    )
-    port map (
-      mm_rst        => mm_rst,
-      mm_clk        => mm_clk,
-      -- MM input RL = 1
-      in_mosi       => bus_mosi_arr(I),
-      in_miso       => bus_miso_arr(I),
-      -- MM output RL = 0
-      out_mosi      => slave_mosi_arr(I),
-      out_miso      => slave_miso_arr(I)
-    );
+      generic map (
+        g_enable       => g_slave_enable_arr(I),
+        g_waitrequest  => g_waitrequest_arr(I),
+        g_rd_latency   => g_rd_latency_arr(I)
+      )
+      port map (
+        mm_rst        => mm_rst,
+        mm_clk        => mm_clk,
+        -- MM input RL = 1
+        in_mosi       => bus_mosi_arr(I),
+        in_miso       => bus_miso_arr(I),
+        -- MM output RL = 0
+        out_mosi      => slave_mosi_arr(I),
+        out_miso      => slave_miso_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/mm/src/vhdl/mm_bus_comb.vhd b/libraries/base/mm/src/vhdl/mm_bus_comb.vhd
index ae5db39b10..4562144c50 100644
--- a/libraries/base/mm/src/vhdl/mm_bus_comb.vhd
+++ b/libraries/base/mm/src/vhdl/mm_bus_comb.vhd
@@ -104,9 +104,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_bus_comb is
   generic (
@@ -127,7 +127,7 @@ end mm_bus_comb;
 architecture rtl of mm_bus_comb is
 
   -- Determine the address range of all slaves on the MM bus.
-  function func_derive_mm_bus_addr_w(g_base_arr, g_width_arr : t_nat_natural_arr) return natural is
+  function func_derive_mm_bus_addr_w (g_base_arr, g_width_arr : t_nat_natural_arr) return natural is
     variable v_base            : natural := 0;
     variable v_width           : natural;
     variable v_mm_bus_addr_max : natural;
@@ -170,8 +170,8 @@ begin
         if v_base = g_base_arr(I) / 2**g_width_arr(I) then
           slave_index_arr(0) <= I;  -- return index of addressed slave
           exit;  -- Found addressed slave, no need to loop further. EXIT is
-                 -- not realy needed, because there can only be one
-                 -- addressed slave so loop further will not change the index.
+        -- not realy needed, because there can only be one
+        -- addressed slave so loop further will not change the index.
         end if;
       end loop;
     end process;
diff --git a/libraries/base/mm/src/vhdl/mm_bus_pipe.vhd b/libraries/base/mm/src/vhdl/mm_bus_pipe.vhd
index 178c1543db..3acc30dcf6 100644
--- a/libraries/base/mm/src/vhdl/mm_bus_pipe.vhd
+++ b/libraries/base/mm/src/vhdl/mm_bus_pipe.vhd
@@ -100,9 +100,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_bus_pipe is
   generic (
@@ -141,8 +141,8 @@ architecture str of mm_bus_pipe is
 begin
 
   assert not(g_pipeline_miso_wait = true and g_pipeline_mosi = true)
-  report "Do not use g_pipeline_mosi = true if g_pipeline_miso_wait = TRUE"
-  severity FAILURE;
+    report "Do not use g_pipeline_mosi = true if g_pipeline_miso_wait = TRUE"
+    severity FAILURE;
 
   -- Master side
   m_mosi <= master_mosi;
@@ -165,35 +165,35 @@ begin
 
   -- MM bus
   u_mm_bus_comb : entity work.mm_bus_comb
-  generic map (
-    g_nof_slaves     => g_nof_slaves,
-    g_base_arr       => g_base_arr,
-    g_width_arr      => g_width_arr,
-    g_rd_latency_arr => g_rd_latency_arr
-  )
-  port map (
-    mm_clk         => mm_clk,
-    master_mosi    => m_mosi,
-    master_miso    => m_miso,
-    slave_mosi_arr => bus_mosi_arr,
-    slave_miso_arr => bus_miso_arr
-  );
-
-  -- Slaves side
-  gen_slave_pipes : for I in 0 to g_nof_slaves - 1 generate
-    u_slave_pipe_mosi : entity work.mm_pipeline
     generic map (
-      g_pipeline => g_pipeline_mosi
+      g_nof_slaves     => g_nof_slaves,
+      g_base_arr       => g_base_arr,
+      g_width_arr      => g_width_arr,
+      g_rd_latency_arr => g_rd_latency_arr
     )
     port map (
-      mm_rst        => mm_rst,
-      mm_clk        => mm_clk,
-      in_mosi       => bus_mosi_arr(I),
-      in_miso       => bus_miso_arr(I),
-      out_mosi      => pipe_mosi_arr(I),
-      out_miso      => pipe_miso_arr(I)
+      mm_clk         => mm_clk,
+      master_mosi    => m_mosi,
+      master_miso    => m_miso,
+      slave_mosi_arr => bus_mosi_arr,
+      slave_miso_arr => bus_miso_arr
     );
 
+  -- Slaves side
+  gen_slave_pipes : for I in 0 to g_nof_slaves - 1 generate
+    u_slave_pipe_mosi : entity work.mm_pipeline
+      generic map (
+        g_pipeline => g_pipeline_mosi
+      )
+      port map (
+        mm_rst        => mm_rst,
+        mm_clk        => mm_clk,
+        in_mosi       => bus_mosi_arr(I),
+        in_miso       => bus_miso_arr(I),
+        out_mosi      => pipe_mosi_arr(I),
+        out_miso      => pipe_miso_arr(I)
+      );
+
     gen_wires : if g_waitrequest_arr(I) = false generate
       adapt_mosi_arr(I) <= pipe_mosi_arr(I);
       pipe_miso_arr(I)  <= adapt_miso_arr(I);
@@ -201,19 +201,19 @@ begin
 
     gen_slave_latency_adapter : if g_waitrequest_arr(I) = true generate
       u_slave_latency_adapter : entity work.mm_latency_adapter
-      generic map (
-        g_adapt => g_pipeline_miso_wait
-      )
-      port map (
-        mm_rst        => mm_rst,
-        mm_clk        => mm_clk,
-        -- MM input RL = 1
-        in_mosi       => pipe_mosi_arr(I),
-        in_miso       => pipe_miso_arr(I),
-        -- MM output RL = 0
-        out_mosi      => adapt_mosi_arr(I),
-        out_miso      => adapt_miso_arr(I)
-      );
+        generic map (
+          g_adapt => g_pipeline_miso_wait
+        )
+        port map (
+          mm_rst        => mm_rst,
+          mm_clk        => mm_clk,
+          -- MM input RL = 1
+          in_mosi       => pipe_mosi_arr(I),
+          in_miso       => pipe_miso_arr(I),
+          -- MM output RL = 0
+          out_mosi      => adapt_mosi_arr(I),
+          out_miso      => adapt_miso_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/mm/src/vhdl/mm_fields.vhd b/libraries/base/mm/src/vhdl/mm_fields.vhd
index 5d244b8f12..9ed612664a 100644
--- a/libraries/base/mm/src/vhdl/mm_fields.vhd
+++ b/libraries/base/mm/src/vhdl/mm_fields.vhd
@@ -65,11 +65,11 @@
 -- Remarks:
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity mm_fields is
   generic (
@@ -100,11 +100,13 @@ architecture str of mm_fields is
 
   constant c_reg_nof_words : natural := field_nof_words(g_field_arr, c_word_w);
 
-  constant c_reg           : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(c_reg_nof_words),
-                                         dat_w    => c_word_w,
-                                         nof_dat  => c_reg_nof_words,
-                                         init_sl  => '0');
+  constant c_reg           : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_reg_nof_words),
+    dat_w    => c_word_w,
+    nof_dat  => c_reg_nof_words,
+    init_sl  => '0'
+  );
 
   constant c_slv_out_defaults : std_logic_vector(field_slv_out_len(g_field_arr) - 1 downto 0) := field_map_defaults(g_field_arr);
   -- Map the default values onto c_init_reg
@@ -156,23 +158,23 @@ begin
   -- Actual MM <-> SLV R/W functionality is provided by common_reg_r_w_dc
   -----------------------------------------------------------------------------
   u_common_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_readback           => false,
-    g_reg                => c_reg,
-    g_init_reg           => c_init_reg
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => slv_rst,
-    st_clk      => slv_clk,
-
-    sla_in      => mm_mosi,
-    sla_out     => mm_miso,
-
-    in_reg      => reg_slv_in,
-    out_reg     => reg_slv_out
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_readback           => false,
+      g_reg                => c_reg,
+      g_init_reg           => c_init_reg
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => slv_rst,
+      st_clk      => slv_clk,
+
+      sla_in      => mm_mosi,
+      sla_out     => mm_miso,
+
+      in_reg      => reg_slv_in,
+      out_reg     => reg_slv_out
+    );
 
 end str;
diff --git a/libraries/base/mm/src/vhdl/mm_latency_adapter.vhd b/libraries/base/mm/src/vhdl/mm_latency_adapter.vhd
index 17075eeafb..1f572f6624 100644
--- a/libraries/base/mm/src/vhdl/mm_latency_adapter.vhd
+++ b/libraries/base/mm/src/vhdl/mm_latency_adapter.vhd
@@ -33,9 +33,9 @@
 --   cycle later due to the pipelining.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_latency_adapter is
   generic (
@@ -84,22 +84,22 @@ begin
   out_ready      <= not out_miso.waitrequest;
 
   u_rl : entity common_lib.common_rl_decrease
-  generic map (
-    g_adapt   => g_adapt,
-    g_dat_w   => c_data_w
-  )
-  port map (
-    rst           => mm_rst,
-    clk           => mm_clk,
-    -- ST sink: RL = 1
-    snk_out_ready => in_ready,
-    snk_in_dat    => in_data,
-    snk_in_val    => in_val,
-    -- ST source: RL = 0
-    src_in_ready  => out_ready,
-    src_out_dat   => out_data,
-    src_out_val   => out_val
-  );
+    generic map (
+      g_adapt   => g_adapt,
+      g_dat_w   => c_data_w
+    )
+    port map (
+      rst           => mm_rst,
+      clk           => mm_clk,
+      -- ST sink: RL = 1
+      snk_out_ready => in_ready,
+      snk_in_dat    => in_data,
+      snk_in_val    => in_val,
+      -- ST source: RL = 0
+      src_in_ready  => out_ready,
+      src_out_dat   => out_data,
+      src_out_val   => out_val
+    );
 
   out_mosi.address <=    func_slv_extract(c_mem_address_w, c_mem_data_w, 1, 1, out_data, 0);
   out_mosi.wrdata  <=    func_slv_extract(c_mem_address_w, c_mem_data_w, 1, 1, out_data, 1);
diff --git a/libraries/base/mm/src/vhdl/mm_master_mux.vhd b/libraries/base/mm/src/vhdl/mm_master_mux.vhd
index 01f5fdc67e..ef0cf82a61 100644
--- a/libraries/base/mm/src/vhdl/mm_master_mux.vhd
+++ b/libraries/base/mm/src/vhdl/mm_master_mux.vhd
@@ -58,9 +58,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_master_mux is
   generic (
@@ -108,9 +108,9 @@ begin
         if master_mosi_arr(I).wr = '1' or master_mosi_arr(I).rd = '1' then
           index <= I;  -- index of active master
           exit;  -- Found active master, no need to loop further. EXIT is not
-                 -- realy needed, because there should be only one active
-                 -- master, and if there are more active masters, then it
-                 -- does not matter whether the first or the last is selected.
+        -- realy needed, because there should be only one active
+        -- master, and if there are more active masters, then it
+        -- does not matter whether the first or the last is selected.
         end if;
       end loop;
     end process;
diff --git a/libraries/base/mm/src/vhdl/mm_pipeline.vhd b/libraries/base/mm/src/vhdl/mm_pipeline.vhd
index fb7bcc1ec2..cdb3e1f372 100644
--- a/libraries/base/mm/src/vhdl/mm_pipeline.vhd
+++ b/libraries/base/mm/src/vhdl/mm_pipeline.vhd
@@ -91,9 +91,9 @@
 --   percent of throughput.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_pipeline is
   generic (
diff --git a/libraries/base/mm/src/vhdl/mm_slave_enable.vhd b/libraries/base/mm/src/vhdl/mm_slave_enable.vhd
index 9f0afdd1fa..3ab44dddb7 100644
--- a/libraries/base/mm/src/vhdl/mm_slave_enable.vhd
+++ b/libraries/base/mm/src/vhdl/mm_slave_enable.vhd
@@ -47,9 +47,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_slave_enable is
   generic (
@@ -80,15 +80,15 @@ begin
 
   -- Use mosi.rd to create miso.rdval for unconnected slave or for slaves that do not support rdval
   u_rdval : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_rd_latency
-  )
-  port map (
-    rst     => mm_rst,
-    clk     => mm_clk,
-    in_dat  => rd,
-    out_dat => rdval
-  );
+    generic map (
+      g_pipeline => g_rd_latency
+    )
+    port map (
+      rst     => mm_rst,
+      clk     => mm_clk,
+      in_dat  => rd,
+      out_dat => rdval
+    );
 
 
   no_slave : if g_enable = false generate
diff --git a/libraries/base/mm/src/vhdl/mm_slave_mux.vhd b/libraries/base/mm/src/vhdl/mm_slave_mux.vhd
index 93d9e66f5a..4a89f9387f 100644
--- a/libraries/base/mm/src/vhdl/mm_slave_mux.vhd
+++ b/libraries/base/mm/src/vhdl/mm_slave_mux.vhd
@@ -31,9 +31,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity mm_slave_mux is
   generic (
@@ -53,18 +53,18 @@ architecture str of mm_slave_mux is
 begin
 
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_broadcast   => g_broadcast,
-    g_nof_mosi    => g_nof_mosi,
-    g_mult_addr_w => g_mosi_addr_w,
-    g_rd_latency  => 0
-  )
-  port map (
-    clk      => '0',  -- only used when g_rd_latency > 0
-    mosi     => mosi,
-    miso     => miso,
-    mosi_arr => mosi_arr,
-    miso_arr => miso_arr
-  );
+    generic map (
+      g_broadcast   => g_broadcast,
+      g_nof_mosi    => g_nof_mosi,
+      g_mult_addr_w => g_mosi_addr_w,
+      g_rd_latency  => 0
+    )
+    port map (
+      clk      => '0',  -- only used when g_rd_latency > 0
+      mosi     => mosi,
+      miso     => miso,
+      mosi_arr => mosi_arr,
+      miso_arr => miso_arr
+    );
 
 end str;
diff --git a/libraries/base/mm/tb/vhdl/mm_file.vhd b/libraries/base/mm/tb/vhdl/mm_file.vhd
index d2ca971388..c1aca9c394 100644
--- a/libraries/base/mm/tb/vhdl/mm_file.vhd
+++ b/libraries/base/mm/tb/vhdl/mm_file.vhd
@@ -77,16 +77,16 @@
 --   speed (and stability).
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use work.mm_file_pkg.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use work.mm_file_pkg.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
 
 entity mm_file is
   generic (
diff --git a/libraries/base/mm/tb/vhdl/mm_file_pkg.vhd b/libraries/base/mm/tb/vhdl/mm_file_pkg.vhd
index 73e2d5a0cb..7e40234d7b 100644
--- a/libraries/base/mm/tb/vhdl/mm_file_pkg.vhd
+++ b/libraries/base/mm/tb/vhdl/mm_file_pkg.vhd
@@ -113,101 +113,113 @@
 --   all subsequent accesses will start at falling_edge(mm_clk)
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use std.textio.all;
-use IEEE.std_logic_textio.all;
-use common_lib.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use std.textio.all;
+  use IEEE.std_logic_textio.all;
+  use common_lib.common_str_pkg.all;
 
 package mm_file_pkg is
 
   -- Constants used by mm_file.vhd
   constant c_mmf_mm_clk_period : time :=  100 ps;  -- Default mm_clk period in simulation. Set much faster than DP clock to speed up
-                                                   -- simulation of MM access. Without file IO throttling 100 ps is a good balance
-                                                   -- between simulation speed and file IO rate.
+  -- simulation of MM access. Without file IO throttling 100 ps is a good balance
+  -- between simulation speed and file IO rate.
   constant c_mmf_mm_timeout    : time := 1000 ns;  -- Default MM file IO timeout period. Set large enough to account for MM-DP clock
-                                                   -- domain crossing delays. Use 0 ns to disable file IO throttling, to have file IO
-                                                   -- at the mm_clk rate.
+  -- domain crossing delays. Use 0 ns to disable file IO throttling, to have file IO
+  -- at the mm_clk rate.
   constant c_mmf_mm_pause      : time :=  100 ns;  -- Default MM file IO pause period after timeout. Balance between file IO rate
-                                                   -- reduction and responsiveness to new MM access.
+  -- reduction and responsiveness to new MM access.
 
   -- Procedure to (re)create empty file
-  procedure mmf_file_create(filename: in string);
+  procedure mmf_file_create (filename: in string);
 
   -- Procedure to perform an MM access from file
-  procedure mmf_mm_from_file(signal mm_clk  : in std_logic;
-                             signal mm_rst  : in std_logic;
-                             signal mm_mosi : out t_mem_mosi;
-                             signal mm_miso : in  t_mem_miso;
-                             rd_filename: in string;
-                             wr_filename: in string;
-                             rd_latency: in natural);
+  procedure mmf_mm_from_file (
+    signal mm_clk  : in std_logic;
+    signal mm_rst  : in std_logic;
+    signal mm_mosi : out t_mem_mosi;
+    signal mm_miso : in  t_mem_miso;
+    rd_filename: in string;
+    wr_filename: in string;
+    rd_latency: in natural);
 
   -- Procedure to process a simulation status request from the .ctrl file and provide response via the .stat file
-  procedure mmf_sim_ctrl_from_file(rd_filename: in string;
-                                   wr_filename: in string);
+  procedure mmf_sim_ctrl_from_file (
+    rd_filename: in string;
+    wr_filename: in string);
 
   -- Procedure to poll the simulation status
-  procedure mmf_poll_sim_ctrl_file(rd_file_name: in string;
-                                   wr_file_name: in string);
+  procedure mmf_poll_sim_ctrl_file (
+    rd_file_name: in string;
+    wr_file_name: in string);
 
   -- Procedure to poll the simulation status
-  procedure mmf_poll_sim_ctrl_file(signal mm_clk  : in std_logic;
-                                   rd_file_name: in string;
-                                   wr_file_name: in string);
+  procedure mmf_poll_sim_ctrl_file (
+    signal mm_clk  : in std_logic;
+    rd_file_name: in string;
+    wr_file_name: in string);
 
   -- Procedures that keep reading the file until it has been made empty or not empty by some other program,
   -- to ensure the file is ready for a new write access
-  procedure mmf_wait_for_file_status(rd_filename   : in string;  -- file name with extension
-                                     exit_on_empty : in boolean;
-                                     signal mm_clk : in std_logic);
-
-  procedure mmf_wait_for_file_empty(rd_filename   : in string;  -- file name with extension
-                                    signal mm_clk : in std_logic);
-  procedure mmf_wait_for_file_not_empty(rd_filename   : in string;  -- file name with extension
-                                        signal mm_clk : in std_logic);
+  procedure mmf_wait_for_file_status (
+    rd_filename   : in string;  -- file name with extension
+    exit_on_empty : in boolean;
+    signal mm_clk : in std_logic);
+
+  procedure mmf_wait_for_file_empty (
+    rd_filename   : in string;  -- file name with extension
+    signal mm_clk : in std_logic);
+  procedure mmf_wait_for_file_not_empty (
+    rd_filename   : in string;  -- file name with extension
+    signal mm_clk : in std_logic);
 
   -- Procedure to issue a write access via the MM request .ctrl file
-  procedure mmf_mm_bus_wr(filename      : in string;  -- file name without extension
-                          wr_addr       : in integer;  -- use integer to support full 32 bit range
-                          wr_data       : in integer;
-                          signal mm_clk : in std_logic);
+  procedure mmf_mm_bus_wr (
+    filename      : in string;  -- file name without extension
+    wr_addr       : in integer;  -- use integer to support full 32 bit range
+    wr_data       : in integer;
+    signal mm_clk : in std_logic);
 
   -- Procedure to issue a read access via the MM request .ctrl file and get the read data from the MM response file
-  procedure mmf_mm_bus_rd(filename       : in string;  -- file name without extension
-                          rd_latency     : in natural;
-                          rd_addr        : in integer;  -- use integer to support full 32 bit range
-                          signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
-                          signal mm_clk  : in std_logic);
+  procedure mmf_mm_bus_rd (
+    filename       : in string;  -- file name without extension
+    rd_latency     : in natural;
+    rd_addr        : in integer;  -- use integer to support full 32 bit range
+    signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
+    signal mm_clk  : in std_logic);
   -- . rd_latency = 1
-  procedure mmf_mm_bus_rd(filename       : in string;
-                          rd_addr        : in integer;
-                          signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
-                          signal mm_clk  : in std_logic);
+  procedure mmf_mm_bus_rd (
+    filename       : in string;
+    rd_addr        : in integer;
+    signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
+    signal mm_clk  : in std_logic);
 
   -- Procedure that reads the rd_data every rd_interval until has the specified rd_value, the proc arguments can be understood as a sentence
-  procedure mmf_mm_wait_until_value(filename         : in string;  -- file name without extension
-                                    rd_addr          : in integer;
-                                    c_representation : in string;  -- treat rd_data as "SIGNED" or "UNSIGNED" 32 bit word
-                                    signal rd_data   : inout std_logic_vector(c_word_w - 1 downto 0);
-                                    c_condition      : in string;  -- ">", ">=", "=", "<=", "<", "/="
-                                    c_rd_value       : in integer;
-                                    c_rd_interval    : in time;
-                                    signal mm_clk    : in std_logic);
+  procedure mmf_mm_wait_until_value (
+    filename         : in string;  -- file name without extension
+    rd_addr          : in integer;
+    c_representation : in string;  -- treat rd_data as "SIGNED" or "UNSIGNED" 32 bit word
+    signal rd_data   : inout std_logic_vector(c_word_w - 1 downto 0);
+    c_condition      : in string;  -- ">", ">=", "=", "<=", "<", "/="
+    c_rd_value       : in integer;
+    c_rd_interval    : in time;
+    signal mm_clk    : in std_logic);
 
   -- Procedure to get NOW via simulator status
-  procedure mmf_sim_get_now(filename       : in string;  -- file name without extension
-                            signal rd_now  : out string;
-                            signal mm_clk  : in std_logic);
+  procedure mmf_sim_get_now (
+    filename       : in string;  -- file name without extension
+    signal rd_now  : out string;
+    signal mm_clk  : in std_logic);
 
   -- Functions to create prefixes for the mmf file filename
-  function mmf_prefix(name : string; index : natural) return string;  -- generic prefix name with index to be used for a file IO filename
-  function mmf_tb_prefix(tb : integer) return string;  -- fixed test bench prefix with index tb to allow file IO with multi tb
-  function mmf_subrack_prefix(subrack : integer) return string;  -- fixed subrack prefix with index subrack to allow file IO with multi subracks that use same unb numbers
+  function mmf_prefix (name : string; index : natural) return string;  -- generic prefix name with index to be used for a file IO filename
+  function mmf_tb_prefix (tb : integer) return string;  -- fixed test bench prefix with index tb to allow file IO with multi tb
+  function mmf_subrack_prefix (subrack : integer) return string;  -- fixed subrack prefix with index subrack to allow file IO with multi subracks that use same unb numbers
 
   -- Functions to create mmf file prefix that is unique per slave, for increasing number of hierarchy levels:
   -- . return "filepath/s0_i0_"
@@ -215,56 +227,57 @@ package mm_file_pkg is
   -- . return "filepath/s0_i0_s1_i1_s2_i2_"
   -- . return "filepath/s0_i0_s1_i1_s2_i2_s3_i3_"
   -- . return "filepath/s0_i0_s1_i1_s2_i2_s3_i3_s4_i4_"
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural) return string;
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural) return string;
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string;
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string;
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string;
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural) return string;
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural) return string;
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string;
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string;
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string;
 
   constant c_mmf_local_dir_path : string := "mmfiles/";  -- local directory in project file build directory
-  function mmf_slave_prefix(s0 : string; i0 : natural) return string;
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural) return string;
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string;
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string;
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string;
+  function mmf_slave_prefix (s0 : string; i0 : natural) return string;
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural) return string;
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string;
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string;
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string;
 
   ----------------------------------------------------------------------------
   -- Declare mm_file component to support positional generic and port mapping of many instances in a TB
   ----------------------------------------------------------------------------
   component mm_file
-  generic(
-    g_file_prefix       : string;
-    g_file_enable       : std_logic := '1';
-    g_mm_rd_latency     : natural := 2;
-    g_mm_timeout        : time := c_mmf_mm_timeout;
-    g_mm_pause          : time := c_mmf_mm_pause
-  );
-  port (
-    mm_rst        : in  std_logic;
-    mm_clk        : in  std_logic;
-    mm_master_out : out t_mem_mosi;
-    mm_master_in  : in  t_mem_miso
-  );
+    generic(
+      g_file_prefix       : string;
+      g_file_enable       : std_logic := '1';
+      g_mm_rd_latency     : natural := 2;
+      g_mm_timeout        : time := c_mmf_mm_timeout;
+      g_mm_pause          : time := c_mmf_mm_pause
+    );
+    port (
+      mm_rst        : in  std_logic;
+      mm_clk        : in  std_logic;
+      mm_master_out : out t_mem_mosi;
+      mm_master_in  : in  t_mem_miso
+    );
   end component;
 
 end mm_file_pkg;
 
 package body mm_file_pkg is
 
-  procedure mmf_file_create(filename: in string) is
+  procedure mmf_file_create (filename: in string) is
     file created_file : TEXT open write_mode is filename;
   begin
     -- Write the file with nothing in it
     write(created_file, "");
   end;
 
-  procedure mmf_mm_from_file(signal mm_clk : in std_logic;
-                             signal mm_rst : in std_logic;
-                             signal mm_mosi : out t_mem_mosi;
-                             signal mm_miso : in  t_mem_miso;
-                             rd_filename: in string;
-                             wr_filename: in string;
-                             rd_latency: in natural) is
+  procedure mmf_mm_from_file (
+    signal mm_clk : in std_logic;
+    signal mm_rst : in std_logic;
+    signal mm_mosi : out t_mem_mosi;
+    signal mm_miso : in  t_mem_miso;
+    rd_filename: in string;
+    wr_filename: in string;
+    rd_latency: in natural) is
     file rd_file : TEXT;
     file wr_file : TEXT;
 
@@ -351,12 +364,13 @@ package body mm_file_pkg is
       proc_common_wait_some_cycles(mm_clk, 1);
     end if;
 
-    -- The END implicitely close the rd_file, if still necessary.
+  -- The END implicitely close the rd_file, if still necessary.
   end;
 
 
-  procedure mmf_sim_ctrl_from_file(rd_filename: in string;
-                                   wr_filename: in string) is
+  procedure mmf_sim_ctrl_from_file (
+    rd_filename: in string;
+    wr_filename: in string) is
 
     file rd_file : TEXT;
     file wr_file : TEXT;
@@ -404,14 +418,14 @@ package body mm_file_pkg is
 
     else
       report "mmf_mm_from_file() could not open " & rd_filename & " at " & time_to_str(now) severity NOTE;
-      -- Try again next time; wait in procedure mmf_poll_sim_ctrl_file
+    -- Try again next time; wait in procedure mmf_poll_sim_ctrl_file
     end if;
 
-    -- The END implicitely close the rd_file, if still necessary.
+  -- The END implicitely close the rd_file, if still necessary.
   end;
 
 
-  procedure mmf_poll_sim_ctrl_file(rd_file_name: in string; wr_file_name : in string) is
+  procedure mmf_poll_sim_ctrl_file (rd_file_name: in string; wr_file_name : in string) is
   begin
     -- Create the ctrl file that we're going to read from
     print_str("[" & time_to_str(now) & "] " & rd_file_name & ": Created" );
@@ -425,8 +439,9 @@ package body mm_file_pkg is
   end;
 
 
-  procedure mmf_poll_sim_ctrl_file(signal mm_clk  : in std_logic;
-                                   rd_file_name: in string; wr_file_name : in string) is
+  procedure mmf_poll_sim_ctrl_file (
+    signal mm_clk  : in std_logic;
+    rd_file_name: in string; wr_file_name : in string) is
   begin
     -- Create the ctrl file that we're going to read from
     print_str("[" & time_to_str(now) & "] " & rd_file_name & ": Created" );
@@ -440,9 +455,10 @@ package body mm_file_pkg is
   end;
 
 
-  procedure mmf_wait_for_file_status(rd_filename   : in string;  -- file name with extension
-                                     exit_on_empty : in boolean;
-                                     signal mm_clk : in std_logic) is
+  procedure mmf_wait_for_file_status (
+    rd_filename   : in string;  -- file name with extension
+    exit_on_empty : in boolean;
+    signal mm_clk : in std_logic) is
     file     rd_file        : TEXT;
     variable open_status_rd : file_open_status;
     variable v_endfile      : boolean;
@@ -482,25 +498,28 @@ package body mm_file_pkg is
         wait until falling_edge(mm_clk);
       end if;
     end loop;
-    -- The END implicitely close the file, if still necessary.
+  -- The END implicitely close the file, if still necessary.
   end;
 
-  procedure mmf_wait_for_file_empty(rd_filename   : in string;  -- file name with extension
-                                    signal mm_clk : in std_logic) is
+  procedure mmf_wait_for_file_empty (
+    rd_filename   : in string;  -- file name with extension
+    signal mm_clk : in std_logic) is
   begin
     mmf_wait_for_file_status(rd_filename, true, mm_clk);
   end;
 
-  procedure mmf_wait_for_file_not_empty(rd_filename   : in string;  -- file name with extension
-                                        signal mm_clk : in std_logic) is
+  procedure mmf_wait_for_file_not_empty (
+    rd_filename   : in string;  -- file name with extension
+    signal mm_clk : in std_logic) is
   begin
     mmf_wait_for_file_status(rd_filename, false, mm_clk);
   end;
 
-  procedure mmf_mm_bus_wr(filename      : in string;  -- file name without extension
-                          wr_addr       : in integer;  -- use integer to support full 32 bit range
-                          wr_data       : in integer;
-                          signal mm_clk : in std_logic) is
+  procedure mmf_mm_bus_wr (
+    filename      : in string;  -- file name without extension
+    wr_addr       : in integer;  -- use integer to support full 32 bit range
+    wr_data       : in integer;
+    signal mm_clk : in std_logic) is
     constant ctrl_filename  : string := filename & ".ctrl";
     file     ctrl_file      : TEXT;
     variable open_status_wr : file_open_status;
@@ -528,14 +547,15 @@ package body mm_file_pkg is
     -- Keep reading the .ctrl file until it is empty, to ensure that the MM device is ready for a new MM request
     mmf_wait_for_file_empty(ctrl_filename, mm_clk);
 
-    -- The END implicitely close the ctrl_file, if still necessary.
+  -- The END implicitely close the ctrl_file, if still necessary.
   end;
 
-  procedure mmf_mm_bus_rd(filename       : in string;  -- file name without extension
-                          rd_latency     : in natural;
-                          rd_addr        : in integer;  -- use integer to support full 32 bit range
-                          signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
-                          signal mm_clk  : in std_logic) is
+  procedure mmf_mm_bus_rd (
+    filename       : in string;  -- file name without extension
+    rd_latency     : in natural;
+    rd_addr        : in integer;  -- use integer to support full 32 bit range
+    signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
+    signal mm_clk  : in std_logic) is
     constant ctrl_filename  : string := filename & ".ctrl";
     constant stat_filename  : string := filename & ".stat";
     file     ctrl_file      : TEXT;
@@ -583,30 +603,32 @@ package body mm_file_pkg is
       report "mmf_mm_bus_rd() could not open " & stat_filename & " at " & time_to_str(now) severity FAILURE;
     end if;
 
-    -- No need to prepare for next MM request, because:
-    -- . the .ctrl file must already be empty because the .stat file was there
-    -- . the .stat file will be cleared on this procedure entry
+  -- No need to prepare for next MM request, because:
+  -- . the .ctrl file must already be empty because the .stat file was there
+  -- . the .stat file will be cleared on this procedure entry
 
-    -- The END implicitely closes the files, if still necessary
+  -- The END implicitely closes the files, if still necessary
   end;
 
   -- rd_latency = 1
-  procedure mmf_mm_bus_rd(filename       : in string;
-                          rd_addr        : in integer;
-                          signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
-                          signal mm_clk  : in std_logic) is
+  procedure mmf_mm_bus_rd (
+    filename       : in string;
+    rd_addr        : in integer;
+    signal rd_data : out std_logic_vector(c_word_w - 1 downto 0);
+    signal mm_clk  : in std_logic) is
   begin
     mmf_mm_bus_rd(filename, 1, rd_addr, rd_data, mm_clk);
   end;
 
-  procedure mmf_mm_wait_until_value(filename         : in string;  -- file name without extension
-                                    rd_addr          : in integer;
-                                    c_representation : in string;  -- treat rd_data as "SIGNED" or "UNSIGNED" 32 bit word
-                                    signal rd_data   : inout std_logic_vector(c_word_w - 1 downto 0);
-                                    c_condition      : in string;  -- ">", ">=", "=", "<=", "<", "/="
-                                    c_rd_value       : in integer;
-                                    c_rd_interval    : in time;
-                                    signal mm_clk    : in std_logic) is
+  procedure mmf_mm_wait_until_value (
+    filename         : in string;  -- file name without extension
+    rd_addr          : in integer;
+    c_representation : in string;  -- treat rd_data as "SIGNED" or "UNSIGNED" 32 bit word
+    signal rd_data   : inout std_logic_vector(c_word_w - 1 downto 0);
+    c_condition      : in string;  -- ">", ">=", "=", "<=", "<", "/="
+    c_rd_value       : in integer;
+    c_rd_interval    : in time;
+    signal mm_clk    : in std_logic) is
   begin
     while true loop
       -- Read current
@@ -632,9 +654,10 @@ package body mm_file_pkg is
   end mmf_mm_wait_until_value;
 
 
-  procedure mmf_sim_get_now(filename       : in string;  -- file name without extension
-                            signal rd_now  : out string;
-                            signal mm_clk  : in std_logic) is
+  procedure mmf_sim_get_now (
+    filename       : in string;  -- file name without extension
+    signal rd_now  : out string;
+    signal mm_clk  : in std_logic) is
     constant ctrl_filename  : string := filename & ".ctrl";
     constant stat_filename  : string := filename & ".stat";
     file     ctrl_file      : TEXT;
@@ -678,77 +701,77 @@ package body mm_file_pkg is
       report "mmf_sim_get_now() could not open " & stat_filename & " at " & time_to_str(now) severity FAILURE;
     end if;
 
-    -- No need to prepare for next simulation status request, because:
-    -- . the .ctrl file must already be empty because the .stat file was there
-    -- . the .stat file will be cleared on this procedure entry
+  -- No need to prepare for next simulation status request, because:
+  -- . the .ctrl file must already be empty because the .stat file was there
+  -- . the .stat file will be cleared on this procedure entry
 
-    -- The END implicitely closes the files, if still necessary
+  -- The END implicitely closes the files, if still necessary
   end;
 
   -- Functions to create prefixes for the mmf file filename
-  function mmf_prefix(name : string; index : natural) return string is
+  function mmf_prefix (name : string; index : natural) return string is
   begin
     return name & "_" & int_to_str(index) & "_";
   end;
 
-  function mmf_tb_prefix(tb : integer) return string is
+  function mmf_tb_prefix (tb : integer) return string is
   begin
     return mmf_prefix("TB", tb);
   end;
 
-  function mmf_subrack_prefix(subrack : integer) return string is
+  function mmf_subrack_prefix (subrack : integer) return string is
   begin
     return mmf_prefix("SUBRACK", subrack);
   end;
 
   -- Functions to create mmf file prefix that is unique per slave, for increasing number of hierarchy levels:
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural) return string is
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural) return string is
   begin
     return dir_path & mmf_prefix(s0, i0);
   end;
 
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural) return string is
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural) return string is
   begin
     return dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1);
   end;
 
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string is
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string is
   begin
     return dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1) & mmf_prefix(s2, i2);
   end;
 
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string is
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string is
   begin
     return dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1) & mmf_prefix(s2, i2) & mmf_prefix(s3, i3);
   end;
 
-  function mmf_slave_prefix(dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string is
+  function mmf_slave_prefix (dir_path, s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string is
   begin
     return dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1) & mmf_prefix(s2, i2) & mmf_prefix(s3, i3) & mmf_prefix(s4, i4);
   end;
 
   -- Use local dir_path
-  function mmf_slave_prefix(s0 : string; i0 : natural) return string is
+  function mmf_slave_prefix (s0 : string; i0 : natural) return string is
   begin
     return c_mmf_local_dir_path & mmf_prefix(s0, i0);
   end;
 
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural) return string is
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural) return string is
   begin
     return c_mmf_local_dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1);
   end;
 
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string is
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural) return string is
   begin
     return c_mmf_local_dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1) & mmf_prefix(s2, i2);
   end;
 
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string is
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural) return string is
   begin
     return c_mmf_local_dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1) & mmf_prefix(s2, i2) & mmf_prefix(s3, i3);
   end;
 
-  function mmf_slave_prefix(s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string is
+  function mmf_slave_prefix (s0 : string; i0 : natural; s1 : string; i1 : natural; s2 : string; i2 : natural; s3 : string; i3 : natural; s4 : string; i4 : natural) return string is
   begin
     return c_mmf_local_dir_path & mmf_prefix(s0, i0) & mmf_prefix(s1, i1) & mmf_prefix(s2, i2) & mmf_prefix(s3, i3) & mmf_prefix(s4, i4);
   end;
diff --git a/libraries/base/mm/tb/vhdl/mm_file_unb_pkg.vhd b/libraries/base/mm/tb/vhdl/mm_file_unb_pkg.vhd
index 4aabfcd69f..0cfdbe69a2 100644
--- a/libraries/base/mm/tb/vhdl/mm_file_unb_pkg.vhd
+++ b/libraries/base/mm/tb/vhdl/mm_file_unb_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use work.mm_file_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use work.mm_file_pkg.all;
 
 package mm_file_unb_pkg is
 
@@ -40,17 +40,17 @@ package mm_file_unb_pkg is
   constant c_mmf_unb_file_path       : string := "$HDL_IOFILE_SIM_DIR/";
 
   -- create mmf file prefix that is unique per slave
-  function mmf_unb_file_prefix(sys: t_c_mmf_unb_sys; node: natural) return string;
-  function mmf_unb_file_prefix(             unb, node: natural; node_type: string) return string;  -- unb 0,1,..., node = 0:3 for FN or BN
-  function mmf_unb_file_prefix(             unb, node: natural) return string;  -- unb 0,1,..., node = 0:7, with 0:3 for FN and 4:7 for BN
-  function mmf_unb_file_prefix(tb,          unb, node: natural) return string;  -- idem, with extra index tb = 0,1,... for use with multi testbench
-  function mmf_unb_file_prefix(tb, subrack, unb, node: natural) return string;  -- idem, with extra index subrack =  0,1,... to support same local unb range per subrack
+  function mmf_unb_file_prefix (sys: t_c_mmf_unb_sys; node: natural) return string;
+  function mmf_unb_file_prefix (             unb, node: natural; node_type: string) return string;  -- unb 0,1,..., node = 0:3 for FN or BN
+  function mmf_unb_file_prefix (             unb, node: natural) return string;  -- unb 0,1,..., node = 0:7, with 0:3 for FN and 4:7 for BN
+  function mmf_unb_file_prefix (tb,          unb, node: natural) return string;  -- idem, with extra index tb = 0,1,... for use with multi testbench
+  function mmf_unb_file_prefix (tb, subrack, unb, node: natural) return string;  -- idem, with extra index subrack =  0,1,... to support same local unb range per subrack
 
 end mm_file_unb_pkg;
 
 package body mm_file_unb_pkg is
 
-  function mmf_unb_file_prefix(sys: t_c_mmf_unb_sys; node: natural) return string is
+  function mmf_unb_file_prefix (sys: t_c_mmf_unb_sys; node: natural) return string is
     -- This function is used to create files for node function instances that (can) run on
     -- an FN or a BN. One generate loop can be used for all node instances, no need to
     -- use a separate FOR loop for the back nodes and the front nodes as this function
@@ -64,13 +64,13 @@ package body mm_file_unb_pkg is
     return mmf_slave_prefix(c_mmf_unb_file_path, "UNB", v_board_index, v_node_type, v_node_index);
   end;
 
-  function mmf_unb_file_prefix(unb, node: natural; node_type: string) return string is
-    -- Use this function and pass the UNB and node type BN 0:3 or node type FN 0:3 index.
+  function mmf_unb_file_prefix (unb, node: natural; node_type: string) return string is
+  -- Use this function and pass the UNB and node type BN 0:3 or node type FN 0:3 index.
   begin
     return mmf_slave_prefix(c_mmf_unb_file_path, "UNB", unb, node_type, node);
   end;
 
-  function mmf_unb_file_prefix(unb, node: natural) return string is
+  function mmf_unb_file_prefix (unb, node: natural) return string is
     -- Use this function and pass the UNB and node 0:7 index.
     constant c_node_type       : string(1 to 2) := sel_a_b(node >= c_mmf_unb_nof_fn, "BN", "FN");
     constant c_node_nr         : natural := node mod c_mmf_unb_nof_fn;  -- PN 0:3 --> FN 0:3, PN 4:7 --> BN 0:3
@@ -78,7 +78,7 @@ package body mm_file_unb_pkg is
     return mmf_slave_prefix(c_mmf_unb_file_path, "UNB", unb, c_node_type, c_node_nr);
   end;
 
-  function mmf_unb_file_prefix(tb, unb, node: natural) return string is
+  function mmf_unb_file_prefix (tb, unb, node: natural) return string is
     -- Use this function and pass the UNB and node 0:7 index and a test bench index to allow file IO with multi tb.
     constant c_node_type       : string(1 to 2) := sel_a_b(node >= c_mmf_unb_nof_fn, "BN", "FN");
     constant c_node_nr         : natural := node mod c_mmf_unb_nof_fn;  -- PN 0:3 --> FN 0:3, PN 4:7 --> BN 0:3
@@ -86,7 +86,7 @@ package body mm_file_unb_pkg is
     return mmf_slave_prefix(c_mmf_unb_file_path, "TB", tb, "UNB", unb, c_node_type, c_node_nr);
   end;
 
-  function mmf_unb_file_prefix(tb, subrack, unb, node: natural) return string is
+  function mmf_unb_file_prefix (tb, subrack, unb, node: natural) return string is
     -- Use this function and pass the UNB and node 0:7 index and a test bench index to allow file IO with multi subrack and multi tb.
     constant c_node_type       : string(1 to 2) := sel_a_b(node >= c_mmf_unb_nof_fn, "BN", "FN");
     constant c_node_nr         : natural := node mod c_mmf_unb_nof_fn;  -- PN 0:3 --> FN 0:3, PN 4:7 --> BN 0:3
diff --git a/libraries/base/mm/tb/vhdl/mm_waitrequest_model.vhd b/libraries/base/mm/tb/vhdl/mm_waitrequest_model.vhd
index 8c9acdf1be..d5d3d8db1e 100644
--- a/libraries/base/mm/tb/vhdl/mm_waitrequest_model.vhd
+++ b/libraries/base/mm/tb/vhdl/mm_waitrequest_model.vhd
@@ -49,10 +49,10 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
 
 entity mm_waitrequest_model is
   generic (
diff --git a/libraries/base/mm/tb/vhdl/tb_mm_bus.vhd b/libraries/base/mm/tb/vhdl/tb_mm_bus.vhd
index 1419f5eda0..0a005b2877 100644
--- a/libraries/base/mm/tb/vhdl/tb_mm_bus.vhd
+++ b/libraries/base/mm/tb/vhdl/tb_mm_bus.vhd
@@ -45,15 +45,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 entity tb_mm_bus is
- generic (
+  generic (
     g_nof_slaves          : positive := 1;  -- Number of slave memory interfaces on the MM bus array.
     g_base_offset         : natural := 0;  -- Address of first slave on the MM bus
     g_width_w             : positive := 4;  -- Address width of each slave memory in the MM bus array.
@@ -89,11 +89,13 @@ architecture tb of tb_mm_bus is
   constant c_read_latency        : natural := c_pipeline_mosi + g_rd_latency + c_pipeline_miso_rdval;
 
   constant c_data_w     : natural := 32;
-  constant c_test_ram   : t_c_mem := (latency  => g_rd_latency,
-                                      adr_w    => g_width_w,
-                                      dat_w    => c_data_w,
-                                      nof_dat  => c_slave_span,
-                                      init_sl  => '0');
+  constant c_test_ram   : t_c_mem := (
+    latency  => g_rd_latency,
+    adr_w    => g_width_w,
+    dat_w    => c_data_w,
+    nof_dat  => c_slave_span,
+    init_sl  => '0'
+  );
   signal mm_rst   : std_logic;
   signal mm_clk   : std_logic := '1';
   signal tb_end   : std_logic;
@@ -190,60 +192,60 @@ begin
   -- The MM bus
   -----------------------------------------------------------------------------
   u_mm_bus: entity work.mm_bus
-  generic map (
-    g_nof_slaves          => g_nof_slaves,
-    g_base_arr            => c_base_arr,
-    g_width_arr           => c_width_arr,
-    g_rd_latency_arr      => c_rd_latency_arr,
-    g_slave_enable_arr    => c_slave_enable_arr,
-    g_waitrequest_arr     => c_waitrequest_arr,
-    g_pipeline_mosi       => g_pipeline_mosi,
-    g_pipeline_miso_rdval => g_pipeline_miso_rdval,
-    g_pipeline_miso_wait  => g_pipeline_miso_wait
-  )
-  port map (
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    master_mosi    => master_mosi,
-    master_miso    => master_miso,
-    slave_mosi_arr => slave_mosi_arr,
-    slave_miso_arr => slave_miso_arr
-  );
+    generic map (
+      g_nof_slaves          => g_nof_slaves,
+      g_base_arr            => c_base_arr,
+      g_width_arr           => c_width_arr,
+      g_rd_latency_arr      => c_rd_latency_arr,
+      g_slave_enable_arr    => c_slave_enable_arr,
+      g_waitrequest_arr     => c_waitrequest_arr,
+      g_pipeline_mosi       => g_pipeline_mosi,
+      g_pipeline_miso_rdval => g_pipeline_miso_rdval,
+      g_pipeline_miso_wait  => g_pipeline_miso_wait
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      master_mosi    => master_mosi,
+      master_miso    => master_miso,
+      slave_mosi_arr => slave_mosi_arr,
+      slave_miso_arr => slave_miso_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Model the MM slaves
   -----------------------------------------------------------------------------
   gen_slaves : for I in 0 to g_nof_slaves - 1 generate
     u_waitrequest_model : entity work.mm_waitrequest_model
-    generic map (
-      g_waitrequest => g_waitrequest,
-      g_seed        => I
-    )
-    port map (
-      mm_clk     => mm_clk,
-      bus_mosi   => slave_mosi_arr(I),
-      bus_miso   => slave_miso_arr(I),
-      slave_mosi => ram_mosi_arr(I),
-      slave_miso => ram_miso_arr(I)
-    );
+      generic map (
+        g_waitrequest => g_waitrequest,
+        g_seed        => I
+      )
+      port map (
+        mm_clk     => mm_clk,
+        bus_mosi   => slave_mosi_arr(I),
+        bus_miso   => slave_miso_arr(I),
+        slave_mosi => ram_mosi_arr(I),
+        slave_miso => ram_miso_arr(I)
+      );
 
     u_ram : entity common_lib.common_ram_r_w
-    generic map (
-      g_ram       => c_test_ram,
-      g_init_file => "UNUSED"
-    )
-    port map (
-      rst       => mm_rst,
-      clk       => mm_clk,
-      clken     => '1',
-      wr_en     => ram_mosi_arr(I).wr,
-      wr_adr    => ram_mosi_arr(I).address(g_width_w - 1 downto 0),
-      wr_dat    => ram_mosi_arr(I).wrdata(c_data_w - 1 downto 0),
-      rd_en     => ram_mosi_arr(I).rd,
-      rd_adr    => ram_mosi_arr(I).address(g_width_w - 1 downto 0),
-      rd_dat    => ram_miso_arr(I).rddata(c_data_w - 1 downto 0),
-      rd_val    => ram_miso_arr(I).rdval
-    );
+      generic map (
+        g_ram       => c_test_ram,
+        g_init_file => "UNUSED"
+      )
+      port map (
+        rst       => mm_rst,
+        clk       => mm_clk,
+        clken     => '1',
+        wr_en     => ram_mosi_arr(I).wr,
+        wr_adr    => ram_mosi_arr(I).address(g_width_w - 1 downto 0),
+        wr_dat    => ram_mosi_arr(I).wrdata(c_data_w - 1 downto 0),
+        rd_en     => ram_mosi_arr(I).rd,
+        rd_adr    => ram_mosi_arr(I).address(g_width_w - 1 downto 0),
+        rd_dat    => ram_miso_arr(I).rddata(c_data_w - 1 downto 0),
+        rd_val    => ram_miso_arr(I).rdval
+      );
   end generate;
 
 end tb;
diff --git a/libraries/base/mm/tb/vhdl/tb_mm_file.vhd b/libraries/base/mm/tb/vhdl/tb_mm_file.vhd
index 0b4970bd25..a0d3359ac6 100644
--- a/libraries/base/mm/tb/vhdl/tb_mm_file.vhd
+++ b/libraries/base/mm/tb/vhdl/tb_mm_file.vhd
@@ -43,13 +43,13 @@
 --   For example observe mm_mosi, mm_miso, rd_now and out_reg_arr in wave window.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.mm_file_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.mm_file_pkg.all;
 
 entity tb_mm_file is
   generic (
@@ -169,48 +169,48 @@ begin
   end process;
 
   u_mm_file : entity work.mm_file
-  generic map(
-    g_file_prefix   => c_reg_r_w_dc_file_pathname,
-    g_mm_rd_latency => c_mem_reg.latency,  -- the mm_file g_mm_rd_latency must be >= the MM slave read latency
-    g_mm_timeout    => g_mm_timeout,
-    g_mm_pause      => g_mm_pause
-  )
-  port map (
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    mm_master_out => mm_mosi,
-    mm_master_in  => mm_miso
-  );
+    generic map(
+      g_file_prefix   => c_reg_r_w_dc_file_pathname,
+      g_mm_rd_latency => c_mem_reg.latency,  -- the mm_file g_mm_rd_latency must be >= the MM slave read latency
+      g_mm_timeout    => g_mm_timeout,
+      g_mm_pause      => g_mm_pause
+    )
+    port map (
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      mm_master_out => mm_mosi,
+      mm_master_in  => mm_miso
+    );
 
   -- Target MM reg
   u_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 0,
-    g_readback           => false,
-    g_reg                => c_mem_reg
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 0,
+      g_readback           => false,
+      g_reg                => c_mem_reg
     --g_init_reg           => STD_LOGIC_VECTOR(c_mem_reg_init_w-1 DOWNTO 0) := (OTHERS => '0')
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => mm_rst,
-    st_clk      => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => mm_mosi,
-    sla_out     => mm_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => reg_wr_arr,
-    reg_rd_arr  => reg_rd_arr,
-    in_new      => in_new,
-    in_reg      => in_reg,
-    out_reg     => out_reg,
-    out_new     => out_new
-  );
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => mm_rst,
+      st_clk      => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => mm_mosi,
+      sla_out     => mm_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => reg_wr_arr,
+      reg_rd_arr  => reg_rd_arr,
+      in_new      => in_new,
+      in_reg      => in_reg,
+      out_reg     => out_reg,
+      out_new     => out_new
+    );
 
   in_reg <= out_reg;
 
diff --git a/libraries/base/mm/tb/vhdl/tb_mm_master_mux.vhd b/libraries/base/mm/tb/vhdl/tb_mm_master_mux.vhd
index 7320275dfb..7840e87cce 100644
--- a/libraries/base/mm/tb/vhdl/tb_mm_master_mux.vhd
+++ b/libraries/base/mm/tb/vhdl/tb_mm_master_mux.vhd
@@ -43,15 +43,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 entity tb_mm_master_mux is
- generic (
+  generic (
     g_nof_masters             : positive := 2;  -- Number of master memory interfaces on the MM bus array.
     g_base_arr                : t_nat_natural_arr := (0, 256);  -- Address base per slave port of mm_bus
     g_width_arr               : t_nat_natural_arr := (4,   8);  -- Address width per slave port of mm_bus
@@ -84,11 +84,13 @@ architecture tb of tb_mm_master_mux is
 
   constant c_addr_w          : natural := largest(ceil_log2(largest(g_base_arr)), largest(g_width_arr)) + 1;
   constant c_data_w          : natural := 32;
-  constant c_test_ram        : t_c_mem := (latency  => c_ram_rd_latency,
-                                           adr_w    => c_addr_w,
-                                           dat_w    => c_data_w,
-                                           nof_dat  => 2**c_addr_w,
-                                           init_sl  => '0');
+  constant c_test_ram        : t_c_mem := (
+    latency  => c_ram_rd_latency,
+    adr_w    => c_addr_w,
+    dat_w    => c_data_w,
+    nof_dat  => 2**c_addr_w,
+    init_sl  => '0'
+  );
   signal mm_rst           : std_logic;
   signal mm_clk           : std_logic := '1';
   signal tb_end           : std_logic;
@@ -158,68 +160,68 @@ begin
 
   -- Model multiple masters using stimuli from a single master
   u_masters : entity work.mm_bus
-  generic map (
-    g_nof_slaves          => g_nof_masters,
-    g_base_arr            => g_base_arr,
-    g_width_arr           => g_width_arr,
-    g_rd_latency_arr      => c_ram_rd_latency_arr,
-    g_slave_enable_arr    => c_slave_enable_arr,
-    g_waitrequest_arr     => c_waitrequest_arr,
-    g_pipeline_mosi       => g_pipeline_bus_mosi,
-    g_pipeline_miso_rdval => g_pipeline_bus_miso_rdval,
-    g_pipeline_miso_wait  => g_pipeline_bus_miso_wait
-  )
-  port map (
-    mm_clk         => mm_clk,
-    master_mosi    => stimuli_mosi,
-    master_miso    => stimuli_miso,
-    slave_mosi_arr => master_mosi_arr,
-    slave_miso_arr => master_miso_arr
-  );
+    generic map (
+      g_nof_slaves          => g_nof_masters,
+      g_base_arr            => g_base_arr,
+      g_width_arr           => g_width_arr,
+      g_rd_latency_arr      => c_ram_rd_latency_arr,
+      g_slave_enable_arr    => c_slave_enable_arr,
+      g_waitrequest_arr     => c_waitrequest_arr,
+      g_pipeline_mosi       => g_pipeline_bus_mosi,
+      g_pipeline_miso_rdval => g_pipeline_bus_miso_rdval,
+      g_pipeline_miso_wait  => g_pipeline_bus_miso_wait
+    )
+    port map (
+      mm_clk         => mm_clk,
+      master_mosi    => stimuli_mosi,
+      master_miso    => stimuli_miso,
+      slave_mosi_arr => master_mosi_arr,
+      slave_miso_arr => master_miso_arr
+    );
 
   -- DUT = device under test
   u_dut: entity work.mm_master_mux
-  generic map (
-    g_nof_masters     => g_nof_masters,
-    g_rd_latency_min  => c_read_latency
-  )
-  port map (
-    mm_clk          => mm_clk,
-    master_mosi_arr => master_mosi_arr,
-    master_miso_arr => master_miso_arr,
-    mux_mosi        => mux_mosi,
-    mux_miso        => mux_miso
-  );
+    generic map (
+      g_nof_masters     => g_nof_masters,
+      g_rd_latency_min  => c_read_latency
+    )
+    port map (
+      mm_clk          => mm_clk,
+      master_mosi_arr => master_mosi_arr,
+      master_miso_arr => master_miso_arr,
+      mux_mosi        => mux_mosi,
+      mux_miso        => mux_miso
+    );
 
   -- Model master access to MM bus with multiple slaves using a single RAM
   u_waitrequest_model : entity work.mm_waitrequest_model
-  generic map (
-    g_waitrequest => g_waitrequest
-  )
-  port map (
-    mm_clk     => mm_clk,
-    bus_mosi   => mux_mosi,
-    bus_miso   => mux_miso,
-    slave_mosi => ram_mosi,
-    slave_miso => ram_miso
-  );
+    generic map (
+      g_waitrequest => g_waitrequest
+    )
+    port map (
+      mm_clk     => mm_clk,
+      bus_mosi   => mux_mosi,
+      bus_miso   => mux_miso,
+      slave_mosi => ram_mosi,
+      slave_miso => ram_miso
+    );
 
   u_ram : entity common_lib.common_ram_r_w
-  generic map (
-    g_ram       => c_test_ram,
-    g_init_file => "UNUSED"
-  )
-  port map (
-    rst       => mm_rst,
-    clk       => mm_clk,
-    wr_en     => ram_mosi.wr,
-    wr_adr    => ram_mosi.address(c_addr_w - 1 downto 0),
-    wr_dat    => ram_mosi.wrdata(c_data_w - 1 downto 0),
-    rd_en     => ram_mosi.rd,
-    rd_adr    => ram_mosi.address(c_addr_w - 1 downto 0),
-    rd_dat    => ram_miso.rddata(c_data_w - 1 downto 0),
-    rd_val    => ram_miso.rdval
-  );
+    generic map (
+      g_ram       => c_test_ram,
+      g_init_file => "UNUSED"
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+      wr_en     => ram_mosi.wr,
+      wr_adr    => ram_mosi.address(c_addr_w - 1 downto 0),
+      wr_dat    => ram_mosi.wrdata(c_data_w - 1 downto 0),
+      rd_en     => ram_mosi.rd,
+      rd_adr    => ram_mosi.address(c_addr_w - 1 downto 0),
+      rd_dat    => ram_miso.rddata(c_data_w - 1 downto 0),
+      rd_val    => ram_miso.rdval
+    );
 
 
 end tb;
diff --git a/libraries/base/mm/tb/vhdl/tb_tb_mm_bus.vhd b/libraries/base/mm/tb/vhdl/tb_tb_mm_bus.vhd
index e477ed224c..58472b7f7a 100644
--- a/libraries/base/mm/tb/vhdl/tb_tb_mm_bus.vhd
+++ b/libraries/base/mm/tb/vhdl/tb_tb_mm_bus.vhd
@@ -26,8 +26,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity tb_tb_mm_bus is
 end tb_tb_mm_bus;
@@ -60,8 +60,8 @@ begin
   u_waitrequest_pipe_mosi            : entity work.tb_mm_bus generic map ( 2,      0, 4, 1,  true,  true, false, false);
   u_waitrequest_pipe_mosi_miso_rdval : entity work.tb_mm_bus generic map ( 2,      0, 4, 1,  true,  true,  true, false);
 
-  -- Do not support simultaneous g_pipeline_mosi = TRUE and g_pipeline_miso_wait = TRUE, see mm_bus_pipe.vhd.
-  --u_waitrequest_pipe_mosi_miso_wait  : ENTITY work.tb_mm_bus GENERIC MAP ( 2,      0, 4, 1,  TRUE,  TRUE, FALSE,  TRUE);
-  --u_waitrequest_pipe_all             : ENTITY work.tb_mm_bus GENERIC MAP ( 2,      0, 4, 1,  TRUE,  TRUE,  TRUE,  TRUE);
+-- Do not support simultaneous g_pipeline_mosi = TRUE and g_pipeline_miso_wait = TRUE, see mm_bus_pipe.vhd.
+--u_waitrequest_pipe_mosi_miso_wait  : ENTITY work.tb_mm_bus GENERIC MAP ( 2,      0, 4, 1,  TRUE,  TRUE, FALSE,  TRUE);
+--u_waitrequest_pipe_all             : ENTITY work.tb_mm_bus GENERIC MAP ( 2,      0, 4, 1,  TRUE,  TRUE,  TRUE,  TRUE);
 
 end tb;
diff --git a/libraries/base/mm/tb/vhdl/tb_tb_mm_file.vhd b/libraries/base/mm/tb/vhdl/tb_tb_mm_file.vhd
index 12ef1e6736..c2d1959fe9 100644
--- a/libraries/base/mm/tb/vhdl/tb_tb_mm_file.vhd
+++ b/libraries/base/mm/tb/vhdl/tb_tb_mm_file.vhd
@@ -27,7 +27,7 @@
 -- > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_mm_file is
 end tb_tb_mm_file;
diff --git a/libraries/base/mm/tb/vhdl/tb_tb_mm_master_mux.vhd b/libraries/base/mm/tb/vhdl/tb_tb_mm_master_mux.vhd
index d08ca2fdfe..d4b1bb58c4 100644
--- a/libraries/base/mm/tb/vhdl/tb_tb_mm_master_mux.vhd
+++ b/libraries/base/mm/tb/vhdl/tb_tb_mm_master_mux.vhd
@@ -26,8 +26,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity tb_tb_mm_master_mux is
 end tb_tb_mm_master_mux;
@@ -55,7 +55,7 @@ begin
   u_waitrequest_pipe_mosi            : entity work.tb_mm_master_mux generic map (2, (0, 256), (4,   8),  true,  true, false, false);
   u_waitrequest_pipe_mosi_miso_rdval : entity work.tb_mm_master_mux generic map (2, (0, 256), (4,   8),  true,  true,  true, false);
 
-  -- Do not support simultaneous g_pipeline_mosi = TRUE and g_pipeline_miso_wait = TRUE, see mm_bus_pipe.vhd.
-  --u_waitrequest_pipe_all             : ENTITY work.tb_mm_master_mux GENERIC MAP (2, (0, 256), (4,   8),  TRUE,  TRUE,  TRUE, TRUE);
+-- Do not support simultaneous g_pipeline_mosi = TRUE and g_pipeline_miso_wait = TRUE, see mm_bus_pipe.vhd.
+--u_waitrequest_pipe_all             : ENTITY work.tb_mm_master_mux GENERIC MAP (2, (0, 256), (4,   8),  TRUE,  TRUE,  TRUE, TRUE);
 
 end tb;
diff --git a/libraries/base/reorder/src/vhdl/mms_reorder_rewire.vhd b/libraries/base/reorder/src/vhdl/mms_reorder_rewire.vhd
index 8a28d3cdf6..654fb1294a 100644
--- a/libraries/base/reorder/src/vhdl/mms_reorder_rewire.vhd
+++ b/libraries/base/reorder/src/vhdl/mms_reorder_rewire.vhd
@@ -48,12 +48,12 @@
 -- Some more remarks:
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.reorder_pkg.all;
 
 entity mms_reorder_rewire is
   generic (
@@ -90,44 +90,44 @@ architecture str of mms_reorder_rewire is
 begin
 
   u_register : entity work.reorder_rewire_reg
-  generic map(
-    g_nof_streams        => g_nof_streams,
-    g_sel_in_w           => g_sel_in_w,
-    g_cross_clock_domain => true
-  )
-  port map(
-    -- Clocks and reset
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
-    st_rst    => dp_rst,
-    st_clk    => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in    => reg_mosi,
-    sla_out   => reg_miso,
-
-    -- MM registers in st_clk domain
-    sel_reg   => sel_reg
-  );
+    generic map(
+      g_nof_streams        => g_nof_streams,
+      g_sel_in_w           => g_sel_in_w,
+      g_cross_clock_domain => true
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+      st_rst    => dp_rst,
+      st_clk    => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in    => reg_mosi,
+      sla_out   => reg_miso,
+
+      -- MM registers in st_clk domain
+      sel_reg   => sel_reg
+    );
 
   u_rewire: entity work.reorder_rewire
-  generic map(
-    g_select_table  => g_select_table,
-    g_nof_streams   => g_nof_streams,
-    g_sel_in_w      => g_sel_in_w,
-    g_use_sel_table => g_use_sel_table
-  )
-  port map(
-    -- System
-    sel_in          => sel_reg,
-
-    -- Inputs from transceiver
-    input_sosi_arr  => snk_in_arr,
-    input_siso_arr  => snk_out_arr,
-
-    -- Outputs to processing
-    output_sosi_arr => src_out_arr,
-    output_siso_arr => src_in_arr
-  );
+    generic map(
+      g_select_table  => g_select_table,
+      g_nof_streams   => g_nof_streams,
+      g_sel_in_w      => g_sel_in_w,
+      g_use_sel_table => g_use_sel_table
+    )
+    port map(
+      -- System
+      sel_in          => sel_reg,
+
+      -- Inputs from transceiver
+      input_sosi_arr  => snk_in_arr,
+      input_siso_arr  => snk_out_arr,
+
+      -- Outputs to processing
+      output_sosi_arr => src_out_arr,
+      output_siso_arr => src_in_arr
+    );
 
 end str;
diff --git a/libraries/base/reorder/src/vhdl/reorder_col.vhd b/libraries/base/reorder/src/vhdl/reorder_col.vhd
index 79db37a81a..f6d26c15d3 100644
--- a/libraries/base/reorder/src/vhdl/reorder_col.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_col.vhd
@@ -51,11 +51,11 @@
 --   support the input_siso signal, e.g. based on store_done and retrieve_done.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_col is
   generic (
@@ -90,17 +90,21 @@ end reorder_col;
 architecture str of reorder_col is
 
   constant c_data_w         : natural := c_nof_complex * g_dsp_data_w;
-  constant c_store_buf      : t_c_mem := (latency  => 1,
-                                          adr_w    => ceil_log2(g_nof_ch_in),
-                                          dat_w    => c_data_w,
-                                          nof_dat  => g_nof_ch_in,
-                                          init_sl  => '0');  -- ST side : stat_mosi
-
-  constant c_select_buf     : t_c_mem := (latency  => 1,
-                                          adr_w    => ceil_log2(g_nof_ch_sel),
-                                          dat_w    => ceil_log2(g_nof_ch_in),
-                                          nof_dat  => g_nof_ch_sel,
-                                          init_sl  => '0');
+  constant c_store_buf      : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_ch_in),
+    dat_w    => c_data_w,
+    nof_dat  => g_nof_ch_in,
+    init_sl  => '0'
+  );  -- ST side : stat_mosi
+
+  constant c_select_buf     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_ch_sel),
+    dat_w    => ceil_log2(g_nof_ch_in),
+    nof_dat  => g_nof_ch_sel,
+    init_sl  => '0'
+  );
 
   constant c_data_nof_pages       : natural := 2;  -- fixed dual page SS
   constant c_info_nof_pages       : natural := 2;  -- fixed, fits the dual page block latency and logic latency of the SS
@@ -135,110 +139,110 @@ begin
   -- (no bursting) by enforcing a minimum period of g_nof_ch_sel
   -----------------------------------------------------------------------------
   u_dp_throttle_sop : entity dp_lib.dp_throttle_sop
-  generic map (
-    g_period    => g_nof_ch_sel
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    snk_out     => input_siso,
-    snk_in      => input_sosi
-  );
+    generic map (
+      g_period    => g_nof_ch_sel
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      snk_out     => input_siso,
+      snk_in      => input_sosi
+    );
 
   u_store : entity work.reorder_store
-  generic map (
-    g_dsp_data_w  => g_dsp_data_w,
-    g_nof_ch_in   => g_nof_ch_in,
-    g_use_complex => g_use_complex
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-
-    -- Streaming
-    input_sosi    => input_sosi,
-    -- Timing
-    store_done    => store_done,
-    -- Write store buffer control
-    store_mosi    => store_mosi
-  );
+    generic map (
+      g_dsp_data_w  => g_dsp_data_w,
+      g_nof_ch_in   => g_nof_ch_in,
+      g_use_complex => g_use_complex
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+
+      -- Streaming
+      input_sosi    => input_sosi,
+      -- Timing
+      store_done    => store_done,
+      -- Write store buffer control
+      store_mosi    => store_mosi
+    );
 
   u_store_buf : entity common_lib.common_paged_ram_r_w
-  generic map (
-    g_str             => "use_adr",
-    g_data_w          => c_store_buf.dat_w,
-    g_nof_pages       => c_data_nof_pages,
-    g_page_sz         => c_store_buf.nof_dat,
-    g_wr_start_page   => 0,
-    g_rd_start_page   => 0,
-    g_rd_latency      => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    wr_next_page => store_done,
-    wr_adr       => store_mosi.address(c_store_buf.adr_w - 1 downto 0),
-    wr_en        => store_mosi.wr,
-    wr_dat       => store_mosi.wrdata(c_store_buf.dat_w - 1 downto 0),
-    rd_next_page => retrieve_done,
-    rd_adr       => retrieve_mosi.address(c_store_buf.adr_w - 1 downto 0),
-    rd_en        => retrieve_mosi.rd,
-    rd_dat       => retrieve_miso.rddata(c_store_buf.dat_w - 1 downto 0),
-    rd_val       => retrieve_miso.rdval
-  );
+    generic map (
+      g_str             => "use_adr",
+      g_data_w          => c_store_buf.dat_w,
+      g_nof_pages       => c_data_nof_pages,
+      g_page_sz         => c_store_buf.nof_dat,
+      g_wr_start_page   => 0,
+      g_rd_start_page   => 0,
+      g_rd_latency      => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      wr_next_page => store_done,
+      wr_adr       => store_mosi.address(c_store_buf.adr_w - 1 downto 0),
+      wr_en        => store_mosi.wr,
+      wr_dat       => store_mosi.wrdata(c_store_buf.dat_w - 1 downto 0),
+      rd_next_page => retrieve_done,
+      rd_adr       => retrieve_mosi.address(c_store_buf.adr_w - 1 downto 0),
+      rd_en        => retrieve_mosi.rd,
+      rd_dat       => retrieve_miso.rddata(c_store_buf.dat_w - 1 downto 0),
+      rd_val       => retrieve_miso.rdval
+    );
 
   u_select_buf : entity common_lib.common_ram_crw_crw
-  generic map (
-    g_ram        => c_select_buf,
-    g_init_file  => g_select_file_name
-  )
-  port map (
-    -- MM side
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-    wr_en_a   => ram_ss_ss_mosi.wr,
-    wr_dat_a  => ram_ss_ss_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
-    adr_a     => ram_ss_ss_mosi.address(c_select_buf.adr_w - 1 downto 0),
-    rd_en_a   => ram_ss_ss_mosi.rd,
-    rd_dat_a  => ram_ss_ss_miso.rddata(c_select_buf.dat_w - 1 downto 0),
-    rd_val_a  => ram_ss_ss_miso.rdval,
-    -- ST side
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
-    wr_en_b   => select_mosi.wr,
-    wr_dat_b  => select_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
-    adr_b     => select_mosi.address(c_select_buf.adr_w - 1 downto 0),
-    rd_en_b   => select_mosi.rd,
-    rd_dat_b  => select_miso.rddata(c_select_buf.dat_w - 1 downto 0),
-    rd_val_b  => select_miso.rdval
-  );
+    generic map (
+      g_ram        => c_select_buf,
+      g_init_file  => g_select_file_name
+    )
+    port map (
+      -- MM side
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
+      wr_en_a   => ram_ss_ss_mosi.wr,
+      wr_dat_a  => ram_ss_ss_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
+      adr_a     => ram_ss_ss_mosi.address(c_select_buf.adr_w - 1 downto 0),
+      rd_en_a   => ram_ss_ss_mosi.rd,
+      rd_dat_a  => ram_ss_ss_miso.rddata(c_select_buf.dat_w - 1 downto 0),
+      rd_val_a  => ram_ss_ss_miso.rdval,
+      -- ST side
+      rst_b     => dp_rst,
+      clk_b     => dp_clk,
+      wr_en_b   => select_mosi.wr,
+      wr_dat_b  => select_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
+      adr_b     => select_mosi.address(c_select_buf.adr_w - 1 downto 0),
+      rd_en_b   => select_mosi.rd,
+      rd_dat_b  => select_miso.rddata(c_select_buf.dat_w - 1 downto 0),
+      rd_val_b  => select_miso.rdval
+    );
 
   u_retrieve : entity work.reorder_retrieve
-  generic map (
-    g_dsp_data_w   => g_dsp_data_w,
-    g_nof_ch_in    => g_nof_ch_in,
-    g_nof_ch_sel   => g_nof_ch_sel
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-
-    -- Timing
-    store_done     => store_done,
-
-    -- Read store_buf control
-    retrieve_mosi  => retrieve_mosi,
-    retrieve_miso  => retrieve_miso,
-    retrieve_done  => retrieve_done,
-
-    -- Read select_buf control
-    select_mosi    => select_mosi,
-    select_miso    => select_miso,
-
-    -- Streaming
-    output_sosi    => retrieve_sosi,
-    output_siso    => retrieve_siso
-  );
+    generic map (
+      g_dsp_data_w   => g_dsp_data_w,
+      g_nof_ch_in    => g_nof_ch_in,
+      g_nof_ch_sel   => g_nof_ch_sel
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+
+      -- Timing
+      store_done     => store_done,
+
+      -- Read store_buf control
+      retrieve_mosi  => retrieve_mosi,
+      retrieve_miso  => retrieve_miso,
+      retrieve_done  => retrieve_done,
+
+      -- Read select_buf control
+      select_mosi    => select_mosi,
+      select_miso    => select_miso,
+
+      -- Streaming
+      output_sosi    => retrieve_sosi,
+      output_siso    => retrieve_siso
+    );
 
   -- Page delay the input_sosi info (sync, BSN, channel at sop and err, empty at eop) and combine
   -- it with the retrieved SS data to get the output_sosi.
@@ -246,20 +250,20 @@ begin
   info_eop_wr_en <= input_sosi.eop & store_done;
 
   u_info_sosi : entity dp_lib.dp_paged_sop_eop_reg
-  generic map (
-    g_nof_pages  => c_info_nof_pages
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    -- page write enable ctrl
-    sop_wr_en   => info_sop_wr_en,
-    eop_wr_en   => info_eop_wr_en,
-    -- ST sink
-    snk_in      => input_sosi,
-    -- ST source
-    src_out     => info_sosi_paged
-  );
+    generic map (
+      g_nof_pages  => c_info_nof_pages
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      -- page write enable ctrl
+      sop_wr_en   => info_sop_wr_en,
+      eop_wr_en   => info_eop_wr_en,
+      -- ST sink
+      snk_in      => input_sosi,
+      -- ST source
+      src_out     => info_sosi_paged
+    );
 
   -- Account for retrieve rd latency is 1, for sop related info it is not
   -- critical that it arrives early, but for eop related info it is.
@@ -268,20 +272,20 @@ begin
 
   -- Adapt output ready latency (RL), defaults to wires when c_output_rl = c_retrieve_lat
   u_rl : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency   => c_retrieve_lat,
-    g_out_latency  => c_output_rl
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => retrieve_siso,
-    snk_in       => retrieve_info_sosi,
-    -- ST source
-    src_in       => output_siso,
-    src_out      => output_sosi
-  );
+    generic map (
+      g_in_latency   => c_retrieve_lat,
+      g_out_latency  => c_output_rl
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => retrieve_siso,
+      snk_in       => retrieve_info_sosi,
+      -- ST source
+      src_in       => output_siso,
+      src_out      => output_sosi
+    );
 
 end str;
 
diff --git a/libraries/base/reorder/src/vhdl/reorder_col_select.vhd b/libraries/base/reorder/src/vhdl/reorder_col_select.vhd
index 2fd6d8d369..c7ce258c3e 100644
--- a/libraries/base/reorder/src/vhdl/reorder_col_select.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_col_select.vhd
@@ -53,11 +53,11 @@
 --   that has g_nof_inputs instances of reorder_col_select.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_col_select is
   generic (
@@ -93,11 +93,13 @@ end reorder_col_select;
 architecture str of reorder_col_select is
 
   constant c_data_w    : natural := c_nof_complex * g_dsp_data_w;
-  constant c_store_buf : t_c_mem := (latency  => 1,
-                                     adr_w    => ceil_log2(g_nof_ch_in),
-                                     dat_w    => c_data_w,
-                                     nof_dat  => g_nof_ch_in,
-                                     init_sl  => '0');  -- ST side : stat_mosi
+  constant c_store_buf : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_ch_in),
+    dat_w    => c_data_w,
+    nof_dat  => g_nof_ch_in,
+    init_sl  => '0'
+  );  -- ST side : stat_mosi
 
   constant c_data_nof_pages : natural := 2;  -- fixed dual page SS
   constant c_info_nof_pages : natural := 2;  -- fixed, fits the dual page block latency and logic latency of the SS
@@ -143,64 +145,64 @@ begin
   output_nof_ch_sel <= nof_ch_sel_reg;
 
   u_store : entity work.reorder_store
-  generic map (
-    g_dsp_data_w  => g_dsp_data_w,
-    g_nof_ch_in   => g_nof_ch_in,
-    g_use_complex => g_use_complex
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    -- Dynamic reorder block size control
-    nof_ch_in     => nof_ch_in_reg,
-    -- Streaming
-    input_sosi    => input_sosi_reg,
-    -- Timing
-    store_busy    => store_busy,
-    store_done    => store_done,
-    -- Write store buffer control
-    store_mosi    => store_mosi
-  );
+    generic map (
+      g_dsp_data_w  => g_dsp_data_w,
+      g_nof_ch_in   => g_nof_ch_in,
+      g_use_complex => g_use_complex
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      -- Dynamic reorder block size control
+      nof_ch_in     => nof_ch_in_reg,
+      -- Streaming
+      input_sosi    => input_sosi_reg,
+      -- Timing
+      store_busy    => store_busy,
+      store_done    => store_done,
+      -- Write store buffer control
+      store_mosi    => store_mosi
+    );
 
   u_store_buf : entity common_lib.common_paged_ram_r_w
-  generic map (
-    g_str             => "use_adr",
-    g_data_w          => c_store_buf.dat_w,
-    g_nof_pages       => c_data_nof_pages,
-    g_page_sz         => c_store_buf.nof_dat,
-    g_wr_start_page   => 0,
-    g_rd_start_page   => 1,
-    g_rd_latency      => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    wr_next_page => store_done,
-    wr_adr       => store_mosi.address(c_store_buf.adr_w - 1 downto 0),
-    wr_en        => store_mosi.wr,
-    wr_dat       => store_mosi.wrdata(c_store_buf.dat_w - 1 downto 0),
-    rd_next_page => store_done,
-    rd_adr       => col_select_mosi.address(c_store_buf.adr_w - 1 downto 0),
-    rd_en        => col_select_mosi.rd,
-    rd_dat       => i_col_select_miso.rddata(c_store_buf.dat_w - 1 downto 0),
-    rd_val       => i_col_select_miso.rdval
-  );
+    generic map (
+      g_str             => "use_adr",
+      g_data_w          => c_store_buf.dat_w,
+      g_nof_pages       => c_data_nof_pages,
+      g_page_sz         => c_store_buf.nof_dat,
+      g_wr_start_page   => 0,
+      g_rd_start_page   => 1,
+      g_rd_latency      => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      wr_next_page => store_done,
+      wr_adr       => store_mosi.address(c_store_buf.adr_w - 1 downto 0),
+      wr_en        => store_mosi.wr,
+      wr_dat       => store_mosi.wrdata(c_store_buf.dat_w - 1 downto 0),
+      rd_next_page => store_done,
+      rd_adr       => col_select_mosi.address(c_store_buf.adr_w - 1 downto 0),
+      rd_en        => col_select_mosi.rd,
+      rd_dat       => i_col_select_miso.rddata(c_store_buf.dat_w - 1 downto 0),
+      rd_val       => i_col_select_miso.rdval
+    );
 
   -- Enable retrieve when a block has been stored, disable retrieve when the block has been output
   u_retrieve_en : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '0',
-    g_priority_lo  => false,  -- store_done has priority over nxt_retrieve_done when they occur simultaneously
-    g_or_high      => true,
-    g_and_low      => false
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    switch_high => store_done,
-    switch_low  => retrieve_eop_dly(0),
-    out_level   => retrieve_en
-  );
+    generic map (
+      g_rst_level    => '0',
+      g_priority_lo  => false,  -- store_done has priority over nxt_retrieve_done when they occur simultaneously
+      g_or_high      => true,
+      g_and_low      => false
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      switch_high => store_done,
+      switch_low  => retrieve_eop_dly(0),
+      out_level   => retrieve_en
+    );
 
   -- Determine reorder_busy
   nxt_retrieve_busy <= '0' when ch_cnt = 0 and retrieve_en = '0' else '1';
@@ -267,20 +269,20 @@ begin
   info_eop_wr_en <= input_sosi_reg.eop & store_done;
 
   u_info_sosi : entity dp_lib.dp_paged_sop_eop_reg
-  generic map (
-    g_nof_pages => c_info_nof_pages
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    -- page write enable ctrl
-    sop_wr_en   => info_sop_wr_en,
-    eop_wr_en   => info_eop_wr_en,
-    -- ST sink
-    snk_in      => input_sosi_reg,
-    -- ST source
-    src_out     => info_sosi_paged
-  );
+    generic map (
+      g_nof_pages => c_info_nof_pages
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      -- page write enable ctrl
+      sop_wr_en   => info_sop_wr_en,
+      eop_wr_en   => info_eop_wr_en,
+      -- ST sink
+      snk_in      => input_sosi_reg,
+      -- ST source
+      src_out     => info_sosi_paged
+    );
 
   -- Account for retrieve rd latency is 1, for sop related info it is not
   -- critical that it arrives early, but for eop related info it is.
diff --git a/libraries/base/reorder/src/vhdl/reorder_col_wide.vhd b/libraries/base/reorder/src/vhdl/reorder_col_wide.vhd
index 4cc63def4f..75934a0627 100644
--- a/libraries/base/reorder/src/vhdl/reorder_col_wide.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_col_wide.vhd
@@ -31,11 +31,11 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_col_wide is
   generic (
@@ -82,46 +82,46 @@ begin
   -- Combine the internal array of mm interfaces for the selection
   -- memory to one array that is connected to the port of the ss_wide wunit
   u_mem_mux_select : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_wb_factor,
-    g_mult_addr_w => c_mem_addr_w
-  )
-  port map (
-    mosi     => ram_ss_ss_wide_mosi,
-    miso     => ram_ss_ss_wide_miso,
-    mosi_arr => ram_ss_ss_wide_mosi_arr,
-    miso_arr => ram_ss_ss_wide_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_wb_factor,
+      g_mult_addr_w => c_mem_addr_w
+    )
+    port map (
+      mosi     => ram_ss_ss_wide_mosi,
+      miso     => ram_ss_ss_wide_miso,
+      mosi_arr => ram_ss_ss_wide_mosi_arr,
+      miso_arr => ram_ss_ss_wide_miso_arr
+    );
 
   ---------------------------------------------------------------
   -- INSTANTIATE MULTIPLE SINGLE CHANNEL SUBBAND SELECT UNITS
   ---------------------------------------------------------------
   gen_reorder_col_arr : for I in 0 to g_wb_factor - 1 generate
     u_reorder_col : entity work.reorder_col
-    generic map (
-      g_dsp_data_w         => g_dsp_data_w,
-      g_nof_ch_in          => c_nof_ch_in,
-      g_nof_ch_sel         => c_nof_ch_sel,
-      g_select_file_name   => sel_a_b(g_select_file_prefix = "UNUSED", "UNUSED", g_select_file_prefix & "_" & natural'image(I) & ".hex"),
-      g_use_complex        => g_use_complex
-    )
-    port map (
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Memory Mapped
-      ram_ss_ss_mosi => ram_ss_ss_wide_mosi_arr(I),
-      ram_ss_ss_miso => ram_ss_ss_wide_miso_arr(I),
-
-      -- Streaming
-      input_sosi     => input_sosi_arr(I),
-      input_siso     => input_siso_arr(I),
-
-      output_sosi    => output_sosi_arr(I),
-      output_siso    => output_siso_arr(I)
-    );
+      generic map (
+        g_dsp_data_w         => g_dsp_data_w,
+        g_nof_ch_in          => c_nof_ch_in,
+        g_nof_ch_sel         => c_nof_ch_sel,
+        g_select_file_name   => sel_a_b(g_select_file_prefix = "UNUSED", "UNUSED", g_select_file_prefix & "_" & natural'image(I) & ".hex"),
+        g_use_complex        => g_use_complex
+      )
+      port map (
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Memory Mapped
+        ram_ss_ss_mosi => ram_ss_ss_wide_mosi_arr(I),
+        ram_ss_ss_miso => ram_ss_ss_wide_miso_arr(I),
+
+        -- Streaming
+        input_sosi     => input_sosi_arr(I),
+        input_siso     => input_siso_arr(I),
+
+        output_sosi    => output_sosi_arr(I),
+        output_siso    => output_siso_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/reorder/src/vhdl/reorder_col_wide_select.vhd b/libraries/base/reorder/src/vhdl/reorder_col_wide_select.vhd
index 62e6db3216..d28cb58cb9 100644
--- a/libraries/base/reorder/src/vhdl/reorder_col_wide_select.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_col_wide_select.vhd
@@ -29,11 +29,11 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_col_wide_select is
   generic (
@@ -74,28 +74,28 @@ begin
   -- by col_select_mosi to each stream.
   gen_nof_input : for I in 0 to g_nof_inputs - 1 generate
     u_reorder_col_select : entity work.reorder_col_select
-    generic map (
-      g_dsp_data_w         => g_dsp_data_w,
-      g_nof_ch_in          => g_nof_ch_in,
-      g_nof_ch_sel         => g_nof_ch_sel,
-      g_use_complex        => g_use_complex
-    )
-    port map (
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Dynamic reorder block size control, same for all streams
-      nof_ch_in      => nof_ch_in,
-      nof_ch_sel     => nof_ch_sel,
-
-      -- Memory Mapped
-      col_select_mosi => col_select_mosi,
-      col_select_miso => col_select_miso_arr(I),
-
-      -- Streaming
-      input_sosi     => input_sosi_arr(I),
-      output_sosi    => output_sosi_arr(I)
-    );
+      generic map (
+        g_dsp_data_w         => g_dsp_data_w,
+        g_nof_ch_in          => g_nof_ch_in,
+        g_nof_ch_sel         => g_nof_ch_sel,
+        g_use_complex        => g_use_complex
+      )
+      port map (
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Dynamic reorder block size control, same for all streams
+        nof_ch_in      => nof_ch_in,
+        nof_ch_sel     => nof_ch_sel,
+
+        -- Memory Mapped
+        col_select_mosi => col_select_mosi,
+        col_select_miso => col_select_miso_arr(I),
+
+        -- Streaming
+        input_sosi     => input_sosi_arr(I),
+        output_sosi    => output_sosi_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/reorder/src/vhdl/reorder_matrix.vhd b/libraries/base/reorder/src/vhdl/reorder_matrix.vhd
index 46a30e6afa..4f6e085a1e 100644
--- a/libraries/base/reorder/src/vhdl/reorder_matrix.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_matrix.vhd
@@ -47,11 +47,11 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_matrix is
   generic (
@@ -100,101 +100,101 @@ begin
   -----------------------------------------------------------------------------
   gen_dp_throttle_sop : for i in 0 to g_nof_inputs - 1 generate
     u_dp_throttle_sop : entity dp_lib.dp_throttle_sop
-    generic map (
-      g_period    => g_frame_size_out
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      snk_out     => input_siso_arr(i),
-      snk_in      => input_sosi_arr(i)
-    );
+      generic map (
+        g_period    => g_frame_size_out
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        snk_out     => input_siso_arr(i),
+        snk_in      => input_sosi_arr(i)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- Reorder input streams
   -----------------------------------------------------------------------------
   u_input_reorder : entity work.reorder_row
-  generic map(
-    g_nof_inputs        => g_nof_inputs,
-    g_nof_outputs       => g_nof_internals,
-    g_dsp_data_w        => g_dsp_data_w,
-    g_frame_size        => g_frame_size_in,
-    g_ram_init_file     => g_reorder_in_file_name,
-    g_pipeline_in       => 1,
-    g_pipeline_in_m     => 1,
-    g_pipeline_out      => 1
-  )
-  port map(
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-    dp_rst              => dp_rst,
-    dp_clk              => dp_clk,
-
-    -- Memory Mapped
-    ram_ss_reorder_mosi => ram_ss_reorder_in_mosi,
-    ram_ss_reorder_miso => ram_ss_reorder_in_miso,
-
-    -- Streaming
-    input_sosi_arr      => input_sosi_arr,
-    output_sosi_arr     => ss_wide_in_sosi_arr
-  );
+    generic map(
+      g_nof_inputs        => g_nof_inputs,
+      g_nof_outputs       => g_nof_internals,
+      g_dsp_data_w        => g_dsp_data_w,
+      g_frame_size        => g_frame_size_in,
+      g_ram_init_file     => g_reorder_in_file_name,
+      g_pipeline_in       => 1,
+      g_pipeline_in_m     => 1,
+      g_pipeline_out      => 1
+    )
+    port map(
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+      dp_rst              => dp_rst,
+      dp_clk              => dp_clk,
+
+      -- Memory Mapped
+      ram_ss_reorder_mosi => ram_ss_reorder_in_mosi,
+      ram_ss_reorder_miso => ram_ss_reorder_in_miso,
+
+      -- Streaming
+      input_sosi_arr      => input_sosi_arr,
+      output_sosi_arr     => ss_wide_in_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Serial word selection per stream
   -----------------------------------------------------------------------------
   u_ss_wide : entity work.reorder_col_wide
-  generic map (
-    g_wb_factor          => g_nof_internals,
-    g_dsp_data_w         => g_dsp_data_w,
-    g_nof_ch_in          => g_frame_size_in,
-    g_nof_ch_sel         => g_frame_size_out,
-    g_select_file_prefix => g_ss_wide_file_prefix
-  )
-  port map (
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-
-    -- Memory Mapped
-    ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso,
-
-    -- Streaming
-    input_sosi_arr       => ss_wide_in_sosi_arr,
-    input_siso_arr       => OPEN,
-    output_sosi_arr      => ss_wide_out_sosi_arr
-  );
+    generic map (
+      g_wb_factor          => g_nof_internals,
+      g_dsp_data_w         => g_dsp_data_w,
+      g_nof_ch_in          => g_frame_size_in,
+      g_nof_ch_sel         => g_frame_size_out,
+      g_select_file_prefix => g_ss_wide_file_prefix
+    )
+    port map (
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+
+      -- Memory Mapped
+      ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso,
+
+      -- Streaming
+      input_sosi_arr       => ss_wide_in_sosi_arr,
+      input_siso_arr       => OPEN,
+      output_sosi_arr      => ss_wide_out_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Reorder output streams
   -----------------------------------------------------------------------------
   u_output_reorder : entity work.reorder_row
-  generic map(
-    g_nof_inputs        => g_nof_internals,
-    g_nof_outputs       => g_nof_outputs,
-    g_dsp_data_w        => g_dsp_data_w,
-    g_frame_size        => g_frame_size_out,
-    g_ram_init_file     => g_reorder_out_file_name,
-    g_pipeline_in       => 1,
-    g_pipeline_in_m     => 1,
-    g_pipeline_out      => 1
-  )
-  port map(
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-    dp_rst              => dp_rst,
-    dp_clk              => dp_clk,
-
-    -- Memory Mapped
-    ram_ss_reorder_mosi => ram_ss_reorder_out_mosi,
-    ram_ss_reorder_miso => ram_ss_reorder_out_miso,
-
-    -- Streaming
-    input_sosi_arr      => ss_wide_out_sosi_arr,
-    output_sosi_arr     => output_sosi_arr
-  );
+    generic map(
+      g_nof_inputs        => g_nof_internals,
+      g_nof_outputs       => g_nof_outputs,
+      g_dsp_data_w        => g_dsp_data_w,
+      g_frame_size        => g_frame_size_out,
+      g_ram_init_file     => g_reorder_out_file_name,
+      g_pipeline_in       => 1,
+      g_pipeline_in_m     => 1,
+      g_pipeline_out      => 1
+    )
+    port map(
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+      dp_rst              => dp_rst,
+      dp_clk              => dp_clk,
+
+      -- Memory Mapped
+      ram_ss_reorder_mosi => ram_ss_reorder_out_mosi,
+      ram_ss_reorder_miso => ram_ss_reorder_out_miso,
+
+      -- Streaming
+      input_sosi_arr      => ss_wide_out_sosi_arr,
+      output_sosi_arr     => output_sosi_arr
+    );
 
 end str;
 
diff --git a/libraries/base/reorder/src/vhdl/reorder_pkg.vhd b/libraries/base/reorder/src/vhdl/reorder_pkg.vhd
index 80136add77..9678c307b6 100644
--- a/libraries/base/reorder/src/vhdl/reorder_pkg.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_pkg.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 package reorder_pkg is
 
@@ -41,40 +41,1063 @@ package reorder_pkg is
 
   type t_reorder_table is array(integer range 0 to 31, integer range 0 to 31) of natural;
 
-  constant c_reorder_table: t_reorder_table :=
-  (
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)
+  constant c_reorder_table: t_reorder_table := (
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0)
   );
 
   -- Block and data counters to derive select_copi.address for transpose
@@ -91,9 +1114,10 @@ package reorder_pkg is
   -- Input block has nof_ch = nof_data_per_block * nof_blocks_per_packet
   -- data per packet. The transpose.select_copi.address order will yield
   -- transposed output, with nof_blocks_per_packet and nof_data_per_block.
-  function func_reorder_transpose(nof_blocks_per_packet : natural;
-                                  nof_data_per_block    : natural;
-                                  transpose             : t_reorder_transpose)
+  function func_reorder_transpose (
+    nof_blocks_per_packet : natural;
+    nof_data_per_block    : natural;
+    transpose             : t_reorder_transpose)
                                   return t_reorder_transpose;
 
 end reorder_pkg;
@@ -136,9 +1160,10 @@ package body reorder_pkg is
   --   data_out:       0  1  2  3  4  5  6  7  8  9 10 11 12 13 14  -- out_sosi
   --
   -- to restore the original order.
-  function func_reorder_transpose(nof_blocks_per_packet : natural;
-                                  nof_data_per_block    : natural;
-                                  transpose             : t_reorder_transpose)
+  function func_reorder_transpose (
+    nof_blocks_per_packet : natural;
+    nof_data_per_block    : natural;
+    transpose             : t_reorder_transpose)
                                   return t_reorder_transpose is
     variable v : t_reorder_transpose;
   begin
diff --git a/libraries/base/reorder/src/vhdl/reorder_retreive.vhd b/libraries/base/reorder/src/vhdl/reorder_retreive.vhd
index 099f85a16e..8962995276 100644
--- a/libraries/base/reorder/src/vhdl/reorder_retreive.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_retreive.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Retrieve blocks of g_nof_ch_sel complex data words from a dual
 --          page data buffer
@@ -114,22 +114,22 @@ begin
 
   -- Enable retrieve when a block has been stored, disable retrieve when the block has been output
   u_retrieve_en : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '0',
-    -- store_done has priority over nxt_retrieve_done when they occur simultaneously
-    g_priority_lo  => false,
-    g_or_high      => true,
-    g_and_low      => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => store_done,
-    -- can not use retrieve_done with g_and_low = TRUE, because if retrieve_done
-    -- occurs after next store_done then that page gets missed
-    switch_low  => nxt_retrieve_done,
-    out_level   => retrieve_en
-  );
+    generic map (
+      g_rst_level    => '0',
+      -- store_done has priority over nxt_retrieve_done when they occur simultaneously
+      g_priority_lo  => false,
+      g_or_high      => true,
+      g_and_low      => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => store_done,
+      -- can not use retrieve_done with g_and_low = TRUE, because if retrieve_done
+      -- occurs after next store_done then that page gets missed
+      switch_low  => nxt_retrieve_done,
+      out_level   => retrieve_en
+    );
 
   retrieve_ready <= retrieve_en and output_siso.ready;
 
diff --git a/libraries/base/reorder/src/vhdl/reorder_rewire.vhd b/libraries/base/reorder/src/vhdl/reorder_rewire.vhd
index 04475b3dc3..5a272e5352 100644
--- a/libraries/base/reorder/src/vhdl/reorder_rewire.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_rewire.vhd
@@ -48,12 +48,12 @@
 -- Some more remarks:
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.reorder_pkg.all;
 
 entity reorder_rewire is
   generic(
diff --git a/libraries/base/reorder/src/vhdl/reorder_rewire_reg.vhd b/libraries/base/reorder/src/vhdl/reorder_rewire_reg.vhd
index 9deaf608b0..74720d1f68 100644
--- a/libraries/base/reorder/src/vhdl/reorder_rewire_reg.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_rewire_reg.vhd
@@ -41,9 +41,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity reorder_rewire_reg is
   generic (
@@ -74,11 +74,13 @@ architecture str of reorder_rewire_reg is
   constant c_nof_required_registers : natural := 1;  -- c_nof_required_bits MOD c_word_w;
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(c_nof_required_registers),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => c_nof_required_registers,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_required_registers),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_required_registers,
+    init_sl  => '0'
+  );
 
   --  FUNCTION array_init(init : NATURAL;   nof, width : NATURAL) RETURN STD_LOGIC_VECTOR;  -- useful to init an unconstrained std_logic_vector with repetitive content
   constant c_reg_init    : std_logic_vector(g_nof_streams * g_sel_in_w - 1 downto 0) := array_init(0, g_nof_streams, g_sel_in_w, 1);
@@ -89,32 +91,32 @@ architecture str of reorder_rewire_reg is
 begin
 
   u_reg : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_in_new_latency     => 1,
-    g_readback           => true,
-    g_reg                => c_mm_reg,
-    g_init_reg           => RESIZE_UVEC(c_reg_init, c_mem_reg_init_w)  -- RESIZE_UVEC(TO_UVEC(1, c_word_w), c_mem_reg_init_w)
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => sla_in,
-    sla_out     => sla_out,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_new      => OPEN,
-    in_reg      => sel_in_reg,  -- read
-    out_reg     => sel_in_reg,  -- write
-    out_new     => open
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_in_new_latency     => 1,
+      g_readback           => true,
+      g_reg                => c_mm_reg,
+      g_init_reg           => RESIZE_UVEC(c_reg_init, c_mem_reg_init_w)  -- RESIZE_UVEC(TO_UVEC(1, c_word_w), c_mem_reg_init_w)
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => sla_in,
+      sla_out     => sla_out,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_new      => OPEN,
+      in_reg      => sel_in_reg,  -- read
+      out_reg     => sel_in_reg,  -- write
+      out_new     => open
+    );
 
   sel_reg <= sel_in_reg(g_nof_streams * g_sel_in_w - 1 downto 0);
 
diff --git a/libraries/base/reorder/src/vhdl/reorder_row.vhd b/libraries/base/reorder/src/vhdl/reorder_row.vhd
index 32171e203f..352f76b641 100644
--- a/libraries/base/reorder/src/vhdl/reorder_row.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_row.vhd
@@ -32,11 +32,11 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_row is
   generic (
@@ -82,18 +82,22 @@ architecture str of reorder_row is
   constant c_mem_dat_w_mm        : natural := 2**(true_log2(c_select_dat_w_mm));
   constant c_mem_dat_w_dp        : natural := 2**(true_log2(c_select_word_w));
 
-  constant c_select_buf_mm    : t_c_mem := (latency  => 1,
-                                            adr_w    => ceil_log2(c_mem_nof_dat_mm),
-                                            dat_w    => c_mem_dat_w_mm,
-                                            nof_dat  => c_mem_nof_dat_mm,
-                                            init_sl  => '0');
+  constant c_select_buf_mm    : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_mem_nof_dat_mm),
+    dat_w    => c_mem_dat_w_mm,
+    nof_dat  => c_mem_nof_dat_mm,
+    init_sl  => '0'
+  );
 
 
-  constant c_select_buf_dp    : t_c_mem := (latency  => 1,
-                                            adr_w    => ceil_log2(g_frame_size),
-                                            dat_w    => c_mem_dat_w_dp,
-                                            nof_dat  => g_frame_size,
-                                            init_sl  => '0');
+  constant c_select_buf_dp    : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_frame_size),
+    dat_w    => c_mem_dat_w_dp,
+    nof_dat  => g_frame_size,
+    init_sl  => '0'
+  );
 
   constant c_data_w           : natural := g_dsp_data_w * c_nof_complex;
   constant c_mem_ratio_w      : natural := c_mem_dat_w_dp / c_mem_dat_w_mm;
@@ -124,12 +128,12 @@ begin
   gen_input : for I in g_nof_inputs - 1 downto 0 generate
     use_complex : if g_use_complex generate
       reorder_in_dat((I + 1) * c_data_w - 1 downto I * c_data_w) <=
-        r.pipe_sosi_2arr(0)(I).im(g_dsp_data_w - 1 downto 0) &
-        r.pipe_sosi_2arr(0)(I).re(g_dsp_data_w - 1 downto 0);
+                                                                    r.pipe_sosi_2arr(0)(I).im(g_dsp_data_w - 1 downto 0) &
+                                                                    r.pipe_sosi_2arr(0)(I).re(g_dsp_data_w - 1 downto 0);
     end generate;
-   use_data : if not g_use_complex generate
+    use_data : if not g_use_complex generate
       reorder_in_dat((I + 1) * c_data_w - 1 downto I * c_data_w) <=
-        r.pipe_sosi_2arr(0)(I).data(c_data_w - 1 downto 0);
+                                                                    r.pipe_sosi_2arr(0)(I).data(c_data_w - 1 downto 0);
     end generate;
   end generate;
 
@@ -140,21 +144,21 @@ begin
   -- reorder_select signal.
   ---------------------------------------------------------------
   u_reorder : entity common_lib.common_select_m_symbols
-  generic map (
-    g_nof_input     => g_nof_inputs,
-    g_nof_output    => g_nof_outputs,
-    g_symbol_w      => c_nof_complex * g_dsp_data_w,
-    g_pipeline_in   => g_pipeline_in,
-    g_pipeline_in_m => g_pipeline_in_m,
-    g_pipeline_out  => g_pipeline_out
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_data    => reorder_in_dat,
-    in_select  => reorder_select(g_nof_outputs * c_select_w - 1 downto 0),
-    out_data   => reorder_out_dat
-  );
+    generic map (
+      g_nof_input     => g_nof_inputs,
+      g_nof_output    => g_nof_outputs,
+      g_symbol_w      => c_nof_complex * g_dsp_data_w,
+      g_pipeline_in   => g_pipeline_in,
+      g_pipeline_in_m => g_pipeline_in_m,
+      g_pipeline_out  => g_pipeline_out
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_data    => reorder_in_dat,
+      in_select  => reorder_select(g_nof_outputs * c_select_w - 1 downto 0),
+      out_data   => reorder_out_dat
+    );
 
   ---------------------------------------------------------------
   -- SELECTION BUFFER
@@ -162,29 +166,29 @@ begin
   -- Buffer containing the selection words for a complete frame.
   ---------------------------------------------------------------
   u_select_buf : entity common_lib.common_ram_crw_crw_ratio
-  generic map(
-    g_ram_a     => c_select_buf_mm,
-    g_ram_b     => c_select_buf_dp,
-    g_init_file => g_ram_init_file
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-    wr_en_a   => ram_ss_reorder_mosi.wr,
-    wr_dat_a  => ram_ss_reorder_mosi.wrdata(c_select_buf_mm.dat_w - 1 downto 0),
-    adr_a     => ram_ss_reorder_mosi.address(c_select_buf_mm.adr_w - 1 downto 0),
-    rd_en_a   => ram_ss_reorder_mosi.rd,
-    rd_dat_a  => ram_ss_reorder_miso.rddata(c_select_buf_mm.dat_w - 1 downto 0),
-    rd_val_a  => ram_ss_reorder_miso.rdval,
+    generic map(
+      g_ram_a     => c_select_buf_mm,
+      g_ram_b     => c_select_buf_dp,
+      g_init_file => g_ram_init_file
+    )
+    port map (
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
+      wr_en_a   => ram_ss_reorder_mosi.wr,
+      wr_dat_a  => ram_ss_reorder_mosi.wrdata(c_select_buf_mm.dat_w - 1 downto 0),
+      adr_a     => ram_ss_reorder_mosi.address(c_select_buf_mm.adr_w - 1 downto 0),
+      rd_en_a   => ram_ss_reorder_mosi.rd,
+      rd_dat_a  => ram_ss_reorder_miso.rddata(c_select_buf_mm.dat_w - 1 downto 0),
+      rd_val_a  => ram_ss_reorder_miso.rdval,
 
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
-    wr_en_b   => '0',
-    wr_dat_b  => (others => '0'),
-    adr_b     => reorder_chan_cnt,
-    rd_dat_b  => reorder_select,
-    rd_val_b  => open
-  );
+      rst_b     => dp_rst,
+      clk_b     => dp_clk,
+      wr_en_b   => '0',
+      wr_dat_b  => (others => '0'),
+      adr_b     => reorder_chan_cnt,
+      rd_dat_b  => reorder_select,
+      rd_val_b  => open
+    );
 
   ---------------------------------------------------------------
   -- ADDRESS COUNTER
@@ -193,19 +197,19 @@ begin
   ---------------------------------------------------------------
   gen_cnt : if g_frame_size > 1 generate
     u_adr_chn_cnt : entity common_lib.common_counter
-    generic map(
-      g_latency   => 1,
-      g_init      => 0,
-      g_width     => c_select_buf_dp.adr_w,
-      g_max       => g_frame_size
-    )
-    port map (
-      rst     => dp_rst,
-      clk     => dp_clk,
-      cnt_en  => input_sosi_arr(0).valid,
-      cnt_clr => input_sosi_arr(0).eop,
-      count   => reorder_chan_cnt
-    );
+      generic map(
+        g_latency   => 1,
+        g_init      => 0,
+        g_width     => c_select_buf_dp.adr_w,
+        g_max       => g_frame_size
+      )
+      port map (
+        rst     => dp_rst,
+        clk     => dp_clk,
+        cnt_en  => input_sosi_arr(0).valid,
+        cnt_clr => input_sosi_arr(0).eop,
+        count   => reorder_chan_cnt
+      );
   end generate;
 
   gen_no_cnt : if g_frame_size = 1 generate
@@ -220,7 +224,7 @@ begin
   -- Also the data-output of the select_m_symbols block is merged
   -- here with the rest of the pipelined SOSI signals.
   ---------------------------------------------------------------
-   comb : process(r, input_sosi_arr, reorder_out_dat)
+  comb : process(r, input_sosi_arr, reorder_out_dat)
     variable v : reg_type;
     -- Use intermediate variables to avoid too long code lines
     variable v_re : std_logic_vector(g_dsp_data_w - 1 downto 0);
diff --git a/libraries/base/reorder/src/vhdl/reorder_row_select.vhd b/libraries/base/reorder/src/vhdl/reorder_row_select.vhd
index 447f4a1487..7bc1ace12f 100644
--- a/libraries/base/reorder/src/vhdl/reorder_row_select.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_row_select.vhd
@@ -32,11 +32,11 @@
 -- in_select always has to be defined on the same clock cycle as the in_sosi data.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity reorder_row_select is
   generic (
@@ -88,12 +88,12 @@ begin
   gen_input : for I in g_nof_inputs - 1 downto 0 generate
     use_complex : if g_use_complex generate
       reorder_in_dat((I + 1) * c_data_w - 1 downto I * c_data_w) <=
-        input_sosi_arr(I).im(g_dsp_data_w - 1 downto 0) &
-        input_sosi_arr(I).re(g_dsp_data_w - 1 downto 0);
+                                                                    input_sosi_arr(I).im(g_dsp_data_w - 1 downto 0) &
+                                                                    input_sosi_arr(I).re(g_dsp_data_w - 1 downto 0);
     end generate;
     use_data : if not g_use_complex generate
       reorder_in_dat((I + 1) * c_data_w - 1 downto I * c_data_w) <=
-        input_sosi_arr(I).data(c_data_w - 1 downto 0);
+                                                                    input_sosi_arr(I).data(c_data_w - 1 downto 0);
     end generate;
   end generate;
 
@@ -104,21 +104,21 @@ begin
   -- in_select signal.
   ---------------------------------------------------------------
   u_reorder : entity common_lib.common_select_m_symbols
-  generic map (
-    g_nof_input     => g_nof_inputs,
-    g_nof_output    => g_nof_outputs,
-    g_symbol_w      => c_data_w,
-    g_pipeline_in   => g_pipeline_in,
-    g_pipeline_in_m => g_pipeline_in_m,
-    g_pipeline_out  => g_pipeline_out
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_data    => reorder_in_dat,
-    in_select  => in_select,
-    out_data   => reorder_out_dat
-  );
+    generic map (
+      g_nof_input     => g_nof_inputs,
+      g_nof_output    => g_nof_outputs,
+      g_symbol_w      => c_data_w,
+      g_pipeline_in   => g_pipeline_in,
+      g_pipeline_in_m => g_pipeline_in_m,
+      g_pipeline_out  => g_pipeline_out
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_data    => reorder_in_dat,
+      in_select  => in_select,
+      out_data   => reorder_out_dat
+    );
 
   ---------------------------------------------------------------
   -- REGISTERING AND PIPELINING
diff --git a/libraries/base/reorder/src/vhdl/reorder_sequencer.vhd b/libraries/base/reorder/src/vhdl/reorder_sequencer.vhd
index 11daf33e8e..ab348cbbe6 100644
--- a/libraries/base/reorder/src/vhdl/reorder_sequencer.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_sequencer.vhd
@@ -144,16 +144,16 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.reorder_pkg.all;
 
 entity reorder_sequencer is
   generic (
     g_reorder_seq   : t_reorder_seq := c_reorder_seq;
     g_data_w_ratio  : positive := 1  -- (256/256) Ratio between datawidth of the memory controller and SOSI domain
- );  -- Used to determine the width of counters.
+  );  -- Used to determine the width of counters.
   port (
     -- Clocks and reset
     dp_rst      : in  std_logic;  -- reset synchronous with st_clk
@@ -166,7 +166,7 @@ entity reorder_sequencer is
     burstsize   : out std_logic_vector;
 
     done        : in  std_logic
-   );
+  );
 end reorder_sequencer;
 
 
diff --git a/libraries/base/reorder/src/vhdl/reorder_store.vhd b/libraries/base/reorder/src/vhdl/reorder_store.vhd
index 75207311ae..d5d5534795 100644
--- a/libraries/base/reorder/src/vhdl/reorder_store.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_store.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Controller that store blocks of nof_ch_in (complex) input data
 --          words in a dual page data buffer
diff --git a/libraries/base/reorder/src/vhdl/reorder_transpose.vhd b/libraries/base/reorder/src/vhdl/reorder_transpose.vhd
index 0943789673..e73be1cbdf 100644
--- a/libraries/base/reorder/src/vhdl/reorder_transpose.vhd
+++ b/libraries/base/reorder/src/vhdl/reorder_transpose.vhd
@@ -76,12 +76,12 @@
 -- Remarks:
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.reorder_pkg.all;
 
 entity reorder_transpose is
   generic(
@@ -126,7 +126,7 @@ entity reorder_transpose is
 
     from_mem_snk_in          : in  t_dp_sosi;
     from_mem_snk_out         : out t_dp_siso := c_dp_siso_rdy
-   );
+  );
 end reorder_transpose;
 
 
@@ -258,80 +258,80 @@ begin
   -- . to easy timing closure by decoupling the external memory interface and
   --   the streaming input interface somewhat more (erko)
   u_dp_pipeline_input : entity dp_lib.dp_pipeline
-  generic map(
-    g_pipeline => g_pipeline_input
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => merged_snk_out,
-    snk_in       => merged_snk_in,
-    -- ST source
-    src_in       => pipe_merged_snk_out,
-    src_out      => pipe_merged_snk_in
-  );
+    generic map(
+      g_pipeline => g_pipeline_input
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => merged_snk_out,
+      snk_in       => merged_snk_in,
+      -- ST source
+      src_in       => pipe_merged_snk_out,
+      src_out      => pipe_merged_snk_in
+    );
 
 
   u_sync_check_and_restore : entity dp_lib.mms_dp_sync_checker
-  generic map(
-    g_nof_blk_per_sync   => g_reorder_seq.nof_blocks
-  )
-  port map(
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-    dp_rst  => dp_rst,
-    dp_clk  => dp_clk,
-    snk_out => pipe_merged_snk_out,
-    snk_in  => pipe_merged_snk_in,
-    src_in  => sync_checked_src_in,
-    src_out => sync_checked_src_out,
-    reg_dp_sync_checker_mosi => reg_dp_sync_checker_mosi,
-    reg_dp_sync_checker_miso => reg_dp_sync_checker_miso
-  );
+    generic map(
+      g_nof_blk_per_sync   => g_reorder_seq.nof_blocks
+    )
+    port map(
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+      dp_rst  => dp_rst,
+      dp_clk  => dp_clk,
+      snk_out => pipe_merged_snk_out,
+      snk_in  => pipe_merged_snk_in,
+      src_in  => sync_checked_src_in,
+      src_out => sync_checked_src_out,
+      reg_dp_sync_checker_mosi => reg_dp_sync_checker_mosi,
+      reg_dp_sync_checker_miso => reg_dp_sync_checker_miso
+    );
 
   gen_pre_transpose : if g_ena_pre_transp = true generate
     -- Packet merge is required for reorder_col.
     u_dp_packet_merge : entity dp_lib.dp_packet_merge
-    generic map (
-      g_nof_pkt => g_reorder_seq.rd_chunksize
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
+      generic map (
+        g_nof_pkt => g_reorder_seq.rd_chunksize
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
 
-      snk_out     => sync_checked_src_in,
-      snk_in      => sync_checked_src_out,
+        snk_out     => sync_checked_src_in,
+        snk_in      => sync_checked_src_out,
 
-      src_in      => ss_in_siso,
-      src_out     => ss_in_sosi
-    );
+        src_in      => ss_in_siso,
+        src_out     => ss_in_sosi
+      );
 
     u_single_ss : entity work.reorder_col
-    generic map (
-      g_dsp_data_w         => c_data_w_pre,
-      g_nof_ch_in          => c_nof_ch_in,
-      g_nof_ch_sel         => c_nof_ch_sel,
-      g_select_file_name   => g_select_file,
-      g_use_complex        => false
-    )
-    port map (
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Memory Mapped
-      ram_ss_ss_mosi => ram_ss_ss_transp_mosi,
-      ram_ss_ss_miso => ram_ss_ss_transp_miso,
-
-      -- Streaming
-      input_sosi     => ss_in_sosi,
-      input_siso     => OPEN,  -- Don't allow backpressure.
-
-      output_sosi    => i_to_mem_src_out,
-      output_siso    => to_mem_src_in
-    );
+      generic map (
+        g_dsp_data_w         => c_data_w_pre,
+        g_nof_ch_in          => c_nof_ch_in,
+        g_nof_ch_sel         => c_nof_ch_sel,
+        g_select_file_name   => g_select_file,
+        g_use_complex        => false
+      )
+      port map (
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Memory Mapped
+        ram_ss_ss_mosi => ram_ss_ss_transp_mosi,
+        ram_ss_ss_miso => ram_ss_ss_transp_miso,
+
+        -- Streaming
+        input_sosi     => ss_in_sosi,
+        input_siso     => OPEN,  -- Don't allow backpressure.
+
+        output_sosi    => i_to_mem_src_out,
+        output_siso    => to_mem_src_in
+      );
 
   end generate;
 
@@ -350,106 +350,106 @@ begin
   dvr_wr_flush_en     <= '0';
 
   u_ddr_sequencer: entity work.reorder_sequencer
-  generic map(
-    g_reorder_seq  => g_reorder_seq,
-    g_data_w_ratio => c_data_w_ratio
-  )
-  port map (
-    dp_rst    => dp_rst,
-    dp_clk    => dp_clk,
+    generic map(
+      g_reorder_seq  => g_reorder_seq,
+      g_data_w_ratio => c_data_w_ratio
+    )
+    port map (
+      dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
 
-    en_evt    => dvr_en,
-    wr_not_rd => dvr_wr_not_rd,
+      en_evt    => dvr_en,
+      wr_not_rd => dvr_wr_not_rd,
 
-    address   => dvr_start_address,
-    burstsize => dvr_nof_data,
+      address   => dvr_start_address,
+      burstsize => dvr_nof_data,
 
-    done      => dvr_done
-  );
+      done      => dvr_done
+    );
 
   ---------------------------------------------------------------
   -- FIFO FOR SYNC-BSN
   ---------------------------------------------------------------
   u_sync_bsn_fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_use_lut   => true,  -- Make this FIFO in logic, since it's only 2 words deep.
-    g_reset     => false,
-    g_init      => false,
-    g_dat_w     => c_dp_stream_bsn_w,
-    g_nof_words => 4  -- 2 sync intervals should be sufficient, choose 4 to be safe (erko)
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    wr_dat  => pipe_merged_snk_in.bsn,
-    wr_req  => pipe_merged_snk_in.sync,
-    wr_ful  => open ,
-    rd_dat  => rd_dat_i,
-    rd_req  => rd_req_i,
-    rd_emp  => open,
-    rd_val  => rd_val_i,
-    usedw   => open
-  );
+    generic map (
+      g_use_lut   => true,  -- Make this FIFO in logic, since it's only 2 words deep.
+      g_reset     => false,
+      g_init      => false,
+      g_dat_w     => c_dp_stream_bsn_w,
+      g_nof_words => 4  -- 2 sync intervals should be sufficient, choose 4 to be safe (erko)
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      wr_dat  => pipe_merged_snk_in.bsn,
+      wr_req  => pipe_merged_snk_in.sync,
+      wr_ful  => open ,
+      rd_dat  => rd_dat_i,
+      rd_req  => rd_req_i,
+      rd_emp  => open,
+      rd_val  => rd_val_i,
+      usedw   => open
+    );
 
   ---------------------------------------------------------------
   -- CREATE READ-AHEAD FIFO INTERFACE FOR SYNC-BSN
   ---------------------------------------------------------------
   u_fifo_adapter : entity common_lib.common_fifo_rd
-  generic map (
-    g_dat_w => c_dp_stream_bsn_w
-  )
-  port map(
-    rst        => dp_rst,
-    clk        => dp_clk,
-    -- ST sink: RL = 1
-    fifo_req   => rd_req_i,
-    fifo_dat   => rd_dat_i,
-    fifo_val   => rd_val_i,
-    -- ST source: RL = 0
-    rd_req     => block_gen_out_sosi.sync,
-    rd_dat     => sync_bsn,
-    rd_val     => open
-  );
+    generic map (
+      g_dat_w => c_dp_stream_bsn_w
+    )
+    port map(
+      rst        => dp_rst,
+      clk        => dp_clk,
+      -- ST sink: RL = 1
+      fifo_req   => rd_req_i,
+      fifo_dat   => rd_dat_i,
+      fifo_val   => rd_val_i,
+      -- ST source: RL = 0
+      rd_req     => block_gen_out_sosi.sync,
+      rd_dat     => sync_bsn,
+      rd_val     => open
+    );
 
   -----------------------
   -- Pipeline to match latency of dp_block_gen
   -----------------------
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map(
-    g_pipeline => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => from_mem_snk_in,
-    -- ST source
-    src_in       => OPEN,
-    src_out      => from_mem_out_sosi
-  );
+    generic map(
+      g_pipeline => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => from_mem_snk_in,
+      -- ST source
+      src_in       => OPEN,
+      src_out      => from_mem_out_sosi
+    );
 
   --------------------
   -- DP BLOCK GEN (providing sop/eop)
   --------------------
   u_dp_block_gen : entity dp_lib.dp_block_gen
-  generic map(
-    g_use_src_in       => false,
-    g_nof_data         => g_frame_size_out,
-    g_nof_blk_per_sync => g_reorder_seq.nof_blocks,
-    g_empty            => 0,
-    g_channel          => 0,
-    g_error            => 0
-  )
-  port map(
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => from_mem_snk_in,
-
-    -- Use incoming data to generate more data
-    src_out    => block_gen_out_sosi,
-    en         => '1'
-  );
+    generic map(
+      g_use_src_in       => false,
+      g_nof_data         => g_frame_size_out,
+      g_nof_blk_per_sync => g_reorder_seq.nof_blocks,
+      g_empty            => 0,
+      g_channel          => 0,
+      g_error            => 0
+    )
+    port map(
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => from_mem_snk_in,
+
+      -- Use incoming data to generate more data
+      src_out    => block_gen_out_sosi,
+      en         => '1'
+    );
 
   from_mem_snk_out <= src_in_arr(0);
 
@@ -488,18 +488,18 @@ begin
   --   the streaming output interface somewhat more (erko)
   -----------------------
   u_dp_pipeline_arr : entity dp_lib.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_streams,
-    g_pipeline    => g_pipeline_output  -- 0 for wires, > 0 for registers,
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in_arr   => merge_src_out_arr,
-    -- ST source
-    src_out_arr  => i_src_out_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_streams,
+      g_pipeline    => g_pipeline_output  -- 0 for wires, > 0 for registers,
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in_arr   => merge_src_out_arr,
+      -- ST source
+      src_out_arr  => i_src_out_arr
+    );
 
 end str;
 
diff --git a/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_matrix.vhd b/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_matrix.vhd
index 0cf2ae02da..11b0891985 100644
--- a/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_matrix.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_matrix.vhd
@@ -38,17 +38,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_mmf_reorder_matrix is
   generic(
@@ -156,7 +156,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -166,142 +166,142 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_ss_reorder_in    : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_REORDER_IN")
-                                           port map(mm_rst, mm_clk, ram_ss_reorder_in_mosi, ram_ss_reorder_in_miso);
+    port map(mm_rst, mm_clk, ram_ss_reorder_in_mosi, ram_ss_reorder_in_miso);
 
   u_mm_file_ram_ss_reorder_out   : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_REORDER_OUT")
-                                           port map(mm_rst, mm_clk, ram_ss_reorder_out_mosi, ram_ss_reorder_out_miso);
+    port map(mm_rst, mm_clk, ram_ss_reorder_out_mosi, ram_ss_reorder_out_miso);
 
   u_mm_file_ram_ss_ss_wide       : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_SS_WIDE")
-                                           port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
+    port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.reorder_matrix
-  generic map(
-    g_nof_inputs            => g_nof_inputs,
-    g_nof_internals         => g_nof_internals,
-    g_nof_outputs           => g_nof_outputs,
-    g_dsp_data_w            => g_dsp_data_w,
-    g_frame_size_in         => g_frame_size_in,
-    g_frame_size_out        => g_frame_size_out
-  )
-  port map (
-    mm_rst                  =>  mm_rst,
-    mm_clk                  =>  mm_clk,
-    dp_rst                  =>  dp_rst,
-    dp_clk                  =>  dp_clk,
-    -- Memory Mapped
-    ram_ss_reorder_in_mosi  => ram_ss_reorder_in_mosi,
-    ram_ss_reorder_in_miso  => ram_ss_reorder_in_miso,
-    ram_ss_reorder_out_mosi => ram_ss_reorder_out_mosi,
-    ram_ss_reorder_out_miso => ram_ss_reorder_out_miso,
-    ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
-    -- Streaming
-    input_sosi_arr          => bg_sosi_arr,
-    output_sosi_arr         => out_sosi_arr,
-    output_siso_arr         => out_siso_arr
-  );
+    generic map(
+      g_nof_inputs            => g_nof_inputs,
+      g_nof_internals         => g_nof_internals,
+      g_nof_outputs           => g_nof_outputs,
+      g_dsp_data_w            => g_dsp_data_w,
+      g_frame_size_in         => g_frame_size_in,
+      g_frame_size_out        => g_frame_size_out
+    )
+    port map (
+      mm_rst                  =>  mm_rst,
+      mm_clk                  =>  mm_clk,
+      dp_rst                  =>  dp_rst,
+      dp_clk                  =>  dp_clk,
+      -- Memory Mapped
+      ram_ss_reorder_in_mosi  => ram_ss_reorder_in_mosi,
+      ram_ss_reorder_in_miso  => ram_ss_reorder_in_miso,
+      ram_ss_reorder_out_mosi => ram_ss_reorder_out_mosi,
+      ram_ss_reorder_out_miso => ram_ss_reorder_out_miso,
+      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
+      -- Streaming
+      input_sosi_arr          => bg_sosi_arr,
+      output_sosi_arr         => out_sosi_arr,
+      output_siso_arr         => out_siso_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_row.vhd b/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_row.vhd
index aa1c9ed98d..ef13213be6 100644
--- a/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_row.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_mmf_reorder_row.vhd
@@ -38,17 +38,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_mmf_reorder_row is
   generic(
@@ -152,7 +152,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -162,140 +162,140 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_ss_reorder       : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_REORDER")
-                                           port map(mm_rst, mm_clk, ram_ss_reorder_mosi, ram_ss_reorder_miso);
+    port map(mm_rst, mm_clk, ram_ss_reorder_mosi, ram_ss_reorder_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.reorder_row
-  generic map(
-    g_nof_inputs        => g_nof_inputs,
-    g_nof_outputs       => g_nof_outputs,
-    g_dsp_data_w        => g_dsp_data_w,
-    g_frame_size        => g_frame_size,
-    g_ram_init_file     => g_ram_init_file,
-    g_pipeline_in       => g_pipeline_in,
-    g_pipeline_in_m     => g_pipeline_in_m,
-    g_pipeline_out      => g_pipeline_out
-  )
-  port map (
-    mm_rst              =>  mm_rst,
-    mm_clk              =>  mm_clk,
-    dp_rst              =>  dp_rst,
-    dp_clk              =>  dp_clk,
-    -- Memory Mapped
-    ram_ss_reorder_mosi => ram_ss_reorder_mosi,
-    ram_ss_reorder_miso => ram_ss_reorder_miso,
-    -- Streaming
-    input_sosi_arr      => bg_sosi_arr,
-    output_sosi_arr     => out_sosi_arr,
-    output_siso_arr     => out_siso_arr
-  );
+    generic map(
+      g_nof_inputs        => g_nof_inputs,
+      g_nof_outputs       => g_nof_outputs,
+      g_dsp_data_w        => g_dsp_data_w,
+      g_frame_size        => g_frame_size,
+      g_ram_init_file     => g_ram_init_file,
+      g_pipeline_in       => g_pipeline_in,
+      g_pipeline_in_m     => g_pipeline_in_m,
+      g_pipeline_out      => g_pipeline_out
+    )
+    port map (
+      mm_rst              =>  mm_rst,
+      mm_clk              =>  mm_clk,
+      dp_rst              =>  dp_rst,
+      dp_clk              =>  dp_clk,
+      -- Memory Mapped
+      ram_ss_reorder_mosi => ram_ss_reorder_mosi,
+      ram_ss_reorder_miso => ram_ss_reorder_miso,
+      -- Streaming
+      input_sosi_arr      => bg_sosi_arr,
+      output_sosi_arr     => out_sosi_arr,
+      output_siso_arr     => out_siso_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_db_nof_streams,
-    g_data_type    => c_db_data_type_re,
-    g_data_w       => c_db_data_w,
-    g_buf_nof_data => c_db_buf_nof_data,
-    g_buf_use_sync => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_db_nof_streams,
+      g_data_type    => c_db_data_type_re,
+      g_data_w       => c_db_data_w,
+      g_buf_nof_data => c_db_buf_nof_data,
+      g_buf_use_sync => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_db_nof_streams,
-    g_data_type    => c_db_data_type_im,
-    g_data_w       => c_db_data_w,
-    g_buf_nof_data => c_db_buf_nof_data,
-    g_buf_use_sync => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_db_nof_streams,
+      g_data_type    => c_db_data_type_im,
+      g_data_w       => c_db_data_w,
+      g_buf_nof_data => c_db_buf_nof_data,
+      g_buf_use_sync => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/reorder/tb/vhdl/tb_mms_reorder_rewire.vhd b/libraries/base/reorder/tb/vhdl/tb_mms_reorder_rewire.vhd
index 5b3e90e50c..1d7e375c4a 100644
--- a/libraries/base/reorder/tb/vhdl/tb_mms_reorder_rewire.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_mms_reorder_rewire.vhd
@@ -32,25 +32,25 @@
 --   > Evalute u_dr_mem_ctrl/u_io_driver/ctlr_mosi in the WAVE window for wr and rd activity.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.reorder_pkg.all;
 
 entity tb_mms_reorder_rewire is
   generic (
     g_nof_streams      : positive := 8;
     g_in_dat_w         : positive := 8;
     g_use_sel_table    : boolean  := false
- );
+  );
 end tb_mms_reorder_rewire;
 
 architecture tb of tb_mms_reorder_rewire is
@@ -90,41 +90,1064 @@ architecture tb of tb_mms_reorder_rewire is
   signal reg_reorder_rewire_mosi : t_mem_mosi := c_mem_mosi_rst;
   signal reg_reorder_rewire_miso : t_mem_miso := c_mem_miso_rst;
 
-  constant c_sel_table: t_reorder_table :=
-  (
-   --FN0 FN1 FN2 FN3 BN0 BN1 BN2 BN3
-    ( 19, 10, 13, 16, 8 , 8 , 8 , 8 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 0
-    ( 10, 13, 16, 19, 11, 11, 11, 11, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 1
-    ( 13, 16, 19, 10, 14, 14, 14, 14, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 2
-    ( 16, 19, 10, 13, 17, 17, 17, 17, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 3
-    ( 8 , 8 , 8 , 8 , 10, 19, 16, 13, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 4
-    ( 11, 11, 11, 11, 13, 10, 19, 16, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 5
-    ( 14, 14, 14, 14, 16, 13, 10, 19, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 6
-    ( 17, 17, 17, 17, 19, 16, 13, 10, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Local processing output 7
-    ( 1 , 1 , 1 , 1 , 0 , 0 , 0 , 0 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 0
-    ( 2 , 0 , 6 , 4 , 1 , 3 , 5 , 7 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 1
-    ( 9 , 12, 15, 18, 12, 15, 18, 9 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 2
-    ( 3 , 3 , 3 , 3 , 2 , 2 , 2 , 2 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 3
-    ( 4 , 2 , 0 , 6 , 7 , 1 , 3 , 5 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 4
-    ( 12, 15, 18, 9 , 9 , 12, 15, 18, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 5
-    ( 5 , 5 , 5 , 5 , 4 , 4 , 4 , 4 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 6
-    ( 6 , 4 , 2 , 0 , 5 , 7 , 1 , 3 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 7
-    ( 15, 18, 9 , 12, 18, 9 , 12, 15, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 8
-    ( 7 , 7 , 7 , 7 , 6 , 6 , 6 , 6 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 9
-    ( 0 , 6 , 4 , 2 , 3 , 5 , 7 , 1 , 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 10
-    ( 18, 9 , 12, 15, 15, 18, 9 , 12, 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),  -- Mesh Transmit output 11
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
-    (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)
+  constant c_sel_table: t_reorder_table := (
+    --FN0 FN1 FN2 FN3 BN0 BN1 BN2 BN3
+    (
+       19,
+      10,
+      13,
+      16,
+      8 ,
+      8 ,
+      8 ,
+      8 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 0
+    (
+       10,
+      13,
+      16,
+      19,
+      11,
+      11,
+      11,
+      11,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 1
+    (
+       13,
+      16,
+      19,
+      10,
+      14,
+      14,
+      14,
+      14,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 2
+    (
+       16,
+      19,
+      10,
+      13,
+      17,
+      17,
+      17,
+      17,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 3
+    (
+       8 ,
+      8 ,
+      8 ,
+      8 ,
+      10,
+      19,
+      16,
+      13,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 4
+    (
+       11,
+      11,
+      11,
+      11,
+      13,
+      10,
+      19,
+      16,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 5
+    (
+       14,
+      14,
+      14,
+      14,
+      16,
+      13,
+      10,
+      19,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 6
+    (
+       17,
+      17,
+      17,
+      17,
+      19,
+      16,
+      13,
+      10,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Local processing output 7
+    (
+       1 ,
+      1 ,
+      1 ,
+      1 ,
+      0 ,
+      0 ,
+      0 ,
+      0 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 0
+    (
+       2 ,
+      0 ,
+      6 ,
+      4 ,
+      1 ,
+      3 ,
+      5 ,
+      7 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 1
+    (
+       9 ,
+      12,
+      15,
+      18,
+      12,
+      15,
+      18,
+      9 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 2
+    (
+       3 ,
+      3 ,
+      3 ,
+      3 ,
+      2 ,
+      2 ,
+      2 ,
+      2 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 3
+    (
+       4 ,
+      2 ,
+      0 ,
+      6 ,
+      7 ,
+      1 ,
+      3 ,
+      5 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 4
+    (
+       12,
+      15,
+      18,
+      9 ,
+      9 ,
+      12,
+      15,
+      18,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 5
+    (
+       5 ,
+      5 ,
+      5 ,
+      5 ,
+      4 ,
+      4 ,
+      4 ,
+      4 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 6
+    (
+       6 ,
+      4 ,
+      2 ,
+      0 ,
+      5 ,
+      7 ,
+      1 ,
+      3 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 7
+    (
+       15,
+      18,
+      9 ,
+      12,
+      18,
+      9 ,
+      12,
+      15,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 8
+    (
+       7 ,
+      7 ,
+      7 ,
+      7 ,
+      6 ,
+      6 ,
+      6 ,
+      6 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 9
+    (
+       0 ,
+      6 ,
+      4 ,
+      2 ,
+      3 ,
+      5 ,
+      7 ,
+      1 ,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 10
+    (
+       18,
+      9 ,
+      12,
+      15,
+      15,
+      18,
+      9 ,
+      12,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),  -- Mesh Transmit output 11
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0),
+    (
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0,
+      0)
   );
 
 
@@ -142,14 +1165,28 @@ architecture tb of tb_mms_reorder_rewire is
   constant c_bg_data_file_prefix    : string   := "UNUSED";  -- "../../../src/hex/tb_bg_dat";
   constant c_bg_data_file_index_arr : t_nat_natural_arr := array_init(0, 128, 1);
 
-  constant c_bg_ctrl                : t_diag_block_gen := ('0',  -- enable: On by default in simulation; MM enable required on hardware.
-                                                          '0',  -- enable_sync
-                                                      TO_UVEC(         c_bg_block_len, c_diag_bg_samples_per_packet_w),
-                                                      TO_UVEC(c_bg_nof_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                      TO_UVEC(         c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                      TO_UVEC(                    0, c_diag_bg_mem_low_adrs_w),
-                                                      TO_UVEC  (   c_bg_block_len - 1, c_diag_bg_mem_high_adrs_w),
-                                                      TO_UVEC(                    0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                : t_diag_block_gen := (
+    '0',  -- enable: On by default in simulation; MM enable required on hardware.
+    '0',  -- enable_sync
+    TO_UVEC(
+                      c_bg_block_len,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_nof_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                      c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                 0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC  (
+                  c_bg_block_len - 1,
+               c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                 0,
+             c_diag_bg_bsn_init_w)
+  );
 
   -- Configuration of the databuffers:
   constant c_db_nof_streams         : positive := g_nof_streams;
@@ -180,7 +1217,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -191,102 +1228,102 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
   u_mm_file_reg_diag_data_buf : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
   u_mm_file_reg_reorder_rewire   : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_REORDER_REWIRE")
-                                           port map(mm_rst, mm_clk, reg_reorder_rewire_mosi, reg_reorder_rewire_miso);
+    port map(mm_rst, mm_clk, reg_reorder_rewire_mosi, reg_reorder_rewire_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix,
-    g_diag_block_gen_rst => c_bg_ctrl
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix,
+      g_diag_block_gen_rst => c_bg_ctrl
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.mms_reorder_rewire
-  generic map (
-    g_select_table  => c_sel_table,
-    g_nof_streams   => g_nof_streams,
-    g_sel_in_w      => c_sel_in_w,
-    g_use_sel_table => g_use_sel_table
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst   => mm_rst,
-    mm_clk   => mm_clk,
-    dp_rst   => dp_rst,
-    dp_clk   => dp_clk,
-    reg_mosi => reg_reorder_rewire_mosi,
-    reg_miso => reg_reorder_rewire_miso,
-
-    -- ST sinks
-    snk_out_arr  => bg_siso_arr,
-    snk_in_arr   => bg_sosi_arr,
-    -- ST source
-    src_in_arr   => out_siso_arr,
-    src_out_arr  => out_sosi_arr
-  );
+    generic map (
+      g_select_table  => c_sel_table,
+      g_nof_streams   => g_nof_streams,
+      g_sel_in_w      => c_sel_in_w,
+      g_use_sel_table => g_use_sel_table
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst   => mm_rst,
+      mm_clk   => mm_clk,
+      dp_rst   => dp_rst,
+      dp_clk   => dp_clk,
+      reg_mosi => reg_reorder_rewire_mosi,
+      reg_miso => reg_reorder_rewire_miso,
+
+      -- ST sinks
+      snk_out_arr  => bg_siso_arr,
+      snk_in_arr   => bg_sosi_arr,
+      -- ST source
+      src_in_arr   => out_siso_arr,
+      src_out_arr  => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer
   ----------------------------------------------------------------------------
   u_data_buf : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    -- ST interface
-    in_sync           => out_sosi_arr(0).sync,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      -- ST interface
+      in_sync           => out_sosi_arr(0).sync,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
 
diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_col.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_col.vhd
index 1f0e760b36..39e72955f4 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_col.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_col.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 -- Usage:
@@ -47,10 +47,10 @@ entity tb_reorder_col is
   generic (
     -- Flow control
     g_mode_in_en            : natural := 0;  -- use 0 for active in_sosi.valid control
-                                             -- use 1 for random in_sosi.valid control
+    -- use 1 for random in_sosi.valid control
     g_mode_out_ready        : natural := 0;  -- use 0 for            active out_siso.ready control
-                                             -- use 1 for          toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
-                                             -- use 2 for inverted toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
+    -- use 1 for          toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
+    -- use 2 for inverted toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
     -- Test duration
     g_nof_sync              : natural := 10;
 
@@ -225,11 +225,42 @@ begin
     end process;
 
     u_reverse_ss : entity work.reorder_col
+      generic map (
+        g_use_output_rl_adapter => g_use_output_rl_adapter,
+        g_dsp_data_w            => c_dsp_data_w,
+        g_nof_ch_in             => g_nof_ch_in,
+        g_nof_ch_sel            => g_nof_ch_in,
+        g_use_complex           => g_use_complex
+      )
+      port map (
+        mm_rst         => rst,
+        mm_clk         => clk,
+        dp_rst         => rst,
+        dp_clk         => clk,
+
+        -- Memory Mapped
+        ram_ss_ss_mosi => mm_reverse_mosi,
+        ram_ss_ss_miso => OPEN,
+
+        -- Streaming
+        input_sosi     => dp_sosi,
+
+        output_sosi    => reverse_sosi,
+        output_siso    => c_dp_siso_rdy
+      );
+  end generate;
+
+  ------------------------------------------------------------------------------
+  -- DUT : SS
+  ------------------------------------------------------------------------------
+  in_sosi <= dp_sosi when g_reverse_ss_map = false else reverse_sosi;
+
+  u_dut_ss : entity work.reorder_col
     generic map (
       g_use_output_rl_adapter => g_use_output_rl_adapter,
       g_dsp_data_w            => c_dsp_data_w,
       g_nof_ch_in             => g_nof_ch_in,
-      g_nof_ch_sel            => g_nof_ch_in,
+      g_nof_ch_sel            => g_nof_ch_sel,
       g_use_complex           => g_use_complex
     )
     port map (
@@ -239,46 +270,15 @@ begin
       dp_clk         => clk,
 
       -- Memory Mapped
-      ram_ss_ss_mosi => mm_reverse_mosi,
+      ram_ss_ss_mosi => mm_dut_mosi,
       ram_ss_ss_miso => OPEN,
 
       -- Streaming
-      input_sosi     => dp_sosi,
+      input_sosi     => in_sosi,
 
-      output_sosi    => reverse_sosi,
-      output_siso    => c_dp_siso_rdy
+      output_sosi    => out_sosi,
+      output_siso    => out_siso
     );
-  end generate;
-
-  ------------------------------------------------------------------------------
-  -- DUT : SS
-  ------------------------------------------------------------------------------
-  in_sosi <= dp_sosi when g_reverse_ss_map = false else reverse_sosi;
-
-  u_dut_ss : entity work.reorder_col
-  generic map (
-    g_use_output_rl_adapter => g_use_output_rl_adapter,
-    g_dsp_data_w            => c_dsp_data_w,
-    g_nof_ch_in             => g_nof_ch_in,
-    g_nof_ch_sel            => g_nof_ch_sel,
-    g_use_complex           => g_use_complex
-  )
-  port map (
-    mm_rst         => rst,
-    mm_clk         => clk,
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    -- Memory Mapped
-    ram_ss_ss_mosi => mm_dut_mosi,
-    ram_ss_ss_miso => OPEN,
-
-    -- Streaming
-    input_sosi     => in_sosi,
-
-    output_sosi    => out_sosi,
-    output_siso    => out_siso
-  );
 
   ------------------------------------------------------------------------------
   -- Verify
diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
index 8b4640ebd3..323293ae54 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
@@ -65,14 +65,14 @@
 --   by stopping the clk and thus all toggling.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.reorder_pkg.all;
 
 entity tb_reorder_col_select_all is
   generic(
@@ -275,26 +275,26 @@ begin
   verify_en_out_sosi_long <= verify_en_sosi when sel_long = true  else c_dp_sosi_sl_rst;
 
   u_verify_out_sosi : entity dp_lib.dp_stream_verify
-  generic map (
-    -- initializations
-    g_sync_period     => g_nof_packets_per_sync,  -- BSN increment per sync interval
-    g_sync_offset     => 0,  -- first BSN
-    -- specific
-    g_in_dat_w        => c_data_w,
-    g_pkt_len         => c_nof_ch
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-    -- Verify data
-    snk_in                     => out_sosi,
-    -- During stimuli
-    verify_snk_in_enable       => verify_en_out_sosi,
-
-    -- End of stimuli
-    expected_snk_in            => c_dp_sosi_rst,
-    verify_expected_snk_in_evt => c_dp_sosi_sl_rst
-  );
+    generic map (
+      -- initializations
+      g_sync_period     => g_nof_packets_per_sync,  -- BSN increment per sync interval
+      g_sync_offset     => 0,  -- first BSN
+      -- specific
+      g_in_dat_w        => c_data_w,
+      g_pkt_len         => c_nof_ch
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+      -- Verify data
+      snk_in                     => out_sosi,
+      -- During stimuli
+      verify_snk_in_enable       => verify_en_out_sosi,
+
+      -- End of stimuli
+      expected_snk_in            => c_dp_sosi_rst,
+      verify_expected_snk_in_evt => c_dp_sosi_sl_rst
+    );
 
   -- When g_use_dynamic_selection = true then c_nof_sync = 2 and second sync interval
   -- will contain the long packets. All sync intervals have g_nof_packets_per_sync.
@@ -302,26 +302,26 @@ begin
   -- sync interval with the long packets, will start with BSN = g_sync_offset =
   -- g_nof_packets_per_sync.
   u_verify_out_sosi_long : entity dp_lib.dp_stream_verify
-  generic map (
-    -- initializations
-    g_sync_period     => g_nof_packets_per_sync,
-    g_sync_offset     => g_nof_packets_per_sync,
-    -- specific
-    g_in_dat_w        => c_data_w,
-    g_pkt_len         => c_nof_ch_long
-  )
-  port map (
-    rst                        => rst,
-    clk                        => clk,
-    -- Verify data
-    snk_in                     => out_sosi,
-    -- During stimuli
-    verify_snk_in_enable       => verify_en_out_sosi_long,
-
-    -- End of stimuli
-    expected_snk_in            => c_dp_sosi_rst,
-    verify_expected_snk_in_evt => c_dp_sosi_sl_rst
-  );
+    generic map (
+      -- initializations
+      g_sync_period     => g_nof_packets_per_sync,
+      g_sync_offset     => g_nof_packets_per_sync,
+      -- specific
+      g_in_dat_w        => c_data_w,
+      g_pkt_len         => c_nof_ch_long
+    )
+    port map (
+      rst                        => rst,
+      clk                        => clk,
+      -- Verify data
+      snk_in                     => out_sosi,
+      -- During stimuli
+      verify_snk_in_enable       => verify_en_out_sosi_long,
+
+      -- End of stimuli
+      expected_snk_in            => c_dp_sosi_rst,
+      verify_expected_snk_in_evt => c_dp_sosi_sl_rst
+    );
 
   ------------------------------------------------------------------------------
   -- DUT
@@ -391,64 +391,64 @@ begin
                                g_nof_data_per_block * c_factor_dat;
 
   u_transpose : entity work.reorder_col_select
-  generic map (
-    g_dsp_data_w  => g_dsp_data_w,
-    g_nof_ch_in   => c_nof_ch_long,
-    g_nof_ch_sel  => c_nof_ch_long,
-    g_use_complex => g_use_complex
-  )
-  port map (
-    dp_rst          => rst,
-    dp_clk          => clk,
-
-    reorder_busy    => reorder_busy_transposed,
-
-    -- Dynamic reorder block size control
-    nof_ch_in       => nof_ch_input,
-    nof_ch_sel      => nof_ch_input,
-
-    -- Captured reorder block size control used for output_sosi
-    output_nof_ch_in  => nof_ch_transposed,
-    output_nof_ch_sel => open,
-
-    -- Memory Mapped
-    col_select_mosi => r_transpose.select_copi,
-    col_select_miso => select_cipo,  -- only used for waitrequest
-
-    -- Streaming
-    input_sosi      => in_sosi,
-    output_sosi     => transposed_sosi
-  );
+    generic map (
+      g_dsp_data_w  => g_dsp_data_w,
+      g_nof_ch_in   => c_nof_ch_long,
+      g_nof_ch_sel  => c_nof_ch_long,
+      g_use_complex => g_use_complex
+    )
+    port map (
+      dp_rst          => rst,
+      dp_clk          => clk,
+
+      reorder_busy    => reorder_busy_transposed,
+
+      -- Dynamic reorder block size control
+      nof_ch_in       => nof_ch_input,
+      nof_ch_sel      => nof_ch_input,
+
+      -- Captured reorder block size control used for output_sosi
+      output_nof_ch_in  => nof_ch_transposed,
+      output_nof_ch_sel => open,
+
+      -- Memory Mapped
+      col_select_mosi => r_transpose.select_copi,
+      col_select_miso => select_cipo,  -- only used for waitrequest
+
+      -- Streaming
+      input_sosi      => in_sosi,
+      output_sosi     => transposed_sosi
+    );
 
   u_undo_transpose : entity work.reorder_col_select
-  generic map (
-    g_dsp_data_w  => g_dsp_data_w,
-    g_nof_ch_in   => c_nof_ch_long,
-    g_nof_ch_sel  => c_nof_ch_long,
-    g_use_complex => g_use_complex
-  )
-  port map (
-    dp_rst          => rst,
-    dp_clk          => clk,
-
-    reorder_busy    => reorder_busy_output,
-
-    -- Dynamic reorder block size control
-    nof_ch_in       => nof_ch_transposed,
-    nof_ch_sel      => nof_ch_transposed,
-
-    -- Captured reorder block size control used for output_sosi
-    output_nof_ch_in  => nof_ch_output,
-    output_nof_ch_sel => open,
-
-    -- Memory Mapped
-    col_select_mosi => r_undo_transpose.select_copi,
-    col_select_miso => undo_select_cipo,  -- only used for waitrequest
-
-    -- Streaming
-    input_sosi      => transposed_sosi,
-    output_sosi     => out_sosi
-  );
+    generic map (
+      g_dsp_data_w  => g_dsp_data_w,
+      g_nof_ch_in   => c_nof_ch_long,
+      g_nof_ch_sel  => c_nof_ch_long,
+      g_use_complex => g_use_complex
+    )
+    port map (
+      dp_rst          => rst,
+      dp_clk          => clk,
+
+      reorder_busy    => reorder_busy_output,
+
+      -- Dynamic reorder block size control
+      nof_ch_in       => nof_ch_transposed,
+      nof_ch_sel      => nof_ch_transposed,
+
+      -- Captured reorder block size control used for output_sosi
+      output_nof_ch_in  => nof_ch_output,
+      output_nof_ch_sel => open,
+
+      -- Memory Mapped
+      col_select_mosi => r_undo_transpose.select_copi,
+      col_select_miso => undo_select_cipo,  -- only used for waitrequest
+
+      -- Streaming
+      input_sosi      => transposed_sosi,
+      output_sosi     => out_sosi
+    );
 
   reorder_busy <= reorder_busy_transposed or reorder_busy_output;
 end tb;
diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide.vhd
index 482813a92b..6f78b4861f 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide.vhd
@@ -20,14 +20,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 -- Usage:
@@ -157,28 +157,28 @@ begin
   end process;
 
   u_dut : entity work.reorder_col_wide
-  generic map (
-    g_wb_factor          => c_wb_factor,
-    g_dsp_data_w         => c_dsp_data_w,
-    g_nof_ch_in          => c_nof_ch_in,
-    g_nof_ch_sel         => c_nof_ch_sel,
-    g_select_file_prefix => c_select_file_prefix
-  )
-  port map (
-    mm_rst         => rst,
-    mm_clk         => clk,
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    -- Memory Mapped
-    ram_ss_ss_wide_mosi => mm_mosi,
-    ram_ss_ss_wide_miso => mm_miso,
-
-    -- Streaming
-    input_sosi_arr     => in_sosi_arr,
-
-    output_sosi_arr    => out_sosi_arr,
-    output_siso_arr    => out_siso_arr
-  );
+    generic map (
+      g_wb_factor          => c_wb_factor,
+      g_dsp_data_w         => c_dsp_data_w,
+      g_nof_ch_in          => c_nof_ch_in,
+      g_nof_ch_sel         => c_nof_ch_sel,
+      g_select_file_prefix => c_select_file_prefix
+    )
+    port map (
+      mm_rst         => rst,
+      mm_clk         => clk,
+      dp_rst         => rst,
+      dp_clk         => clk,
+
+      -- Memory Mapped
+      ram_ss_ss_wide_mosi => mm_mosi,
+      ram_ss_ss_wide_miso => mm_miso,
+
+      -- Streaming
+      input_sosi_arr     => in_sosi_arr,
+
+      output_sosi_arr    => out_sosi_arr,
+      output_siso_arr    => out_siso_arr
+    );
 
 end tb;
diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide_row_select.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide_row_select.vhd
index 294c4ed3a8..414c6ce606 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide_row_select.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_col_wide_row_select.vhd
@@ -61,14 +61,14 @@
 -- |A0 B0 C0 D0 E0 F0 G0 H0 I0 J0 K0 L0 A16 B16 C16 D16 E16 F16 G16 H16 I16 J16 K16 L16|
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_reorder_col_wide_row_select is
   generic(
@@ -134,7 +134,7 @@ begin
   rst <= '1', '0' after c_clk_period * 7;
 
   p_select_stimuli : process
-  variable k : natural;
+    variable k : natural;
   begin
     for rep in 0 to g_nof_sync * g_nof_block_per_sync - 1 loop
       k := g_nof_ch_sel_col * (rep / g_nof_block_per_sync) * g_ch_sel_step;
@@ -155,17 +155,17 @@ begin
   end process;
 
   u_pipe_in_select : entity common_lib.common_pipeline
-  generic map(
-    g_pipeline => c_in_select_dly,
-    g_in_dat_w => c_in_select_w,
-    g_out_dat_w => c_in_select_w
-  )
-  port map(
-    rst => rst,
-    clk => clk,
-    in_dat => reorder_row_in_select,
-    out_dat => in_select
-  );
+    generic map(
+      g_pipeline => c_in_select_dly,
+      g_in_dat_w => c_in_select_w,
+      g_out_dat_w => c_in_select_w
+    )
+    port map(
+      rst => rst,
+      clk => clk,
+      in_dat => reorder_row_in_select,
+      out_dat => in_select
+    );
   ------------------------------------------------------------------------------
   -- Data blocks
   ------------------------------------------------------------------------------
@@ -214,16 +214,16 @@ begin
   -- Verification
   ------------------------------------------------------------------------------
   u_pipeline_arr : entity dp_lib.dp_pipeline_arr
-  generic map (
-    g_nof_streams => g_nof_outputs
-  )
-  port map (
-     rst => rst,
-     clk => clk,
-
-     snk_in_arr => out_sosi_arr,
-     src_out_arr => dly_out_sosi_arr
-  );
+    generic map (
+      g_nof_streams => g_nof_outputs
+    )
+    port map (
+      rst => rst,
+      clk => clk,
+
+      snk_in_arr => out_sosi_arr,
+      src_out_arr => dly_out_sosi_arr
+    );
 
   gen_verify : for O in 0 to g_nof_outputs - 1 generate
     p_generate_exp_data : process
@@ -279,44 +279,44 @@ begin
   end generate;
 
   u_dut_col : entity work.reorder_col_wide_select
-  generic map (
-    g_nof_inputs         => g_nof_inputs,
-    g_dsp_data_w         => g_dsp_data_w,
-    g_nof_ch_in          => g_nof_ch_in,
-    g_nof_ch_sel         => c_nof_ch_sel,
-    g_use_complex        => c_use_complex
-  )
-  port map (
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    -- Memory Mapped
-    col_select_mosi    => mm_mosi,
-    col_select_miso    => mm_miso,
-
-    -- Streaming
-    input_sosi_arr     => in_sosi_arr,
-    output_sosi_arr    => col_wide_select_sosi_arr
-  );
+    generic map (
+      g_nof_inputs         => g_nof_inputs,
+      g_dsp_data_w         => g_dsp_data_w,
+      g_nof_ch_in          => g_nof_ch_in,
+      g_nof_ch_sel         => c_nof_ch_sel,
+      g_use_complex        => c_use_complex
+    )
+    port map (
+      dp_rst         => rst,
+      dp_clk         => clk,
+
+      -- Memory Mapped
+      col_select_mosi    => mm_mosi,
+      col_select_miso    => mm_miso,
+
+      -- Streaming
+      input_sosi_arr     => in_sosi_arr,
+      output_sosi_arr    => col_wide_select_sosi_arr
+    );
 
   u_dut_row : entity work.reorder_row_select
-  generic map (
-    g_dsp_data_w         => g_dsp_data_w,
-    g_nof_inputs         => g_nof_inputs,
-    g_nof_outputs        => g_nof_outputs,
-    g_pipeline_in        => g_reorder_row_select_pipe_in,
-    g_pipeline_in_m      => g_reorder_row_select_pipe_in_m,
-    g_pipeline_out       => g_reorder_row_select_pipe_out
-  )
-  port map (
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    in_select      => in_select,
-
-    -- Streaming
-    input_sosi_arr     => col_wide_select_sosi_arr,
-    output_sosi_arr    => out_sosi_arr
-  );
+    generic map (
+      g_dsp_data_w         => g_dsp_data_w,
+      g_nof_inputs         => g_nof_inputs,
+      g_nof_outputs        => g_nof_outputs,
+      g_pipeline_in        => g_reorder_row_select_pipe_in,
+      g_pipeline_in_m      => g_reorder_row_select_pipe_in_m,
+      g_pipeline_out       => g_reorder_row_select_pipe_out
+    )
+    port map (
+      dp_rst         => rst,
+      dp_clk         => clk,
+
+      in_select      => in_select,
+
+      -- Streaming
+      input_sosi_arr     => col_wide_select_sosi_arr,
+      output_sosi_arr    => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_transpose.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_transpose.vhd
index cf95c398d8..8d9f8f778c 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_transpose.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_transpose.vhd
@@ -32,19 +32,19 @@
 --   > Evalute u_dr_mem_ctrl/u_io_driver/ctlr_mosi in the WAVE window for wr and rd activity.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib, io_ddr_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.reorder_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.reorder_pkg.all;
 
 --
 --  CONSTANT c_wr_chunksize           : NATURAL := 176;  --240 for 6-bit or 176 for 8-bit; --g_bf.nof_weights;
@@ -78,7 +78,7 @@ entity tb_reorder_transpose is
     g_frame_size_in    : natural  := 256;
     g_frame_size_out   : natural  := 176;
     g_ena_pre_transp   : boolean  := true
- );
+  );
 end tb_reorder_transpose;
 
 architecture tb of tb_reorder_transpose is
@@ -133,12 +133,14 @@ architecture tb of tb_reorder_transpose is
   signal reg_io_ddr_miso           : t_mem_miso := c_mem_miso_rst;
 
   -- Compose the Constants for the DUT
-  constant c_reorder_seq_conf       : t_reorder_seq := (g_wr_chunksize,
-                                                        g_rd_chunksize,
-                                                        g_rd_nof_chunks,
-                                                        g_rd_interval,
-                                                        g_gapsize,
-                                                        g_nof_blocks);
+  constant c_reorder_seq_conf       : t_reorder_seq := (
+    g_wr_chunksize,
+    g_rd_chunksize,
+    g_rd_nof_chunks,
+    g_rd_interval,
+    g_gapsize,
+    g_nof_blocks
+  );
 
   constant c_tech_ddr               : t_c_tech_ddr := c_tech_ddr3_4g_800m_master;
 
@@ -216,7 +218,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -227,60 +229,60 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_ss_ss_transp     : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_SS_SS_WIDE")
-                                           port map(mm_rst, mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
+    port map(mm_rst, mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
 
   u_mm_file_reg_dp_sync_checker  : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DP_SYNC_CHECKER")
-                                           port map(mm_rst, mm_clk, reg_dp_sync_checker_mosi, reg_dp_sync_checker_miso);
+    port map(mm_rst, mm_clk, reg_dp_sync_checker_mosi, reg_dp_sync_checker_miso);
 
   u_mm_file_reg_io_ddr           : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_IO_DDR")
-                                           port map(mm_rst, mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
+    port map(mm_rst, mm_clk, reg_io_ddr_mosi, reg_io_ddr_miso);
 
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   gen_chang_in_imaginary_part : process(bg_sosi_arr)
   begin
@@ -294,174 +296,174 @@ begin
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut: entity work.reorder_transpose
-  generic map(
-    g_nof_streams      => c_bg_nof_output_streams,
-    g_in_dat_w         => c_bg_buf_dat_w / c_nof_complex,
-    g_frame_size_in    => g_frame_size_in,
-    g_frame_size_out   => g_frame_size_out,
-    g_use_complex      => c_use_complex,
-    g_mem_dat_w        => g_mem_dat_w,
-    g_ena_pre_transp   => g_ena_pre_transp,
-    g_reorder_seq      => c_reorder_seq_conf
-  )
-  port map (
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-
-    -- ST sink
-    snk_out_arr              => bg_siso_arr,
-    snk_in_arr               => dut_in_arr,
-
-    -- ST source
-    src_in_arr               => out_siso_arr,
-    src_out_arr              => out_sosi_arr,
-
-    ram_ss_ss_transp_mosi    => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso    => ram_ss_ss_transp_miso,
-
-    reg_dp_sync_checker_mosi => reg_dp_sync_checker_mosi,
-    reg_dp_sync_checker_miso => reg_dp_sync_checker_miso,
-
-    -- Control interface to the external memory
-    dvr_miso                 => ctlr_dvr_miso,
-    dvr_mosi                 => ctlr_dvr_mosi,
-
-    -- Data interface to the external memory
-    to_mem_src_out           => to_mem_sosi,
-    to_mem_src_in            => to_mem_siso,
-
-    from_mem_snk_in          => from_mem_sosi,
-    from_mem_snk_out         => from_mem_siso
-
-  );
+    generic map(
+      g_nof_streams      => c_bg_nof_output_streams,
+      g_in_dat_w         => c_bg_buf_dat_w / c_nof_complex,
+      g_frame_size_in    => g_frame_size_in,
+      g_frame_size_out   => g_frame_size_out,
+      g_use_complex      => c_use_complex,
+      g_mem_dat_w        => g_mem_dat_w,
+      g_ena_pre_transp   => g_ena_pre_transp,
+      g_reorder_seq      => c_reorder_seq_conf
+    )
+    port map (
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+
+      -- ST sink
+      snk_out_arr              => bg_siso_arr,
+      snk_in_arr               => dut_in_arr,
+
+      -- ST source
+      src_in_arr               => out_siso_arr,
+      src_out_arr              => out_sosi_arr,
+
+      ram_ss_ss_transp_mosi    => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso    => ram_ss_ss_transp_miso,
+
+      reg_dp_sync_checker_mosi => reg_dp_sync_checker_mosi,
+      reg_dp_sync_checker_miso => reg_dp_sync_checker_miso,
+
+      -- Control interface to the external memory
+      dvr_miso                 => ctlr_dvr_miso,
+      dvr_mosi                 => ctlr_dvr_mosi,
+
+      -- Data interface to the external memory
+      to_mem_src_out           => to_mem_sosi,
+      to_mem_src_in            => to_mem_siso,
+
+      from_mem_snk_in          => from_mem_sosi,
+      from_mem_snk_out         => from_mem_siso
+
+    );
 
   u_ddr_mem_ctrl : entity io_ddr_lib.io_ddr
-  generic map(
-    g_tech_ddr               => c_tech_ddr,  -- : t_c_tech_ddr;
-    g_cross_domain_dvr_ctlr  => false,  -- TRUE,   --  : BOOLEAN := TRUE;
-    g_wr_data_w              => c_data_w,  -- : NATURAL := 32;
-    g_wr_fifo_depth          => c_wr_fifo_depth,  -- : NATURAL := 128;     -- >=16                             , defined at DDR side of the FIFO.
-    g_rd_fifo_depth          => c_rd_fifo_depth,  -- : NATURAL := 256;     -- >=16 AND >g_tech_ddr.maxburstsize, defined at DDR side of the FIFO.
-    g_rd_data_w              => c_data_w,  -- : NATURAL := 32;
-    g_wr_flush_mode          => "SYN",  -- : STRING := "VAL";  -- "VAL", "SOP", "SYN"
-    g_wr_flush_use_channel   => false,  -- : BOOLEAN := FALSE;
-    g_wr_flush_start_channel => 0,  -- : NATURAL := 0;
-    g_wr_flush_nof_channels  => 1  -- : POSITIVE := 1
-  )
-  port map (
-    -- DDR reference clock
-    ctlr_ref_clk  => dp_clk_in,
-    ctlr_ref_rst  => dp_rst_in,
-
-    -- DDR controller clock domain
-    ctlr_clk_out  => dp_clk,  -- output clock of the ddr controller is used as DP clk.
-    ctlr_rst_out  => dp_rst,
-
-    ctlr_clk_in   => dp_clk,
-    ctlr_rst_in   => dp_rst,
-
-    -- MM clock + reset
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    -- MM interface
-    reg_io_ddr_mosi => reg_io_ddr_mosi,
-    reg_io_ddr_miso => reg_io_ddr_miso,
-
-    -- Driver clock domain
-    dvr_clk       => dp_clk,
-    dvr_rst       => dp_rst,
-
-    dvr_miso      => ctlr_dvr_miso,
-    dvr_mosi      => ctlr_dvr_mosi,
-
-    -- Write FIFO clock domain
-    wr_clk        => dp_clk,
-    wr_rst        => dp_rst,
-
-    wr_fifo_usedw => OPEN,
-    wr_sosi       => to_mem_sosi,
-    wr_siso       => to_mem_siso,
-
-    -- Read FIFO clock domain
-    rd_clk        => dp_clk,
-    rd_rst        => dp_rst,
-
-    rd_fifo_usedw => OPEN,
-    rd_sosi       => from_mem_sosi,
-    rd_siso       => from_mem_siso,
-
-    -- DDR3 PHY external interface
-    phy3_in       => phy_in,
-    phy3_io       => phy_io,
-    phy3_ou       => phy_ou
-  );
+    generic map(
+      g_tech_ddr               => c_tech_ddr,  -- : t_c_tech_ddr;
+      g_cross_domain_dvr_ctlr  => false,  -- TRUE,   --  : BOOLEAN := TRUE;
+      g_wr_data_w              => c_data_w,  -- : NATURAL := 32;
+      g_wr_fifo_depth          => c_wr_fifo_depth,  -- : NATURAL := 128;     -- >=16                             , defined at DDR side of the FIFO.
+      g_rd_fifo_depth          => c_rd_fifo_depth,  -- : NATURAL := 256;     -- >=16 AND >g_tech_ddr.maxburstsize, defined at DDR side of the FIFO.
+      g_rd_data_w              => c_data_w,  -- : NATURAL := 32;
+      g_wr_flush_mode          => "SYN",  -- : STRING := "VAL";  -- "VAL", "SOP", "SYN"
+      g_wr_flush_use_channel   => false,  -- : BOOLEAN := FALSE;
+      g_wr_flush_start_channel => 0,  -- : NATURAL := 0;
+      g_wr_flush_nof_channels  => 1  -- : POSITIVE := 1
+    )
+    port map (
+      -- DDR reference clock
+      ctlr_ref_clk  => dp_clk_in,
+      ctlr_ref_rst  => dp_rst_in,
+
+      -- DDR controller clock domain
+      ctlr_clk_out  => dp_clk,  -- output clock of the ddr controller is used as DP clk.
+      ctlr_rst_out  => dp_rst,
+
+      ctlr_clk_in   => dp_clk,
+      ctlr_rst_in   => dp_rst,
+
+      -- MM clock + reset
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      -- MM interface
+      reg_io_ddr_mosi => reg_io_ddr_mosi,
+      reg_io_ddr_miso => reg_io_ddr_miso,
+
+      -- Driver clock domain
+      dvr_clk       => dp_clk,
+      dvr_rst       => dp_rst,
+
+      dvr_miso      => ctlr_dvr_miso,
+      dvr_mosi      => ctlr_dvr_mosi,
+
+      -- Write FIFO clock domain
+      wr_clk        => dp_clk,
+      wr_rst        => dp_rst,
+
+      wr_fifo_usedw => OPEN,
+      wr_sosi       => to_mem_sosi,
+      wr_siso       => to_mem_siso,
+
+      -- Read FIFO clock domain
+      rd_clk        => dp_clk,
+      rd_rst        => dp_rst,
+
+      rd_fifo_usedw => OPEN,
+      rd_sosi       => from_mem_sosi,
+      rd_siso       => from_mem_siso,
+
+      -- DDR3 PHY external interface
+      phy3_in       => phy_in,
+      phy3_io       => phy_io,
+      phy3_ou       => phy_ou
+    );
 
   u_tech_ddr_memory_model : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_tech_ddr
-  )
-  port map (
-    mem3_in => phy_ou,
-    mem3_io => phy_io
-  );
+    generic map (
+      g_tech_ddr => c_tech_ddr
+    )
+    port map (
+      mem3_in => phy_ou,
+      mem3_io => phy_io
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
 
diff --git a/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col.vhd b/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col.vhd
index 8403929486..403b4c71ee 100644
--- a/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_reorder_col is
 end tb_tb_reorder_col;
diff --git a/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_select_all.vhd b/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_select_all.vhd
index bd66fd81f0..3783008ebc 100644
--- a/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_select_all.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_select_all.vhd
@@ -28,7 +28,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_reorder_col_select_all is
 end tb_tb_reorder_col_select_all;
@@ -37,15 +37,15 @@ architecture tb of tb_tb_reorder_col_select_all is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
--- g_dsp_data_w            : natural := 16;  -- complex data width, = c_data_w / 2
--- g_nof_sync              : natural := 2;
--- g_nof_packets_per_sync  : natural := 3;
--- g_nof_blocks_per_packet : natural := 5;
--- g_nof_data_per_block    : natural := 3;
--- g_inter_valid_gap       : natural := 5;  -- nof clk gap in in_sosi.valid
--- g_inter_packet_gap      : natural := 0;  -- nof clk gap between in_sosi.eop and next in_sosi.sop
--- g_use_complex           : boolean := false;
--- g_use_dynamic_selection : boolean := true
+  -- g_dsp_data_w            : natural := 16;  -- complex data width, = c_data_w / 2
+  -- g_nof_sync              : natural := 2;
+  -- g_nof_packets_per_sync  : natural := 3;
+  -- g_nof_blocks_per_packet : natural := 5;
+  -- g_nof_data_per_block    : natural := 3;
+  -- g_inter_valid_gap       : natural := 5;  -- nof clk gap in in_sosi.valid
+  -- g_inter_packet_gap      : natural := 0;  -- nof clk gap between in_sosi.eop and next in_sosi.sop
+  -- g_use_complex           : boolean := false;
+  -- g_use_dynamic_selection : boolean := true
 
   u_complex_5_3_no_gaps      : entity work.tb_reorder_col_select_all generic map(16, 3, 2, 5, 3, 0, 0, true,  false);
   u_data_5_3_no_gaps         : entity work.tb_reorder_col_select_all generic map(16, 3, 3, 5, 3, 0, 0, false, false);
diff --git a/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_wide_row_select.vhd b/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_wide_row_select.vhd
index 6fd70a5c19..1266043e8d 100644
--- a/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_wide_row_select.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_tb_reorder_col_wide_row_select.vhd
@@ -28,7 +28,7 @@
 -- Description: See tb_reorder_col_wide_row_select
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_reorder_col_wide_row_select is
 end tb_tb_reorder_col_wide_row_select;
diff --git a/libraries/base/ring/src/vhdl/ring_info.vhd b/libraries/base/ring/src/vhdl/ring_info.vhd
index b1773c9b28..959e236b58 100644
--- a/libraries/base/ring/src/vhdl/ring_info.vhd
+++ b/libraries/base/ring/src/vhdl/ring_info.vhd
@@ -31,11 +31,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.ring_pkg.all;
 
 entity ring_info is
   port (
@@ -61,24 +61,24 @@ architecture str of ring_info is
 begin
 
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
-    g_field_arr       => c_ring_info_field_arr
-  )
-  port map (
-    mm_clk     => mm_clk,
-    mm_rst     => mm_rst,
+    generic map(
+      g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
+      g_field_arr       => c_ring_info_field_arr
+    )
+    port map (
+      mm_clk     => mm_clk,
+      mm_rst     => mm_rst,
 
-    mm_mosi    => reg_copi,
-    mm_miso    => reg_cipo,
+      mm_mosi    => reg_copi,
+      mm_miso    => reg_cipo,
 
-    slv_clk    => dp_clk,
-    slv_rst    => dp_rst,
+      slv_clk    => dp_clk,
+      slv_rst    => dp_rst,
 
-    slv_in_val => '1',
+      slv_in_val => '1',
 
-    slv_out    => mm_fields_out
-  );
+      slv_out    => mm_fields_out
+    );
 
   -- get "RW" fields from mm_fields
   ring_info.O_rn <= mm_fields_out(field_hi(c_ring_info_field_arr, "O_rn") downto field_lo(c_ring_info_field_arr, "O_rn"));
diff --git a/libraries/base/ring/src/vhdl/ring_lane.vhd b/libraries/base/ring/src/vhdl/ring_lane.vhd
index 374faeb6d6..a50b0be9d6 100644
--- a/libraries/base/ring/src/vhdl/ring_lane.vhd
+++ b/libraries/base/ring/src/vhdl/ring_lane.vhd
@@ -28,12 +28,12 @@
 -- Remark:
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.ring_pkg.all;
 
 entity ring_lane is
   generic (
@@ -93,86 +93,86 @@ architecture str of ring_lane is
 begin
 
   u_ring_lane_info : entity work.ring_lane_info
-  port map (
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
+    port map (
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
 
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
 
-    reg_mosi => reg_ring_lane_info_copi,
-    reg_miso => reg_ring_lane_info_cipo,
+      reg_mosi => reg_ring_lane_info_copi,
+      reg_miso => reg_ring_lane_info_cipo,
 
-    lane_direction => c_lane_direction,
-    lane_info => lane_info
-  );
+      lane_direction => c_lane_direction,
+      lane_info => lane_info
+    );
 
 
   u_ring_rx : entity work.ring_rx
-  generic map (
-    g_use_dp_layer        => g_use_dp_layer,
-    g_lane_direction      => g_lane_direction,
-    g_total_nof_packets_w => g_lane_total_nof_packets_w,
-    g_data_w              => g_lane_data_w,
-    g_nof_rx_monitors     => g_nof_rx_monitors,
-    g_err_bi              => g_err_bi,
-    g_block_size          => g_lane_packet_length,
-    g_nof_err_counts      => g_nof_err_counts,
-    g_check_channel       => g_bsn_at_sync_check_channel,
-    g_sync_timeout        => g_sync_timeout
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
-
-    from_lane_sosi     => from_lane_sosi,
-    lane_rx_cable_sosi => lane_rx_cable_sosi,
-    lane_rx_board_sosi => lane_rx_board_sosi,
-    bs_sosi            => bs_sosi,
-
-    reg_bsn_monitor_v2_copi                => reg_bsn_monitor_v2_ring_rx_copi,
-    reg_bsn_monitor_v2_cipo                => reg_bsn_monitor_v2_ring_rx_cipo,
-    reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi,
-    reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo,
-    reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi,
-    reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo,
-
-    ref_sync  => bs_sosi.sync,
-    rx_select => rx_select,
-    this_rn   => this_rn,
-    N_rn      => N_rn
-  );
+    generic map (
+      g_use_dp_layer        => g_use_dp_layer,
+      g_lane_direction      => g_lane_direction,
+      g_total_nof_packets_w => g_lane_total_nof_packets_w,
+      g_data_w              => g_lane_data_w,
+      g_nof_rx_monitors     => g_nof_rx_monitors,
+      g_err_bi              => g_err_bi,
+      g_block_size          => g_lane_packet_length,
+      g_nof_err_counts      => g_nof_err_counts,
+      g_check_channel       => g_bsn_at_sync_check_channel,
+      g_sync_timeout        => g_sync_timeout
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
+
+      from_lane_sosi     => from_lane_sosi,
+      lane_rx_cable_sosi => lane_rx_cable_sosi,
+      lane_rx_board_sosi => lane_rx_board_sosi,
+      bs_sosi            => bs_sosi,
+
+      reg_bsn_monitor_v2_copi                => reg_bsn_monitor_v2_ring_rx_copi,
+      reg_bsn_monitor_v2_cipo                => reg_bsn_monitor_v2_ring_rx_cipo,
+      reg_dp_block_validate_err_copi         => reg_dp_block_validate_err_copi,
+      reg_dp_block_validate_err_cipo         => reg_dp_block_validate_err_cipo,
+      reg_dp_block_validate_bsn_at_sync_copi => reg_dp_block_validate_bsn_at_sync_copi,
+      reg_dp_block_validate_bsn_at_sync_cipo => reg_dp_block_validate_bsn_at_sync_cipo,
+
+      ref_sync  => bs_sosi.sync,
+      rx_select => rx_select,
+      this_rn   => this_rn,
+      N_rn      => N_rn
+    );
 
   u_ring_tx : entity work.ring_tx
-  generic map (
-    g_use_dp_layer    => g_use_dp_layer,
-    g_lane_direction  => g_lane_direction,
-    g_data_w          => g_lane_data_w,
-    g_nof_tx_monitors => g_nof_tx_monitors,
-    g_validate_channel => g_validate_channel,
-    g_mode            => g_validate_channel_mode,
-    g_sync_timeout    => g_sync_timeout
-  )
-  port map (
-    mm_rst =>  mm_rst,
-    mm_clk =>  mm_clk,
-    dp_clk =>  dp_clk,
-    dp_rst =>  dp_rst,
-
-    to_lane_sosi       => to_lane_sosi,
-    lane_tx_cable_sosi => lane_tx_cable_sosi,
-    lane_tx_board_sosi => lane_tx_board_sosi,
-
-    reg_bsn_monitor_v2_copi => reg_bsn_monitor_v2_ring_tx_copi,
-    reg_bsn_monitor_v2_cipo => reg_bsn_monitor_v2_ring_tx_cipo,
-
-    ref_sync       => bs_sosi.sync,
-    tx_select      => tx_select,
-    remove_channel => lane_info.transport_nof_hops,
-    this_rn        => this_rn,
-    N_rn           => N_rn
-  );
+    generic map (
+      g_use_dp_layer    => g_use_dp_layer,
+      g_lane_direction  => g_lane_direction,
+      g_data_w          => g_lane_data_w,
+      g_nof_tx_monitors => g_nof_tx_monitors,
+      g_validate_channel => g_validate_channel,
+      g_mode            => g_validate_channel_mode,
+      g_sync_timeout    => g_sync_timeout
+    )
+    port map (
+      mm_rst =>  mm_rst,
+      mm_clk =>  mm_clk,
+      dp_clk =>  dp_clk,
+      dp_rst =>  dp_rst,
+
+      to_lane_sosi       => to_lane_sosi,
+      lane_tx_cable_sosi => lane_tx_cable_sosi,
+      lane_tx_board_sosi => lane_tx_board_sosi,
+
+      reg_bsn_monitor_v2_copi => reg_bsn_monitor_v2_ring_tx_copi,
+      reg_bsn_monitor_v2_cipo => reg_bsn_monitor_v2_ring_tx_cipo,
+
+      ref_sync       => bs_sosi.sync,
+      tx_select      => tx_select,
+      remove_channel => lane_info.transport_nof_hops,
+      this_rn        => this_rn,
+      N_rn           => N_rn
+    );
 
 end str;
diff --git a/libraries/base/ring/src/vhdl/ring_lane_info.vhd b/libraries/base/ring/src/vhdl/ring_lane_info.vhd
index c905ccfae9..48af3d426d 100644
--- a/libraries/base/ring/src/vhdl/ring_lane_info.vhd
+++ b/libraries/base/ring/src/vhdl/ring_lane_info.vhd
@@ -31,11 +31,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.ring_pkg.all;
 
 entity ring_lane_info is
   port (
@@ -65,20 +65,20 @@ architecture str of ring_lane_info is
 begin
 
   u_mm_fields: entity work.ring_lane_info_reg
-  port map (
+    port map (
 
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
 
-    dp_clk    => dp_clk,
-    dp_rst    => dp_rst,
+      dp_clk    => dp_clk,
+      dp_rst    => dp_rst,
 
-    reg_mosi  => reg_mosi,
-    reg_miso  => reg_miso,
+      reg_mosi  => reg_mosi,
+      reg_miso  => reg_miso,
 
-    lane_info_ro => lane_info_ro,
-    lane_info    => lane_info
-  );
+      lane_info_ro => lane_info_ro,
+      lane_info    => lane_info
+    );
 
 
   lane_info_ro.lane_direction <= lane_direction;
diff --git a/libraries/base/ring/src/vhdl/ring_lane_info_reg.vhd b/libraries/base/ring/src/vhdl/ring_lane_info_reg.vhd
index ba4254765a..b65ccf39f2 100644
--- a/libraries/base/ring/src/vhdl/ring_lane_info_reg.vhd
+++ b/libraries/base/ring/src/vhdl/ring_lane_info_reg.vhd
@@ -31,11 +31,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use work.ring_pkg.all;
 
 entity ring_lane_info_reg is
   port (
@@ -79,25 +79,25 @@ begin
 
 
   u_mm_fields: entity mm_lib.mm_fields
-  generic map(
-    g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
-    g_field_arr       => c_ring_lane_info_field_arr
-  )
-  port map (
-    mm_clk     => mm_clk,
-    mm_rst     => mm_rst,
+    generic map(
+      g_use_slv_in_val  => false,  -- use FALSE to save logic when always slv_in_val='1'
+      g_field_arr       => c_ring_lane_info_field_arr
+    )
+    port map (
+      mm_clk     => mm_clk,
+      mm_rst     => mm_rst,
 
-    mm_mosi    => reg_mosi,
-    mm_miso    => reg_miso,
+      mm_mosi    => reg_mosi,
+      mm_miso    => reg_miso,
 
-    slv_clk    => dp_clk,
-    slv_rst    => dp_rst,
+      slv_clk    => dp_clk,
+      slv_rst    => dp_rst,
 
-    slv_in     => mm_fields_in,
-    slv_in_val => '1',
+      slv_in     => mm_fields_in,
+      slv_in_val => '1',
 
-    slv_out    => mm_fields_out
-  );
+      slv_out    => mm_fields_out
+    );
 
   -- add "RO" fields to mm_fields
   mm_fields_in(field_hi(c_ring_lane_info_field_arr, "lane_direction") downto field_lo(c_ring_lane_info_field_arr, "lane_direction")) <= slv(lane_info_rd.lane_direction);
diff --git a/libraries/base/ring/src/vhdl/ring_mux.vhd b/libraries/base/ring/src/vhdl/ring_mux.vhd
index 8a0e1450c7..793d71bb07 100644
--- a/libraries/base/ring/src/vhdl/ring_mux.vhd
+++ b/libraries/base/ring/src/vhdl/ring_mux.vhd
@@ -29,11 +29,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity ring_mux is
   generic (
@@ -81,32 +81,32 @@ begin
   remote_siso <= dp_mux_in_siso_arr(1);
 
   u_dp_mux : entity dp_lib.dp_mux
-  generic map (
-    g_nof_input         => c_nof_input,
-    g_append_channel_lo => false,  -- Keep channels the same as the input.
-    g_use_fifo          => true,
-    g_bsn_w             => g_bsn_w,
-    g_data_w            => g_data_w,
-    g_empty_w           => g_empty_w,
-    g_in_channel_w      => g_channel_w,
-    g_error_w           => g_error_w,
-    g_use_bsn           => g_use_bsn,
-    g_use_empty         => g_use_empty,
-    g_use_in_channel    => true,
-    g_use_error         => g_use_error,
-    g_use_sync          => g_use_sync,
-    g_fifo_af_xon       => g_fifo_af_xon,
-    g_fifo_size         => g_fifo_size
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_out_arr => dp_mux_in_siso_arr,
-    snk_in_arr  => dp_mux_in_sosi_arr,
-
-    src_in      => mux_siso,
-    src_out     => mux_sosi
-  );
+    generic map (
+      g_nof_input         => c_nof_input,
+      g_append_channel_lo => false,  -- Keep channels the same as the input.
+      g_use_fifo          => true,
+      g_bsn_w             => g_bsn_w,
+      g_data_w            => g_data_w,
+      g_empty_w           => g_empty_w,
+      g_in_channel_w      => g_channel_w,
+      g_error_w           => g_error_w,
+      g_use_bsn           => g_use_bsn,
+      g_use_empty         => g_use_empty,
+      g_use_in_channel    => true,
+      g_use_error         => g_use_error,
+      g_use_sync          => g_use_sync,
+      g_fifo_af_xon       => g_fifo_af_xon,
+      g_fifo_size         => g_fifo_size
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_out_arr => dp_mux_in_siso_arr,
+      snk_in_arr  => dp_mux_in_sosi_arr,
+
+      src_in      => mux_siso,
+      src_out     => mux_sosi
+    );
 
 end str;
diff --git a/libraries/base/ring/src/vhdl/ring_pkg.vhd b/libraries/base/ring/src/vhdl/ring_pkg.vhd
index 1c22b26c92..34e45c1d99 100644
--- a/libraries/base/ring/src/vhdl/ring_pkg.vhd
+++ b/libraries/base/ring/src/vhdl/ring_pkg.vhd
@@ -27,27 +27,29 @@
 -- Remark:
 -------------------------------------------------------------------------------
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
 
 package ring_pkg is
--- lane info, see https://support.astron.nl/confluence/x/jyu7Ag
+  -- lane info, see https://support.astron.nl/confluence/x/jyu7Ag
   type t_ring_lane_info is record
     transport_nof_hops : std_logic_vector(c_word_w - 1 downto 0);
     lane_direction     : std_logic;
   end record;
 
-  constant c_ring_lane_info_rst : t_ring_lane_info :=
-      ( (others => '0'), '0' );
+  constant c_ring_lane_info_rst : t_ring_lane_info := (
+     (others => '0'),
+    '0' 
+  );
 
   constant c_ring_lane_info_field_arr : t_common_field_arr(1 downto 0) :=
-      ( (field_name_pad("transport_nof_hops"), "RW", 32, field_default(0)),
-        (field_name_pad("lane_direction"),     "RO",  1, field_default(0)) );
+  ( (field_name_pad("transport_nof_hops"), "RW", 32, field_default(0)),
+    (field_name_pad("lane_direction"),     "RO",  1, field_default(0)) );
 
--- ring info, see https://support.astron.nl/confluence/x/jyu7Ag
+  -- ring info, see https://support.astron.nl/confluence/x/jyu7Ag
   type t_ring_info is record
     O_rn                     : std_logic_vector(c_byte_w - 1 downto 0);
     N_rn                     : std_logic_vector(c_byte_w - 1 downto 0);
@@ -55,14 +57,18 @@ package ring_pkg is
     use_cable_to_previous_rn : std_logic;
   end record;
 
-  constant c_ring_info_rst : t_ring_info :=
-      ( (others => '0'), (others => '0'), '0', '0' );
+  constant c_ring_info_rst : t_ring_info := (
+     (others => '0'),
+    (others => '0'),
+    '0',
+    '0' 
+  );
 
   constant c_ring_info_field_arr : t_common_field_arr(3 downto 0) :=
-      ( (field_name_pad("O_rn"),                     "RW", 8, field_default( 0)),
-        (field_name_pad("N_rn"),                     "RW", 8, field_default(16)),
-        (field_name_pad("use_cable_to_next_rn"),     "RW", 1, field_default( 0)),
-        (field_name_pad("use_cable_to_previous_rn"), "RW", 1, field_default( 0)) );
+  ( (field_name_pad("O_rn"),                     "RW", 8, field_default( 0)),
+    (field_name_pad("N_rn"),                     "RW", 8, field_default(16)),
+    (field_name_pad("use_cable_to_next_rn"),     "RW", 1, field_default( 0)),
+    (field_name_pad("use_cable_to_previous_rn"), "RW", 1, field_default( 0)) );
 
   constant c_ring_eth_dst_mac : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0) := x"FFFFFFFFFFFF";
   constant c_ring_eth_src_mac : std_logic_vector(c_network_eth_mac_addr_w - 1 downto 0) := x"002286080000";
@@ -74,32 +80,32 @@ package ring_pkg is
   constant c_ring_eth_nof_hdr_fields : natural := 3;
   constant c_ring_eth_hdr_field_sel  : std_logic_vector(c_ring_eth_nof_hdr_fields - 1 downto 0) := "000";
   constant c_ring_eth_hdr_field_arr : t_common_field_arr(c_ring_eth_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("eth_dst_mac"  ), "RW", 48, field_default(c_ring_eth_dst_mac) ),
-      ( field_name_pad("eth_src_mac"  ), "RW", 48, field_default(c_ring_eth_src_mac) ),
-      ( field_name_pad("eth_type"     ), "RW", 16, field_default(0) )
+    ( field_name_pad("eth_dst_mac"  ), "RW", 48, field_default(c_ring_eth_dst_mac) ),
+    ( field_name_pad("eth_src_mac"  ), "RW", 48, field_default(c_ring_eth_src_mac) ),
+    ( field_name_pad("eth_type"     ), "RW", 16, field_default(0) )
   );
   constant c_ring_eth_hdr_field_size : natural := ceil_div(field_slv_len(c_ring_eth_hdr_field_arr), c_longword_w);  -- = 14/8 = 2 longwords
 
   constant c_ring_dp_nof_hdr_fields : natural := 6;
   constant c_ring_dp_hdr_field_sel  : std_logic_vector(c_ring_dp_nof_hdr_fields - 1 downto 0) := "000" & "000";
   constant c_ring_dp_hdr_field_arr : t_common_field_arr(c_ring_dp_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("eth_dst_mac"  ), "RW", 48, field_default(c_ring_eth_dst_mac) ),
-      ( field_name_pad("eth_src_mac"  ), "RW", 48, field_default(c_ring_eth_src_mac) ),
-      ( field_name_pad("eth_type"     ), "RW", 16, field_default(0) ),
-      ( field_name_pad("dp_channel"   ), "RW", 16, field_default(0) ),
-      ( field_name_pad("dp_sync"      ), "RW",  1, field_default(0) ),
-      ( field_name_pad("dp_bsn"       ), "RW", 63, field_default(0) )
+    ( field_name_pad("eth_dst_mac"  ), "RW", 48, field_default(c_ring_eth_dst_mac) ),
+    ( field_name_pad("eth_src_mac"  ), "RW", 48, field_default(c_ring_eth_src_mac) ),
+    ( field_name_pad("eth_type"     ), "RW", 16, field_default(0) ),
+    ( field_name_pad("dp_channel"   ), "RW", 16, field_default(0) ),
+    ( field_name_pad("dp_sync"      ), "RW",  1, field_default(0) ),
+    ( field_name_pad("dp_bsn"       ), "RW", 63, field_default(0) )
   );
   constant c_ring_dp_hdr_field_size : natural := ceil_div(field_slv_len(c_ring_dp_hdr_field_arr), c_longword_w);  -- = 24/8 = 3 longwords
 
-  function func_ring_nof_hops_to_source_rn(hops, this_rn, N_rn, lane_dir : natural) return natural;
-  function func_ring_nof_hops_to_source_rn(hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector;  -- return vector length is same as hops vector length
+  function func_ring_nof_hops_to_source_rn (hops, this_rn, N_rn, lane_dir : natural) return natural;
+  function func_ring_nof_hops_to_source_rn (hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector;  -- return vector length is same as hops vector length
 
- end package ring_pkg;
+end package ring_pkg;
 
 package body ring_pkg is
 
-  function func_ring_nof_hops_to_source_rn(hops, this_rn, N_rn, lane_dir : natural) return natural is
+  function func_ring_nof_hops_to_source_rn (hops, this_rn, N_rn, lane_dir : natural) return natural is
     variable v_source_rn     : integer;
     variable v_source_rn_nat : natural;
   begin
@@ -122,7 +128,7 @@ package body ring_pkg is
     return v_source_rn_nat;
   end;
 
-  function func_ring_nof_hops_to_source_rn(hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector is
+  function func_ring_nof_hops_to_source_rn (hops, this_rn, N_rn : std_logic_vector; lane_dir : natural) return std_logic_vector is
   begin
     return TO_UVEC(func_ring_nof_hops_to_source_rn(TO_UINT(hops), TO_UINT(this_rn), TO_UINT(N_rn), lane_dir),hops'length);
   end;
diff --git a/libraries/base/ring/src/vhdl/ring_rx.vhd b/libraries/base/ring/src/vhdl/ring_rx.vhd
index ef3b18eb2e..388d8bd5c0 100644
--- a/libraries/base/ring/src/vhdl/ring_rx.vhd
+++ b/libraries/base/ring/src/vhdl/ring_rx.vhd
@@ -28,12 +28,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.ring_pkg.all;
 
 entity ring_rx is
   generic (
@@ -102,17 +102,17 @@ begin
 
   -- Validate length
   u_dp_block_validate_length : entity dp_lib.dp_block_validate_length
-  generic map (
-    g_err_bi          => g_err_bi,
-    g_expected_length => c_packet_size
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in  => lane_rx_sosi,
-    src_out => packet_sosi
-  );
+    generic map (
+      g_err_bi          => g_err_bi,
+      g_expected_length => c_packet_size
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in  => lane_rx_sosi,
+      src_out => packet_sosi
+    );
 
   -- Validate error field
   -- . Use ref_sync as capture moment for the running MM total counts in dp_block_validate_err.
@@ -120,52 +120,52 @@ begin
   --   and because the rx_packet_sosi can carry packets from multiple sources (so multiple sync
   --   intervals multiplexed on one lane).
   u_dp_block_validate_err : entity dp_lib.dp_block_validate_err
-  generic map (
-    g_cnt_w           => c_word_w,  -- <= c_word_w = 32
-    g_blk_cnt_w       => g_total_nof_packets_w,  -- <= c_longword_w = 64
-    g_max_block_size  => c_packet_size,
-    g_min_block_size  => c_packet_size,
-    g_nof_err_counts  => g_nof_err_counts,
-    g_fifo_size       => c_packet_size,  -- can be same as g_max_block_size as src_in.ready = '1'
-    g_use_sync        => false,  -- no need to pass on ref_sync
-    g_data_w          => g_data_w
-  )
-  port map (
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    ref_sync => ref_sync,
-
-    snk_in  => packet_sosi,
-    src_out => validated_sosi,
-
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-
-    reg_mosi => reg_dp_block_validate_err_copi,
-    reg_miso => reg_dp_block_validate_err_cipo
-  );
+    generic map (
+      g_cnt_w           => c_word_w,  -- <= c_word_w = 32
+      g_blk_cnt_w       => g_total_nof_packets_w,  -- <= c_longword_w = 64
+      g_max_block_size  => c_packet_size,
+      g_min_block_size  => c_packet_size,
+      g_nof_err_counts  => g_nof_err_counts,
+      g_fifo_size       => c_packet_size,  -- can be same as g_max_block_size as src_in.ready = '1'
+      g_use_sync        => false,  -- no need to pass on ref_sync
+      g_data_w          => g_data_w
+    )
+    port map (
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      ref_sync => ref_sync,
+
+      snk_in  => packet_sosi,
+      src_out => validated_sosi,
+
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+
+      reg_mosi => reg_dp_block_validate_err_copi,
+      reg_miso => reg_dp_block_validate_err_cipo
+    );
 
   -- Removing ETH/DP header
   u_dp_offload_rx: entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams   => 1,
-    g_data_w        => g_data_w,
-    g_hdr_field_arr => c_hdr_field_arr
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    snk_in_arr(0)  => validated_sosi,
-    src_out_arr(0) => offload_rx_sosi,
-
-    hdr_fields_out_arr(0)  => hdr_fields_out,
-    hdr_fields_raw_arr(0)  => hdr_fields_raw
-  );
+    generic map (
+      g_nof_streams   => 1,
+      g_data_w        => g_data_w,
+      g_hdr_field_arr => c_hdr_field_arr
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      snk_in_arr(0)  => validated_sosi,
+      src_out_arr(0) => offload_rx_sosi,
+
+      hdr_fields_out_arr(0)  => hdr_fields_out,
+      hdr_fields_raw_arr(0)  => hdr_fields_raw
+    );
 
   -- Use dp layer
   gen_dp_layer : if g_use_dp_layer generate
@@ -179,23 +179,23 @@ begin
 
     -- Validate bsn at sync
     u_dp_block_validate_bsn_at_sync : entity dp_lib.dp_block_validate_bsn_at_sync
-    generic map (
-      g_check_channel => g_check_channel
-    )
-    port map (
-      dp_rst => dp_rst,
-      dp_clk => dp_clk,
+      generic map (
+        g_check_channel => g_check_channel
+      )
+      port map (
+        dp_rst => dp_rst,
+        dp_clk => dp_clk,
 
-      in_sosi  => decoded_sosi,
-      bs_sosi  => bs_sosi,
-      out_sosi => from_lane_sosi,
+        in_sosi  => decoded_sosi,
+        bs_sosi  => bs_sosi,
+        out_sosi => from_lane_sosi,
 
-      mm_rst => mm_rst,
-      mm_clk => mm_clk,
+        mm_rst => mm_rst,
+        mm_clk => mm_clk,
 
-      reg_mosi => reg_dp_block_validate_bsn_at_sync_copi,
-      reg_miso => reg_dp_block_validate_bsn_at_sync_cipo
-    );
+        reg_mosi => reg_dp_block_validate_bsn_at_sync_copi,
+        reg_miso => reg_dp_block_validate_bsn_at_sync_cipo
+      );
 
     -- Convert nof_hops to source RN
     p_hop_to_src_rn: process(dp_rst, dp_clk)
@@ -214,34 +214,34 @@ begin
     end process;
 
     u_dp_demux : entity dp_lib.dp_demux
-    generic map (
-      g_nof_output => g_nof_rx_monitors
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      snk_in      => piped_monitor_sosi,
-      src_out_arr => demux_sosi_arr
-    );
+      generic map (
+        g_nof_output => g_nof_rx_monitors
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        snk_in      => piped_monitor_sosi,
+        src_out_arr => demux_sosi_arr
+      );
     monitor_sosi_arr <= func_dp_stream_arr_reverse_range(demux_sosi_arr);  -- Fix reversed bus.
 
     -- BSN Monitors
     u_mms_dp_bsn_monitor_v2 : entity dp_lib.mms_dp_bsn_monitor_v2
-    generic map (
-      g_nof_streams => g_nof_rx_monitors,
-      g_sync_timeout => g_sync_timeout
-    )
-    port map (
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      reg_mosi    => reg_bsn_monitor_v2_copi,
-      reg_miso    => reg_bsn_monitor_v2_cipo,
-
-      dp_rst      => dp_rst,
-      dp_clk      => dp_clk,
-      in_sosi_arr => monitor_sosi_arr,
-      ref_sync    => ref_sync
-    );
+      generic map (
+        g_nof_streams => g_nof_rx_monitors,
+        g_sync_timeout => g_sync_timeout
+      )
+      port map (
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        reg_mosi    => reg_bsn_monitor_v2_copi,
+        reg_miso    => reg_bsn_monitor_v2_cipo,
+
+        dp_rst      => dp_rst,
+        dp_clk      => dp_clk,
+        in_sosi_arr => monitor_sosi_arr,
+        ref_sync    => ref_sync
+      );
 
   end generate;
 
diff --git a/libraries/base/ring/src/vhdl/ring_tx.vhd b/libraries/base/ring/src/vhdl/ring_tx.vhd
index 0919bf8f8a..85d0aafb26 100644
--- a/libraries/base/ring/src/vhdl/ring_tx.vhd
+++ b/libraries/base/ring/src/vhdl/ring_tx.vhd
@@ -29,12 +29,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.ring_pkg.all;
 
 entity ring_tx is
   generic (
@@ -97,18 +97,18 @@ begin
   -- Validate transport_nof_hops
   gen_validate : if g_validate_channel generate
     u_dp_block_validate_channel: entity dp_lib.dp_block_validate_channel
-    generic map (
-      g_mode           => g_mode
-    )
-    port map (
-      dp_rst => dp_rst,
-      dp_clk => dp_clk,
-
-      in_sosi       => to_lane_sosi,
-      out_keep_sosi => validated_sosi,
-
-      remove_channel => remove_channel
-    );
+      generic map (
+        g_mode           => g_mode
+      )
+      port map (
+        dp_rst => dp_rst,
+        dp_clk => dp_clk,
+
+        in_sosi       => to_lane_sosi,
+        out_keep_sosi => validated_sosi,
+
+        remove_channel => remove_channel
+      );
   end generate;
   -- Don't validate transport_nof_hops
   gen_no_validate : if not g_validate_channel generate
@@ -141,44 +141,44 @@ begin
 
   -- Fifo for inserting header
   u_dp_fifo_sc: entity dp_lib.dp_fifo_sc
-  generic map (
-    g_data_w    => g_data_w,
-    g_empty_w   => c_empty_w,
-    g_use_empty => c_use_empty,
-    g_fifo_size => c_fifo_size
-  )
-  port map (
-    rst => dp_rst,
-    clk => dp_clk,
-
-    snk_in => tx_sosi,
-
-    src_out => tx_fifo_sosi,
-    src_in  => tx_fifo_siso
-  );
+    generic map (
+      g_data_w    => g_data_w,
+      g_empty_w   => c_empty_w,
+      g_use_empty => c_use_empty,
+      g_fifo_size => c_fifo_size
+    )
+    port map (
+      rst => dp_rst,
+      clk => dp_clk,
+
+      snk_in => tx_sosi,
+
+      src_out => tx_fifo_sosi,
+      src_in  => tx_fifo_siso
+    );
 
   -- Inserting ETH/DP header
   u_dp_offload_tx_v3: entity dp_lib.dp_offload_tx_v3
-  generic map (
-    g_nof_streams   => 1,
-    g_data_w        => g_data_w,
-    g_symbol_w      => g_symbol_w,
-    g_hdr_field_arr => c_hdr_field_arr,
-    g_hdr_field_sel => c_hdr_field_sel
-  )
-  port map (
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    snk_in_arr(0)  => tx_fifo_sosi,
-    snk_out_arr(0) => tx_fifo_siso,
-    src_out_arr(0) => lane_tx_sosi,
-
-    hdr_fields_in_arr(0)  => hdr_fields_in_reg
-  );
+    generic map (
+      g_nof_streams   => 1,
+      g_data_w        => g_data_w,
+      g_symbol_w      => g_symbol_w,
+      g_hdr_field_arr => c_hdr_field_arr,
+      g_hdr_field_sel => c_hdr_field_sel
+    )
+    port map (
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      snk_in_arr(0)  => tx_fifo_sosi,
+      snk_out_arr(0) => tx_fifo_siso,
+      src_out_arr(0) => lane_tx_sosi,
+
+      hdr_fields_in_arr(0)  => hdr_fields_in_reg
+    );
 
   -- Select output based on tx_select
   p_sel_out : process(lane_tx_sosi, tx_select)
@@ -210,33 +210,33 @@ begin
     end process;
 
     u_dp_demux : entity dp_lib.dp_demux
-    generic map (
-      g_nof_output => g_nof_tx_monitors
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      snk_in      => piped_monitor_sosi,
-      src_out_arr => demux_sosi_arr
-    );
+      generic map (
+        g_nof_output => g_nof_tx_monitors
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        snk_in      => piped_monitor_sosi,
+        src_out_arr => demux_sosi_arr
+      );
     monitor_sosi_arr <= func_dp_stream_arr_reverse_range(demux_sosi_arr);  -- Fix reversed bus.
 
     u_mms_dp_bsn_monitor_v2 : entity dp_lib.mms_dp_bsn_monitor_v2
-    generic map (
-      g_nof_streams => g_nof_tx_monitors,
-      g_sync_timeout => g_sync_timeout
-    )
-    port map (
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      reg_mosi    => reg_bsn_monitor_v2_copi,
-      reg_miso    => reg_bsn_monitor_v2_cipo,
-
-      dp_rst      => dp_rst,
-      dp_clk      => dp_clk,
-      in_sosi_arr => monitor_sosi_arr,
-      ref_sync    => ref_sync
-    );
+      generic map (
+        g_nof_streams => g_nof_tx_monitors,
+        g_sync_timeout => g_sync_timeout
+      )
+      port map (
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        reg_mosi    => reg_bsn_monitor_v2_copi,
+        reg_miso    => reg_bsn_monitor_v2_cipo,
+
+        dp_rst      => dp_rst,
+        dp_clk      => dp_clk,
+        in_sosi_arr => monitor_sosi_arr,
+        ref_sync    => ref_sync
+      );
 
   end generate;
 
diff --git a/libraries/base/ring/tb/vhdl/tb_ring_lane_info.vhd b/libraries/base/ring/tb/vhdl/tb_ring_lane_info.vhd
index 97cab42dc7..18a3b6dcaf 100644
--- a/libraries/base/ring/tb/vhdl/tb_ring_lane_info.vhd
+++ b/libraries/base/ring/tb/vhdl/tb_ring_lane_info.vhd
@@ -31,12 +31,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.ring_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.ring_pkg.all;
 
 
 entity tb_ring_lane_info is
diff --git a/libraries/base/sens/src/vhdl/sens.vhd b/libraries/base/sens/src/vhdl/sens.vhd
index fe632ba60e..46dd063dca 100644
--- a/libraries/base/sens/src/vhdl/sens.vhd
+++ b/libraries/base/sens/src/vhdl/sens.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use i2c_lib.i2c_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use i2c_lib.i2c_pkg.all;
 
 
 entity sens is
@@ -57,7 +57,7 @@ architecture str of sens is
   -- I2C clock rate settings
   constant c_i2c_sens_clk_cnt      : natural := 399;  -- (200 MHz/ 5 / (399+1)) = 100 kbps
   constant c_i2c_sens_comma_w      : natural := 0;  -- 2**c_i2c_comma_w * system clock period comma time after I2C start and after each octet
-                                                      -- 0 = no comma time
+  -- 0 = no comma time
   constant c_sens_clk_cnt          : natural := sel_a_b(g_sim, 1, c_i2c_sens_clk_cnt);  -- define I2C clock rate
   constant c_sens_comma_w          : natural := sel_a_b(g_sim, 0, c_i2c_sens_comma_w);  -- define I2C comma time
 
@@ -73,40 +73,40 @@ architecture str of sens is
 begin
 
   ctrl : entity work.sens_ctrl
-  generic map (
-    g_clk_cnt_w => c_update_clk_cnt_w,
-    g_evt_dat_w => sens_data'LENGTH,
-    g_temp_high => g_temp_high
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-    in_dat      => smbus_out_dat,
-    in_val      => smbus_out_val,
-    in_err      => smbus_out_err,
-    in_ack      => smbus_out_ack,
-    out_dat     => smbus_in_dat,
-    out_val     => smbus_in_val,
-    evt_val_reg => sens_evt,
-    evt_dat_reg => sens_data
-  );
+    generic map (
+      g_clk_cnt_w => c_update_clk_cnt_w,
+      g_evt_dat_w => sens_data'LENGTH,
+      g_temp_high => g_temp_high
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+      in_dat      => smbus_out_dat,
+      in_val      => smbus_out_val,
+      in_err      => smbus_out_err,
+      in_ack      => smbus_out_ack,
+      out_dat     => smbus_in_dat,
+      out_val     => smbus_in_val,
+      evt_val_reg => sens_evt,
+      evt_dat_reg => sens_data
+    );
 
   smbus : entity i2c_lib.i2c_smbus
-  generic map (
-    g_i2c_phy   => c_sens_phy
-  )
-  port map (
-    gs_sim      => cs_sim,
-    clk         => clk,
-    rst         => rst,
-    in_dat      => smbus_in_dat,
-    in_req      => smbus_in_val,
-    out_dat     => smbus_out_dat,
-    out_val     => smbus_out_val,
-    out_err     => smbus_out_err,
-    out_ack     => smbus_out_ack,
-    scl         => scl,
-    sda         => sda
-  );
+    generic map (
+      g_i2c_phy   => c_sens_phy
+    )
+    port map (
+      gs_sim      => cs_sim,
+      clk         => clk,
+      rst         => rst,
+      in_dat      => smbus_in_dat,
+      in_req      => smbus_in_val,
+      out_dat     => smbus_out_dat,
+      out_val     => smbus_out_val,
+      out_err     => smbus_out_err,
+      out_ack     => smbus_out_ack,
+      scl         => scl,
+      sda         => sda
+    );
 
 end architecture;
diff --git a/libraries/base/sens/src/vhdl/sens_ctrl.vhd b/libraries/base/sens/src/vhdl/sens_ctrl.vhd
index cec717fb84..027c9549b3 100644
--- a/libraries/base/sens/src/vhdl/sens_ctrl.vhd
+++ b/libraries/base/sens/src/vhdl/sens_ctrl.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use i2c_lib.i2c_smbus_pkg.all;
-use i2c_lib.i2c_dev_max1617_pkg.all;
-use i2c_lib.i2c_dev_max6652_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use i2c_lib.i2c_smbus_pkg.all;
+  use i2c_lib.i2c_dev_max1617_pkg.all;
+  use i2c_lib.i2c_dev_max6652_pkg.all;
 
 
 entity sens_ctrl is
@@ -61,31 +61,82 @@ architecture rtl of sens_ctrl is
 
   constant SEQ : SEQUENCE := (
     SMBUS_C_NOP,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_VIN_2_5,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_VIN_3_3,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_VCC,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_TEMP,
---  For debugging, use AP temp fields in RSR to read other info from the sensor, e.g.:
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_STATUS,
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_CONFIG,
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_HIGH,
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_LOW,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP0, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP1, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP2, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP3, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_WRITE_BYTE, ADR_MAX6652    , MAX6652_REG_CONFIG,           MAX6652_CONFIG_LINE_FREQ_SEL + MAX6652_CONFIG_START,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_BP , MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP0, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP1, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP2, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP3, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_BP , MAX1617_CMD_WRITE_REMOTE_HIGH, g_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP0, MAX1617_CMD_WRITE_REMOTE_HIGH, g_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP1, MAX1617_CMD_WRITE_REMOTE_HIGH, g_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP2, MAX1617_CMD_WRITE_REMOTE_HIGH, g_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP3, MAX1617_CMD_WRITE_REMOTE_HIGH, g_temp_high,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_VIN_2_5,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_VIN_3_3,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_VCC,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_BP ,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    --  For debugging, use AP temp fields in RSR to read other info from the sensor, e.g.:
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_STATUS,
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_CONFIG,
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_HIGH,
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_LOW,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP0,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP1,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP2,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP3,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX6652    ,
+    MAX6652_REG_CONFIG,
+    MAX6652_CONFIG_LINE_FREQ_SEL + MAX6652_CONFIG_START,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_BP ,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP0,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP1,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP2,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP3,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_BP ,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    g_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP0,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    g_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP1,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    g_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP2,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    g_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP3,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    g_temp_high,
     SMBUS_C_NOP
   );
 
diff --git a/libraries/base/sens/tb/vhdl/tb_sens.vhd b/libraries/base/sens/tb/vhdl/tb_sens.vhd
index 029016593b..49396d61c5 100644
--- a/libraries/base/sens/tb/vhdl/tb_sens.vhd
+++ b/libraries/base/sens/tb/vhdl/tb_sens.vhd
@@ -23,10 +23,10 @@ entity tb_sens is
 end tb_sens;
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 
 architecture tb of tb_sens is
@@ -116,83 +116,83 @@ begin
 
   -- I2C master
   sens : entity work.sens
-  generic map (
-    --g_sim      => 0  -- for real time I2C link debugging purposes
-    g_sim      => 1
-  )
-  port map(
-    rst        => rst,
-    clk        => clk,
-    scl        => scl,
-    sda        => sda,
-    sens_evt   => sens_evt,
-    sens_data  => sens_data
-  );
+    generic map (
+      --g_sim      => 0  -- for real time I2C link debugging purposes
+      g_sim      => 1
+    )
+    port map(
+      rst        => rst,
+      clk        => clk,
+      scl        => scl,
+      sda        => sda,
+      sens_evt   => sens_evt,
+      sens_data  => sens_data
+    );
 
   -- I2C slaves
   sens_temp_bp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_bp_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_bp_temp
-  );
+    generic map (
+      g_address => c_bp_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_bp_temp
+    );
 
   sens_temp_ap0 : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_ap0_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap0_temp
-  );
+    generic map (
+      g_address => c_ap0_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap0_temp
+    );
 
   sens_temp_ap1 : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_ap1_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap1_temp
-  );
+    generic map (
+      g_address => c_ap1_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap1_temp
+    );
 
   sens_temp_ap2 : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_ap2_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap2_temp
-  );
+    generic map (
+      g_address => c_ap2_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap2_temp
+    );
 
   sens_temp_ap3 : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_ap3_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap3_temp
-  );
+    generic map (
+      g_address => c_ap3_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap3_temp
+    );
 
   sens_volt_bp : entity i2c_lib.dev_max6652
-  generic map (
-    g_address => c_bp_volt_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    volt_2v5  => c_volt_1v2,
-    volt_3v3  => c_volt_2v5,
-    volt_12v  => c_volt_nc,
-    volt_vcc  => c_volt_3v3,
-    temp      => c_temp_pcb
-  );
+    generic map (
+      g_address => c_bp_volt_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      volt_2v5  => c_volt_1v2,
+      volt_3v3  => c_volt_2v5,
+      volt_12v  => c_volt_nc,
+      volt_vcc  => c_volt_3v3,
+      temp      => c_temp_pcb
+    );
 
 end tb;
 
diff --git a/libraries/base/ss/src/vhdl/ss.vhd b/libraries/base/ss/src/vhdl/ss.vhd
index d6dea686be..908158d64d 100644
--- a/libraries/base/ss/src/vhdl/ss.vhd
+++ b/libraries/base/ss/src/vhdl/ss.vhd
@@ -51,12 +51,12 @@
 --   support the input_siso signal, e.g. based on store_done and retrieve_done.
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity ss is
   generic (
@@ -90,17 +90,21 @@ end ss;
 
 architecture str of ss is
 
-  constant c_store_buf      : t_c_mem := (latency  => 1,
-                                          adr_w    => ceil_log2(g_nof_ch_in),
-                                          dat_w    => c_nof_complex * g_dsp_data_w,
-                                          nof_dat  => g_nof_ch_in,
-                                          init_sl  => '0');  -- ST side : stat_mosi
-
-  constant c_select_buf     : t_c_mem := (latency  => 1,
-                                          adr_w    => ceil_log2(g_nof_ch_sel),
-                                          dat_w    => ceil_log2(g_nof_ch_in),
-                                          nof_dat  => g_nof_ch_sel,
-                                          init_sl  => '0');
+  constant c_store_buf      : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_ch_in),
+    dat_w    => c_nof_complex * g_dsp_data_w,
+    nof_dat  => g_nof_ch_in,
+    init_sl  => '0'
+  );  -- ST side : stat_mosi
+
+  constant c_select_buf     : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_ch_sel),
+    dat_w    => ceil_log2(g_nof_ch_in),
+    nof_dat  => g_nof_ch_sel,
+    init_sl  => '0'
+  );
 
   constant c_data_nof_pages       : natural := 2;  -- fixed dual page SS
   constant c_info_nof_pages       : natural := 2;  -- fixed, fits the dual page block latency and logic latency of the SS
@@ -135,150 +139,150 @@ begin
   -- (no bursting) by enforcing a minimum period of g_nof_ch_sel
   -----------------------------------------------------------------------------
   u_dp_throttle_sop : entity dp_lib.dp_throttle_sop
-  generic map (
-    g_period    => g_nof_ch_sel
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    snk_out     => input_siso,
-    snk_in      => input_sosi
-  );
+    generic map (
+      g_period    => g_nof_ch_sel
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      snk_out     => input_siso,
+      snk_in      => input_sosi
+    );
 
   u_store : entity work.ss_store
-  generic map (
-    g_dsp_data_w  => g_dsp_data_w,
-    g_nof_ch_in   => g_nof_ch_in,
-    g_use_complex => g_use_complex
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
+    generic map (
+      g_dsp_data_w  => g_dsp_data_w,
+      g_nof_ch_in   => g_nof_ch_in,
+      g_use_complex => g_use_complex
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
 
-    -- Streaming
-    input_sosi    => input_sosi,
+      -- Streaming
+      input_sosi    => input_sosi,
 
-    -- Timing
-    store_done    => store_done,
+      -- Timing
+      store_done    => store_done,
 
-    -- Write store buffer control
-    store_mosi    => store_mosi
-  );
+      -- Write store buffer control
+      store_mosi    => store_mosi
+    );
 
   u_store_buf : entity common_lib.common_paged_ram_r_w
-  generic map (
-    g_technology      => g_technology,
-    g_str             => "use_adr",
-    g_data_w          => c_store_buf.dat_w,
-    g_nof_pages       => c_data_nof_pages,
-    g_page_sz         => c_store_buf.nof_dat,
-    g_wr_start_page   => 0,
-    g_rd_start_page   => 0,
-    g_rd_latency      => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    wr_next_page => store_done,
-    wr_adr       => store_mosi.address(c_store_buf.adr_w - 1 downto 0),
-    wr_en        => store_mosi.wr,
-    wr_dat       => store_mosi.wrdata(c_store_buf.dat_w - 1 downto 0),
-    rd_next_page => retrieve_done,
-    rd_adr       => retrieve_mosi.address(c_store_buf.adr_w - 1 downto 0),
-    rd_en        => retrieve_mosi.rd,
-    rd_dat       => retrieve_miso.rddata(c_store_buf.dat_w - 1 downto 0),
-    rd_val       => retrieve_miso.rdval
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_str             => "use_adr",
+      g_data_w          => c_store_buf.dat_w,
+      g_nof_pages       => c_data_nof_pages,
+      g_page_sz         => c_store_buf.nof_dat,
+      g_wr_start_page   => 0,
+      g_rd_start_page   => 0,
+      g_rd_latency      => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      wr_next_page => store_done,
+      wr_adr       => store_mosi.address(c_store_buf.adr_w - 1 downto 0),
+      wr_en        => store_mosi.wr,
+      wr_dat       => store_mosi.wrdata(c_store_buf.dat_w - 1 downto 0),
+      rd_next_page => retrieve_done,
+      rd_adr       => retrieve_mosi.address(c_store_buf.adr_w - 1 downto 0),
+      rd_en        => retrieve_mosi.rd,
+      rd_dat       => retrieve_miso.rddata(c_store_buf.dat_w - 1 downto 0),
+      rd_val       => retrieve_miso.rdval
+    );
 
   u_select_buf : entity common_lib.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_select_buf,
-    g_init_file  => g_select_file_name
-  )
-  port map (
-    -- MM side
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-    wr_en_a   => ram_ss_ss_mosi.wr,
-    wr_dat_a  => ram_ss_ss_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
-    adr_a     => ram_ss_ss_mosi.address(c_select_buf.adr_w - 1 downto 0),
-    rd_en_a   => ram_ss_ss_mosi.rd,
-    rd_dat_a  => ram_ss_ss_miso.rddata(c_select_buf.dat_w - 1 downto 0),
-    rd_val_a  => ram_ss_ss_miso.rdval,
-    -- ST side
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
-    wr_en_b   => select_mosi.wr,
-    wr_dat_b  => select_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
-    adr_b     => select_mosi.address(c_select_buf.adr_w - 1 downto 0),
-    rd_en_b   => select_mosi.rd,
-    rd_dat_b  => select_miso.rddata(c_select_buf.dat_w - 1 downto 0),
-    rd_val_b  => select_miso.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => c_select_buf,
+      g_init_file  => g_select_file_name
+    )
+    port map (
+      -- MM side
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
+      wr_en_a   => ram_ss_ss_mosi.wr,
+      wr_dat_a  => ram_ss_ss_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
+      adr_a     => ram_ss_ss_mosi.address(c_select_buf.adr_w - 1 downto 0),
+      rd_en_a   => ram_ss_ss_mosi.rd,
+      rd_dat_a  => ram_ss_ss_miso.rddata(c_select_buf.dat_w - 1 downto 0),
+      rd_val_a  => ram_ss_ss_miso.rdval,
+      -- ST side
+      rst_b     => dp_rst,
+      clk_b     => dp_clk,
+      wr_en_b   => select_mosi.wr,
+      wr_dat_b  => select_mosi.wrdata(c_select_buf.dat_w - 1 downto 0),
+      adr_b     => select_mosi.address(c_select_buf.adr_w - 1 downto 0),
+      rd_en_b   => select_mosi.rd,
+      rd_dat_b  => select_miso.rddata(c_select_buf.dat_w - 1 downto 0),
+      rd_val_b  => select_miso.rdval
+    );
 
   u_retrieve : entity work.ss_retrieve
-  generic map (
-    g_dsp_data_w   => g_dsp_data_w,
-    g_nof_ch_in    => g_nof_ch_in,
-    g_nof_ch_sel   => g_nof_ch_sel
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-
-    -- Timing
-    store_done     => store_done,
-
-    -- Read store_buf control
-    retrieve_mosi  => retrieve_mosi,
-    retrieve_miso  => retrieve_miso,
-    retrieve_done  => retrieve_done,
-
-    -- Read select_buf control
-    select_mosi    => select_mosi,
-    select_miso    => select_miso,
-
-    -- Streaming
-    output_sosi    => retrieve_sosi,
-    output_siso    => retrieve_siso
-  );
+    generic map (
+      g_dsp_data_w   => g_dsp_data_w,
+      g_nof_ch_in    => g_nof_ch_in,
+      g_nof_ch_sel   => g_nof_ch_sel
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+
+      -- Timing
+      store_done     => store_done,
+
+      -- Read store_buf control
+      retrieve_mosi  => retrieve_mosi,
+      retrieve_miso  => retrieve_miso,
+      retrieve_done  => retrieve_done,
+
+      -- Read select_buf control
+      select_mosi    => select_mosi,
+      select_miso    => select_miso,
+
+      -- Streaming
+      output_sosi    => retrieve_sosi,
+      output_siso    => retrieve_siso
+    );
 
   u_rl : entity dp_lib.dp_latency_adapter  -- defaults to wires when c_output_rl = c_retrieve_lat
-  generic map (
-    g_in_latency   => c_retrieve_lat,
-    g_out_latency  => c_output_rl
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => retrieve_siso,
-    snk_in       => retrieve_sosi,
-    -- ST source
-    src_in       => ss_siso,
-    src_out      => ss_sosi
-  );
+    generic map (
+      g_in_latency   => c_retrieve_lat,
+      g_out_latency  => c_output_rl
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => retrieve_siso,
+      snk_in       => retrieve_sosi,
+      -- ST source
+      src_in       => ss_siso,
+      src_out      => ss_sosi
+    );
 
   -- Page delay the input_sosi info (sync, BSN, channel at sop and err, empty at eop) and combine it with the retrieved SS data to get the output_sosi
   info_sop_wr_en <= input_sosi.sop & store_done;
   info_eop_wr_en <= input_sosi.eop & store_done;
 
   u_info_sosi : entity dp_lib.dp_paged_sop_eop_reg
-  generic map (
-    g_nof_pages  => c_info_nof_pages
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    -- page write enable ctrl
-    sop_wr_en   => info_sop_wr_en,
-    eop_wr_en   => info_eop_wr_en,
-    -- ST sink
-    snk_in      => input_sosi,
-    -- ST source
-    src_out     => info_sosi
-  );
+    generic map (
+      g_nof_pages  => c_info_nof_pages
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      -- page write enable ctrl
+      sop_wr_en   => info_sop_wr_en,
+      eop_wr_en   => info_eop_wr_en,
+      -- ST sink
+      snk_in      => input_sosi,
+      -- ST source
+      src_out     => info_sosi
+    );
 
   output_sosi <= func_dp_stream_combine_info_and_data(info_sosi, ss_sosi);
   ss_siso     <= output_siso;
diff --git a/libraries/base/ss/src/vhdl/ss_parallel.vhd b/libraries/base/ss/src/vhdl/ss_parallel.vhd
index c2373966f6..04b837f722 100644
--- a/libraries/base/ss/src/vhdl/ss_parallel.vhd
+++ b/libraries/base/ss/src/vhdl/ss_parallel.vhd
@@ -47,12 +47,12 @@
 --
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity ss_parallel is
   generic (
@@ -102,104 +102,104 @@ begin
   -----------------------------------------------------------------------------
   gen_dp_throttle_sop : for i in 0 to g_nof_inputs - 1 generate
     u_dp_throttle_sop : entity dp_lib.dp_throttle_sop
-    generic map (
-      g_period    => g_frame_size_out
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      snk_out     => input_siso_arr(i),
-      snk_in      => input_sosi_arr(i)
-    );
+      generic map (
+        g_period    => g_frame_size_out
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        snk_out     => input_siso_arr(i),
+        snk_in      => input_sosi_arr(i)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
   -- Reorder input streams
   -----------------------------------------------------------------------------
   u_input_reorder : entity work.ss_reorder
-  generic map(
-    g_technology        => g_technology,
-    g_nof_inputs        => g_nof_inputs,
-    g_nof_outputs       => g_nof_internals,
-    g_dsp_data_w        => g_dsp_data_w,
-    g_frame_size        => g_frame_size_in,
-    g_ram_init_file     => g_reorder_in_file_name,
-    g_pipeline_in       => 1,
-    g_pipeline_in_m     => 1,
-    g_pipeline_out      => 1
-  )
-  port map(
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-    dp_rst              => dp_rst,
-    dp_clk              => dp_clk,
-
-    -- Memory Mapped
-    ram_ss_reorder_mosi => ram_ss_reorder_in_mosi,
-    ram_ss_reorder_miso => ram_ss_reorder_in_miso,
-
-    -- Streaming
-    input_sosi_arr      => input_sosi_arr,
-    output_sosi_arr     => ss_wide_in_sosi_arr
-  );
+    generic map(
+      g_technology        => g_technology,
+      g_nof_inputs        => g_nof_inputs,
+      g_nof_outputs       => g_nof_internals,
+      g_dsp_data_w        => g_dsp_data_w,
+      g_frame_size        => g_frame_size_in,
+      g_ram_init_file     => g_reorder_in_file_name,
+      g_pipeline_in       => 1,
+      g_pipeline_in_m     => 1,
+      g_pipeline_out      => 1
+    )
+    port map(
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+      dp_rst              => dp_rst,
+      dp_clk              => dp_clk,
+
+      -- Memory Mapped
+      ram_ss_reorder_mosi => ram_ss_reorder_in_mosi,
+      ram_ss_reorder_miso => ram_ss_reorder_in_miso,
+
+      -- Streaming
+      input_sosi_arr      => input_sosi_arr,
+      output_sosi_arr     => ss_wide_in_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Serial word selection per stream
   -----------------------------------------------------------------------------
   u_ss_wide : entity work.ss_wide
-  generic map (
-    g_technology         => g_technology,
-    g_wb_factor          => g_nof_internals,
-    g_dsp_data_w         => g_dsp_data_w,
-    g_nof_ch_in          => g_frame_size_in,
-    g_nof_ch_sel         => g_frame_size_out,
-    g_select_file_prefix => g_ss_wide_file_prefix
-  )
-  port map (
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-
-    -- Memory Mapped
-    ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso,
-
-    -- Streaming
-    input_sosi_arr       => ss_wide_in_sosi_arr,
-    input_siso_arr       => OPEN,
-    output_sosi_arr      => ss_wide_out_sosi_arr
-  );
+    generic map (
+      g_technology         => g_technology,
+      g_wb_factor          => g_nof_internals,
+      g_dsp_data_w         => g_dsp_data_w,
+      g_nof_ch_in          => g_frame_size_in,
+      g_nof_ch_sel         => g_frame_size_out,
+      g_select_file_prefix => g_ss_wide_file_prefix
+    )
+    port map (
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+
+      -- Memory Mapped
+      ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso,
+
+      -- Streaming
+      input_sosi_arr       => ss_wide_in_sosi_arr,
+      input_siso_arr       => OPEN,
+      output_sosi_arr      => ss_wide_out_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Reorder output streams
   -----------------------------------------------------------------------------
   u_output_reorder : entity work.ss_reorder
-  generic map(
-    g_technology        => g_technology,
-    g_nof_inputs        => g_nof_internals,
-    g_nof_outputs       => g_nof_outputs,
-    g_dsp_data_w        => g_dsp_data_w,
-    g_frame_size        => g_frame_size_out,
-    g_ram_init_file     => g_reorder_out_file_name,
-    g_pipeline_in       => 1,
-    g_pipeline_in_m     => 1,
-    g_pipeline_out      => 1
-  )
-  port map(
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-    dp_rst              => dp_rst,
-    dp_clk              => dp_clk,
-
-    -- Memory Mapped
-    ram_ss_reorder_mosi => ram_ss_reorder_out_mosi,
-    ram_ss_reorder_miso => ram_ss_reorder_out_miso,
-
-    -- Streaming
-    input_sosi_arr      => ss_wide_out_sosi_arr,
-    output_sosi_arr     => output_sosi_arr
-  );
+    generic map(
+      g_technology        => g_technology,
+      g_nof_inputs        => g_nof_internals,
+      g_nof_outputs       => g_nof_outputs,
+      g_dsp_data_w        => g_dsp_data_w,
+      g_frame_size        => g_frame_size_out,
+      g_ram_init_file     => g_reorder_out_file_name,
+      g_pipeline_in       => 1,
+      g_pipeline_in_m     => 1,
+      g_pipeline_out      => 1
+    )
+    port map(
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+      dp_rst              => dp_rst,
+      dp_clk              => dp_clk,
+
+      -- Memory Mapped
+      ram_ss_reorder_mosi => ram_ss_reorder_out_mosi,
+      ram_ss_reorder_miso => ram_ss_reorder_out_miso,
+
+      -- Streaming
+      input_sosi_arr      => ss_wide_out_sosi_arr,
+      output_sosi_arr     => output_sosi_arr
+    );
 
 end str;
 
diff --git a/libraries/base/ss/src/vhdl/ss_reorder.vhd b/libraries/base/ss/src/vhdl/ss_reorder.vhd
index 4b186470c4..85f75d13d8 100644
--- a/libraries/base/ss/src/vhdl/ss_reorder.vhd
+++ b/libraries/base/ss/src/vhdl/ss_reorder.vhd
@@ -32,12 +32,12 @@
 --
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity ss_reorder is
   generic (
@@ -80,18 +80,22 @@ architecture str of ss_reorder is
   constant c_mem_nof_dat_mm      : natural := 2**(true_log2(c_nof_mm_regs_per_sel)) * g_frame_size;
   constant c_mem_dat_w_dp        : natural := 2**(ceil_log2(c_select_word_w));
 
-  constant c_select_buf_mm    : t_c_mem := (latency  => 1,
-                                            adr_w    => ceil_log2(c_mem_nof_dat_mm),
-                                            dat_w    => c_mem_dat_w_mm,
-                                            nof_dat  => c_mem_nof_dat_mm,
-                                            init_sl  => '0');
+  constant c_select_buf_mm    : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_mem_nof_dat_mm),
+    dat_w    => c_mem_dat_w_mm,
+    nof_dat  => c_mem_nof_dat_mm,
+    init_sl  => '0'
+  );
 
 
-  constant c_select_buf_dp    : t_c_mem := (latency  => 1,
-                                            adr_w    => ceil_log2(g_frame_size),
-                                            dat_w    => c_mem_dat_w_dp,
-                                            nof_dat  => g_frame_size,
-                                            init_sl  => '0');
+  constant c_select_buf_dp    : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_frame_size),
+    dat_w    => c_mem_dat_w_dp,
+    nof_dat  => g_frame_size,
+    init_sl  => '0'
+  );
 
   constant c_data_w           : natural := g_dsp_data_w * c_nof_complex;
 
@@ -120,7 +124,7 @@ begin
   ---------------------------------------------------------------
   gen_input : for I in g_nof_inputs - 1 downto 0 generate
     reorder_in_dat((I + 1) * c_data_w - 1 downto I * c_data_w) <= r.pipe_sosi_2arr(0)(I).im(g_dsp_data_w - 1 downto 0) &
-                                                          r.pipe_sosi_2arr(0)(I).re(g_dsp_data_w - 1 downto 0);
+                                                                  r.pipe_sosi_2arr(0)(I).re(g_dsp_data_w - 1 downto 0);
   end generate;
 
   ---------------------------------------------------------------
@@ -130,21 +134,21 @@ begin
   -- reorder_select signal.
   ---------------------------------------------------------------
   u_reorder : entity common_lib.common_select_m_symbols
-  generic map (
-    g_nof_input     => g_nof_inputs,
-    g_nof_output    => g_nof_outputs,
-    g_symbol_w      => c_nof_complex * g_dsp_data_w,
-    g_pipeline_in   => g_pipeline_in,
-    g_pipeline_in_m => g_pipeline_in_m,
-    g_pipeline_out  => g_pipeline_out
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_data    => reorder_in_dat,
-    in_select  => reorder_select(g_nof_outputs * c_select_w - 1 downto 0),
-    out_data   => reorder_out_dat
-  );
+    generic map (
+      g_nof_input     => g_nof_inputs,
+      g_nof_output    => g_nof_outputs,
+      g_symbol_w      => c_nof_complex * g_dsp_data_w,
+      g_pipeline_in   => g_pipeline_in,
+      g_pipeline_in_m => g_pipeline_in_m,
+      g_pipeline_out  => g_pipeline_out
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_data    => reorder_in_dat,
+      in_select  => reorder_select(g_nof_outputs * c_select_w - 1 downto 0),
+      out_data   => reorder_out_dat
+    );
 
   ---------------------------------------------------------------
   -- SELECTION BUFFER
@@ -152,30 +156,30 @@ begin
   -- Buffer containing the selection words for a complete frame.
   ---------------------------------------------------------------
   u_select_buf : entity common_lib.common_ram_crw_crw_ratio
-  generic map(
-    g_technology => g_technology,
-    g_ram_a     => c_select_buf_mm,
-    g_ram_b     => c_select_buf_dp,
-    g_init_file => g_ram_init_file
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-    wr_en_a   => ram_ss_reorder_mosi.wr,
-    wr_dat_a  => ram_ss_reorder_mosi.wrdata(c_select_buf_mm.dat_w - 1 downto 0),
-    adr_a     => ram_ss_reorder_mosi.address(c_select_buf_mm.adr_w - 1 downto 0),
-    rd_en_a   => ram_ss_reorder_mosi.rd,
-    rd_dat_a  => ram_ss_reorder_miso.rddata(c_select_buf_mm.dat_w - 1 downto 0),
-    rd_val_a  => ram_ss_reorder_miso.rdval,
-
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
-    wr_en_b   => '0',
-    wr_dat_b  => (others => '0'),
-    adr_b     => reorder_chan_cnt,
-    rd_dat_b  => reorder_select,
-    rd_val_b  => open
-  );
+    generic map(
+      g_technology => g_technology,
+      g_ram_a     => c_select_buf_mm,
+      g_ram_b     => c_select_buf_dp,
+      g_init_file => g_ram_init_file
+    )
+    port map (
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
+      wr_en_a   => ram_ss_reorder_mosi.wr,
+      wr_dat_a  => ram_ss_reorder_mosi.wrdata(c_select_buf_mm.dat_w - 1 downto 0),
+      adr_a     => ram_ss_reorder_mosi.address(c_select_buf_mm.adr_w - 1 downto 0),
+      rd_en_a   => ram_ss_reorder_mosi.rd,
+      rd_dat_a  => ram_ss_reorder_miso.rddata(c_select_buf_mm.dat_w - 1 downto 0),
+      rd_val_a  => ram_ss_reorder_miso.rdval,
+
+      rst_b     => dp_rst,
+      clk_b     => dp_clk,
+      wr_en_b   => '0',
+      wr_dat_b  => (others => '0'),
+      adr_b     => reorder_chan_cnt,
+      rd_dat_b  => reorder_select,
+      rd_val_b  => open
+    );
 
   ---------------------------------------------------------------
   -- ADDRESS COUNTER
@@ -183,19 +187,19 @@ begin
   -- Counter that addresses the selection buffer
   ---------------------------------------------------------------
   u_adr_chn_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => c_select_buf_dp.adr_w,
-    g_max       => g_frame_size
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_en  => input_sosi_arr(0).valid,
-    cnt_clr => input_sosi_arr(0).eop,
-    count   => reorder_chan_cnt
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => c_select_buf_dp.adr_w,
+      g_max       => g_frame_size
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_en  => input_sosi_arr(0).valid,
+      cnt_clr => input_sosi_arr(0).eop,
+      count   => reorder_chan_cnt
+    );
 
   ---------------------------------------------------------------
   -- REGISTERING AND PIPELINING
@@ -205,7 +209,7 @@ begin
   -- Also the data-output of the select_m_symbols block is merged
   -- here with the rest of the pipelined SOSI signals.
   ---------------------------------------------------------------
-   comb : process(r, input_sosi_arr, reorder_out_dat)
+  comb : process(r, input_sosi_arr, reorder_out_dat)
     variable v : reg_type;
   begin
     v                      := r;
diff --git a/libraries/base/ss/src/vhdl/ss_retrieve.vhd b/libraries/base/ss/src/vhdl/ss_retrieve.vhd
index 3ccdb36ae7..fe0c74490c 100644
--- a/libraries/base/ss/src/vhdl/ss_retrieve.vhd
+++ b/libraries/base/ss/src/vhdl/ss_retrieve.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Retrieve blocks of g_nof_ch_sel complex data words from a dual
 --          page data buffer
@@ -114,19 +114,19 @@ begin
 
   -- Enable retrieve when a block has been stored, disable retrieve when the block has been output
   u_retrieve_en : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '0',
-    g_priority_lo  => false,  -- store_done has priority over nxt_retrieve_done when they occur simultaneously
-    g_or_high      => true,
-    g_and_low      => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => store_done,
-    switch_low  => nxt_retrieve_done,  -- can not use retrieve_done with g_and_low = TRUE, because if retrieve_done occurs after next store_done then that page gets missed
-    out_level   => retrieve_en
-  );
+    generic map (
+      g_rst_level    => '0',
+      g_priority_lo  => false,  -- store_done has priority over nxt_retrieve_done when they occur simultaneously
+      g_or_high      => true,
+      g_and_low      => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => store_done,
+      switch_low  => nxt_retrieve_done,  -- can not use retrieve_done with g_and_low = TRUE, because if retrieve_done occurs after next store_done then that page gets missed
+      out_level   => retrieve_en
+    );
 
   retrieve_ready <= retrieve_en and output_siso.ready;
 
diff --git a/libraries/base/ss/src/vhdl/ss_store.vhd b/libraries/base/ss/src/vhdl/ss_store.vhd
index 0e13eeae23..49f83012a3 100644
--- a/libraries/base/ss/src/vhdl/ss_store.vhd
+++ b/libraries/base/ss/src/vhdl/ss_store.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Controller that store blocks of g_nof_ch_in complex input data
 --          words in a dual page data buffer
diff --git a/libraries/base/ss/src/vhdl/ss_wide.vhd b/libraries/base/ss/src/vhdl/ss_wide.vhd
index 07d4923de1..2f31810567 100644
--- a/libraries/base/ss/src/vhdl/ss_wide.vhd
+++ b/libraries/base/ss/src/vhdl/ss_wide.vhd
@@ -32,12 +32,12 @@
 --
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity ss_wide is
   generic (
@@ -85,47 +85,47 @@ begin
   -- Combine the internal array of mm interfaces for the selection
   -- memory to one array that is connected to the port of the ss_wide wunit
   u_mem_mux_select : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_wb_factor,
-    g_mult_addr_w => c_mem_addr_w
-  )
-  port map (
-    mosi     => ram_ss_ss_wide_mosi,
-    miso     => ram_ss_ss_wide_miso,
-    mosi_arr => ram_ss_ss_wide_mosi_arr,
-    miso_arr => ram_ss_ss_wide_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_wb_factor,
+      g_mult_addr_w => c_mem_addr_w
+    )
+    port map (
+      mosi     => ram_ss_ss_wide_mosi,
+      miso     => ram_ss_ss_wide_miso,
+      mosi_arr => ram_ss_ss_wide_mosi_arr,
+      miso_arr => ram_ss_ss_wide_miso_arr
+    );
 
   ---------------------------------------------------------------
   -- INSTANTIATE MULTIPLE SINGLE CHANNEL SUBBAND SELECT UNITS
   ---------------------------------------------------------------
   gen_ss_singles : for I in 0 to g_wb_factor - 1 generate
     u_single_ss : entity work.ss
-    generic map (
-      g_technology         => g_technology,
-      g_dsp_data_w         => g_dsp_data_w,
-      g_nof_ch_in          => c_nof_ch_in,
-      g_nof_ch_sel         => c_nof_ch_sel,
-      g_select_file_name   => sel_a_b(g_select_file_prefix = "UNUSED", "UNUSED", g_select_file_prefix & "_" & natural'image(I) & ".hex"),
-      g_use_complex        => g_use_complex
-    )
-    port map (
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Memory Mapped
-      ram_ss_ss_mosi => ram_ss_ss_wide_mosi_arr(I),
-      ram_ss_ss_miso => ram_ss_ss_wide_miso_arr(I),
-
-      -- Streaming
-      input_sosi     => input_sosi_arr(I),
-      input_siso     => input_siso_arr(I),
-
-      output_sosi    => output_sosi_arr(I),
-      output_siso    => output_siso_arr(I)
-    );
+      generic map (
+        g_technology         => g_technology,
+        g_dsp_data_w         => g_dsp_data_w,
+        g_nof_ch_in          => c_nof_ch_in,
+        g_nof_ch_sel         => c_nof_ch_sel,
+        g_select_file_name   => sel_a_b(g_select_file_prefix = "UNUSED", "UNUSED", g_select_file_prefix & "_" & natural'image(I) & ".hex"),
+        g_use_complex        => g_use_complex
+      )
+      port map (
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Memory Mapped
+        ram_ss_ss_mosi => ram_ss_ss_wide_mosi_arr(I),
+        ram_ss_ss_miso => ram_ss_ss_wide_miso_arr(I),
+
+        -- Streaming
+        input_sosi     => input_sosi_arr(I),
+        input_siso     => input_siso_arr(I),
+
+        output_sosi    => output_sosi_arr(I),
+        output_siso    => output_siso_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/base/ss/tb/vhdl/tb_mmf_ss_parallel.vhd b/libraries/base/ss/tb/vhdl/tb_mmf_ss_parallel.vhd
index 0eeb243c66..f28728885e 100644
--- a/libraries/base/ss/tb/vhdl/tb_mmf_ss_parallel.vhd
+++ b/libraries/base/ss/tb/vhdl/tb_mmf_ss_parallel.vhd
@@ -38,17 +38,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_mmf_ss_parallel is
   generic(
@@ -156,7 +156,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -166,142 +166,142 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_ss_reorder_in    : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_REORDER_IN")
-                                           port map(mm_rst, mm_clk, ram_ss_reorder_in_mosi, ram_ss_reorder_in_miso);
+    port map(mm_rst, mm_clk, ram_ss_reorder_in_mosi, ram_ss_reorder_in_miso);
 
   u_mm_file_ram_ss_reorder_out   : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_REORDER_OUT")
-                                           port map(mm_rst, mm_clk, ram_ss_reorder_out_mosi, ram_ss_reorder_out_miso);
+    port map(mm_rst, mm_clk, ram_ss_reorder_out_mosi, ram_ss_reorder_out_miso);
 
   u_mm_file_ram_ss_ss_wide       : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_SS_WIDE")
-                                           port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
+    port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.ss_parallel
-  generic map(
-    g_nof_inputs            => g_nof_inputs,
-    g_nof_internals         => g_nof_internals,
-    g_nof_outputs           => g_nof_outputs,
-    g_dsp_data_w            => g_dsp_data_w,
-    g_frame_size_in         => g_frame_size_in,
-    g_frame_size_out        => g_frame_size_out
-  )
-  port map (
-    mm_rst                  =>  mm_rst,
-    mm_clk                  =>  mm_clk,
-    dp_rst                  =>  dp_rst,
-    dp_clk                  =>  dp_clk,
-    -- Memory Mapped
-    ram_ss_reorder_in_mosi  => ram_ss_reorder_in_mosi,
-    ram_ss_reorder_in_miso  => ram_ss_reorder_in_miso,
-    ram_ss_reorder_out_mosi => ram_ss_reorder_out_mosi,
-    ram_ss_reorder_out_miso => ram_ss_reorder_out_miso,
-    ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
-    -- Streaming
-    input_sosi_arr          => bg_sosi_arr,
-    output_sosi_arr         => out_sosi_arr,
-    output_siso_arr         => out_siso_arr
-  );
+    generic map(
+      g_nof_inputs            => g_nof_inputs,
+      g_nof_internals         => g_nof_internals,
+      g_nof_outputs           => g_nof_outputs,
+      g_dsp_data_w            => g_dsp_data_w,
+      g_frame_size_in         => g_frame_size_in,
+      g_frame_size_out        => g_frame_size_out
+    )
+    port map (
+      mm_rst                  =>  mm_rst,
+      mm_clk                  =>  mm_clk,
+      dp_rst                  =>  dp_rst,
+      dp_clk                  =>  dp_clk,
+      -- Memory Mapped
+      ram_ss_reorder_in_mosi  => ram_ss_reorder_in_mosi,
+      ram_ss_reorder_in_miso  => ram_ss_reorder_in_miso,
+      ram_ss_reorder_out_mosi => ram_ss_reorder_out_mosi,
+      ram_ss_reorder_out_miso => ram_ss_reorder_out_miso,
+      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
+      -- Streaming
+      input_sosi_arr          => bg_sosi_arr,
+      output_sosi_arr         => out_sosi_arr,
+      output_siso_arr         => out_siso_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/ss/tb/vhdl/tb_mmf_ss_reorder.vhd b/libraries/base/ss/tb/vhdl/tb_mmf_ss_reorder.vhd
index 41f059e0c5..35ae0cf362 100644
--- a/libraries/base/ss/tb/vhdl/tb_mmf_ss_reorder.vhd
+++ b/libraries/base/ss/tb/vhdl/tb_mmf_ss_reorder.vhd
@@ -38,17 +38,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_mmf_ss_reorder is
   generic(
@@ -152,7 +152,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -162,140 +162,140 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_ss_reorder       : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_SS_REORDER")
-                                           port map(mm_rst, mm_clk, ram_ss_reorder_mosi, ram_ss_reorder_miso);
+    port map(mm_rst, mm_clk, ram_ss_reorder_mosi, ram_ss_reorder_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.ss_reorder
-  generic map(
-    g_nof_inputs        => g_nof_inputs,
-    g_nof_outputs       => g_nof_outputs,
-    g_dsp_data_w        => g_dsp_data_w,
-    g_frame_size        => g_frame_size,
-    g_ram_init_file     => g_ram_init_file,
-    g_pipeline_in       => g_pipeline_in,
-    g_pipeline_in_m     => g_pipeline_in_m,
-    g_pipeline_out      => g_pipeline_out
-  )
-  port map (
-    mm_rst              =>  mm_rst,
-    mm_clk              =>  mm_clk,
-    dp_rst              =>  dp_rst,
-    dp_clk              =>  dp_clk,
-    -- Memory Mapped
-    ram_ss_reorder_mosi => ram_ss_reorder_mosi,
-    ram_ss_reorder_miso => ram_ss_reorder_miso,
-    -- Streaming
-    input_sosi_arr      => bg_sosi_arr,
-    output_sosi_arr     => out_sosi_arr,
-    output_siso_arr     => out_siso_arr
-  );
+    generic map(
+      g_nof_inputs        => g_nof_inputs,
+      g_nof_outputs       => g_nof_outputs,
+      g_dsp_data_w        => g_dsp_data_w,
+      g_frame_size        => g_frame_size,
+      g_ram_init_file     => g_ram_init_file,
+      g_pipeline_in       => g_pipeline_in,
+      g_pipeline_in_m     => g_pipeline_in_m,
+      g_pipeline_out      => g_pipeline_out
+    )
+    port map (
+      mm_rst              =>  mm_rst,
+      mm_clk              =>  mm_clk,
+      dp_rst              =>  dp_rst,
+      dp_clk              =>  dp_clk,
+      -- Memory Mapped
+      ram_ss_reorder_mosi => ram_ss_reorder_mosi,
+      ram_ss_reorder_miso => ram_ss_reorder_miso,
+      -- Streaming
+      input_sosi_arr      => bg_sosi_arr,
+      output_sosi_arr     => out_sosi_arr,
+      output_siso_arr     => out_siso_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_db_nof_streams,
-    g_data_type    => c_db_data_type_re,
-    g_data_w       => c_db_data_w,
-    g_buf_nof_data => c_db_buf_nof_data,
-    g_buf_use_sync => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_db_nof_streams,
+      g_data_type    => c_db_data_type_re,
+      g_data_w       => c_db_data_w,
+      g_buf_nof_data => c_db_buf_nof_data,
+      g_buf_use_sync => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_db_nof_streams,
-    g_data_type    => c_db_data_type_im,
-    g_data_w       => c_db_data_w,
-    g_buf_nof_data => c_db_buf_nof_data,
-    g_buf_use_sync => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_db_nof_streams,
+      g_data_type    => c_db_data_type_im,
+      g_data_w       => c_db_data_w,
+      g_buf_nof_data => c_db_buf_nof_data,
+      g_buf_use_sync => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/ss/tb/vhdl/tb_ss.vhd b/libraries/base/ss/tb/vhdl/tb_ss.vhd
index 6cec4b6ff1..7ef0add833 100644
--- a/libraries/base/ss/tb/vhdl/tb_ss.vhd
+++ b/libraries/base/ss/tb/vhdl/tb_ss.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 -- Usage:
@@ -47,10 +47,10 @@ entity tb_ss is
   generic (
     -- Flow control
     g_mode_in_en            : natural := 0;  -- use 0 for active in_sosi.valid control
-                                             -- use 1 for random in_sosi.valid control
+    -- use 1 for random in_sosi.valid control
     g_mode_out_ready        : natural := 0;  -- use 0 for            active out_siso.ready control
-                                             -- use 1 for          toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
-                                             -- use 2 for inverted toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
+    -- use 1 for          toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
+    -- use 2 for inverted toggling out_siso.ready control, requires g_nof_ch_sel <= g_nof_ch_in/2
     -- Test duration
     g_nof_sync              : natural := 10;
 
@@ -225,11 +225,42 @@ begin
     end process;
 
     u_reverse_ss : entity work.ss
+      generic map (
+        g_use_output_rl_adapter => g_use_output_rl_adapter,
+        g_dsp_data_w            => c_dsp_data_w,
+        g_nof_ch_in             => g_nof_ch_in,
+        g_nof_ch_sel            => g_nof_ch_in,
+        g_use_complex           => g_use_complex
+      )
+      port map (
+        mm_rst         => rst,
+        mm_clk         => clk,
+        dp_rst         => rst,
+        dp_clk         => clk,
+
+        -- Memory Mapped
+        ram_ss_ss_mosi => mm_reverse_mosi,
+        ram_ss_ss_miso => OPEN,
+
+        -- Streaming
+        input_sosi     => dp_sosi,
+
+        output_sosi    => reverse_sosi,
+        output_siso    => c_dp_siso_rdy
+      );
+  end generate;
+
+  ------------------------------------------------------------------------------
+  -- DUT : SS
+  ------------------------------------------------------------------------------
+  in_sosi <= dp_sosi when g_reverse_ss_map = false else reverse_sosi;
+
+  u_dut_ss : entity work.ss
     generic map (
       g_use_output_rl_adapter => g_use_output_rl_adapter,
       g_dsp_data_w            => c_dsp_data_w,
       g_nof_ch_in             => g_nof_ch_in,
-      g_nof_ch_sel            => g_nof_ch_in,
+      g_nof_ch_sel            => g_nof_ch_sel,
       g_use_complex           => g_use_complex
     )
     port map (
@@ -239,46 +270,15 @@ begin
       dp_clk         => clk,
 
       -- Memory Mapped
-      ram_ss_ss_mosi => mm_reverse_mosi,
+      ram_ss_ss_mosi => mm_dut_mosi,
       ram_ss_ss_miso => OPEN,
 
       -- Streaming
-      input_sosi     => dp_sosi,
+      input_sosi     => in_sosi,
 
-      output_sosi    => reverse_sosi,
-      output_siso    => c_dp_siso_rdy
+      output_sosi    => out_sosi,
+      output_siso    => out_siso
     );
-  end generate;
-
-  ------------------------------------------------------------------------------
-  -- DUT : SS
-  ------------------------------------------------------------------------------
-  in_sosi <= dp_sosi when g_reverse_ss_map = false else reverse_sosi;
-
-  u_dut_ss : entity work.ss
-  generic map (
-    g_use_output_rl_adapter => g_use_output_rl_adapter,
-    g_dsp_data_w            => c_dsp_data_w,
-    g_nof_ch_in             => g_nof_ch_in,
-    g_nof_ch_sel            => g_nof_ch_sel,
-    g_use_complex           => g_use_complex
-  )
-  port map (
-    mm_rst         => rst,
-    mm_clk         => clk,
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    -- Memory Mapped
-    ram_ss_ss_mosi => mm_dut_mosi,
-    ram_ss_ss_miso => OPEN,
-
-    -- Streaming
-    input_sosi     => in_sosi,
-
-    output_sosi    => out_sosi,
-    output_siso    => out_siso
-  );
 
   ------------------------------------------------------------------------------
   -- Verify
diff --git a/libraries/base/ss/tb/vhdl/tb_ss_wide.vhd b/libraries/base/ss/tb/vhdl/tb_ss_wide.vhd
index 7fb3b08f08..c41c972b3d 100644
--- a/libraries/base/ss/tb/vhdl/tb_ss_wide.vhd
+++ b/libraries/base/ss/tb/vhdl/tb_ss_wide.vhd
@@ -20,14 +20,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 -- Usage:
@@ -157,28 +157,28 @@ begin
   end process;
 
   u_dut : entity work.ss_wide
-  generic map (
-    g_wb_factor          => c_wb_factor,
-    g_dsp_data_w         => c_dsp_data_w,
-    g_nof_ch_in          => c_nof_ch_in,
-    g_nof_ch_sel         => c_nof_ch_sel,
-    g_select_file_prefix => c_select_file_prefix
-  )
-  port map (
-    mm_rst         => rst,
-    mm_clk         => clk,
-    dp_rst         => rst,
-    dp_clk         => clk,
-
-    -- Memory Mapped
-    ram_ss_ss_wide_mosi => mm_mosi,
-    ram_ss_ss_wide_miso => mm_miso,
-
-    -- Streaming
-    input_sosi_arr     => in_sosi_arr,
-
-    output_sosi_arr    => out_sosi_arr,
-    output_siso_arr    => out_siso_arr
-  );
+    generic map (
+      g_wb_factor          => c_wb_factor,
+      g_dsp_data_w         => c_dsp_data_w,
+      g_nof_ch_in          => c_nof_ch_in,
+      g_nof_ch_sel         => c_nof_ch_sel,
+      g_select_file_prefix => c_select_file_prefix
+    )
+    port map (
+      mm_rst         => rst,
+      mm_clk         => clk,
+      dp_rst         => rst,
+      dp_clk         => clk,
+
+      -- Memory Mapped
+      ram_ss_ss_wide_mosi => mm_mosi,
+      ram_ss_ss_wide_miso => mm_miso,
+
+      -- Streaming
+      input_sosi_arr     => in_sosi_arr,
+
+      output_sosi_arr    => out_sosi_arr,
+      output_siso_arr    => out_siso_arr
+    );
 
 end tb;
diff --git a/libraries/base/ss/tb/vhdl/tb_tb_ss.vhd b/libraries/base/ss/tb/vhdl/tb_tb_ss.vhd
index 48ee75c620..00a7d2024f 100644
--- a/libraries/base/ss/tb/vhdl/tb_tb_ss.vhd
+++ b/libraries/base/ss/tb/vhdl/tb_tb_ss.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_ss is
 end tb_tb_ss;
diff --git a/libraries/base/tst/src/vhdl/tst_input.vhd b/libraries/base/tst/src/vhdl/tst_input.vhd
index d56f662cf2..587caadd37 100644
--- a/libraries/base/tst/src/vhdl/tst_input.vhd
+++ b/libraries/base/tst/src/vhdl/tst_input.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use ieee.numeric_std.all;
-use std.textio.all;
+  use IEEE.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use std.textio.all;
 
 
 entity tst_input is
@@ -135,7 +135,7 @@ begin
     variable  nxt_cycle_state : STATE_TYPE;
 
 
-    procedure show_msg(sev : in severity_level; msg : in string) is
+    procedure show_msg (sev : in severity_level; msg : in string) is
     begin
       report msg & character'val(13)
              & "    Repeat: " & integer'image(cycle_rep)
@@ -279,7 +279,7 @@ begin
           if gap_count = 0 then
             -- initialize the counter
             nxt_gap_count <=
-              integer'value(in_line(c_prag_gap'length + 1 to in_line'length));
+                             integer'value(in_line(c_prag_gap'length + 1 to in_line'length));
             -- create the first gap cycle.
             exit cycle;
           elsif gap_count > 1 then
diff --git a/libraries/base/tst/src/vhdl/tst_output.vhd b/libraries/base/tst/src/vhdl/tst_output.vhd
index b4da110cec..c7055e7418 100644
--- a/libraries/base/tst/src/vhdl/tst_output.vhd
+++ b/libraries/base/tst/src/vhdl/tst_output.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use std.TEXTIO.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use std.TEXTIO.all;
 
 
 entity tst_output is
@@ -46,7 +46,7 @@ end tst_output;
 
 
 architecture beh of tst_output is
-   file out_file : TEXT;
+  file out_file : TEXT;
 begin
   process(rst, clk)
     variable out_line : LINE;
diff --git a/libraries/base/uth/src/vhdl/uth_pkg.vhd b/libraries/base/uth/src/vhdl/uth_pkg.vhd
index f29b48bd53..ee539bd1b3 100644
--- a/libraries/base/uth/src/vhdl/uth_pkg.vhd
+++ b/libraries/base/uth/src/vhdl/uth_pkg.vhd
@@ -20,13 +20,13 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, easics_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
-use easics_lib.PCK_CRC8_D8.all;
-use easics_lib.PCK_CRC16_D16.all;
-use easics_lib.PCK_CRC32_D32.all;
-use easics_lib.PCK_CRC64_D64.all;
+  use easics_lib.PCK_CRC8_D8.all;
+  use easics_lib.PCK_CRC16_D16.all;
+  use easics_lib.PCK_CRC32_D32.all;
+  use easics_lib.PCK_CRC64_D64.all;
 
 package uth_pkg is
 
@@ -47,11 +47,11 @@ package uth_pkg is
   --<types>--
 
   --<local functions>--
-  function func_uth_parallel_crc(data, crc : std_logic_vector) return std_logic_vector;
+  function func_uth_parallel_crc (data, crc : std_logic_vector) return std_logic_vector;
 
   --<global functions>--
-  function func_uth_crc_w(c_data_w : natural) return natural;
-  function func_uth_next_crc(data, crc : std_logic_vector) return std_logic_vector;
+  function func_uth_crc_w (c_data_w : natural) return natural;
+  function func_uth_next_crc (data, crc : std_logic_vector) return std_logic_vector;
 
 end uth_pkg;
 
@@ -60,7 +60,7 @@ package body uth_pkg is
 
   --<functions>--
   -- Calculate parallel CRC for wide data
-  function func_uth_parallel_crc(data, crc : std_logic_vector) return std_logic_vector is
+  function func_uth_parallel_crc (data, crc : std_logic_vector) return std_logic_vector is
     constant c_data_w  : natural := data'length;
     constant c_crc_w   : natural := crc'length;
     constant c_nof_crc : natural := ceil_div(c_data_w, c_uth_crc64);
@@ -79,7 +79,7 @@ package body uth_pkg is
   -- Calculate CRC width based on input data width
   ------------------------------------------------------------------------------
 
-  function func_uth_crc_w(c_data_w : natural) return natural is
+  function func_uth_crc_w (c_data_w : natural) return natural is
     constant c_nof_crc : natural := ceil_div(c_data_w, c_uth_crc64);
     variable v_crc_w   : natural;
   begin
@@ -99,7 +99,7 @@ package body uth_pkg is
   -- Calculate next CRC for this data
   ------------------------------------------------------------------------------
 
-  function func_uth_next_crc(data, crc : std_logic_vector) return std_logic_vector is
+  function func_uth_next_crc (data, crc : std_logic_vector) return std_logic_vector is
     constant c_data_w   : natural := data'length;
     constant c_crc_w    : natural := crc'length;
     constant c_nof_crc  : natural := ceil_div(c_data_w, c_uth_crc64);
diff --git a/libraries/base/uth/src/vhdl/uth_rx.vhd b/libraries/base/uth/src/vhdl/uth_rx.vhd
index 7b45f7daa0..4e4c85e83f 100644
--- a/libraries/base/uth/src/vhdl/uth_rx.vhd
+++ b/libraries/base/uth/src/vhdl/uth_rx.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.uth_pkg.all;
 
 -- Purpose: Extract the data block from an UTH frame.
 -- Usage:
@@ -175,10 +175,11 @@ architecture rtl_adapt of uth_rx is
   type t_state is (s_sfd, s_tlen, s_sop, s_data, s_crc, s_eop, s_flush);
 
   -- handle rx timeout
-  procedure proc_handle_rx_timeout(signal   valid       : in    std_logic;
-                                   signal   timeout_evt : in    std_logic;
-                                   signal   clr         : out   std_logic;
-                                   variable v_state     : inout t_state) is  -- use variable v_state instead of signal to avoid getting latches
+  procedure proc_handle_rx_timeout (
+    signal   valid       : in    std_logic;
+    signal   timeout_evt : in    std_logic;
+    signal   clr         : out   std_logic;
+    variable v_state     : inout t_state) is  -- use variable v_state instead of signal to avoid getting latches
   begin
     if valid = '1' then
       clr <= '1';  -- restart timeout_cnt during frame rx and remain in current state
@@ -253,20 +254,20 @@ begin
 
   use_src_in : if g_use_src_in = true generate
     u_rl_adapt : entity dp_lib.dp_latency_adapter
-    generic map (
-      g_in_latency  => 2,  -- uth_rx does cause one cycle latency, so using dp_latency_adapter to fit current src_in.ready again (using dp_pipeline does not apply here)
-      g_out_latency => 1
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      -- ST sink
-      snk_out      => OPEN,
-      snk_in       => blk_sosi,
-      -- ST source
-      src_in       => src_in,
-      src_out      => src_out
-    );
+      generic map (
+        g_in_latency  => 2,  -- uth_rx does cause one cycle latency, so using dp_latency_adapter to fit current src_in.ready again (using dp_pipeline does not apply here)
+        g_out_latency => 1
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        -- ST sink
+        snk_out      => OPEN,
+        snk_in       => blk_sosi,
+        -- ST source
+        src_in       => src_in,
+        src_out      => src_out
+      );
   end generate;
 
   p_clk : process (clk, rst)
@@ -301,15 +302,15 @@ begin
 
   gen_timeout : if g_timeout_w > 0 generate
     u_timeout_cnt : entity common_lib.common_counter
-    generic map (
-      g_width => c_timeout_cnt_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      cnt_clr => timeout_cnt_clr,
-      count   => timeout_cnt
-    );
+      generic map (
+        g_width => c_timeout_cnt_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        cnt_clr => timeout_cnt_clr,
+        count   => timeout_cnt
+      );
 
     timeout_evt <= timeout_cnt(g_timeout_w);  -- check MSbit for timeout of 2**g_timeout_w clk cycles
 
@@ -326,17 +327,17 @@ begin
   rx_tlen <= snk_in.data(g_data_w - 1 downto 0);
 
   u_rx_tlen : entity work.uth_rx_tlen
-  generic map (
-    g_data_w     => g_data_w,
-    g_nof_ch     => g_nof_ch,
-    g_typ_arr    => g_typ_arr,
-    g_len_arr    => g_len_arr
-  )
-  port map (
-    tlen      => rx_tlen,
-    nof_data  => nof_data,
-    channel   => channel
-  );
+    generic map (
+      g_data_w     => g_data_w,
+      g_nof_ch     => g_nof_ch,
+      g_typ_arr    => g_typ_arr,
+      g_len_arr    => g_len_arr
+    )
+    port map (
+      tlen      => rx_tlen,
+      nof_data  => nof_data,
+      channel   => channel
+    );
 
   -- Calculate CRC
   p_crc : process(crc, crc_init, snk_in)
@@ -486,10 +487,11 @@ architecture rtl_hold of uth_rx is
   type t_state is (s_sfd, s_tlen, s_sop, s_data, s_crc, s_eop, s_flush);
 
   -- handle rx timeout
-  procedure proc_handle_rx_timeout(signal   valid       : in    std_logic;
-                                   signal   timeout_evt : in    std_logic;
-                                   signal   clr         : out   std_logic;
-                                   variable v_state     : inout t_state) is  -- use variable v_state instead of signal to avoid getting latches
+  procedure proc_handle_rx_timeout (
+    signal   valid       : in    std_logic;
+    signal   timeout_evt : in    std_logic;
+    signal   clr         : out   std_logic;
+    variable v_state     : inout t_state) is  -- use variable v_state instead of signal to avoid getting latches
   begin
     if valid = '1' then
       clr <= '1';  -- restart timeout_cnt during frame rx and remain in current state
@@ -598,15 +600,15 @@ begin
 
   gen_timeout : if g_timeout_w > 0 generate
     u_timeout_cnt : entity common_lib.common_counter
-    generic map (
-      g_width => c_timeout_cnt_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      cnt_clr => timeout_cnt_clr,
-      count   => timeout_cnt
-    );
+      generic map (
+        g_width => c_timeout_cnt_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        cnt_clr => timeout_cnt_clr,
+        count   => timeout_cnt
+      );
 
     timeout_evt <= timeout_cnt(g_timeout_w);  -- check MSbit for timeout of 2**g_timeout_w clk cycles
 
@@ -620,34 +622,34 @@ begin
   nxt_src_buf <= next_src_buf;
 
   u_hold : entity dp_lib.dp_hold_input
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => hold_src_in,
-    next_src_out => next_src_buf,
-    pend_src_out => pend_src_buf,
-    src_out_reg  => src_buf
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => hold_src_in,
+      next_src_out => next_src_buf,
+      pend_src_out => pend_src_buf,
+      src_out_reg  => src_buf
+    );
 
   -- Derive nof_data and channel number from tlen
   rx_tlen <= pend_src_buf.data(g_data_w - 1 downto 0);  -- can use pend_src_buf instead of next_src_buf because no need to depend on this_siso
 
   u_rx_tlen : entity work.uth_rx_tlen
-  generic map (
-    g_data_w     => g_data_w,
-    g_nof_ch     => g_nof_ch,
-    g_typ_arr    => g_typ_arr,
-    g_len_arr    => g_len_arr
-  )
-  port map (
-    tlen      => rx_tlen,
-    nof_data  => nof_data,
-    channel   => channel
-  );
+    generic map (
+      g_data_w     => g_data_w,
+      g_nof_ch     => g_nof_ch,
+      g_typ_arr    => g_typ_arr,
+      g_len_arr    => g_len_arr
+    )
+    port map (
+      tlen      => rx_tlen,
+      nof_data  => nof_data,
+      channel   => channel
+    );
 
   -- Calculate CRC
   p_crc : process(crc, crc_init, next_src_buf)
diff --git a/libraries/base/uth/src/vhdl/uth_rx_tlen.vhd b/libraries/base/uth/src/vhdl/uth_rx_tlen.vhd
index 3445d0e974..dbe4863219 100644
--- a/libraries/base/uth/src/vhdl/uth_rx_tlen.vhd
+++ b/libraries/base/uth/src/vhdl/uth_rx_tlen.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.uth_pkg.all;
 
 -- Purpose: Determine UTH frame length and channel from Rx tlen field value
 --
diff --git a/libraries/base/uth/src/vhdl/uth_terminal_bidir.vhd b/libraries/base/uth/src/vhdl/uth_terminal_bidir.vhd
index 80144f79d8..dec6450dbd 100644
--- a/libraries/base/uth/src/vhdl/uth_terminal_bidir.vhd
+++ b/libraries/base/uth/src/vhdl/uth_terminal_bidir.vhd
@@ -30,13 +30,13 @@
 
 
 library IEEE, common_lib, technology_lib, dp_lib, uth_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use work.uth_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use work.uth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity uth_terminal_bidir is
   generic (
@@ -117,14 +117,14 @@ architecture str of uth_terminal_bidir is
 
   -- Rx
   constant c_rx_input_use_fifo        : boolean := false;  -- Note that mms_tr_nonbonded also already contains an clock-domain crossing FIFO for which the size can be set via c_rx_phy_fifo_size.
-                                                           -- Furthermore typically Rx does not need slack because frame headers and tails are stripped.
+  -- Furthermore typically Rx does not need slack because frame headers and tails are stripped.
   constant c_rx_input_fifo_fill       : natural := 0;
   constant c_rx_input_fifo_size       : natural := c_bram_m9k_fifo_depth;  -- choose to use full BRAM size = 256 for FIFO depth
 
 begin
 
-    gen_tx : if g_use_tx = true generate
-      u_uth_terminal_tx : entity work.uth_terminal_tx
+  gen_tx : if g_use_tx = true generate
+    u_uth_terminal_tx : entity work.uth_terminal_tx
       generic map (
         g_technology            => g_technology,
         -- Terminal IO
@@ -169,10 +169,10 @@ begin
         src_in_arr  => tx_uth_siso_arr,
         src_out_arr => tx_uth_sosi_arr
       );
-    end generate;
+  end generate;
 
-    gen_rx : if g_use_rx = true generate
-      u_uth_terminal_rx : entity work.uth_terminal_rx
+  gen_rx : if g_use_rx = true generate
+    u_uth_terminal_rx : entity work.uth_terminal_rx
       generic map (
         g_technology            => g_technology,
         -- Terminal IO
@@ -214,6 +214,6 @@ begin
         mon_pkt_sosi_arr  => rx_mon_pkt_sosi_arr,
         mon_dist_sosi_arr => rx_mon_dist_sosi_arr
       );
-    end generate;
+  end generate;
 
 end str;
diff --git a/libraries/base/uth/src/vhdl/uth_terminal_rx.vhd b/libraries/base/uth/src/vhdl/uth_terminal_rx.vhd
index 3aec040cb0..803b8f96e1 100644
--- a/libraries/base/uth/src/vhdl/uth_terminal_rx.vhd
+++ b/libraries/base/uth/src/vhdl/uth_terminal_rx.vhd
@@ -40,12 +40,12 @@
 --   the CRC) in the original DP packet err field at bit index g_uth_err_bi.
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.uth_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.uth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity uth_terminal_rx is
   generic (
@@ -128,24 +128,24 @@ begin
     gen_fifo : if g_input_use_fifo = true generate
       -- Input FIFO passes DP/Uthernet packets, so the sosi control fields (sync, bsn, empty, channel and error) are encoded in the packet data
       u_fifo_fill : entity dp_lib.dp_fifo_fill
-      generic map (
-        g_technology     => g_technology,
-        g_data_w         => g_packet_data_w,
-        g_fifo_fill      => g_input_fifo_fill,
-        g_fifo_af_margin => g_input_fifo_af_margin,
-        g_fifo_size      => g_input_fifo_size,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst       => rst,
-        clk       => clk,
-        -- ST sink
-        snk_out   => snk_out_arr(I),
-        snk_in    => snk_in_arr(I),
-        -- ST source
-        src_in    => in_siso_arr(I),
-        src_out   => in_sosi_arr(I)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_data_w         => g_packet_data_w,
+          g_fifo_fill      => g_input_fifo_fill,
+          g_fifo_af_margin => g_input_fifo_af_margin,
+          g_fifo_size      => g_input_fifo_size,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          -- ST sink
+          snk_out   => snk_out_arr(I),
+          snk_in    => snk_in_arr(I),
+          -- ST source
+          src_in    => in_siso_arr(I),
+          src_out   => in_sosi_arr(I)
+        );
     end generate;
   end generate;
 
@@ -157,26 +157,26 @@ begin
   gen_input : for I in g_nof_input - 1 downto 0 generate
     --u_uth_rx : ENTITY work.uth_rx(rtl_adapt)  -- requires using g_fifo_af_margin >= 10
     u_uth_rx : entity work.uth_rx(rtl_hold)  -- can use default g_fifo_af_margin >= 4
-    generic map (
-      g_data_w        => g_packet_data_w,
-      g_len_max       => g_uth_len_max,
-      g_nof_ch        => g_uth_nof_ch,
-      g_typ_arr       => g_uth_typ_arr,
-      g_len_arr       => g_uth_len_arr,
-      g_use_this_siso => true,  -- default use TRUE for best throughput performance
-      g_use_src_in    => true,  -- default use TRUE for src_in.ready flow control else use FALSE to avoid RL adapter when src_in.ready='1' fixed
-      g_timeout_w     => g_uth_timeout_w
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => in_siso_arr(I),
-      snk_in    => in_sosi_arr(I),
-      -- ST source
-      src_in    => pkt_siso_arr(I),
-      src_out   => pkt_sosi_arr(I)
-    );
+      generic map (
+        g_data_w        => g_packet_data_w,
+        g_len_max       => g_uth_len_max,
+        g_nof_ch        => g_uth_nof_ch,
+        g_typ_arr       => g_uth_typ_arr,
+        g_len_arr       => g_uth_len_arr,
+        g_use_this_siso => true,  -- default use TRUE for best throughput performance
+        g_use_src_in    => true,  -- default use TRUE for src_in.ready flow control else use FALSE to avoid RL adapter when src_in.ready='1' fixed
+        g_timeout_w     => g_uth_timeout_w
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => in_siso_arr(I),
+        snk_in    => in_sosi_arr(I),
+        -- ST source
+        src_in    => pkt_siso_arr(I),
+        src_out   => pkt_sosi_arr(I)
+      );
   end generate;
 
 
@@ -185,30 +185,30 @@ begin
   ------------------------------------------------------------------------------
 
   u_distribute : entity dp_lib.dp_distribute
-  generic map (
-    g_technology      => g_technology,
-    -- Distribution IO
-    g_tx              => false,
-    g_nof_input       => g_nof_input,
-    g_nof_output      => g_nof_output,
-    g_transpose       => false,
-    g_code_channel_lo => true,
-    g_data_w          => g_packet_data_w,
-    -- Scheduling
-    g_rx_mux_mode     => g_mux_mode,  -- default 0 for non-blocking mux, but 1 also works in simulation and on hardware provided that the inputs are garantueed to arrive
-    -- Input FIFO
-    g_use_fifo        => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => pkt_siso_arr,
-    snk_in_arr  => pkt_sosi_arr,
-    -- ST source
-    src_in_arr  => dist_siso_arr,
-    src_out_arr => dist_sosi_arr
-  );
+    generic map (
+      g_technology      => g_technology,
+      -- Distribution IO
+      g_tx              => false,
+      g_nof_input       => g_nof_input,
+      g_nof_output      => g_nof_output,
+      g_transpose       => false,
+      g_code_channel_lo => true,
+      g_data_w          => g_packet_data_w,
+      -- Scheduling
+      g_rx_mux_mode     => g_mux_mode,  -- default 0 for non-blocking mux, but 1 also works in simulation and on hardware provided that the inputs are garantueed to arrive
+      -- Input FIFO
+      g_use_fifo        => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => pkt_siso_arr,
+      snk_in_arr  => pkt_sosi_arr,
+      -- ST source
+      src_in_arr  => dist_siso_arr,
+      src_out_arr => dist_sosi_arr
+    );
 
 
   ------------------------------------------------------------------------------
@@ -225,27 +225,27 @@ begin
       -- Output FIFO passes DP packets, so the sosi control fields (sync, bsn, empty, channel and error) are encoded in the packet data.
       -- However if g_use_uth_err=TRUE then the Uthernet CRC error status is passed on via the sosi error field using 1 bit.
       u_fifo_fill : entity dp_lib.dp_fifo_fill
-      generic map (
-        g_technology     => g_technology,
-        g_data_w         => g_packet_data_w,
-        g_error_w        => c_uth_crc_err_w,  -- = 1, one bit CRC error status from uth_rx
-        g_use_error      => g_use_uth_err,
-        g_use_channel    => false,  -- FALSE because c_packet_channel_lo is coded in the CHAN field of the DP packet data
-        g_fifo_fill      => g_output_fifo_fill,
-        g_fifo_af_margin => g_output_fifo_af_margin,
-        g_fifo_size      => g_output_fifo_size,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst       => rst,
-        clk       => clk,
-        -- ST sink
-        snk_out   => dist_siso_arr(I),
-        snk_in    => dist_sosi_arr(I),
-        -- ST source
-        src_in    => out_siso_arr(I),
-        src_out   => out_sosi_arr(I)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_data_w         => g_packet_data_w,
+          g_error_w        => c_uth_crc_err_w,  -- = 1, one bit CRC error status from uth_rx
+          g_use_error      => g_use_uth_err,
+          g_use_channel    => false,  -- FALSE because c_packet_channel_lo is coded in the CHAN field of the DP packet data
+          g_fifo_fill      => g_output_fifo_fill,
+          g_fifo_af_margin => g_output_fifo_af_margin,
+          g_fifo_size      => g_output_fifo_size,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          -- ST sink
+          snk_out   => dist_siso_arr(I),
+          snk_in    => dist_sosi_arr(I),
+          -- ST source
+          src_in    => out_siso_arr(I),
+          src_out   => out_sosi_arr(I)
+        );
     end generate;
   end generate;
 
@@ -256,23 +256,23 @@ begin
 
   gen_output : for I in g_nof_output - 1 downto 0 generate
     u_dec : entity dp_lib.dp_packet_dec
-    generic map (
-      g_data_w        => g_packet_data_w,
-      g_channel_lo    => c_packet_channel_lo,
-      g_phy_err_bi    => g_uth_err_bi,
-      g_use_phy_err   => g_use_uth_err,
-      g_use_this_siso => true  -- default use TRUE for best throughput performance
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => out_siso_arr(I),
-      snk_in    => out_sosi_arr(I),
-      -- ST source
-      src_in    => src_in_arr(I),
-      src_out   => src_data_arr(I)
-    );
+      generic map (
+        g_data_w        => g_packet_data_w,
+        g_channel_lo    => c_packet_channel_lo,
+        g_phy_err_bi    => g_uth_err_bi,
+        g_use_phy_err   => g_use_uth_err,
+        g_use_this_siso => true  -- default use TRUE for best throughput performance
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => out_siso_arr(I),
+        snk_in    => out_sosi_arr(I),
+        -- ST source
+        src_in    => src_in_arr(I),
+        src_out   => src_data_arr(I)
+      );
   end generate;
 
 
diff --git a/libraries/base/uth/src/vhdl/uth_terminal_tx.vhd b/libraries/base/uth/src/vhdl/uth_terminal_tx.vhd
index 23db70cdbe..e34d53f9e2 100644
--- a/libraries/base/uth/src/vhdl/uth_terminal_tx.vhd
+++ b/libraries/base/uth/src/vhdl/uth_terminal_tx.vhd
@@ -39,11 +39,11 @@
 --   are then output without data not valid gaps during the packet.
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity uth_terminal_tx is
   generic (
@@ -138,87 +138,87 @@ begin
   gen_input_fifo : for I in g_nof_input - 1 downto 0 generate
     gen_fifo : if g_input_use_fifo = true generate
       u_fifo_fill : entity dp_lib.dp_fifo_fill
+        generic map (
+          g_technology     => g_technology,
+          g_data_w         => g_data_w,
+          g_bsn_w          => g_input_bsn_w,
+          g_empty_w        => g_input_empty_w,
+          g_channel_w      => g_input_channel_w,
+          g_error_w        => g_input_error_w,
+          g_use_bsn        => g_input_use_bsn,
+          g_use_empty      => g_input_use_empty,
+          g_use_channel    => g_input_use_channel,
+          g_use_error      => g_input_use_error,
+          g_use_sync       => g_input_use_sync,
+          g_fifo_fill      => g_input_fifo_fill,
+          g_fifo_size      => g_input_fifo_size,
+          g_fifo_af_margin => g_input_fifo_af_margin,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          -- ST sink
+          snk_out   => snk_out_arr(I),
+          snk_in    => sel_dat_sosi_arr(I),
+          -- ST source
+          src_in    => in_siso_arr(I),
+          src_out   => in_sosi_arr(I)
+        );
+    end generate;
+  end generate;
+
+
+  ------------------------------------------------------------------------------
+  -- DP Packet encoder
+  ------------------------------------------------------------------------------
+  gen_input : for I in g_nof_input - 1 downto 0 generate
+    u_enc : entity dp_lib.dp_packet_enc
       generic map (
-        g_technology     => g_technology,
-        g_data_w         => g_data_w,
-        g_bsn_w          => g_input_bsn_w,
-        g_empty_w        => g_input_empty_w,
-        g_channel_w      => g_input_channel_w,
-        g_error_w        => g_input_error_w,
-        g_use_bsn        => g_input_use_bsn,
-        g_use_empty      => g_input_use_empty,
-        g_use_channel    => g_input_use_channel,
-        g_use_error      => g_input_use_error,
-        g_use_sync       => g_input_use_sync,
-        g_fifo_fill      => g_input_fifo_fill,
-        g_fifo_size      => g_input_fifo_size,
-        g_fifo_af_margin => g_input_fifo_af_margin,
-        g_fifo_rl        => 1
+        g_data_w      => g_packet_data_w,
+        g_channel_lo  => c_packet_channel_lo
       )
       port map (
         rst       => rst,
         clk       => clk,
-        -- ST sink
-        snk_out   => snk_out_arr(I),
-        snk_in    => sel_dat_sosi_arr(I),
+        -- ST sinks
+        snk_out   => in_siso_arr(I),
+        snk_in    => in_sosi_arr(I),
         -- ST source
-        src_in    => in_siso_arr(I),
-        src_out   => in_sosi_arr(I)
+        src_in    => pkt_siso_arr(I),
+        src_out   => pkt_sosi_arr(I)
       );
-    end generate;
   end generate;
 
 
   ------------------------------------------------------------------------------
-  -- DP Packet encoder
+  -- DP distribute: g_nof_input --> g_nof_output
   ------------------------------------------------------------------------------
-  gen_input : for I in g_nof_input - 1 downto 0 generate
-    u_enc : entity dp_lib.dp_packet_enc
+  u_distribute : entity dp_lib.dp_distribute
     generic map (
-      g_data_w      => g_packet_data_w,
-      g_channel_lo  => c_packet_channel_lo
+      g_technology      => g_technology,
+      -- Distribution IO
+      g_tx              => true,
+      g_nof_input       => g_nof_input,
+      g_nof_output      => g_nof_output,
+      g_transpose       => false,
+      g_code_channel_lo => true,
+      g_data_w          => g_packet_data_w,
+      -- Scheduling
+      g_tx_mux_mode     => g_mux_mode,  -- default 0 for non-blocking mux, but 1 also works in simulation and on hardware provided that the inputs are garantueed to arrive
+      -- Input FIFO
+      g_use_fifo        => false
     )
     port map (
-      rst       => rst,
-      clk       => clk,
+      rst         => rst,
+      clk         => clk,
       -- ST sinks
-      snk_out   => in_siso_arr(I),
-      snk_in    => in_sosi_arr(I),
+      snk_out_arr => pkt_siso_arr,
+      snk_in_arr  => pkt_sosi_arr,
       -- ST source
-      src_in    => pkt_siso_arr(I),
-      src_out   => pkt_sosi_arr(I)
+      src_in_arr  => dist_siso_arr,
+      src_out_arr => dist_sosi_arr
     );
-  end generate;
-
-
-  ------------------------------------------------------------------------------
-  -- DP distribute: g_nof_input --> g_nof_output
-  ------------------------------------------------------------------------------
-  u_distribute : entity dp_lib.dp_distribute
-  generic map (
-    g_technology      => g_technology,
-    -- Distribution IO
-    g_tx              => true,
-    g_nof_input       => g_nof_input,
-    g_nof_output      => g_nof_output,
-    g_transpose       => false,
-    g_code_channel_lo => true,
-    g_data_w          => g_packet_data_w,
-    -- Scheduling
-    g_tx_mux_mode     => g_mux_mode,  -- default 0 for non-blocking mux, but 1 also works in simulation and on hardware provided that the inputs are garantueed to arrive
-    -- Input FIFO
-    g_use_fifo        => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => pkt_siso_arr,
-    snk_in_arr  => pkt_sosi_arr,
-    -- ST source
-    src_in_arr  => dist_siso_arr,
-    src_out_arr => dist_sosi_arr
-  );
 
 
   ------------------------------------------------------------------------------
@@ -227,21 +227,21 @@ begin
   gen_output : for I in g_nof_output - 1 downto 0 generate
     --u_uth_tx : ENTITY work.uth_tx(rtl_delay)  -- requires using g_fifo_af_margin >= 10
     u_uth_tx : entity work.uth_tx(rtl_hold)  -- can use default g_fifo_af_margin >= 4
-    generic map (
-      g_data_w      => g_packet_data_w,
-      g_nof_ch      => g_uth_nof_ch,  -- g_nof_ch, the channels are numbered from 0 TO g_nof_ch-1, each channel represents a type of UTH frame
-      g_typ_arr     => g_uth_typ_arr  -- g_nof_ch number of TLEN type values indexed by sink input channel, use unconstraint type to allow generic g_nof_ch
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => dist_siso_arr(I),
-      snk_in    => dist_sosi_arr(I),
-      -- ST source
-      src_in    => out_siso_arr(I),
-      src_out   => out_sosi_arr(I)
-    );
+      generic map (
+        g_data_w      => g_packet_data_w,
+        g_nof_ch      => g_uth_nof_ch,  -- g_nof_ch, the channels are numbered from 0 TO g_nof_ch-1, each channel represents a type of UTH frame
+        g_typ_arr     => g_uth_typ_arr  -- g_nof_ch number of TLEN type values indexed by sink input channel, use unconstraint type to allow generic g_nof_ch
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => dist_siso_arr(I),
+        snk_in    => dist_sosi_arr(I),
+        -- ST source
+        src_in    => out_siso_arr(I),
+        src_out   => out_sosi_arr(I)
+      );
   end generate;
 
 
@@ -257,24 +257,24 @@ begin
     gen_fifo : if g_output_use_fifo = true generate
       -- Output FIFO passes DP/Uthernet packets, so the sosi control fields (sync, bsn, empty, channel and error) are encoded in the packet data
       u_fifo_fill : entity dp_lib.dp_fifo_fill
-      generic map (
-        g_technology     => g_technology,
-        g_data_w         => g_packet_data_w,
-        g_fifo_fill      => g_output_fifo_fill,
-        g_fifo_size      => g_output_fifo_size,
-        g_fifo_af_margin => g_output_fifo_af_margin,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst       => rst,
-        clk       => clk,
-        -- ST sink
-        snk_out   => out_siso_arr(I),
-        snk_in    => out_sosi_arr(I),
-        -- ST source
-        src_in    => src_in_arr(I),
-        src_out   => src_out_arr(I)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_data_w         => g_packet_data_w,
+          g_fifo_fill      => g_output_fifo_fill,
+          g_fifo_size      => g_output_fifo_size,
+          g_fifo_af_margin => g_output_fifo_af_margin,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst       => rst,
+          clk       => clk,
+          -- ST sink
+          snk_out   => out_siso_arr(I),
+          snk_in    => out_sosi_arr(I),
+          -- ST source
+          src_in    => src_in_arr(I),
+          src_out   => src_out_arr(I)
+        );
     end generate;
   end generate;
 
diff --git a/libraries/base/uth/src/vhdl/uth_tx.vhd b/libraries/base/uth/src/vhdl/uth_tx.vhd
index 473f380e63..eb05778790 100644
--- a/libraries/base/uth/src/vhdl/uth_tx.vhd
+++ b/libraries/base/uth/src/vhdl/uth_tx.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.uth_pkg.all;
 
 -- Purpose: Assemble an UTH frame for a data block.
 -- Usage:
@@ -280,35 +280,35 @@ begin
   -- to avoid that p_state already receive a sop for next frame. The frm_siso.ready can be released again when the eop leaves
   -- the RL adapter buffer (i.e. g_or_high=TRUE), because then it is free to buffer for the next UTH packet.
   u_frm_ready : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',
-    g_priority_lo  => false,
-    g_or_high      => true,  -- combinatorially force out_level high
-    g_and_low      => true  -- combinatorially force out_level low
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    switch_high => adapt_sosi.eop,
-    switch_low  => snk_in_dly(0).eop,
-    out_level   => frm_siso.ready
-  );
+    generic map (
+      g_rst_level    => '1',
+      g_priority_lo  => false,
+      g_or_high      => true,  -- combinatorially force out_level high
+      g_and_low      => true  -- combinatorially force out_level low
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      switch_high => adapt_sosi.eop,
+      switch_low  => snk_in_dly(0).eop,
+      out_level   => frm_siso.ready
+    );
 
   u_out_adapt : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency   => c_out_rl_max,
-    g_out_latency  => g_out_rl
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => adapt_siso,
-    snk_in       => frm_sosi,
-    -- ST source
-    src_in       => src_in,
-    src_out      => adapt_sosi
-  );
+    generic map (
+      g_in_latency   => c_out_rl_max,
+      g_out_latency  => g_out_rl
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => adapt_siso,
+      snk_in       => frm_sosi,
+      -- ST source
+      src_in       => src_in,
+      src_out      => adapt_sosi
+    );
 
 end rtl_delay;
 
@@ -370,18 +370,18 @@ begin
   nxt_src_buf <= next_src_buf;
 
   u_hold : entity dp_lib.dp_hold_input
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => hold_src_in,
-    next_src_out => next_src_buf,
-    pend_src_out => pend_src_buf,
-    src_out_reg  => src_buf
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => hold_src_in,
+      next_src_out => next_src_buf,
+      pend_src_out => pend_src_buf,
+      src_out_reg  => src_buf
+    );
 
   -- Determine tlen
   tx_tlen_index <= TO_UINT(pend_src_buf.channel(c_channel_w - 1 downto 0)) when g_nof_ch > 1 else 0;
diff --git a/libraries/base/uth/src/vhdl/uth_tx_tlen.vhd b/libraries/base/uth/src/vhdl/uth_tx_tlen.vhd
index 430927cf3d..87a4f206a2 100644
--- a/libraries/base/uth/src/vhdl/uth_tx_tlen.vhd
+++ b/libraries/base/uth/src/vhdl/uth_tx_tlen.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.uth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.uth_pkg.all;
 
 -- Purpose: Determine UTH frame Tx tlen field value per channel
 --
diff --git a/libraries/base/uth/tb/vhdl/tb_tb_tb_uth_regression.vhd b/libraries/base/uth/tb/vhdl/tb_tb_tb_uth_regression.vhd
index f38871f929..f7aba17b1d 100644
--- a/libraries/base/uth/tb/vhdl/tb_tb_tb_uth_regression.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_tb_tb_uth_regression.vhd
@@ -30,7 +30,7 @@
 
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_tb_uth_regression is
 end tb_tb_tb_uth_regression;
diff --git a/libraries/base/uth/tb/vhdl/tb_tb_uth.vhd b/libraries/base/uth/tb/vhdl/tb_tb_uth.vhd
index ae7175ec63..ccf118f376 100644
--- a/libraries/base/uth/tb/vhdl/tb_tb_uth.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_tb_uth.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- as 10
 -- run -all
diff --git a/libraries/base/uth/tb/vhdl/tb_tb_uth_dp_packet.vhd b/libraries/base/uth/tb/vhdl/tb_tb_uth_dp_packet.vhd
index a95f957772..3781829518 100644
--- a/libraries/base/uth/tb/vhdl/tb_tb_uth_dp_packet.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_tb_uth_dp_packet.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- as 2
 -- run -all
diff --git a/libraries/base/uth/tb/vhdl/tb_tb_uth_terminals.vhd b/libraries/base/uth/tb/vhdl/tb_tb_uth_terminals.vhd
index 3d14bf26a2..28396d20e7 100644
--- a/libraries/base/uth/tb/vhdl/tb_tb_uth_terminals.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_tb_uth_terminals.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 -- as 2
 -- run -all
diff --git a/libraries/base/uth/tb/vhdl/tb_uth.vhd b/libraries/base/uth/tb/vhdl/tb_uth.vhd
index 438df5d5a7..b9ae9ef407 100644
--- a/libraries/base/uth/tb/vhdl/tb_uth.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_uth.vhd
@@ -27,21 +27,21 @@
 -- > run -all  -- signal tb_end will stop the simulation by stopping the clk
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_uth is
   generic (
     g_use_uth_tx_arch        : string := "HOLD";  -- "HOLD"  = use uth_tx(rtl_hold)
-                                                  -- "DELAY" = use uth_tx(rtl_delay)
+    -- "DELAY" = use uth_tx(rtl_delay)
     g_use_uth_rx_arch        : string := "HOLD";  -- "HOLD"  = use uth_rx(rtl_hold)
-                                                  -- "ADAPT" = use uth_rx(rtl_adapt)
+    -- "ADAPT" = use uth_rx(rtl_adapt)
     g_phy_link_valid_support : boolean := true;  -- when TRUE then the PHY link can pass on valid control, else the valid not transported and implicitely always active
     g_in_en                  : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
     g_out_ready              : t_dp_flow_control_enum := e_active;  -- always active, random or pulse flow control
@@ -223,42 +223,42 @@ begin
   -- Transmit the data block as a UTH frame
   use_tx_hold : if g_use_uth_tx_arch = "HOLD" generate
     u_uth_tx : entity work.uth_tx(rtl_hold)
-    generic map (
-      g_data_w      => c_data_w,
-      g_nof_ch      => c_tx_nof_ch,
-      g_typ_arr     => c_tx_typ_arr,
-      g_out_rl      => 6  -- g_out_rl is ignored by architecture rtl_hold
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => in_siso,
-      snk_in    => in_sosi,
-      -- ST source
-      src_in    => uth_tx_siso,
-      src_out   => uth_tx_sosi
-    );
+      generic map (
+        g_data_w      => c_data_w,
+        g_nof_ch      => c_tx_nof_ch,
+        g_typ_arr     => c_tx_typ_arr,
+        g_out_rl      => 6  -- g_out_rl is ignored by architecture rtl_hold
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => in_siso,
+        snk_in    => in_sosi,
+        -- ST source
+        src_in    => uth_tx_siso,
+        src_out   => uth_tx_sosi
+      );
   end generate;
 
   use_tx_delay : if g_use_uth_tx_arch = "DELAY" generate
     u_uth_tx : entity work.uth_tx(rtl_delay)
-    generic map (
-      g_data_w      => c_data_w,
-      g_nof_ch      => c_tx_nof_ch,
-      g_typ_arr     => c_tx_typ_arr,
-      g_out_rl      => 1  -- adapt architecture rtl_delay RL = 6 to output RL = c_rl = 1
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => in_siso,
-      snk_in    => in_sosi,
-      -- ST source
-      src_in    => uth_tx_siso,
-      src_out   => uth_tx_sosi
-    );
+      generic map (
+        g_data_w      => c_data_w,
+        g_nof_ch      => c_tx_nof_ch,
+        g_typ_arr     => c_tx_typ_arr,
+        g_out_rl      => 1  -- adapt architecture rtl_delay RL = 6 to output RL = c_rl = 1
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => in_siso,
+        snk_in    => in_sosi,
+        -- ST source
+        src_in    => uth_tx_siso,
+        src_out   => uth_tx_sosi
+      );
   end generate;
 
   -- Map to slv to ease monitoring in wave window
@@ -300,45 +300,45 @@ begin
 
   use_rx_adapt : if g_use_uth_rx_arch = "ADAPT" generate
     u_uth_rx : entity work.uth_rx(rtl_adapt)
-    generic map (
-      g_data_w      => c_data_w,
-      g_len_max     => c_max_len,
-      g_nof_ch      => c_rx_nof_ch,
-      g_typ_arr     => c_rx_typ_arr,
-      g_len_arr     => c_rx_len_arr,
-      g_use_src_in  => true
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => phy_link_siso,
-      snk_in    => phy_link_sosi,
-      -- ST source
-      src_in    => uth_rx_siso,
-      src_out   => uth_rx_sosi
-    );
+      generic map (
+        g_data_w      => c_data_w,
+        g_len_max     => c_max_len,
+        g_nof_ch      => c_rx_nof_ch,
+        g_typ_arr     => c_rx_typ_arr,
+        g_len_arr     => c_rx_len_arr,
+        g_use_src_in  => true
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => phy_link_siso,
+        snk_in    => phy_link_sosi,
+        -- ST source
+        src_in    => uth_rx_siso,
+        src_out   => uth_rx_sosi
+      );
   end generate;
 
   use_rx_hold : if g_use_uth_rx_arch = "HOLD" generate
     u_uth_rx : entity work.uth_rx(rtl_hold)
-    generic map (
-      g_data_w      => c_data_w,
-      g_len_max     => c_max_len,
-      g_nof_ch      => c_rx_nof_ch,
-      g_typ_arr     => c_rx_typ_arr,
-      g_len_arr     => c_rx_len_arr
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      -- ST sinks
-      snk_out   => phy_link_siso,
-      snk_in    => phy_link_sosi,
-      -- ST source
-      src_in    => uth_rx_siso,
-      src_out   => uth_rx_sosi
-    );
+      generic map (
+        g_data_w      => c_data_w,
+        g_len_max     => c_max_len,
+        g_nof_ch      => c_rx_nof_ch,
+        g_typ_arr     => c_rx_typ_arr,
+        g_len_arr     => c_rx_len_arr
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        -- ST sinks
+        snk_out   => phy_link_siso,
+        snk_in    => phy_link_sosi,
+        -- ST source
+        src_in    => uth_rx_siso,
+        src_out   => uth_rx_sosi
+      );
   end generate;
 
   -- Map to slv to ease monitoring in wave window
diff --git a/libraries/base/uth/tb/vhdl/tb_uth_dp_packet.vhd b/libraries/base/uth/tb/vhdl/tb_uth_dp_packet.vhd
index d907159bfb..575e01ba40 100644
--- a/libraries/base/uth/tb/vhdl/tb_uth_dp_packet.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_uth_dp_packet.vhd
@@ -29,14 +29,14 @@
 -- . Observe out_* signals in Wave Window
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 entity tb_uth_dp_packet is
@@ -70,15 +70,15 @@ architecture tb of tb_uth_dp_packet is
   constant c_nof_input                : natural := 3;
   constant c_nof_streams              : natural := c_nof_tlen * c_nof_input;
   constant c_typ_arr                  : t_natural_arr(0 to c_nof_tlen_max - 1) := (c_typ_ofs,
-                                                                                 c_typ_ofs + 1,
-                                                                                 c_typ_ofs + 2,
-                                                                                 c_typ_ofs + 3,
-                                                                                 c_typ_ofs + 4);
+    c_typ_ofs + 1,
+    c_typ_ofs + 2,
+    c_typ_ofs + 3,
+    c_typ_ofs + 4);
   constant c_len_arr                  : t_natural_arr(0 to c_nof_tlen_max - 1) := (c_pkt_overhead_len + 3,
-                                                                                 c_pkt_overhead_len + 1,
-                                                                                 c_pkt_overhead_len + 1,
-                                                                                 c_pkt_overhead_len + 70,
-                                                                                 c_pkt_overhead_len + 17);
+    c_pkt_overhead_len + 1,
+    c_pkt_overhead_len + 1,
+    c_pkt_overhead_len + 70,
+    c_pkt_overhead_len + 17);
   --CONSTANT c_repeat_arr               : t_natural_arr(0 TO c_nof_streams-1) := array_init(g_nof_repeat, c_nof_streams, 1);  -- use g_nof_repeat+cK to have different expected_out_data(I,J) per input, to verify that the output demultiplexing works correct
   constant c_repeat_arr               : t_natural_arr(0 to c_nof_streams - 1) := array_init(g_nof_repeat, c_nof_streams);  -- use g_nof_repeat for all inputs
 
@@ -321,42 +321,42 @@ begin
   -- Input level multiplexing
   gen_mux : for I in 0 to c_nof_tlen - 1 generate
     u_input : entity dp_lib.dp_mux
+      generic map (
+        g_nof_input       => c_nof_input,
+        g_use_fifo        => false,
+        g_fifo_size       => array_init(1024, c_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
+        g_fifo_fill       => array_init(   0, c_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sinks
+        snk_out_arr => in_siso_2arr(I),
+        snk_in_arr  => in_sosi_2arr(I),
+        -- ST source
+        src_in      => mux_siso_arr(I),
+        src_out     => mux_sosi_arr(I)
+      );
+  end generate;
+
+  -- Type level multiplexing
+  u_tlen_mux : entity dp_lib.dp_mux
     generic map (
-      g_nof_input       => c_nof_input,
+      g_nof_input       => c_nof_tlen,
       g_use_fifo        => false,
-      g_fifo_size       => array_init(1024, c_nof_input),  -- must match g_nof_input, even when g_use_fifo=FALSE
-      g_fifo_fill       => array_init(   0, c_nof_input)  -- must match g_nof_input, even when g_use_fifo=FALSE
+      g_fifo_size       => array_init(1024, c_nof_tlen),  -- must match g_nof_input, even when g_use_fifo=FALSE
+      g_fifo_fill       => array_init(   0, c_nof_tlen)  -- must match g_nof_input, even when g_use_fifo=FALSE
     )
     port map (
       rst         => rst,
       clk         => clk,
       -- ST sinks
-      snk_out_arr => in_siso_2arr(I),
-      snk_in_arr  => in_sosi_2arr(I),
+      snk_out_arr => mux_siso_arr,
+      snk_in_arr  => mux_sosi_arr,
       -- ST source
-      src_in      => mux_siso_arr(I),
-      src_out     => mux_sosi_arr(I)
+      src_in      => mux_siso,
+      src_out     => mux_sosi
     );
-  end generate;
-
-  -- Type level multiplexing
-  u_tlen_mux : entity dp_lib.dp_mux
-  generic map (
-    g_nof_input       => c_nof_tlen,
-    g_use_fifo        => false,
-    g_fifo_size       => array_init(1024, c_nof_tlen),  -- must match g_nof_input, even when g_use_fifo=FALSE
-    g_fifo_fill       => array_init(   0, c_nof_tlen)  -- must match g_nof_input, even when g_use_fifo=FALSE
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => mux_siso_arr,
-    snk_in_arr  => mux_sosi_arr,
-    -- ST source
-    src_in      => mux_siso,
-    src_out     => mux_sosi
-  );
 
   -- Map to slv to ease monitoring in wave window
   tx_data    <= tx_sosi.data(g_data_w - 1 downto 0);
@@ -389,38 +389,38 @@ begin
 
   -- Type level multiplexing
   u_tlen_demux : entity dp_lib.dp_demux
-  generic map (
-    g_nof_output  => c_nof_tlen,
-    g_combined    => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out     => demux_siso,
-    snk_in      => demux_sosi,
-    -- ST source
-    src_in_arr  => demux_siso_arr,
-    src_out_arr => demux_sosi_arr
-  );
-
-  gen_demux : for I in 0 to c_nof_tlen - 1 generate
-    u_output : entity dp_lib.dp_demux
     generic map (
-      g_nof_output  => c_nof_input,
+      g_nof_output  => c_nof_tlen,
       g_combined    => false
     )
     port map (
       rst         => rst,
       clk         => clk,
       -- ST sinks
-      snk_out     => demux_siso_arr(I),
-      snk_in      => demux_sosi_arr(I),
+      snk_out     => demux_siso,
+      snk_in      => demux_sosi,
       -- ST source
-      src_in_arr  => out_siso_2arr(I),
-      src_out_arr => out_sosi_2arr(I)
+      src_in_arr  => demux_siso_arr,
+      src_out_arr => demux_sosi_arr
     );
 
+  gen_demux : for I in 0 to c_nof_tlen - 1 generate
+    u_output : entity dp_lib.dp_demux
+      generic map (
+        g_nof_output  => c_nof_input,
+        g_combined    => false
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        -- ST sinks
+        snk_out     => demux_siso_arr(I),
+        snk_in      => demux_sosi_arr(I),
+        -- ST source
+        src_in_arr  => out_siso_2arr(I),
+        src_out_arr => out_sosi_2arr(I)
+      );
+
     gen_output : for J in 0 to c_nof_input - 1 generate
       out_data(I, J) <= out_sosi_2arr(I)(J).data(g_data_w - 1 downto 0);
       out_val( I, J) <= out_sosi_2arr(I)(J).valid;
@@ -438,20 +438,20 @@ begin
 
   -- Encode the data block to a DP packet
   u_dp_packet_enc : entity dp_lib.dp_packet_enc
-  generic map (
-    g_data_w     => g_data_w,
-    g_channel_lo => c_nof_tlen_w
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    -- ST sinks
-    snk_out   => tx_siso,
-    snk_in    => tx_sosi,
-    -- ST source
-    src_in    => pkt_enc_siso,
-    src_out   => pkt_enc_sosi
-  );
+    generic map (
+      g_data_w     => g_data_w,
+      g_channel_lo => c_nof_tlen_w
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      -- ST sinks
+      snk_out   => tx_siso,
+      snk_in    => tx_sosi,
+      -- ST source
+      src_in    => pkt_enc_siso,
+      src_out   => pkt_enc_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   pkt_tx_data    <= pkt_tx_sosi.data(g_data_w - 1 downto 0);
@@ -484,20 +484,20 @@ begin
 
   -- Decode the DP packet into a data block
   u_dp_packet_dec : entity dp_lib.dp_packet_dec
-  generic map (
-    g_data_w     => g_data_w,
-    g_channel_lo => c_nof_tlen_w
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    -- ST sinks
-    snk_out   => pkt_dec_siso,
-    snk_in    => pkt_dec_sosi,
-    -- ST source
-    src_in    => rx_siso,
-    src_out   => rx_sosi
-  );
+    generic map (
+      g_data_w     => g_data_w,
+      g_channel_lo => c_nof_tlen_w
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      -- ST sinks
+      snk_out   => pkt_dec_siso,
+      snk_in    => pkt_dec_sosi,
+      -- ST source
+      src_in    => rx_siso,
+      src_out   => rx_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   rx_data    <= rx_sosi.data(g_data_w - 1 downto 0);
@@ -516,21 +516,21 @@ begin
 
   -- Transmit the data block as a UTH frame
   u_uth_tx : entity work.uth_tx(rtl_hold)
-  generic map (
-    g_data_w      => g_data_w,
-    g_nof_ch      => c_nof_tlen,
-    g_typ_arr     => c_typ_arr
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    -- ST sinks
-    snk_out   => pkt_tx_siso,
-    snk_in    => pkt_tx_sosi,
-    -- ST source
-    src_in    => uth_tx_siso,
-    src_out   => uth_tx_sosi
-  );
+    generic map (
+      g_data_w      => g_data_w,
+      g_nof_ch      => c_nof_tlen,
+      g_typ_arr     => c_typ_arr
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      -- ST sinks
+      snk_out   => pkt_tx_siso,
+      snk_in    => pkt_tx_sosi,
+      -- ST source
+      src_in    => uth_tx_siso,
+      src_out   => uth_tx_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   uth_tx_data <= uth_tx_sosi.data(g_data_w - 1 downto 0);
@@ -545,23 +545,23 @@ begin
 
   -- Receive UTH frame and extract the payload data block
   u_uth_rx : entity work.uth_rx(rtl_hold)
-  generic map (
-    g_data_w      => g_data_w,
-    g_len_max     => c_max_len,
-    g_nof_ch      => c_nof_tlen,
-    g_typ_arr     => c_typ_arr,
-    g_len_arr     => c_len_arr
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    -- ST sinks
-    snk_out   => uth_rx_siso,
-    snk_in    => uth_rx_sosi,
-    -- ST source
-    src_in    => pkt_rx_siso,
-    src_out   => pkt_rx_sosi
-  );
+    generic map (
+      g_data_w      => g_data_w,
+      g_len_max     => c_max_len,
+      g_nof_ch      => c_nof_tlen,
+      g_typ_arr     => c_typ_arr,
+      g_len_arr     => c_len_arr
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      -- ST sinks
+      snk_out   => uth_rx_siso,
+      snk_in    => uth_rx_sosi,
+      -- ST source
+      src_in    => pkt_rx_siso,
+      src_out   => pkt_rx_sosi
+    );
 
   -- Map to slv to ease monitoring in wave window
   pkt_rx_data    <= pkt_rx_sosi.data(g_data_w - 1 downto 0);
diff --git a/libraries/base/uth/tb/vhdl/tb_uth_terminals.vhd b/libraries/base/uth/tb/vhdl/tb_uth_terminals.vhd
index 28143e3257..7b7e651f1b 100644
--- a/libraries/base/uth/tb/vhdl/tb_uth_terminals.vhd
+++ b/libraries/base/uth/tb/vhdl/tb_uth_terminals.vhd
@@ -36,14 +36,14 @@
 -- Remark:
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_packet_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_packet_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_uth_terminals is
   generic (
@@ -284,40 +284,40 @@ begin
 
   -- n --> m
   tx : entity work.uth_terminal_tx
-  generic map (
-    -- Terminal IO
-    g_nof_input             => g_nof_input,
-    g_nof_output            => g_nof_serial,
-    -- . DP Packet
-    g_data_w                => c_data_w,
-    g_packet_data_w         => c_data_w,
-    -- . Uthernet
-    g_uth_nof_ch            => c_uth_nof_ch,
-    g_uth_typ_ofs           => c_uth_typ_ofs,
-    g_uth_typ_arr           => c_uth_typ_arr,
-    -- Input FIFO
-    g_input_use_fifo        => g_tx_use_fifo,
-    g_input_bsn_w           => c_bsn_w,
-    g_input_channel_w       => c_channel_w,
-    g_input_use_bsn         => c_use_bsn,
-    g_input_use_channel     => c_use_channel,
-    g_input_use_sync        => c_use_sync,
-    g_input_fifo_af_margin  => c_fifo_af_margin,
-    g_input_fifo_fill       => g_tx_fifo_fill,
-    g_input_fifo_size       => c_fifo_size,
-    -- Output FIFO
-    g_output_use_fifo       => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => in_siso_arr,
-    snk_in_arr  => in_sosi_arr,
-    -- ST source
-    src_in_arr  => tx_serial_siso_arr,
-    src_out_arr => tx_serial_sosi_arr
-  );
+    generic map (
+      -- Terminal IO
+      g_nof_input             => g_nof_input,
+      g_nof_output            => g_nof_serial,
+      -- . DP Packet
+      g_data_w                => c_data_w,
+      g_packet_data_w         => c_data_w,
+      -- . Uthernet
+      g_uth_nof_ch            => c_uth_nof_ch,
+      g_uth_typ_ofs           => c_uth_typ_ofs,
+      g_uth_typ_arr           => c_uth_typ_arr,
+      -- Input FIFO
+      g_input_use_fifo        => g_tx_use_fifo,
+      g_input_bsn_w           => c_bsn_w,
+      g_input_channel_w       => c_channel_w,
+      g_input_use_bsn         => c_use_bsn,
+      g_input_use_channel     => c_use_channel,
+      g_input_use_sync        => c_use_sync,
+      g_input_fifo_af_margin  => c_fifo_af_margin,
+      g_input_fifo_fill       => g_tx_fifo_fill,
+      g_input_fifo_size       => c_fifo_size,
+      -- Output FIFO
+      g_output_use_fifo       => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => in_siso_arr,
+      snk_in_arr  => in_sosi_arr,
+      -- ST source
+      src_in_arr  => tx_serial_siso_arr,
+      src_out_arr => tx_serial_sosi_arr
+    );
 
 
   -- Model PHY link by a FIFO
@@ -329,74 +329,74 @@ begin
   gen_phy_fifo : if g_phy_fifo_size > 0 generate
     gen_nof_serial : for I in 0 to g_nof_serial - 1 generate
       u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-      generic map (
-        g_data_w         => c_data_w,
-        g_bsn_w          => 1,
-        g_empty_w        => 1,
-        g_channel_w      => 1,
-        g_error_w        => 1,
-        g_use_bsn        => false,
-        g_use_empty      => false,
-        g_use_channel    => false,
-        g_use_error      => false,
-        g_use_sync       => false,
-        g_use_ctrl       => false,
-        g_use_complex    => false,
-        g_fifo_size      => g_phy_fifo_size,
-        g_fifo_af_margin => 4,
-        g_fifo_rl        => 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-        -- Monitor FIFO filling
-        wr_ful      => OPEN,
-        usedw       => OPEN,
-        rd_emp      => OPEN,
-        -- ST sink
-        snk_out     => tx_serial_siso_arr(I),
-        snk_in      => tx_serial_sosi_arr(I),
-        -- ST source
-        src_in      => rx_serial_siso_arr(I),
-        src_out     => rx_serial_sosi_arr(I)
-      );
+        generic map (
+          g_data_w         => c_data_w,
+          g_bsn_w          => 1,
+          g_empty_w        => 1,
+          g_channel_w      => 1,
+          g_error_w        => 1,
+          g_use_bsn        => false,
+          g_use_empty      => false,
+          g_use_channel    => false,
+          g_use_error      => false,
+          g_use_sync       => false,
+          g_use_ctrl       => false,
+          g_use_complex    => false,
+          g_fifo_size      => g_phy_fifo_size,
+          g_fifo_af_margin => 4,
+          g_fifo_rl        => 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+          -- Monitor FIFO filling
+          wr_ful      => OPEN,
+          usedw       => OPEN,
+          rd_emp      => OPEN,
+          -- ST sink
+          snk_out     => tx_serial_siso_arr(I),
+          snk_in      => tx_serial_sosi_arr(I),
+          -- ST source
+          src_in      => rx_serial_siso_arr(I),
+          src_out     => rx_serial_sosi_arr(I)
+        );
     end generate;
   end generate;
 
   -- m --> n
   rx : entity work.uth_terminal_rx
-  generic map (
-    -- Terminal IO
-    g_nof_input             => g_nof_serial,
-    g_nof_output            => g_nof_input,
-    -- . DP Packet
-    g_data_w                => c_data_w,
-    g_packet_data_w         => c_data_w,
-    -- . Uthernet
-    g_uth_nof_ch            => c_uth_nof_ch,
-    g_uth_len_max           => c_uth_len_max,
-    g_uth_typ_arr           => c_uth_typ_arr,
-    g_uth_len_arr           => c_uth_len_arr,
-    g_use_uth_err           => false,
-    g_uth_err_bi            => 0,
-    g_uth_timeout_w         => g_uth_rx_timeout_w,
-    -- Input FIFO
-    g_input_use_fifo        => g_rx_use_fifo,
-    g_input_fifo_af_margin  => c_fifo_af_margin,
-    g_input_fifo_fill       => g_rx_fifo_fill,
-    g_input_fifo_size       => c_fifo_size,
-    -- Output FIFO
-    g_output_use_fifo       => false
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    -- ST sinks
-    snk_out_arr => rx_serial_siso_arr,
-    snk_in_arr  => rx_serial_sosi_arr,
-    -- ST source
-    src_in_arr  => out_siso_arr,
-    src_out_arr => out_sosi_arr
-  );
+    generic map (
+      -- Terminal IO
+      g_nof_input             => g_nof_serial,
+      g_nof_output            => g_nof_input,
+      -- . DP Packet
+      g_data_w                => c_data_w,
+      g_packet_data_w         => c_data_w,
+      -- . Uthernet
+      g_uth_nof_ch            => c_uth_nof_ch,
+      g_uth_len_max           => c_uth_len_max,
+      g_uth_typ_arr           => c_uth_typ_arr,
+      g_uth_len_arr           => c_uth_len_arr,
+      g_use_uth_err           => false,
+      g_uth_err_bi            => 0,
+      g_uth_timeout_w         => g_uth_rx_timeout_w,
+      -- Input FIFO
+      g_input_use_fifo        => g_rx_use_fifo,
+      g_input_fifo_af_margin  => c_fifo_af_margin,
+      g_input_fifo_fill       => g_rx_fifo_fill,
+      g_input_fifo_size       => c_fifo_size,
+      -- Output FIFO
+      g_output_use_fifo       => false
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- ST sinks
+      snk_out_arr => rx_serial_siso_arr,
+      snk_in_arr  => rx_serial_sosi_arr,
+      -- ST source
+      src_in_arr  => out_siso_arr,
+      src_out_arr => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/base/util/src/vhdl/util_heater.vhd b/libraries/base/util/src/vhdl/util_heater.vhd
index 4f5c3265af..199887d5be 100644
--- a/libraries/base/util/src/vhdl/util_heater.vhd
+++ b/libraries/base/util/src/vhdl/util_heater.vhd
@@ -21,14 +21,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.util_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.util_heater_pkg.all;
 
 -- Purpose:
 --   Use multiplier DSP elements, RAM and logic in an FPGA to heat up the PFGA
@@ -76,11 +76,13 @@ end;
 architecture rtl of util_heater is
 
   -- Use MM bus data width = c_word_w = 32
-  constant c_mm_reg  : t_c_mem := (latency  => 1,
-                                   adr_w    => c_util_heater_reg_addr_w,
-                                   dat_w    => c_word_w,
-                                   nof_dat  => c_util_heater_reg_nof_words,
-                                   init_sl  => '0');
+  constant c_mm_reg  : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_util_heater_reg_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => c_util_heater_reg_nof_words,
+    init_sl  => '0'
+  );
 
   constant c_remote_len     : natural := 5;  -- >> 0 to ease timing to reach logic throughout the whole chip
   constant c_sync_delay_len : natural := c_meta_delay_len + c_remote_len;  -- >= c_meta_delay_len=3
@@ -155,25 +157,25 @@ begin
   -- MM clock domain
   ------------------------------------------------------------------------------
   u_mm_reg : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_mm_reg,
-    g_init_reg  => (others => '0')
-  )
-  port map (
-    rst       => mm_rst,
-    clk       => mm_clk,
-    -- control side
-		wr_en     => sla_in.wr,
-		wr_adr    => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
-		wr_dat    => sla_in.wrdata(c_mm_reg.dat_w - 1 downto 0),
-		rd_en     => sla_in.rd,
-		rd_adr    => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
-		rd_dat    => sla_out.rddata(c_mm_reg.dat_w - 1 downto 0),
-		rd_val    => OPEN,
-    -- data side
-    out_reg   => mm_reg_en,
-    in_reg    => mm_reg_xor
-  );
+    generic map (
+      g_reg       => c_mm_reg,
+      g_init_reg  => (others => '0')
+    )
+    port map (
+      rst       => mm_rst,
+      clk       => mm_clk,
+      -- control side
+      wr_en     => sla_in.wr,
+      wr_adr    => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
+      wr_dat    => sla_in.wrdata(c_mm_reg.dat_w - 1 downto 0),
+      rd_en     => sla_in.rd,
+      rd_adr    => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
+      rd_dat    => sla_out.rddata(c_mm_reg.dat_w - 1 downto 0),
+      rd_val    => OPEN,
+      -- data side
+      out_reg   => mm_reg_en,
+      in_reg    => mm_reg_xor
+    );
 
   mm_element_en                    <= mm_reg_en(mm_element_en'range);
   mm_reg_xor(mm_element_xor'range) <= mm_element_xor;
@@ -189,29 +191,29 @@ begin
 
     -- MM --> ST
     u_cross_en : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0',
-      g_delay_len => c_sync_delay_len
-    )
-    port map (
-      rst  => st_rst,
-      clk  => st_clk,
-      din  => mm_element_en(I),
-      dout => st_element_en(I)
-    );
+      generic map (
+        g_rst_level => '0',
+        g_delay_len => c_sync_delay_len
+      )
+      port map (
+        rst  => st_rst,
+        clk  => st_clk,
+        din  => mm_element_en(I),
+        dout => st_element_en(I)
+      );
 
     -- MM <-- ST
     u_cross_xor : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0',
-      g_delay_len => c_sync_delay_len
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_element_xor(I),
-      dout => mm_element_xor(I)
-    );
+      generic map (
+        g_rst_level => '0',
+        g_delay_len => c_sync_delay_len
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_element_xor(I),
+        dout => mm_element_xor(I)
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -245,56 +247,56 @@ begin
   nxt_prsg_3 <= func_common_random(prsg_3);
 
   u_prsg_0_reg : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline    => c_remote_len,
-    g_in_dat_w    => prsg_0'LENGTH,
-    g_out_dat_w   => prsg_0'length
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    in_dat  => prsg_0,
-    out_dat => prsg_0_reg
-  );
+    generic map (
+      g_pipeline    => c_remote_len,
+      g_in_dat_w    => prsg_0'LENGTH,
+      g_out_dat_w   => prsg_0'length
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      in_dat  => prsg_0,
+      out_dat => prsg_0_reg
+    );
 
   u_prsg_1_reg : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline    => c_remote_len,
-    g_in_dat_w    => prsg_1'LENGTH,
-    g_out_dat_w   => prsg_1'length
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    in_dat  => prsg_1,
-    out_dat => prsg_1_reg
-  );
+    generic map (
+      g_pipeline    => c_remote_len,
+      g_in_dat_w    => prsg_1'LENGTH,
+      g_out_dat_w   => prsg_1'length
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      in_dat  => prsg_1,
+      out_dat => prsg_1_reg
+    );
 
   u_prsg_2_reg : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline    => c_remote_len,
-    g_in_dat_w    => prsg_2'LENGTH,
-    g_out_dat_w   => prsg_2'length
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    in_dat  => prsg_2,
-    out_dat => prsg_2_reg
-  );
+    generic map (
+      g_pipeline    => c_remote_len,
+      g_in_dat_w    => prsg_2'LENGTH,
+      g_out_dat_w   => prsg_2'length
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      in_dat  => prsg_2,
+      out_dat => prsg_2_reg
+    );
 
   u_prsg_3_reg : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline    => c_remote_len,
-    g_in_dat_w    => prsg_3'LENGTH,
-    g_out_dat_w   => prsg_3'length
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    in_dat  => prsg_3,
-    out_dat => prsg_3_reg
-  );
+    generic map (
+      g_pipeline    => c_remote_len,
+      g_in_dat_w    => prsg_3'LENGTH,
+      g_out_dat_w   => prsg_3'length
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      in_dat  => prsg_3,
+      out_dat => prsg_3_reg
+    );
 
   ------------------------------------------------------------------------------
   -- Heater elements
@@ -317,38 +319,38 @@ begin
 
     -- Complex multipliers, these should get mapped on DSP elements in the FPGA
     u_dsp : entity common_mult_lib.common_mult_add4  -- (rtl)
-    generic map (
-      g_technology       => g_technology,
-      g_in_a_w           => c_in_dat_w,
-      g_in_b_w           => c_in_dat_w,
-      g_res_w            => c_mac_out_dat_w,
-      g_pipeline_input   => c_mac_pipeline_input,
-      g_pipeline_product => c_mac_pipeline_product,
-      g_pipeline_adder   => c_mac_pipeline_adder,
-      g_pipeline_output  => c_mac_pipeline_output
-    )
-    port map (
-      clk        => st_clk,
-      clken      => st_clken(I),
-      in_a       => in_dat_a(I),
-      in_b       => in_dat_b(I),
-      res        => mac4(I)
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_in_a_w           => c_in_dat_w,
+        g_in_b_w           => c_in_dat_w,
+        g_res_w            => c_mac_out_dat_w,
+        g_pipeline_input   => c_mac_pipeline_input,
+        g_pipeline_product => c_mac_pipeline_product,
+        g_pipeline_adder   => c_mac_pipeline_adder,
+        g_pipeline_output  => c_mac_pipeline_output
+      )
+      port map (
+        clk        => st_clk,
+        clken      => st_clken(I),
+        in_a       => in_dat_a(I),
+        in_b       => in_dat_b(I),
+        res        => mac4(I)
+      );
 
     -- Pipeline, use g_pipeline > 0 to run some more logic resources or to ease achieving timing closure
     u_logic : entity common_lib.common_pipeline
-    generic map (
-      g_pipeline    => g_pipeline,  -- use 0 for no logic, so only wires
-      g_in_dat_w    => c_mac_out_dat_w,
-      g_out_dat_w   => c_fifo_dat_w
-    )
-    port map (
-      rst     => st_rst,
-      clk     => st_clk,
-      clken   => st_clken(I),
-      in_dat  => mac4(I),
-      out_dat => fifo_in_dat(I)
-    );
+      generic map (
+        g_pipeline    => g_pipeline,  -- use 0 for no logic, so only wires
+        g_in_dat_w    => c_mac_out_dat_w,
+        g_out_dat_w   => c_fifo_dat_w
+      )
+      port map (
+        rst     => st_rst,
+        clk     => st_clk,
+        clken   => st_clken(I),
+        in_dat  => mac4(I),
+        out_dat => fifo_in_dat(I)
+      );
 
     ----------------------------------------------------------------------------
     -- RAM
@@ -357,23 +359,23 @@ begin
     -- FIFO, use g_nof_ram > 0 to use RAM or g_nof_ram = 0 to bypass
     gen_ram : if g_nof_ram > 0 generate
       u_fifo : entity common_lib.common_fifo_sc
-      generic map (
-        g_technology => g_technology,
-        g_dat_w     => c_fifo_dat_w,
-        g_nof_words => g_nof_ram * c_nof_fifo_dat_in_1kbyte_ram
-      )
-      port map (
-        rst      => st_rst,
-        clk      => st_clk,
-        wr_dat   => fifo_in_dat(I),
-        wr_req   => st_clken(I),
-        wr_ful   => OPEN,
-        rd_dat   => fifo_out_dat(I),
-        rd_req   => st_clken(I),
-        rd_emp   => OPEN,
-        rd_val   => OPEN,
-        usedw    => open
-      );
+        generic map (
+          g_technology => g_technology,
+          g_dat_w     => c_fifo_dat_w,
+          g_nof_words => g_nof_ram * c_nof_fifo_dat_in_1kbyte_ram
+        )
+        port map (
+          rst      => st_rst,
+          clk      => st_clk,
+          wr_dat   => fifo_in_dat(I),
+          wr_req   => st_clken(I),
+          wr_ful   => OPEN,
+          rd_dat   => fifo_out_dat(I),
+          rd_req   => st_clken(I),
+          rd_emp   => OPEN,
+          rd_val   => OPEN,
+          usedw    => open
+        );
     end generate;
 
     no_ram : if g_nof_ram = 0 generate
@@ -386,15 +388,15 @@ begin
 
     gen_logic : if g_nof_logic > 0 generate
       u_logic : entity work.util_logic
-      generic map (
-        g_nof_reg => g_nof_logic
-      )
-      port map (
-        clk     => st_clk,
-        clken   => st_clken(I),
-        in_dat  => fifo_out_dat(I),
-        out_dat => logic_dat(I)
-      );
+        generic map (
+          g_nof_reg => g_nof_logic
+        )
+        port map (
+          clk     => st_clk,
+          clken   => st_clken(I),
+          in_dat  => fifo_out_dat(I),
+          out_dat => logic_dat(I)
+        );
     end generate;
     no_logic : if g_nof_logic = 0 generate
       logic_dat(I) <= fifo_out_dat(I);
diff --git a/libraries/base/util/src/vhdl/util_heater_pkg.vhd b/libraries/base/util/src/vhdl/util_heater_pkg.vhd
index f16f0fa4d1..9b5d201b39 100644
--- a/libraries/base/util/src/vhdl/util_heater_pkg.vhd
+++ b/libraries/base/util/src/vhdl/util_heater_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 package util_heater_pkg is
diff --git a/libraries/base/util/src/vhdl/util_logic.vhd b/libraries/base/util/src/vhdl/util_logic.vhd
index 3de245a52c..f2655fd1e4 100644
--- a/libraries/base/util/src/vhdl/util_logic.vhd
+++ b/libraries/base/util/src/vhdl/util_logic.vhd
@@ -30,7 +30,7 @@
 --   feedback with XOR at every stage to enforce using logic (LUTs and FF).
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity util_logic is
   generic (
diff --git a/libraries/base/util/tb/vhdl/tb_util_heater.vhd b/libraries/base/util/tb/vhdl/tb_util_heater.vhd
index cef9456111..c4c44103c5 100644
--- a/libraries/base/util/tb/vhdl/tb_util_heater.vhd
+++ b/libraries/base/util/tb/vhdl/tb_util_heater.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.util_heater_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.util_heater_pkg.all;
 
 
 entity tb_util_heater is
@@ -105,20 +105,20 @@ begin
   end process;
 
   dut : entity work.util_heater
-  generic map (
-    g_nof_mac4   => c_nof_mac4,
-    g_pipeline   => c_pipeline,
-    g_nof_ram    => c_nof_ram,
-    g_nof_logic  => c_nof_logic
-  )
-  port map (
-    mm_rst  => mm_rst,  -- MM is the microprocessor control clock domain
-    mm_clk  => mm_clk,
-    st_rst  => st_rst,  -- ST is the DSP clock domain
-    st_clk  => st_clk,
-    -- Memory Mapped Slave
-    sla_in  => mm_mosi,
-    sla_out => mm_miso
-  );
+    generic map (
+      g_nof_mac4   => c_nof_mac4,
+      g_pipeline   => c_pipeline,
+      g_nof_ram    => c_nof_ram,
+      g_nof_logic  => c_nof_logic
+    )
+    port map (
+      mm_rst  => mm_rst,  -- MM is the microprocessor control clock domain
+      mm_clk  => mm_clk,
+      st_rst  => st_rst,  -- ST is the DSP clock domain
+      st_clk  => st_clk,
+      -- Memory Mapped Slave
+      sla_in  => mm_mosi,
+      sla_out => mm_miso
+    );
 
 end tb;
diff --git a/libraries/dsp/beamformer/src/vhdl/beamformer.vhd b/libraries/dsp/beamformer/src/vhdl/beamformer.vhd
index a5769ada1d..e4c30562d7 100644
--- a/libraries/dsp/beamformer/src/vhdl/beamformer.vhd
+++ b/libraries/dsp/beamformer/src/vhdl/beamformer.vhd
@@ -42,13 +42,13 @@
 --         to TRUE.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib, common_mult_lib, technology_lib, dp_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity beamformer is
   generic (
@@ -87,11 +87,13 @@ architecture str of beamformer is
   ------------------------------------------------------------------------------
   -- Weights RAM
   ------------------------------------------------------------------------------
-  constant c_common_ram_crw_crw_ram : t_c_mem := (latency  => 1,
-                                                  adr_w    => ceil_log2(g_nof_weights),
-                                                  dat_w    => c_nof_complex * g_weights_w,
-                                                  nof_dat  => g_nof_weights,
-                                                  init_sl  => '0');
+  constant c_common_ram_crw_crw_ram : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_nof_weights),
+    dat_w    => c_nof_complex * g_weights_w,
+    nof_dat  => g_nof_weights,
+    init_sl  => '0'
+  );
 
   type t_common_ram_crw_crw_adr_b_arr is array(g_nof_inputs - 1 downto 0) of std_logic_vector(ceil_log2(g_nof_weights) - 1 downto 0);
   type t_common_ram_crw_crw_rd_dat_b_arr is array(g_nof_inputs - 1 downto 0) of std_logic_vector(c_nof_complex * g_weights_w - 1 downto 0);
@@ -147,31 +149,31 @@ begin
 
       -- Dual clock RAM
       u_common_ram_crw_crw : entity common_lib.common_ram_crw_crw
-      generic map (
-        g_technology     => g_technology,
-        g_ram            => c_common_ram_crw_crw_ram,
-        g_init_file      => sel_a_b(g_weights_file = "UNUSED", "UNUSED", g_weights_file & "_" & natural'image(i) & ".hex"),
-        g_true_dual_port => g_weights_ram_dual_port
-      )
-      port map (
-        rst_a     => mm_rst,
-        clk_a     => mm_clk,
-        wr_en_a   => ram_mosi_arr(i).wr,
-        wr_dat_a  => ram_mosi_arr(i).wrdata(c_common_ram_crw_crw_ram.dat_w - 1 downto 0),
-        adr_a     => ram_mosi_arr(i).address(c_common_ram_crw_crw_ram.adr_w - 1 downto 0),
-        rd_en_a   => ram_mosi_arr(i).rd,
-        rd_dat_a  => ram_miso_arr(i).rddata(c_common_ram_crw_crw_ram.dat_w - 1 downto 0),
-        rd_val_a  => ram_miso_arr(i).rdval,
-
-        rst_b     => dp_rst,
-        clk_b     => dp_clk,
-        wr_en_b   => '0',
-        wr_dat_b  => (others => '0'),
-        adr_b     => common_ram_crw_crw_adr_b_arr(i),
-        rd_en_b   => common_ram_crw_crw_rd_en_b_arr(i),
-        rd_dat_b  => common_ram_crw_crw_rd_dat_b_arr(i),
-        rd_val_b  => common_ram_crw_crw_rd_val_b_arr(i)
-      );
+        generic map (
+          g_technology     => g_technology,
+          g_ram            => c_common_ram_crw_crw_ram,
+          g_init_file      => sel_a_b(g_weights_file = "UNUSED", "UNUSED", g_weights_file & "_" & natural'image(i) & ".hex"),
+          g_true_dual_port => g_weights_ram_dual_port
+        )
+        port map (
+          rst_a     => mm_rst,
+          clk_a     => mm_clk,
+          wr_en_a   => ram_mosi_arr(i).wr,
+          wr_dat_a  => ram_mosi_arr(i).wrdata(c_common_ram_crw_crw_ram.dat_w - 1 downto 0),
+          adr_a     => ram_mosi_arr(i).address(c_common_ram_crw_crw_ram.adr_w - 1 downto 0),
+          rd_en_a   => ram_mosi_arr(i).rd,
+          rd_dat_a  => ram_miso_arr(i).rddata(c_common_ram_crw_crw_ram.dat_w - 1 downto 0),
+          rd_val_a  => ram_miso_arr(i).rdval,
+
+          rst_b     => dp_rst,
+          clk_b     => dp_clk,
+          wr_en_b   => '0',
+          wr_dat_b  => (others => '0'),
+          adr_b     => common_ram_crw_crw_adr_b_arr(i),
+          rd_en_b   => common_ram_crw_crw_rd_en_b_arr(i),
+          rd_dat_b  => common_ram_crw_crw_rd_dat_b_arr(i),
+          rd_val_b  => common_ram_crw_crw_rd_val_b_arr(i)
+        );
 
       -- RAM output rewired to SOSI array
       common_ram_crw_crw_src_out_arr(i).re <= RESIZE_DP_DSP_DATA(common_ram_crw_crw_rd_dat_b_arr(i)(  g_weights_w - 1 downto 0));
@@ -188,28 +190,28 @@ begin
     gen_common_reg_r_w_dc : for i in 0 to g_nof_inputs - 1 generate
 
       u_common_reg_r_w_dc : entity common_lib.common_reg_r_w_dc
-      generic map (
-        g_cross_clock_domain => true,
-        g_readback           => g_weights_ram_dual_port,
-        g_reg                => c_common_ram_crw_crw_ram
-      )
-      port map (
-        -- Clocks and reset
-        mm_rst         => mm_rst,
-        mm_clk         => mm_clk,
-        st_rst         => dp_rst,
-        st_clk         => dp_clk,
-
-        -- Memory Mapped Slave in mm_clk domain
-        sla_in         => ram_mosi_arr(i),
-        sla_out        => ram_miso_arr(i),
-
-        -- MM registers in st_clk domain
-        reg_wr_arr     => open,
-        reg_rd_arr     => open,
-        in_reg         => common_reg_r_w_dc_in_reg_slv_arr(i),
-        out_reg        => common_reg_r_w_dc_out_reg_slv_arr(i)
-      );
+        generic map (
+          g_cross_clock_domain => true,
+          g_readback           => g_weights_ram_dual_port,
+          g_reg                => c_common_ram_crw_crw_ram
+        )
+        port map (
+          -- Clocks and reset
+          mm_rst         => mm_rst,
+          mm_clk         => mm_clk,
+          st_rst         => dp_rst,
+          st_clk         => dp_clk,
+
+          -- Memory Mapped Slave in mm_clk domain
+          sla_in         => ram_mosi_arr(i),
+          sla_out        => ram_miso_arr(i),
+
+          -- MM registers in st_clk domain
+          reg_wr_arr     => open,
+          reg_rd_arr     => open,
+          in_reg         => common_reg_r_w_dc_in_reg_slv_arr(i),
+          out_reg        => common_reg_r_w_dc_out_reg_slv_arr(i)
+        );
 
       -- Rewire the concatenated SLV array to something we can index properly [g_nof_inputs][g_nof_weights]
       gen_common_reg_r_w_dc_out_reg_2arr : for j in 0 to g_nof_weights - 1 generate
@@ -232,33 +234,33 @@ begin
   -- Combine the individual MM buses into one
   ------------------------------------------------------------------------------
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_inputs,
-    g_mult_addr_w => ceil_log2(g_nof_weights)
-  )
-  port map (
-    mosi     => ram_mosi,
-    miso     => ram_miso,
-    mosi_arr => ram_mosi_arr,
-    miso_arr => ram_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_inputs,
+      g_mult_addr_w => ceil_log2(g_nof_weights)
+    )
+    port map (
+      mosi     => ram_mosi,
+      miso     => ram_miso,
+      mosi_arr => ram_mosi_arr,
+      miso_arr => ram_miso_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Pipeline to align snk_in_arr with dp_ram_src_out_arr
   ------------------------------------------------------------------------------
   u_dp_pipeline_arr : entity dp_lib.dp_pipeline_arr
-  generic map(
-    g_nof_streams => g_nof_inputs,
-    g_pipeline    => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
+    generic map(
+      g_nof_streams => g_nof_inputs,
+      g_pipeline    => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
 
-    snk_in_arr   => snk_in_arr,
+      snk_in_arr   => snk_in_arr,
 
-    src_out_arr  => dp_pipeline_arr_src_out_arr
-  );
+      src_out_arr  => dp_pipeline_arr_src_out_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Multiplier stage
@@ -269,34 +271,34 @@ begin
   end generate;
 
   u_dp_complex_mult : entity dp_lib.dp_complex_mult
-  generic map (
-    g_nof_multipliers => g_nof_inputs,
-    g_data_w          => g_data_w,
-    g_variant         => g_mult_variant
-  )
-  port map (
-    clk           => dp_clk,
-    rst           => dp_rst,
-
-    snk_in_2arr_2 => dp_complex_mult_snk_in_2arr_2,
-    src_out_arr   => dp_complex_mult_src_out_arr
-  );
+    generic map (
+      g_nof_multipliers => g_nof_inputs,
+      g_data_w          => g_data_w,
+      g_variant         => g_mult_variant
+    )
+    port map (
+      clk           => dp_clk,
+      rst           => dp_rst,
+
+      snk_in_2arr_2 => dp_complex_mult_snk_in_2arr_2,
+      src_out_arr   => dp_complex_mult_src_out_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Adder stage
   ------------------------------------------------------------------------------
   u_dp_complex_add : entity dp_lib.dp_complex_add
-  generic map (
-    g_nof_inputs => g_nof_inputs,
-    g_data_w     => 2 * g_data_w
-  )
-  port map (
-    clk        => dp_clk,
-    rst        => dp_rst,
-
-    snk_in_arr => dp_complex_mult_src_out_arr,
-    src_out    => src_out
-  );
+    generic map (
+      g_nof_inputs => g_nof_inputs,
+      g_data_w     => 2 * g_data_w
+    )
+    port map (
+      clk        => dp_clk,
+      rst        => dp_rst,
+
+      snk_in_arr => dp_complex_mult_src_out_arr,
+      src_out    => src_out
+    );
 
   ------------------------------------------------------------------------------
   -- Registers
diff --git a/libraries/dsp/beamformer/tb/vhdl/tb_beamformer.vhd b/libraries/dsp/beamformer/tb/vhdl/tb_beamformer.vhd
index b68d25c082..f9ab1e8443 100644
--- a/libraries/dsp/beamformer/tb/vhdl/tb_beamformer.vhd
+++ b/libraries/dsp/beamformer/tb/vhdl/tb_beamformer.vhd
@@ -28,17 +28,17 @@
 -- .
 
 library IEEE, common_lib, dp_lib, technology_lib, diag_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
 
 entity tb_beamformer is
   generic (
@@ -111,7 +111,7 @@ architecture tb of tb_beamformer is
   signal snk_re_data            : std_logic_vector(g_data_w - 1 downto 0) := TO_SVEC(1, g_data_w);
   signal snk_im_data            : std_logic_vector(g_data_w - 1 downto 0) := TO_SVEC(0, g_data_w);
 
-  begin
+begin
   -----------------------------------------------------------------------------
   -- Clocks and reset
   -------------------------------------------------------------------------------
@@ -302,30 +302,30 @@ architecture tb of tb_beamformer is
   beamformer_snk_in_arr <=  (others => snk_in);
 
   u_beamformer : entity work.beamformer
-  generic map (
-
-    g_technology     => c_tech_select_default,
-    g_nof_inputs     => g_nof_inputs,
-    g_nof_weights    => g_nof_weights,
-    g_data_w         => g_data_w,
-    g_weights_file   => "UNUSED",
-    g_use_weight_ram => g_use_weight_ram,
-    g_use_weight_reg => g_use_weight_reg
-  )
-  port map (
-    dp_clk      => dp_clk,
-    dp_rst      => dp_rst,
-    mm_clk      => mm_clk,
-    mm_rst      => mm_rst,
-
-    ram_mosi    => ram_beamformer_mosi,
-    ram_miso    => ram_beamformer_miso,
-
-    weight_addr => beamformer_weight_addr,
-
-    snk_in_arr  => beamformer_snk_in_arr,
-    src_out     => beamformer_src_out
-  );
+    generic map (
+
+      g_technology     => c_tech_select_default,
+      g_nof_inputs     => g_nof_inputs,
+      g_nof_weights    => g_nof_weights,
+      g_data_w         => g_data_w,
+      g_weights_file   => "UNUSED",
+      g_use_weight_ram => g_use_weight_ram,
+      g_use_weight_reg => g_use_weight_reg
+    )
+    port map (
+      dp_clk      => dp_clk,
+      dp_rst      => dp_rst,
+      mm_clk      => mm_clk,
+      mm_rst      => mm_rst,
+
+      ram_mosi    => ram_beamformer_mosi,
+      ram_miso    => ram_beamformer_miso,
+
+      weight_addr => beamformer_weight_addr,
+
+      snk_in_arr  => beamformer_snk_in_arr,
+      src_out     => beamformer_src_out
+    );
 
 end tb;
 
diff --git a/libraries/dsp/beamformer/tb/vhdl/tb_tb_beamformer.vhd b/libraries/dsp/beamformer/tb/vhdl/tb_tb_beamformer.vhd
index be007a1b01..5b3df8f072 100644
--- a/libraries/dsp/beamformer/tb/vhdl/tb_tb_beamformer.vhd
+++ b/libraries/dsp/beamformer/tb/vhdl/tb_tb_beamformer.vhd
@@ -27,7 +27,7 @@
 -- .
 
 library IEEE, common_lib, dp_lib, technology_lib, diag_lib, mm_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_beamformer is
 end tb_tb_beamformer;
@@ -36,29 +36,29 @@ architecture tb of tb_tb_beamformer is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
--- Usage
---   > as 8
---   > run -all
---   > Testbenches are self-checking
+  -- Usage
+  --   > as 8
+  --   > run -all
+  --   > Testbenches are self-checking
 
---
---  g_tb_index       : NATURAL := 0;      -- use different index to avoid MM file conflict in multi tb
---  --g_technology   : NATURAL := c_tech_select_default;
---  g_nof_inputs     : NATURAL := 2;
---  g_nof_weights    : NATURAL := 32;
---  g_data_w         : NATURAL := 8;   --8b complex input data
---  g_use_weight_ram : BOOLEAN := FALSE;
---  g_use_weight_reg : BOOLEAN := TRUE
---
+  --
+  --  g_tb_index       : NATURAL := 0;      -- use different index to avoid MM file conflict in multi tb
+  --  --g_technology   : NATURAL := c_tech_select_default;
+  --  g_nof_inputs     : NATURAL := 2;
+  --  g_nof_weights    : NATURAL := 32;
+  --  g_data_w         : NATURAL := 8;   --8b complex input data
+  --  g_use_weight_ram : BOOLEAN := FALSE;
+  --  g_use_weight_reg : BOOLEAN := TRUE
+  --
 
--- do test for different number of inputs
--- . (weights in RAM)
-sim_i01_ram_beamformer : entity work.tb_beamformer generic map (1,  1, 32, 8, true, false);
-sim_i02_ram_beamformer : entity work.tb_beamformer generic map (2,  2, 32, 8, true, false);
-sim_i32_ram_beamformer : entity work.tb_beamformer generic map (3, 32, 32, 8, true, false);
--- . (weights in Registers)
-sim_i01_reg_beamformer : entity work.tb_beamformer generic map (4,  1, 32, 8, false, true);
-sim_i02_reg_beamformer : entity work.tb_beamformer generic map (5,  2, 32, 8, false, true);
-sim_i32_reg_beamformer : entity work.tb_beamformer generic map (6, 32, 32, 8, false, true);
+  -- do test for different number of inputs
+  -- . (weights in RAM)
+  sim_i01_ram_beamformer : entity work.tb_beamformer generic map (1,  1, 32, 8, true, false);
+  sim_i02_ram_beamformer : entity work.tb_beamformer generic map (2,  2, 32, 8, true, false);
+  sim_i32_ram_beamformer : entity work.tb_beamformer generic map (3, 32, 32, 8, true, false);
+  -- . (weights in Registers)
+  sim_i01_reg_beamformer : entity work.tb_beamformer generic map (4,  1, 32, 8, false, true);
+  sim_i02_reg_beamformer : entity work.tb_beamformer generic map (5,  2, 32, 8, false, true);
+  sim_i32_reg_beamformer : entity work.tb_beamformer generic map (6, 32, 32, 8, false, true);
 
 end tb;
diff --git a/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/mmm_unb1_fn_bf.vhd b/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/mmm_unb1_fn_bf.vhd
index 02907390b8..b8032a5b9a 100644
--- a/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/mmm_unb1_fn_bf.vhd
+++ b/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/mmm_unb1_fn_bf.vhd
@@ -20,22 +20,22 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, bf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use bf_lib.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use bf_lib.bf_pkg.all;
 
 entity mmm_unb1_fn_bf is
   generic (
@@ -180,55 +180,55 @@ begin
     eth1g_mm_rst <= '1', '0' after c_tse_clk_period * 5;
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_diagnostics     : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAGNOSTICS")
-                                               port map(mm_rst, i_mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso );
+      port map(mm_rst, i_mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso );
 
     u_mm_file_reg_dp_ram_from_mm  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_RAM_FROM_MM")
-                                               port map(mm_rst, i_mm_clk, reg_dp_ram_from_mm_mosi, reg_dp_ram_from_mm_miso );
+      port map(mm_rst, i_mm_clk, reg_dp_ram_from_mm_mosi, reg_dp_ram_from_mm_miso );
 
     u_mm_file_ram_dp_ram_from_mm  : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DP_RAM_FROM_MM")
-                                               port map(mm_rst, i_mm_clk, ram_dp_ram_from_mm_mosi, ram_dp_ram_from_mm_miso );
+      port map(mm_rst, i_mm_clk, ram_dp_ram_from_mm_mosi, ram_dp_ram_from_mm_miso );
 
---    u_mm_file_ram_dp_ram_to_mm    : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DP_RAM_TO_MM")
---                                               PORT MAP(mm_rst, i_mm_clk, ram_dp_ram_to_mm_mosi, ram_dp_ram_to_mm_miso );
+    --    u_mm_file_ram_dp_ram_to_mm    : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DP_RAM_TO_MM")
+    --                                               PORT MAP(mm_rst, i_mm_clk, ram_dp_ram_to_mm_mosi, ram_dp_ram_to_mm_miso );
 
     u_mm_file_reg_dp_split        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SPLIT")
-                                               port map(mm_rst, i_mm_clk, reg_dp_split_mosi, reg_dp_split_miso );
+      port map(mm_rst, i_mm_clk, reg_dp_split_mosi, reg_dp_split_miso );
 
     u_mm_file_reg_dp_pkt_merge    : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_PKT_MERGE")
-                                               port map(mm_rst, i_mm_clk, reg_dp_pkt_merge_mosi, reg_dp_pkt_merge_miso );
+      port map(mm_rst, i_mm_clk, reg_dp_pkt_merge_mosi, reg_dp_pkt_merge_miso );
 
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, i_mm_clk, i_eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, i_eth1g_reg_mosi, eth1g_reg_miso );
 
     u_mm_file_ram_bf_weights      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_BF_WEIGHTS")
-                                               port map(mm_rst, i_mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso );
+      port map(mm_rst, i_mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso );
 
     u_mm_file_ram_ss_ss_wide      : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE")
-                                               port map(mm_rst, i_mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso );
+      port map(mm_rst, i_mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso );
 
     u_mm_file_ram_st_sst_bf       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST")
-                                               port map(mm_rst, i_mm_clk, ram_st_sst_bf_mosi, ram_st_sst_bf_miso );
+      port map(mm_rst, i_mm_clk, ram_st_sst_bf_mosi, ram_st_sst_bf_miso );
 
     u_mm_file_reg_st_sst_bf       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ST_SST")
-                                               port map(mm_rst, i_mm_clk, reg_st_sst_bf_mosi, reg_st_sst_bf_miso );
+      port map(mm_rst, i_mm_clk, reg_st_sst_bf_mosi, reg_st_sst_bf_miso );
 
     u_mm_file_reg_diag_bg       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-                                               port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso );
+      port map(mm_rst, i_mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso );
 
     u_mm_file_ram_diag_bg       : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-                                               port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso );
+      port map(mm_rst, i_mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso );
 
 
     ----------------------------------------------------------------------------
@@ -254,10 +254,10 @@ begin
     p_switch : process(sim_eth_mm_bus_switch, sim_eth1g_reg_mosi, i_eth1g_reg_mosi)
     begin
       if sim_eth_mm_bus_switch = '1' then
-          eth1g_reg_mosi <= sim_eth1g_reg_mosi;
-        else
-          eth1g_reg_mosi <= i_eth1g_reg_mosi;
-        end if;
+        eth1g_reg_mosi <= sim_eth1g_reg_mosi;
+      else
+        eth1g_reg_mosi <= i_eth1g_reg_mosi;
+      end if;
     end process;
 
     ----------------------------------------------------------------------------
@@ -277,163 +277,163 @@ begin
   gen_sopc : if g_sim = false generate
 
     u_sopc : entity work.sopc_unb1_fn_bf
-    port map (
-      -- 1) global signals:
-      clk_0                                         => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
-      cal_clk                                       => OPEN,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
-      tse_clk                                       => i_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
-
-      -- the_altpll_0
-      areset_to_the_altpll_0                        => '0',
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-
-      -- the_avs2_eth_0
-      coe_clk_export_from_the_avs_eth_0            => OPEN,
-      coe_reset_export_from_the_avs_eth_0          => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0    => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0      => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0  => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0       => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0     => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0  => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0    => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0      => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0  => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0       => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0     => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0              => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0    => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0      => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0  => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0       => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0     => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_address_export_from_the_reg_unb_sens   => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_unb_sens       => OPEN,
-      coe_read_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens    => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_unb_sens     => OPEN,
-      coe_write_export_from_the_reg_unb_sens     => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_st_sst
-      coe_address_export_from_the_ram_st_sst    => ram_st_sst_bf_mosi.address(c_ram_st_sst_bf_addr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_st_sst        => OPEN,
-      coe_read_export_from_the_ram_st_sst       => ram_st_sst_bf_mosi.rd,
-      coe_readdata_export_to_the_ram_st_sst     => ram_st_sst_bf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_st_sst      => OPEN,
-      coe_write_export_from_the_ram_st_sst      => ram_st_sst_bf_mosi.wr,
-      coe_writedata_export_from_the_ram_st_sst  => ram_st_sst_bf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_st_sst
-      coe_address_export_from_the_reg_st_sst    => reg_st_sst_bf_mosi.address(c_reg_st_sst_bf_addr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_st_sst        => OPEN,
-      coe_read_export_from_the_reg_st_sst       => reg_st_sst_bf_mosi.rd,
-      coe_readdata_export_to_the_reg_st_sst     => reg_st_sst_bf_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_st_sst      => OPEN,
-      coe_write_export_from_the_reg_st_sst      => reg_st_sst_bf_mosi.wr,
-      coe_writedata_export_from_the_reg_st_sst  => reg_st_sst_bf_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_ss_ss_wide
-      coe_address_export_from_the_ram_ss_ss_wide   => ram_ss_ss_wide_mosi.address(c_ram_ss_ss_wide_addr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_ss_ss_wide       => OPEN,
-      coe_read_export_from_the_ram_ss_ss_wide      => ram_ss_ss_wide_mosi.rd,
-      coe_readdata_export_to_the_ram_ss_ss_wide    => ram_ss_ss_wide_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_ss_ss_wide     => OPEN,
-      coe_write_export_from_the_ram_ss_ss_wide     => ram_ss_ss_wide_mosi.wr,
-      coe_writedata_export_from_the_ram_ss_ss_wide => ram_ss_ss_wide_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_bf_weights
-      coe_address_export_from_the_ram_bf_weights   => ram_bf_weights_mosi.address(c_ram_bf_weights_addr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_bf_weights       => OPEN,
-      coe_read_export_from_the_ram_bf_weights      => ram_bf_weights_mosi.rd,
-      coe_readdata_export_to_the_ram_bf_weights    => ram_bf_weights_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_bf_weights     => OPEN,
-      coe_write_export_from_the_ram_bf_weights     => ram_bf_weights_mosi.wr,
-      coe_writedata_export_from_the_ram_bf_weights => ram_bf_weights_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_bg_diag_bg
-      coe_address_export_from_the_reg_diag_bg   => reg_diag_bg_mosi.address(c_reg_diag_bg_addr_w - 1 downto 0),
-      coe_clk_export_from_the_reg_diag_bg       => OPEN,
-      coe_read_export_from_the_reg_diag_bg      => reg_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_reg_diag_bg    => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_reg_diag_bg     => OPEN,
-      coe_write_export_from_the_reg_diag_bg     => reg_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_reg_diag_bg => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_diag_bg
-      coe_address_export_from_the_ram_diag_bg   => ram_diag_bg_mosi.address(c_ram_diag_bg_addr_w - 1 downto 0),
-      coe_clk_export_from_the_ram_diag_bg       => OPEN,
-      coe_read_export_from_the_ram_diag_bg      => ram_diag_bg_mosi.rd,
-      coe_readdata_export_to_the_ram_diag_bg    => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      coe_reset_export_from_the_ram_diag_bg     => OPEN,
-      coe_write_export_from_the_ram_diag_bg     => ram_diag_bg_mosi.wr,
-      coe_writedata_export_from_the_ram_diag_bg => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave            => OPEN,
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info         => OPEN,
-      coe_reset_export_from_the_pio_system_info       => OPEN,
-      coe_address_export_from_the_pio_system_info     => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info        => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info      => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info       => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info   => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info         => OPEN,
-      coe_reset_export_from_the_rom_system_info       => OPEN,
-      coe_address_export_from_the_rom_system_info     => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info        => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info      => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info       => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info   => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi
-      out_port_from_the_pio_wdi                       => pout_wdi,
-
-      -- the_reg_wdi
-      coe_clk_export_from_the_reg_wdi                 => OPEN,
-      coe_reset_export_from_the_reg_wdi               => OPEN,
-      coe_address_export_from_the_reg_wdi             => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi                => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi              => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi               => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi           => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_reg_dp_ram_from_mm
-      coe_clk_export_from_the_reg_dp_ram_from_mm        => OPEN,
-      coe_reset_export_from_the_reg_dp_ram_from_mm      => OPEN,
-      coe_address_export_from_the_reg_dp_ram_from_mm    => reg_dp_ram_from_mm_mosi.address(0),
-      coe_read_export_from_the_reg_dp_ram_from_mm       => reg_dp_ram_from_mm_mosi.rd,
-      coe_readdata_export_to_the_reg_dp_ram_from_mm     => reg_dp_ram_from_mm_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_dp_ram_from_mm      => reg_dp_ram_from_mm_mosi.wr,
-      coe_writedata_export_from_the_reg_dp_ram_from_mm  => reg_dp_ram_from_mm_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_ram_dp_ram_from_mm
-      coe_clk_export_from_the_ram_dp_ram_from_mm        => OPEN,
-      coe_reset_export_from_the_ram_dp_ram_from_mm      => OPEN,
-      coe_address_export_from_the_ram_dp_ram_from_mm    => ram_dp_ram_from_mm_mosi.address(c_dp_ram_mm_adr_w - 1 downto 0),
-      coe_read_export_from_the_ram_dp_ram_from_mm       => ram_dp_ram_from_mm_mosi.rd,
-      coe_readdata_export_to_the_ram_dp_ram_from_mm     => ram_dp_ram_from_mm_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_ram_dp_ram_from_mm      => ram_dp_ram_from_mm_mosi.wr,
-      coe_writedata_export_from_the_ram_dp_ram_from_mm  => ram_dp_ram_from_mm_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+      port map (
+        -- 1) global signals:
+        clk_0                                         => xo_clk,  -- PLL reference = 25 MHz from ETH_clk pin
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,  -- PLL clk[0] = 125 MHz system clock that the NIOS2 and the MM bus run on
+        cal_clk                                       => OPEN,  -- PLL clk[1] =  40 MHz calibration clock for the IO reconfiguration
+        tse_clk                                       => i_tse_clk,  -- PLL clk[2] = 125 MHz dedicated clock for the 1 Gbit Ethernet unit
+
+        -- the_altpll_0
+        areset_to_the_altpll_0                        => '0',
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+
+        -- the_avs2_eth_0
+        coe_clk_export_from_the_avs_eth_0            => OPEN,
+        coe_reset_export_from_the_avs_eth_0          => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0    => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0      => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0  => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0       => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0     => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0  => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0    => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0      => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0  => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0       => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0     => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0              => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0    => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0      => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0  => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0       => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0     => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_address_export_from_the_reg_unb_sens   => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_unb_sens       => OPEN,
+        coe_read_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens    => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_unb_sens     => OPEN,
+        coe_write_export_from_the_reg_unb_sens     => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_st_sst
+        coe_address_export_from_the_ram_st_sst    => ram_st_sst_bf_mosi.address(c_ram_st_sst_bf_addr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_st_sst        => OPEN,
+        coe_read_export_from_the_ram_st_sst       => ram_st_sst_bf_mosi.rd,
+        coe_readdata_export_to_the_ram_st_sst     => ram_st_sst_bf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_st_sst      => OPEN,
+        coe_write_export_from_the_ram_st_sst      => ram_st_sst_bf_mosi.wr,
+        coe_writedata_export_from_the_ram_st_sst  => ram_st_sst_bf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_st_sst
+        coe_address_export_from_the_reg_st_sst    => reg_st_sst_bf_mosi.address(c_reg_st_sst_bf_addr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_st_sst        => OPEN,
+        coe_read_export_from_the_reg_st_sst       => reg_st_sst_bf_mosi.rd,
+        coe_readdata_export_to_the_reg_st_sst     => reg_st_sst_bf_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_st_sst      => OPEN,
+        coe_write_export_from_the_reg_st_sst      => reg_st_sst_bf_mosi.wr,
+        coe_writedata_export_from_the_reg_st_sst  => reg_st_sst_bf_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_ss_ss_wide
+        coe_address_export_from_the_ram_ss_ss_wide   => ram_ss_ss_wide_mosi.address(c_ram_ss_ss_wide_addr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_ss_ss_wide       => OPEN,
+        coe_read_export_from_the_ram_ss_ss_wide      => ram_ss_ss_wide_mosi.rd,
+        coe_readdata_export_to_the_ram_ss_ss_wide    => ram_ss_ss_wide_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_ss_ss_wide     => OPEN,
+        coe_write_export_from_the_ram_ss_ss_wide     => ram_ss_ss_wide_mosi.wr,
+        coe_writedata_export_from_the_ram_ss_ss_wide => ram_ss_ss_wide_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_bf_weights
+        coe_address_export_from_the_ram_bf_weights   => ram_bf_weights_mosi.address(c_ram_bf_weights_addr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_bf_weights       => OPEN,
+        coe_read_export_from_the_ram_bf_weights      => ram_bf_weights_mosi.rd,
+        coe_readdata_export_to_the_ram_bf_weights    => ram_bf_weights_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_bf_weights     => OPEN,
+        coe_write_export_from_the_ram_bf_weights     => ram_bf_weights_mosi.wr,
+        coe_writedata_export_from_the_ram_bf_weights => ram_bf_weights_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_bg_diag_bg
+        coe_address_export_from_the_reg_diag_bg   => reg_diag_bg_mosi.address(c_reg_diag_bg_addr_w - 1 downto 0),
+        coe_clk_export_from_the_reg_diag_bg       => OPEN,
+        coe_read_export_from_the_reg_diag_bg      => reg_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_reg_diag_bg    => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_reg_diag_bg     => OPEN,
+        coe_write_export_from_the_reg_diag_bg     => reg_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_reg_diag_bg => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_diag_bg
+        coe_address_export_from_the_ram_diag_bg   => ram_diag_bg_mosi.address(c_ram_diag_bg_addr_w - 1 downto 0),
+        coe_clk_export_from_the_ram_diag_bg       => OPEN,
+        coe_read_export_from_the_ram_diag_bg      => ram_diag_bg_mosi.rd,
+        coe_readdata_export_to_the_ram_diag_bg    => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        coe_reset_export_from_the_ram_diag_bg     => OPEN,
+        coe_write_export_from_the_ram_diag_bg     => ram_diag_bg_mosi.wr,
+        coe_writedata_export_from_the_ram_diag_bg => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave            => OPEN,
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info         => OPEN,
+        coe_reset_export_from_the_pio_system_info       => OPEN,
+        coe_address_export_from_the_pio_system_info     => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info        => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info      => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info       => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info   => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info         => OPEN,
+        coe_reset_export_from_the_rom_system_info       => OPEN,
+        coe_address_export_from_the_rom_system_info     => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info        => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info      => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info       => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info   => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi
+        out_port_from_the_pio_wdi                       => pout_wdi,
+
+        -- the_reg_wdi
+        coe_clk_export_from_the_reg_wdi                 => OPEN,
+        coe_reset_export_from_the_reg_wdi               => OPEN,
+        coe_address_export_from_the_reg_wdi             => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi                => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi              => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi               => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi           => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_reg_dp_ram_from_mm
+        coe_clk_export_from_the_reg_dp_ram_from_mm        => OPEN,
+        coe_reset_export_from_the_reg_dp_ram_from_mm      => OPEN,
+        coe_address_export_from_the_reg_dp_ram_from_mm    => reg_dp_ram_from_mm_mosi.address(0),
+        coe_read_export_from_the_reg_dp_ram_from_mm       => reg_dp_ram_from_mm_mosi.rd,
+        coe_readdata_export_to_the_reg_dp_ram_from_mm     => reg_dp_ram_from_mm_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_dp_ram_from_mm      => reg_dp_ram_from_mm_mosi.wr,
+        coe_writedata_export_from_the_reg_dp_ram_from_mm  => reg_dp_ram_from_mm_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_ram_dp_ram_from_mm
+        coe_clk_export_from_the_ram_dp_ram_from_mm        => OPEN,
+        coe_reset_export_from_the_ram_dp_ram_from_mm      => OPEN,
+        coe_address_export_from_the_ram_dp_ram_from_mm    => ram_dp_ram_from_mm_mosi.address(c_dp_ram_mm_adr_w - 1 downto 0),
+        coe_read_export_from_the_ram_dp_ram_from_mm       => ram_dp_ram_from_mm_mosi.rd,
+        coe_readdata_export_to_the_ram_dp_ram_from_mm     => ram_dp_ram_from_mm_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_ram_dp_ram_from_mm      => ram_dp_ram_from_mm_mosi.wr,
+        coe_writedata_export_from_the_ram_dp_ram_from_mm  => ram_dp_ram_from_mm_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 end;
 
diff --git a/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/node_unb1_fn_bf.vhd b/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/node_unb1_fn_bf.vhd
index 33a4c4b6ee..f67146b3f6 100644
--- a/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/node_unb1_fn_bf.vhd
+++ b/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/node_unb1_fn_bf.vhd
@@ -20,18 +20,18 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib, eth_lib, tech_tse_lib, bf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use bf_lib.all;
-use bf_lib.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use bf_lib.all;
+  use bf_lib.bf_pkg.all;
 
 entity node_unb1_fn_bf is
   generic(
@@ -102,14 +102,34 @@ architecture str of node_unb1_fn_bf is
   constant c_bg_mem_high_addr       : natural := c_bg_block_size-1;
   constant c_bg_gapsize             : natural := 256 - c_bg_block_size;  -- 256 = block period used in terminals
   constant c_bg_blocks_per_sync     : natural := 32;  -- Used for sim
-  constant c_bg_ctrl                : t_diag_block_gen := (sel_a_b(g_sim, '1', '0'),  -- enable
-                                                           sel_a_b(g_sim, '0', '0'),  -- enable_sync
-                                                          TO_UVEC(     c_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                          TO_UVEC(c_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                          TO_UVEC(        c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                          TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                          TO_UVEC(  c_bg_mem_high_addr, c_diag_bg_mem_high_adrs_w),
-                                                          TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                : t_diag_block_gen := (
+    sel_a_b(
+             g_sim,
+             '1',
+             '0'),  -- enable
+    sel_a_b(
+             g_sim,
+             '0',
+             '0'),  -- enable_sync
+    TO_UVEC(
+                  c_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+               c_bg_mem_high_addr,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
   signal bf_in_sosi_arr     : t_dp_sosi_arr( g_bf.nof_input_streams - 1 downto 0);
   signal bg_out_sosi_arr    : t_dp_sosi_arr( g_bf.nof_input_streams - 1 downto 0);
@@ -124,35 +144,35 @@ begin
   -- Use Block Generator input by default
   ---------------------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_use_usr_input      => true,
-    g_use_bg             => g_use_block_gen,
-    g_nof_streams        => g_bf.nof_input_streams,  -- 16
-    g_use_bg_buffer_ram  => true,
-    g_buf_dat_w          => c_nof_complex * g_bf.in_dat_w,  -- 2*16b=32b
-    g_buf_addr_w         => ceil_log2(c_bg_block_size),
-    g_file_name_prefix   => g_block_gen_file_prefix,
-    g_diag_block_gen_rst => c_bg_ctrl,
-    g_usr_bypass_xonoff  => true
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    usr_siso_arr     => OPEN,
-    usr_sosi_arr     => ext_in_sosi_arr,
-    out_siso_arr     => (others => c_dp_siso_rdy),
-    out_sosi_arr     => bg_out_sosi_arr
-  );
+    generic map(
+      g_use_usr_input      => true,
+      g_use_bg             => g_use_block_gen,
+      g_nof_streams        => g_bf.nof_input_streams,  -- 16
+      g_use_bg_buffer_ram  => true,
+      g_buf_dat_w          => c_nof_complex * g_bf.in_dat_w,  -- 2*16b=32b
+      g_buf_addr_w         => ceil_log2(c_bg_block_size),
+      g_file_name_prefix   => g_block_gen_file_prefix,
+      g_diag_block_gen_rst => c_bg_ctrl,
+      g_usr_bypass_xonoff  => true
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      usr_siso_arr     => OPEN,
+      usr_sosi_arr     => ext_in_sosi_arr,
+      out_siso_arr     => (others => c_dp_siso_rdy),
+      out_sosi_arr     => bg_out_sosi_arr
+    );
 
   bf_in_sosi_arr <= bg_out_sosi_arr;
 
@@ -165,37 +185,37 @@ begin
   ---------------------------------------------------------------------------------------
   gen_bf : if g_use_bf = true generate
     u_bf : entity bf_lib.bf
-    generic map (
-      g_bf                    => g_bf,
-      g_bf_weights_file_name  => g_bf_weights_file_name,
-      g_ss_wide_file_prefix   => g_ss_wide_file_prefix,
-      g_weights_write_only    => g_weights_write_only
-    )
-    port map (
-      -- System
-      dp_rst                  =>  dp_rst,
-      dp_clk                  =>  dp_clk,
-      mm_rst                  =>  mm_rst,
-      mm_clk                  =>  mm_clk,
+      generic map (
+        g_bf                    => g_bf,
+        g_bf_weights_file_name  => g_bf_weights_file_name,
+        g_ss_wide_file_prefix   => g_ss_wide_file_prefix,
+        g_weights_write_only    => g_weights_write_only
+      )
+      port map (
+        -- System
+        dp_rst                  =>  dp_rst,
+        dp_clk                  =>  dp_clk,
+        mm_rst                  =>  mm_rst,
+        mm_clk                  =>  mm_clk,
 
-      -- MM interface
-      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
-      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
-      ram_bf_weights_mosi     => ram_bf_weights_mosi,
-      ram_bf_weights_miso     => ram_bf_weights_miso,
-      ram_st_sst_mosi         => ram_st_sst_bf_mosi,
-      ram_st_sst_miso         => ram_st_sst_bf_miso,
-      reg_st_sst_mosi         => reg_st_sst_bf_mosi,
-      reg_st_sst_miso         => reg_st_sst_bf_miso,
+        -- MM interface
+        ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
+        ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
+        ram_bf_weights_mosi     => ram_bf_weights_mosi,
+        ram_bf_weights_miso     => ram_bf_weights_miso,
+        ram_st_sst_mosi         => ram_st_sst_bf_mosi,
+        ram_st_sst_miso         => ram_st_sst_bf_miso,
+        reg_st_sst_mosi         => reg_st_sst_bf_mosi,
+        reg_st_sst_miso         => reg_st_sst_bf_miso,
 
-      -- ST interface
-      in_sosi_arr             => bf_in_sosi_arr,
-      in_siso_arr             => ext_in_siso_arr,
+        -- ST interface
+        in_sosi_arr             => bf_in_sosi_arr,
+        in_siso_arr             => ext_in_siso_arr,
 
-      out_raw_sosi_arr        => out_raw_sosi_arr,  -- raw beamlets
-      out_bst_sosi_arr        => i_out_bst_sosi_arr,  -- 16b beamlets
-      out_qua_sosi_arr        => out_qua_sosi_arr  -- 8b beamlets
-    );
+        out_raw_sosi_arr        => out_raw_sosi_arr,  -- raw beamlets
+        out_bst_sosi_arr        => i_out_bst_sosi_arr,  -- 16b beamlets
+        out_qua_sosi_arr        => out_qua_sosi_arr  -- 8b beamlets
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
@@ -203,37 +223,37 @@ begin
   ---------------------------------------------------------------------------------------
   gen_bf_offload : if g_bf_offload = true and g_sim = false generate
     u_dp_offload : entity dp_lib.dp_offload_tx_legacy
-    generic map (
-      g_nof_streams         => g_bf.nof_bf_units,
-      g_data_w              => c_eth_data_w,
-      g_block_size          => g_bf.nof_weights,  -- = 256
-      g_block_nof_sel_words => 20,
-      g_nof_words_per_pkt   => 360,
-      g_hdr_nof_words       => c_network_total_header_32b_nof_words,
-      g_use_complex         => true,
-      g_use_input_fifo      => true,
-      g_use_output_fifo     => true
-    )
-    port map (
-      mm_rst                => mm_rst,
-      mm_clk                => mm_clk,
+      generic map (
+        g_nof_streams         => g_bf.nof_bf_units,
+        g_data_w              => c_eth_data_w,
+        g_block_size          => g_bf.nof_weights,  -- = 256
+        g_block_nof_sel_words => 20,
+        g_nof_words_per_pkt   => 360,
+        g_hdr_nof_words       => c_network_total_header_32b_nof_words,
+        g_use_complex         => true,
+        g_use_input_fifo      => true,
+        g_use_output_fifo     => true
+      )
+      port map (
+        mm_rst                => mm_rst,
+        mm_clk                => mm_clk,
 
-      st_rst                => dp_rst,
-      st_clk                => dp_clk,
+        st_rst                => dp_rst,
+        st_clk                => dp_clk,
 
-      reg_hdr_insert_mosi   => reg_hdr_insert_mosi,
-      ram_hdr_insert_mosi   => ram_hdr_insert_mosi,
-      reg_dp_split_mosi     => reg_dp_split_mosi,
-      reg_dp_split_miso     => reg_dp_split_miso,
-      reg_dp_pkt_merge_mosi => reg_dp_pkt_merge_mosi,
-      reg_dp_pkt_merge_miso => reg_dp_pkt_merge_miso,
+        reg_hdr_insert_mosi   => reg_hdr_insert_mosi,
+        ram_hdr_insert_mosi   => ram_hdr_insert_mosi,
+        reg_dp_split_mosi     => reg_dp_split_mosi,
+        reg_dp_split_miso     => reg_dp_split_miso,
+        reg_dp_pkt_merge_mosi => reg_dp_pkt_merge_mosi,
+        reg_dp_pkt_merge_miso => reg_dp_pkt_merge_miso,
 
-      dp_sosi_arr           => i_out_bst_sosi_arr,
-      dp_siso_arr           => OPEN,  -- No flow control, so we're instantiating an input FIFO.
+        dp_sosi_arr           => i_out_bst_sosi_arr,
+        dp_siso_arr           => OPEN,  -- No flow control, so we're instantiating an input FIFO.
 
-      tx_sosi_arr           => bf_out_offload_tx_sosi_arr(g_bf.nof_bf_units - 1 downto 0),
-      tx_siso_arr           => bf_out_offload_tx_siso_arr(g_bf.nof_bf_units - 1 downto 0)
-    );
+        tx_sosi_arr           => bf_out_offload_tx_sosi_arr(g_bf.nof_bf_units - 1 downto 0),
+        tx_siso_arr           => bf_out_offload_tx_siso_arr(g_bf.nof_bf_units - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/unb1_fn_bf.vhd b/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/unb1_fn_bf.vhd
index 2db32096c1..2763fa07ba 100644
--- a/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/unb1_fn_bf.vhd
+++ b/libraries/dsp/bf/designs/unb1_fn_bf/src/vhdl/unb1_fn_bf.vhd
@@ -20,19 +20,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, tech_tse_lib, bf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use eth_lib.eth_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use bf_lib.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use bf_lib.bf_pkg.all;
 
 entity unb1_fn_bf is
   generic (
@@ -47,7 +47,7 @@ entity unb1_fn_bf is
     g_bf          : t_c_bf  := c_bf
   );
   port (
-   -- GENERAL
+    -- GENERAL
     CLK           : in    std_logic;  -- System Clock
     PPS           : in    std_logic;  -- System Sync
     WDI           : out   std_logic;  -- Watchdog Clear
@@ -85,7 +85,7 @@ architecture str of unb1_fn_bf is
   constant c_ss_wide_file_prefix   : string := "hex/ss_wide";
   constant c_block_gen_file_prefix : string := "UNUSED";
 
-    -- BF offload
+  -- BF offload
   constant c_hdr_nof_words          : natural := c_network_total_header_32b_nof_words;
   constant c_dp_ram_mm_nof_words    : natural := c_hdr_nof_words * (c_eth_data_w / c_word_w);
   constant c_dp_ram_mm_adr_w        : natural := ceil_log2(c_dp_ram_mm_nof_words);
@@ -187,217 +187,217 @@ begin
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim           => g_sim,
-    g_design_name   => g_design_name,
-    g_design_note   => g_design_note,
-    g_stamp_date    => g_stamp_date,
-    g_stamp_time    => g_stamp_time,
-    g_stamp_svn     => g_stamp_svn,
-    g_fw_version    => c_fw_version,
-    g_mm_clk_freq   => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy       => c_use_phy,
-    g_udp_offload   => sel_a_b(c_bf_offload, true, false),
-    g_aux           => c_unb1_board_aux,
-    g_udp_offload_nof_streams => c_nof_streams
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- eth1g UDP streaming ports to offload BF out
-    udp_tx_sosi_arr        =>  eth1g_udp_tx_sosi_arr,
-    udp_tx_siso_arr        =>  eth1g_udp_tx_siso_arr,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim           => g_sim,
+      g_design_name   => g_design_name,
+      g_design_note   => g_design_note,
+      g_stamp_date    => g_stamp_date,
+      g_stamp_time    => g_stamp_time,
+      g_stamp_svn     => g_stamp_svn,
+      g_fw_version    => c_fw_version,
+      g_mm_clk_freq   => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy       => c_use_phy,
+      g_udp_offload   => sel_a_b(c_bf_offload, true, false),
+      g_aux           => c_unb1_board_aux,
+      g_udp_offload_nof_streams => c_nof_streams
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- eth1g UDP streaming ports to offload BF out
+      udp_tx_sosi_arr        =>  eth1g_udp_tx_sosi_arr,
+      udp_tx_siso_arr        =>  eth1g_udp_tx_siso_arr,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_fn_bf
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr,
-    g_bf          => g_bf
-   )
-  port map(
-    xo_clk                   => xo_clk,
-    xo_rst_n                 => xo_rst_n,
-    xo_rst                   => xo_rst,
-
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- Diagnostics
-    reg_diagnostics_mosi     => reg_diagnostics_mosi,
-    reg_diagnostics_miso     => reg_diagnostics_miso,
-
-    -- . block generator
-    reg_diag_bg_mosi         => reg_diag_bg_mosi,
-    reg_diag_bg_miso         => reg_diag_bg_miso,
-    ram_diag_bg_mosi         => ram_diag_bg_mosi,
-    ram_diag_bg_miso         => ram_diag_bg_miso,
-
-    -- beamformer
-    ram_ss_ss_wide_mosi      => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso      => ram_ss_ss_wide_miso,
-    ram_bf_weights_mosi      => ram_bf_weights_mosi,
-    ram_bf_weights_miso      => ram_bf_weights_miso,
-    ram_st_sst_bf_mosi       => ram_st_sst_bf_mosi,
-    ram_st_sst_bf_miso       => ram_st_sst_bf_miso,
-    reg_st_sst_bf_mosi       => reg_st_sst_bf_mosi,
-    reg_st_sst_bf_miso       => reg_st_sst_bf_miso,
-
-    -- dp_offload               -- dp_offload
-    reg_dp_ram_from_mm_mosi  => reg_dp_ram_from_mm_mosi,
-    reg_dp_ram_from_mm_miso  => reg_dp_ram_from_mm_miso,
-    ram_dp_ram_from_mm_mosi  => ram_dp_ram_from_mm_mosi,
-    ram_dp_ram_from_mm_miso  => ram_dp_ram_from_mm_miso,
-    reg_dp_split_mosi        => reg_dp_split_mosi,
-    reg_dp_split_miso        => reg_dp_split_miso,
-    reg_dp_pkt_merge_mosi    => reg_dp_pkt_merge_mosi,
-    reg_dp_pkt_merge_miso    => reg_dp_pkt_merge_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr,
+      g_bf          => g_bf
+    )
+    port map(
+      xo_clk                   => xo_clk,
+      xo_rst_n                 => xo_rst_n,
+      xo_rst                   => xo_rst,
+
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- Diagnostics
+      reg_diagnostics_mosi     => reg_diagnostics_mosi,
+      reg_diagnostics_miso     => reg_diagnostics_miso,
+
+      -- . block generator
+      reg_diag_bg_mosi         => reg_diag_bg_mosi,
+      reg_diag_bg_miso         => reg_diag_bg_miso,
+      ram_diag_bg_mosi         => ram_diag_bg_mosi,
+      ram_diag_bg_miso         => ram_diag_bg_miso,
+
+      -- beamformer
+      ram_ss_ss_wide_mosi      => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso      => ram_ss_ss_wide_miso,
+      ram_bf_weights_mosi      => ram_bf_weights_mosi,
+      ram_bf_weights_miso      => ram_bf_weights_miso,
+      ram_st_sst_bf_mosi       => ram_st_sst_bf_mosi,
+      ram_st_sst_bf_miso       => ram_st_sst_bf_miso,
+      reg_st_sst_bf_mosi       => reg_st_sst_bf_mosi,
+      reg_st_sst_bf_miso       => reg_st_sst_bf_miso,
+
+      -- dp_offload               -- dp_offload
+      reg_dp_ram_from_mm_mosi  => reg_dp_ram_from_mm_mosi,
+      reg_dp_ram_from_mm_miso  => reg_dp_ram_from_mm_miso,
+      ram_dp_ram_from_mm_mosi  => ram_dp_ram_from_mm_mosi,
+      ram_dp_ram_from_mm_miso  => ram_dp_ram_from_mm_miso,
+      reg_dp_split_mosi        => reg_dp_split_mosi,
+      reg_dp_split_miso        => reg_dp_split_miso,
+      reg_dp_pkt_merge_mosi    => reg_dp_pkt_merge_mosi,
+      reg_dp_pkt_merge_miso    => reg_dp_pkt_merge_miso
+    );
 
   u_node_unb1_fn_bf : entity work.node_unb1_fn_bf
-  generic map(
-    g_bf                    => g_bf,
-    g_bf_offload            => c_bf_offload,
-    g_bf_weights_file_name  => c_bf_weights_file_name,
-    g_ss_wide_file_prefix   => c_ss_wide_file_prefix,
-    g_block_gen_file_prefix => c_block_gen_file_prefix,
-    g_weights_write_only    => c_weights_write_only
-  )
-  port map(
-    -- System
-    mm_rst                  => mm_rst,
-    mm_clk                  => mm_clk,
-    dp_rst                  => dp_rst,
-    dp_clk                  => dp_clk,
-    -- MM interface
-    -- . block generator
-    reg_diag_bg_mosi        => reg_diag_bg_mosi,
-    reg_diag_bg_miso        => reg_diag_bg_miso,
-    ram_diag_bg_mosi        => ram_diag_bg_mosi,
-    ram_diag_bg_miso        => ram_diag_bg_miso,
-
-    -- . beam former
-    ram_bf_weights_mosi     => ram_bf_weights_mosi,
-    ram_bf_weights_miso     => ram_bf_weights_miso,
-    ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
-    ram_st_sst_bf_mosi      => ram_st_sst_bf_mosi,
-    ram_st_sst_bf_miso      => ram_st_sst_bf_miso,
-    reg_st_sst_bf_mosi      => reg_st_sst_bf_mosi,
-    reg_st_sst_bf_miso      => reg_st_sst_bf_miso,
-
-    -- . hdr_insert for dp offload
-    reg_hdr_insert_mosi     => reg_dp_ram_from_mm_mosi,
-    ram_hdr_insert_mosi     => ram_dp_ram_from_mm_mosi,
-
-    -- ST interface
-    out_bst_sosi_arr        => OPEN,  -- 16b beamlets
-    out_qua_sosi_arr        => beams_sosi_arr,  -- 8b beamlets
-
-    -- DP offload of 16b beamlets to 1GbE via ctrl_unb_common
-    bf_out_offload_tx_sosi_arr => eth1g_udp_tx_sosi_arr,
-    bf_out_offload_tx_siso_arr => eth1g_udp_tx_siso_arr
-  );
+    generic map(
+      g_bf                    => g_bf,
+      g_bf_offload            => c_bf_offload,
+      g_bf_weights_file_name  => c_bf_weights_file_name,
+      g_ss_wide_file_prefix   => c_ss_wide_file_prefix,
+      g_block_gen_file_prefix => c_block_gen_file_prefix,
+      g_weights_write_only    => c_weights_write_only
+    )
+    port map(
+      -- System
+      mm_rst                  => mm_rst,
+      mm_clk                  => mm_clk,
+      dp_rst                  => dp_rst,
+      dp_clk                  => dp_clk,
+      -- MM interface
+      -- . block generator
+      reg_diag_bg_mosi        => reg_diag_bg_mosi,
+      reg_diag_bg_miso        => reg_diag_bg_miso,
+      ram_diag_bg_mosi        => ram_diag_bg_mosi,
+      ram_diag_bg_miso        => ram_diag_bg_miso,
+
+      -- . beam former
+      ram_bf_weights_mosi     => ram_bf_weights_mosi,
+      ram_bf_weights_miso     => ram_bf_weights_miso,
+      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
+      ram_st_sst_bf_mosi      => ram_st_sst_bf_mosi,
+      ram_st_sst_bf_miso      => ram_st_sst_bf_miso,
+      reg_st_sst_bf_mosi      => reg_st_sst_bf_mosi,
+      reg_st_sst_bf_miso      => reg_st_sst_bf_miso,
+
+      -- . hdr_insert for dp offload
+      reg_hdr_insert_mosi     => reg_dp_ram_from_mm_mosi,
+      ram_hdr_insert_mosi     => ram_dp_ram_from_mm_mosi,
+
+      -- ST interface
+      out_bst_sosi_arr        => OPEN,  -- 16b beamlets
+      out_qua_sosi_arr        => beams_sosi_arr,  -- 8b beamlets
+
+      -- DP offload of 16b beamlets to 1GbE via ctrl_unb_common
+      bf_out_offload_tx_sosi_arr => eth1g_udp_tx_sosi_arr,
+      bf_out_offload_tx_siso_arr => eth1g_udp_tx_siso_arr
+    );
 end;
 
 
diff --git a/libraries/dsp/bf/designs/unb1_fn_bf/tb/vhdl/tb_unb1_fn_bf.vhd b/libraries/dsp/bf/designs/unb1_fn_bf/tb/vhdl/tb_unb1_fn_bf.vhd
index b5302c7f45..a9cd0569e6 100644
--- a/libraries/dsp/bf/designs/unb1_fn_bf/tb/vhdl/tb_unb1_fn_bf.vhd
+++ b/libraries/dsp/bf/designs/unb1_fn_bf/tb/vhdl/tb_unb1_fn_bf.vhd
@@ -26,33 +26,33 @@
 
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib, bf_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use bf_lib.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use bf_lib.bf_pkg.all;
 
 entity tb_unb1_fn_bf is
-generic(
-  --   TYPE t_c_bf IS RECORD
-  --    nof_signal_paths  : POSITIVE; -- = 64
-  --    nof_input_streams : POSITIVE; -- = 16
-  --    nof_subbands      : POSITIVE; -- = 24
-  --    nof_weights       : POSITIVE; -- = 256
-  --    nof_bf_units      : POSITIVE; -- = 4
-  --    in_dat_w          : POSITIVE; -- = 16
-  --    in_weight_w       : POSITIVE; -- = 16
-  --    bst_gain_w        : INTEGER;  -- = 1
-  --    bst_dat_w         : POSITIVE; -- = 16
-  --    out_gain_w        : INTEGER;  -- = -5
-  --    out_dat_w         : POSITIVE; -- = 8
-  --    stat_data_w       : POSITIVE; -- = 56
-  --    stat_data_sz      : POSITIVE; -- = 2
-  --  END RECORD;
-  g_bf : t_c_bf := (64, 16, 24, 256, 4, 16, 16, 1, 16, -5, 8, 56, 2)
-);
+  generic(
+    --   TYPE t_c_bf IS RECORD
+    --    nof_signal_paths  : POSITIVE; -- = 64
+    --    nof_input_streams : POSITIVE; -- = 16
+    --    nof_subbands      : POSITIVE; -- = 24
+    --    nof_weights       : POSITIVE; -- = 256
+    --    nof_bf_units      : POSITIVE; -- = 4
+    --    in_dat_w          : POSITIVE; -- = 16
+    --    in_weight_w       : POSITIVE; -- = 16
+    --    bst_gain_w        : INTEGER;  -- = 1
+    --    bst_dat_w         : POSITIVE; -- = 16
+    --    out_gain_w        : INTEGER;  -- = -5
+    --    out_dat_w         : POSITIVE; -- = 8
+    --    stat_data_w       : POSITIVE; -- = 56
+    --    stat_data_sz      : POSITIVE; -- = 2
+    --  END RECORD;
+    g_bf : t_c_bf := (64, 16, 24, 256, 4, 16, 16, 1, 16, -5, 8, 56, 2)
+  );
 end tb_unb1_fn_bf;
 
 architecture tb of tb_unb1_fn_bf is
diff --git a/libraries/dsp/bf/src/vhdl/bf.vhd b/libraries/dsp/bf/src/vhdl/bf.vhd
index d7537331e7..a266748a63 100644
--- a/libraries/dsp/bf/src/vhdl/bf.vhd
+++ b/libraries/dsp/bf/src/vhdl/bf.vhd
@@ -26,12 +26,12 @@
 --          all bf_unit modules.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.bf_pkg.all;
 
 entity bf is
   generic (
@@ -93,55 +93,55 @@ begin
 
   -- Combine the internal array of mm interfaces for the weight factors to one array that is connected to the port of bf
   u_mem_mux_weight : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_bf.nof_bf_units,
-    g_mult_addr_w => ceil_log2(g_bf.nof_signal_paths * g_bf.nof_weights)
-  )
-  port map (
-    mosi     => ram_bf_weights_mosi,
-    miso     => ram_bf_weights_miso,
-    mosi_arr => ram_bf_weights_mosi_arr,
-    miso_arr => ram_bf_weights_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_bf.nof_bf_units,
+      g_mult_addr_w => ceil_log2(g_bf.nof_signal_paths * g_bf.nof_weights)
+    )
+    port map (
+      mosi     => ram_bf_weights_mosi,
+      miso     => ram_bf_weights_miso,
+      mosi_arr => ram_bf_weights_mosi_arr,
+      miso_arr => ram_bf_weights_miso_arr
+    );
 
   -- Combine the internal array of mm interfaces for the beamlet statistics to one array that is connected to the port of bf
   u_mem_mux_ram_bst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_bf.nof_bf_units,
-    g_mult_addr_w => c_ram_st_addr_w
-  )
-  port map (
-    mosi     => ram_st_sst_mosi,
-    miso     => ram_st_sst_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_bf.nof_bf_units,
+      g_mult_addr_w => c_ram_st_addr_w
+    )
+    port map (
+      mosi     => ram_st_sst_mosi,
+      miso     => ram_st_sst_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   -- Combine the internal array of mm interfaces for the beamlet statistics to one array that is connected to the port of bf
   u_mem_mux_reg_bst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_bf.nof_bf_units,
-    g_mult_addr_w => c_reg_st_addr_w
-  )
-  port map (
-    mosi     => reg_st_sst_mosi,
-    miso     => reg_st_sst_miso,
-    mosi_arr => reg_st_sst_mosi_arr,
-    miso_arr => reg_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_bf.nof_bf_units,
+      g_mult_addr_w => c_reg_st_addr_w
+    )
+    port map (
+      mosi     => reg_st_sst_mosi,
+      miso     => reg_st_sst_miso,
+      mosi_arr => reg_st_sst_mosi_arr,
+      miso_arr => reg_st_sst_miso_arr
+    );
 
   -- Combine the internal array of mm interfaces for the ss_wide to one array that is connected to the port of bf
   u_mem_mux_ss_wide : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_bf.nof_bf_units,
-    g_mult_addr_w => ceil_log2(g_bf.nof_weights * g_bf.nof_signal_paths)
-  )
-  port map (
-    mosi     => ram_ss_ss_wide_mosi,
-    miso     => ram_ss_ss_wide_miso,
-    mosi_arr => ram_ss_ss_wide_mosi_arr,
-    miso_arr => ram_ss_ss_wide_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_bf.nof_bf_units,
+      g_mult_addr_w => ceil_log2(g_bf.nof_weights * g_bf.nof_signal_paths)
+    )
+    port map (
+      mosi     => ram_ss_ss_wide_mosi,
+      miso     => ram_ss_ss_wide_miso,
+      mosi_arr => ram_ss_ss_wide_mosi_arr,
+      miso_arr => ram_ss_ss_wide_miso_arr
+    );
 
   ------------------------------------------------------------------------------
   -- The BF units
@@ -149,36 +149,36 @@ begin
   -- Instantiate multiple BF units.
   gen_bf_units : for J in 0 to  g_bf.nof_bf_units - 1 generate
     u_bf_unit : entity work.bf_unit
-    generic map (
-      g_bf                   => g_bf,
-      g_bf_weights_file_name => sel_a_b(g_bf_weights_file_name = "UNUSED", g_bf_weights_file_name, g_bf_weights_file_name & "_" & natural'image(J)),
-      g_ss_wide_file_prefix  => sel_a_b(g_ss_wide_file_prefix = "UNUSED", g_ss_wide_file_prefix, g_ss_wide_file_prefix & "_" & natural'image(J)),
-      g_weights_write_only   => g_weights_write_only
-    )
-    port map (
-      -- System
-      dp_rst                  =>  dp_rst,
-      dp_clk                  =>  dp_clk,
-      mm_rst                  =>  mm_rst,
-      mm_clk                  =>  mm_clk,
-
-      -- MM interface
-      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi_arr(J),
-      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso_arr(J),
-      ram_bf_weights_mosi     => ram_bf_weights_mosi_arr(J),
-      ram_bf_weights_miso     => ram_bf_weights_miso_arr(J),
-      ram_st_sst_mosi         => ram_st_sst_mosi_arr(J),
-      ram_st_sst_miso         => ram_st_sst_miso_arr(J),
-      reg_st_sst_mosi         => reg_st_sst_mosi_arr(J),
-      reg_st_sst_miso         => reg_st_sst_miso_arr(J),
-
-      -- ST interface
-      in_sosi_arr             => in_sosi_arr,
-      in_siso_arr             => in_siso_2arr(J),
-      out_raw_sosi            => out_raw_sosi_arr(J),
-      out_bst_sosi            => out_bst_sosi_arr(J),
-      out_qua_sosi            => out_qua_sosi_arr(J)
-    );
+      generic map (
+        g_bf                   => g_bf,
+        g_bf_weights_file_name => sel_a_b(g_bf_weights_file_name = "UNUSED", g_bf_weights_file_name, g_bf_weights_file_name & "_" & natural'image(J)),
+        g_ss_wide_file_prefix  => sel_a_b(g_ss_wide_file_prefix = "UNUSED", g_ss_wide_file_prefix, g_ss_wide_file_prefix & "_" & natural'image(J)),
+        g_weights_write_only   => g_weights_write_only
+      )
+      port map (
+        -- System
+        dp_rst                  =>  dp_rst,
+        dp_clk                  =>  dp_clk,
+        mm_rst                  =>  mm_rst,
+        mm_clk                  =>  mm_clk,
+
+        -- MM interface
+        ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi_arr(J),
+        ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso_arr(J),
+        ram_bf_weights_mosi     => ram_bf_weights_mosi_arr(J),
+        ram_bf_weights_miso     => ram_bf_weights_miso_arr(J),
+        ram_st_sst_mosi         => ram_st_sst_mosi_arr(J),
+        ram_st_sst_miso         => ram_st_sst_miso_arr(J),
+        reg_st_sst_mosi         => reg_st_sst_mosi_arr(J),
+        reg_st_sst_miso         => reg_st_sst_miso_arr(J),
+
+        -- ST interface
+        in_sosi_arr             => in_sosi_arr,
+        in_siso_arr             => in_siso_2arr(J),
+        out_raw_sosi            => out_raw_sosi_arr(J),
+        out_bst_sosi            => out_bst_sosi_arr(J),
+        out_qua_sosi            => out_qua_sosi_arr(J)
+      );
   end generate gen_bf_units;
 
   in_siso_arr <= in_siso_2arr(0);
diff --git a/libraries/dsp/bf/src/vhdl/bf_pkg.vhd b/libraries/dsp/bf/src/vhdl/bf_pkg.vhd
index 7b588222da..ab89ca6291 100644
--- a/libraries/dsp/bf/src/vhdl/bf_pkg.vhd
+++ b/libraries/dsp/bf/src/vhdl/bf_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package bf_pkg is
 
diff --git a/libraries/dsp/bf/src/vhdl/bf_unit.vhd b/libraries/dsp/bf/src/vhdl/bf_unit.vhd
index d1e5606313..76f0b253de 100644
--- a/libraries/dsp/bf/src/vhdl/bf_unit.vhd
+++ b/libraries/dsp/bf/src/vhdl/bf_unit.vhd
@@ -45,14 +45,14 @@
 --          RAM init files (.hex files) only work when g_weights_write_only is set to FALSE.
 --
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib, common_mult_lib, technology_lib, dp_lib, st_lib, reorder_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.bf_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.bf_pkg.all;
 
 entity bf_unit is
   generic (
@@ -94,8 +94,8 @@ architecture str of bf_unit is
   constant c_unit_w                      : positive := g_bf.in_dat_w + g_bf.in_weight_w - c_sign_w;  -- skip double sign bit
   constant c_prod_w                      : positive := c_unit_w + c_sum_of_prod_w;  -- keep bit for sum of products in complex multiply
   constant c_gain_w                      : integer  := largest(g_bf.bst_gain_w, g_bf.out_gain_w);  -- keep internal c_sum_w as wide as necessary to fit both BST and qua output
-                                                                                                   -- no need to account for adder bit growth of ceil_log2(g_bf.nof_signal_paths),
-                                                                                                   -- because default BF sum should not clip to allow next stage of BF
+  -- no need to account for adder bit growth of ceil_log2(g_bf.nof_signal_paths),
+  -- because default BF sum should not clip to allow next stage of BF
   constant c_sum_w                       : positive := c_unit_w + c_gain_w;  -- note use c_gain_w >= 1 if complex sum of products bit growth has to be preserved
   constant c_bst_lsb_w                   : natural  := c_unit_w + g_bf.bst_gain_w - g_bf.bst_dat_w;
   constant c_out_lsb_w                   : natural  := c_unit_w + g_bf.out_gain_w - g_bf.out_dat_w;
@@ -105,11 +105,13 @@ architecture str of bf_unit is
   constant c_nof_subbands_per_stream     : positive := c_nof_signal_paths_per_stream * g_bf.nof_subbands;
   constant c_xst_enable                  : boolean  := true;
 
-  constant c_weights_buf : t_c_mem := (latency  => 1,
-                                       adr_w    => ceil_log2(g_bf.nof_weights),
-                                       dat_w    => c_nof_complex * g_bf.in_weight_w,
-                                       nof_dat  => g_bf.nof_weights,
-                                       init_sl  => '0');
+  constant c_weights_buf : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(g_bf.nof_weights),
+    dat_w    => c_nof_complex * g_bf.in_weight_w,
+    nof_dat  => g_bf.nof_weights,
+    init_sl  => '0'
+  );
   -- Latencies
   constant c_input_latency         : natural := 1;  -- due to r
   constant c_prod_latency          : natural := 3;
@@ -195,16 +197,16 @@ begin
   end process;
 
   u_mem_mux_ss_wide : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_bf.nof_input_streams,
-    g_mult_addr_w => ceil_log2(g_bf.nof_weights * c_nof_signal_paths_per_stream)
-  )
-  port map (
-    mosi     => ram_ss_ss_wide_mosi,
-    miso     => ram_ss_ss_wide_miso,
-    mosi_arr => ram_ss_ss_wide_mosi_arr,
-    miso_arr => ram_ss_ss_wide_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_bf.nof_input_streams,
+      g_mult_addr_w => ceil_log2(g_bf.nof_weights * c_nof_signal_paths_per_stream)
+    )
+    port map (
+      mosi     => ram_ss_ss_wide_mosi,
+      miso     => ram_ss_ss_wide_miso,
+      mosi_arr => ram_ss_ss_wide_mosi_arr,
+      miso_arr => ram_ss_ss_wide_miso_arr
+    );
 
   ------------------------------------------------------------------------------
   -- The beamformer unit
@@ -219,29 +221,29 @@ begin
     in_siso_arr(I) <= ss_wide_in_siso_arr(I * c_nof_signal_paths_per_stream);
 
     u_ss_wide : entity reorder_lib.reorder_col_wide
-    generic map (
-      g_technology         => g_technology,
-      g_wb_factor          => c_nof_signal_paths_per_stream,
-      g_dsp_data_w         => g_bf.in_dat_w,
-      g_nof_ch_in          => c_nof_subbands_per_stream,
-      g_nof_ch_sel         => g_bf.nof_weights,
-      g_select_file_prefix => g_ss_wide_file_prefix
-    )
-    port map (
-      mm_rst               => mm_rst,
-      mm_clk               => mm_clk,
-      dp_rst               => dp_rst,
-      dp_clk               => dp_clk,
-
-      -- Memory Mapped
-      ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi_arr(I),
-      ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso_arr(I),
-
-      -- Streaming
-      input_sosi_arr       => ss_wide_in_sosi_arr((I + 1) * c_nof_signal_paths_per_stream - 1 downto I * c_nof_signal_paths_per_stream),
-      input_siso_arr       => ss_wide_in_siso_arr((I + 1) * c_nof_signal_paths_per_stream - 1 downto I * c_nof_signal_paths_per_stream),
-      output_sosi_arr      => bf_in_sosi_arr((I + 1) * c_nof_signal_paths_per_stream - 1 downto I * c_nof_signal_paths_per_stream)
-    );
+      generic map (
+        g_technology         => g_technology,
+        g_wb_factor          => c_nof_signal_paths_per_stream,
+        g_dsp_data_w         => g_bf.in_dat_w,
+        g_nof_ch_in          => c_nof_subbands_per_stream,
+        g_nof_ch_sel         => g_bf.nof_weights,
+        g_select_file_prefix => g_ss_wide_file_prefix
+      )
+      port map (
+        mm_rst               => mm_rst,
+        mm_clk               => mm_clk,
+        dp_rst               => dp_rst,
+        dp_clk               => dp_clk,
+
+        -- Memory Mapped
+        ram_ss_ss_wide_mosi  => ram_ss_ss_wide_mosi_arr(I),
+        ram_ss_ss_wide_miso  => ram_ss_ss_wide_miso_arr(I),
+
+        -- Streaming
+        input_sosi_arr       => ss_wide_in_sosi_arr((I + 1) * c_nof_signal_paths_per_stream - 1 downto I * c_nof_signal_paths_per_stream),
+        input_siso_arr       => ss_wide_in_siso_arr((I + 1) * c_nof_signal_paths_per_stream - 1 downto I * c_nof_signal_paths_per_stream),
+        output_sosi_arr      => bf_in_sosi_arr((I + 1) * c_nof_signal_paths_per_stream - 1 downto I * c_nof_signal_paths_per_stream)
+      );
   end generate;
 
   -- Support readback of the last BF weight write to have some minimal readback functionality
@@ -271,47 +273,47 @@ begin
 
   -- Combine the internal array of mm interfaces to one array that is connected to the port of bf_unit
   u_mem_mux_weight : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_bf.nof_signal_paths,
-    g_mult_addr_w => ceil_log2(g_bf.nof_weights)
-  )
-  port map (
-    mosi     => ram_bf_weights_mosi,
-    miso     => mm_bf_weights_miso,
-    mosi_arr => mm_weight_mosi_arr,
-    miso_arr => mm_weight_miso_arr
-  );
-
-  gen_bf : for I in 0 to g_bf.nof_signal_paths - 1 generate
-    -- Instantiate a weight factor memory for each input stage:
-    u_weight_ram : entity common_lib.common_ram_crw_crw
     generic map (
-      g_technology     => g_technology,
-      g_ram            => c_weights_buf,
-      g_init_file      => sel_a_b(g_bf_weights_file_name = "UNUSED", g_bf_weights_file_name, g_bf_weights_file_name & "_" & natural'image(I) & ".hex"),
-      g_true_dual_port => not(g_weights_write_only)
+      g_nof_mosi    => g_bf.nof_signal_paths,
+      g_mult_addr_w => ceil_log2(g_bf.nof_weights)
     )
     port map (
-      -- MM side
-      rst_a     => mm_rst,
-      clk_a     => mm_clk,
-      wr_en_a   => mm_weight_mosi_arr(I).wr,
-      wr_dat_a  => mm_weight_mosi_arr(I).wrdata(c_weights_buf.dat_w - 1 downto 0),
-      adr_a     => mm_weight_mosi_arr(I).address(c_weights_buf.adr_w - 1 downto 0),
-      rd_en_a   => mm_weight_mosi_arr(I).rd,
-      rd_dat_a  => mm_weight_miso_arr(I).rddata(c_weights_buf.dat_w - 1 downto 0),
-      rd_val_a  => mm_weight_miso_arr(I).rdval,
-      -- MULT side
-      rst_b     => dp_rst,
-      clk_b     => dp_clk,
-      wr_en_b   => '0',
-      wr_dat_b  => (others => '0'),
-      adr_b     => weight_addr,
-      rd_en_b   => '1',
-      rd_dat_b  => mult_miso_arr(I).rddata(c_weights_buf.dat_w - 1 downto 0),
-      rd_val_b  => open
+      mosi     => ram_bf_weights_mosi,
+      miso     => mm_bf_weights_miso,
+      mosi_arr => mm_weight_mosi_arr,
+      miso_arr => mm_weight_miso_arr
     );
 
+  gen_bf : for I in 0 to g_bf.nof_signal_paths - 1 generate
+    -- Instantiate a weight factor memory for each input stage:
+    u_weight_ram : entity common_lib.common_ram_crw_crw
+      generic map (
+        g_technology     => g_technology,
+        g_ram            => c_weights_buf,
+        g_init_file      => sel_a_b(g_bf_weights_file_name = "UNUSED", g_bf_weights_file_name, g_bf_weights_file_name & "_" & natural'image(I) & ".hex"),
+        g_true_dual_port => not(g_weights_write_only)
+      )
+      port map (
+        -- MM side
+        rst_a     => mm_rst,
+        clk_a     => mm_clk,
+        wr_en_a   => mm_weight_mosi_arr(I).wr,
+        wr_dat_a  => mm_weight_mosi_arr(I).wrdata(c_weights_buf.dat_w - 1 downto 0),
+        adr_a     => mm_weight_mosi_arr(I).address(c_weights_buf.adr_w - 1 downto 0),
+        rd_en_a   => mm_weight_mosi_arr(I).rd,
+        rd_dat_a  => mm_weight_miso_arr(I).rddata(c_weights_buf.dat_w - 1 downto 0),
+        rd_val_a  => mm_weight_miso_arr(I).rdval,
+        -- MULT side
+        rst_b     => dp_rst,
+        clk_b     => dp_clk,
+        wr_en_b   => '0',
+        wr_dat_b  => (others => '0'),
+        adr_b     => weight_addr,
+        rd_en_b   => '1',
+        rd_dat_b  => mult_miso_arr(I).rddata(c_weights_buf.dat_w - 1 downto 0),
+        rd_val_b  => open
+      );
+
     data_re_arr(I) <= r.bf_in_sosi_arr(I).re(g_bf.in_dat_w - 1 downto 0);
     data_im_arr(I) <= r.bf_in_sosi_arr(I).im(g_bf.in_dat_w - 1 downto 0);
 
@@ -319,28 +321,28 @@ begin
     weight_im_arr(I) <= mult_miso_arr(I).rddata(2 * g_bf.in_weight_w - 1 downto g_bf.in_weight_w);
 
     u_multiplier : entity common_mult_lib.common_complex_mult
-    generic map (
-      g_technology       => g_technology,
-      g_variant          => "IP",
-      g_in_a_w           => g_bf.in_weight_w,
-      g_in_b_w           => g_bf.in_dat_w,
-      g_out_p_w          => c_prod_w,
-      g_conjugate_b      => c_conjugate,
-      g_pipeline_input   => 1,
-      g_pipeline_product => 0,
-      g_pipeline_adder   => 1,
-      g_pipeline_output  => 1
-    )
-    port map (
-      rst        => dp_rst,
-      clk        => dp_clk,
-      in_ar      => weight_re_arr(I),
-      in_ai      => weight_im_arr(I),
-      in_br      => data_re_arr(I),
-      in_bi      => data_im_arr(I),
-      out_pr     => prod_re_arr(I),
-      out_pi     => prod_im_arr(I)
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_variant          => "IP",
+        g_in_a_w           => g_bf.in_weight_w,
+        g_in_b_w           => g_bf.in_dat_w,
+        g_out_p_w          => c_prod_w,
+        g_conjugate_b      => c_conjugate,
+        g_pipeline_input   => 1,
+        g_pipeline_product => 0,
+        g_pipeline_adder   => 1,
+        g_pipeline_output  => 1
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+        in_ar      => weight_re_arr(I),
+        in_ai      => weight_im_arr(I),
+        in_br      => data_re_arr(I),
+        in_bi      => data_im_arr(I),
+        out_pr     => prod_re_arr(I),
+        out_pi     => prod_im_arr(I)
+      );
 
     -- Map the product array to a vector for the adder tree input
     prod_re_vec((I + 1) * c_prod_w - 1 downto I * c_prod_w) <= prod_re_arr(I);
@@ -349,68 +351,68 @@ begin
 
   -- One adder tree for the real part
   u_adder_tree_re : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_adder_stage_latency,
-    g_nof_inputs     => g_bf.nof_signal_paths,
-    g_dat_w          => c_prod_w,
-    g_sum_w          => c_sum_w
-  )
-  port map (
-    clk    => dp_clk,
-    in_dat => prod_re_vec,
-    sum    => sum_re
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_adder_stage_latency,
+      g_nof_inputs     => g_bf.nof_signal_paths,
+      g_dat_w          => c_prod_w,
+      g_sum_w          => c_sum_w
+    )
+    port map (
+      clk    => dp_clk,
+      in_dat => prod_re_vec,
+      sum    => sum_re
+    );
 
   -- One adder tree for the imaginary part
   u_adder_tree_im : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_adder_stage_latency,
-    g_nof_inputs     => g_bf.nof_signal_paths,
-    g_dat_w          => c_prod_w,
-    g_sum_w          => c_sum_w
-  )
-  port map (
-    clk    => dp_clk,
-    in_dat => prod_im_vec,
-    sum    => sum_im
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_adder_stage_latency,
+      g_nof_inputs     => g_bf.nof_signal_paths,
+      g_dat_w          => c_prod_w,
+      g_sum_w          => c_sum_w
+    )
+    port map (
+      clk    => dp_clk,
+      in_dat => prod_im_vec,
+      sum    => sum_im
+    );
 
   ------------------------------------------------------------------------------
   -- Counter used to create addresses for the weight memory
   ------------------------------------------------------------------------------
   weight_adrs_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => c_weights_buf.adr_w,
-    g_max       => g_bf.nof_weights - 1,
-    g_step_size => 1
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => bf_in_sosi_arr(0).eop,
-    cnt_en  => bf_in_sosi_arr(0).valid,
-    count   => weight_addr
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => c_weights_buf.adr_w,
+      g_max       => g_bf.nof_weights - 1,
+      g_step_size => 1
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => bf_in_sosi_arr(0).eop,
+      cnt_en  => bf_in_sosi_arr(0).valid,
+      count   => weight_addr
+    );
 
   ------------------------------------------------------------------------------
   -- Pipeline to align the sosi control
   ------------------------------------------------------------------------------
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map(
-    g_pipeline   => c_bf_unit_latency
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => bf_in_sosi_arr(0),
-    -- ST source
-    src_out      => piped_sosi
-  );
+    generic map(
+      g_pipeline   => c_bf_unit_latency
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => bf_in_sosi_arr(0),
+      -- ST source
+      src_out      => piped_sosi
+    );
 
   process(piped_sosi, sum_re, sum_im)
   begin
@@ -427,76 +429,76 @@ begin
 
   -- Requantize for internal BST and out_bst_sosi output
   u_dp_requantize_bst : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => true,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_bst_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,  -- default BF should not clip
-    g_msb_clip_symmetric  => false,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_sum_w,
-    g_out_dat_w           => g_bf.bst_dat_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => beams_raw_sosi,
-    src_out    => beams_bst_sosi,
-    out_ovr    => open
-  );
+    generic map (
+      g_complex             => true,
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_bst_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,  -- default BF should not clip
+      g_msb_clip_symmetric  => false,
+      g_gain_w              => 0,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_sum_w,
+      g_out_dat_w           => g_bf.bst_dat_w
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => beams_raw_sosi,
+      src_out    => beams_bst_sosi,
+      out_ovr    => open
+    );
 
   out_bst_sosi <= beams_bst_sosi;
 
   -- Requantize for out_qua_sosi output
   u_dp_requantize_out : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => true,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_out_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,  -- default BF should not clip
-    g_msb_clip_symmetric  => false,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_sum_w,
-    g_out_dat_w           => g_bf.out_dat_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => beams_raw_sosi,
-    src_out    => out_qua_sosi,
-    out_ovr    => open
-  );
+    generic map (
+      g_complex             => true,
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_out_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,  -- default BF should not clip
+      g_msb_clip_symmetric  => false,
+      g_gain_w              => 0,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_sum_w,
+      g_out_dat_w           => g_bf.out_dat_w
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => beams_raw_sosi,
+      src_out    => out_qua_sosi,
+      out_ovr    => open
+    );
 
   ------------------------------------------------------------------------------
   -- Internal BST
   ------------------------------------------------------------------------------
   u_beamlet_statistics : entity st_lib.st_sst
-  generic map(
-    g_technology    => g_technology,
-    g_nof_stat      => g_bf.nof_weights,
-    g_xst_enable    => c_xst_enable,
-    g_in_data_w     => g_bf.bst_dat_w,
-    g_stat_data_w   => g_bf.stat_data_w,
-    g_stat_data_sz  => g_bf.stat_data_sz
-  )
-  port map (
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
-    dp_rst          => dp_rst,
-    dp_clk          => dp_clk,
-    in_complex      => beams_bst_sosi,
-    ram_st_sst_mosi => ram_st_sst_mosi,
-    ram_st_sst_miso => ram_st_sst_miso,
-    reg_st_sst_mosi => reg_st_sst_mosi,
-    reg_st_sst_miso => reg_st_sst_miso
-  );
+    generic map(
+      g_technology    => g_technology,
+      g_nof_stat      => g_bf.nof_weights,
+      g_xst_enable    => c_xst_enable,
+      g_in_data_w     => g_bf.bst_dat_w,
+      g_stat_data_w   => g_bf.stat_data_w,
+      g_stat_data_sz  => g_bf.stat_data_sz
+    )
+    port map (
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
+      dp_rst          => dp_rst,
+      dp_clk          => dp_clk,
+      in_complex      => beams_bst_sosi,
+      ram_st_sst_mosi => ram_st_sst_mosi,
+      ram_st_sst_miso => ram_st_sst_miso,
+      reg_st_sst_mosi => reg_st_sst_mosi,
+      reg_st_sst_miso => reg_st_sst_miso
+    );
 
 end str;
diff --git a/libraries/dsp/bf/tb/vhdl/tb_bf.vhd b/libraries/dsp/bf/tb/vhdl/tb_bf.vhd
index 405893b3d2..34884e30dc 100644
--- a/libraries/dsp/bf/tb/vhdl/tb_bf.vhd
+++ b/libraries/dsp/bf/tb/vhdl/tb_bf.vhd
@@ -38,18 +38,18 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.bf_pkg.all;
 
 entity tb_bf is
   generic(
@@ -129,9 +129,21 @@ architecture tb of tb_bf is
   signal reg_st_sst_miso           : t_mem_miso := c_mem_miso_rst;
 
   -- Compose the record with generics for the bf_units.
-  constant c_bf                     : t_c_bf  := (g_nof_signal_paths, g_nof_input_streams, g_nof_subbands,
-                                                  g_nof_weights, g_nof_bf_units, g_in_dat_w, g_in_weight_w, g_bst_gain_w,
-                                                  g_bst_dat_w, g_out_gain_w, g_out_dat_w, g_stat_data_w, g_stat_data_sz);
+  constant c_bf                     : t_c_bf  := (
+    g_nof_signal_paths,
+    g_nof_input_streams,
+    g_nof_subbands,
+    g_nof_weights,
+    g_nof_bf_units,
+    g_in_dat_w,
+    g_in_weight_w,
+    g_bst_gain_w,
+    g_bst_dat_w,
+    g_out_gain_w,
+    g_out_dat_w,
+    g_stat_data_w,
+    g_stat_data_sz
+  );
 
   -- Custom definitions of constants
   constant c_bg_block_len           : natural  := c_bf.nof_subbands * c_bf.nof_signal_paths / c_bf.nof_input_streams;
@@ -175,7 +187,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -186,150 +198,150 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   -- DUT
   u_mm_file_ram_ss_ss_wide       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_SS_SS_WIDE")
-                                           port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
+    port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
 
   u_mm_file_ram_weight           : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_WEIGHT")
-                                           port map(mm_rst, mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso);
+    port map(mm_rst, mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso);
 
   u_mm_file_ram_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_ST_SST")
-                                           port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
+    port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
 
   u_mm_file_reg_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_ST_SST")
-                                           port map(mm_rst, mm_clk, reg_st_sst_mosi, reg_st_sst_miso);
+    port map(mm_rst, mm_clk, reg_st_sst_mosi, reg_st_sst_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.bf
-  generic map (
-    g_bf                    => c_bf,
-    g_bf_weights_file_name  => g_bf_weights_file_name,
-    g_ss_wide_file_prefix   => g_ss_wide_file_prefix,
-    g_weights_write_only    => false
-  )
-  port map (
-    -- System
-    dp_rst                 =>  dp_rst,
-    dp_clk                 =>  dp_clk,
-    mm_rst                 =>  mm_rst,
-    mm_clk                 =>  mm_clk,
-
-    -- MM interface
-    ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
-    ram_bf_weights_mosi     => ram_bf_weights_mosi,
-    ram_bf_weights_miso     => ram_bf_weights_miso,
-    ram_st_sst_mosi         => ram_st_sst_mosi,
-    ram_st_sst_miso         => ram_st_sst_miso,
-    reg_st_sst_mosi         => reg_st_sst_mosi,
-    reg_st_sst_miso         => reg_st_sst_miso,
-
-    -- ST interface
-    in_sosi_arr             => bg_sosi_arr,
-    out_raw_sosi_arr        => beamlets_sosi_arr,  -- raw beamlets
-    out_bst_sosi_arr        => OPEN,  -- 16b beamlets
-    out_qua_sosi_arr        => open  -- 8b beamlets
-  );
+    generic map (
+      g_bf                    => c_bf,
+      g_bf_weights_file_name  => g_bf_weights_file_name,
+      g_ss_wide_file_prefix   => g_ss_wide_file_prefix,
+      g_weights_write_only    => false
+    )
+    port map (
+      -- System
+      dp_rst                 =>  dp_rst,
+      dp_clk                 =>  dp_clk,
+      mm_rst                 =>  mm_rst,
+      mm_clk                 =>  mm_clk,
+
+      -- MM interface
+      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
+      ram_bf_weights_mosi     => ram_bf_weights_mosi,
+      ram_bf_weights_miso     => ram_bf_weights_miso,
+      ram_st_sst_mosi         => ram_st_sst_mosi,
+      ram_st_sst_miso         => ram_st_sst_miso,
+      reg_st_sst_mosi         => reg_st_sst_mosi,
+      reg_st_sst_miso         => reg_st_sst_miso,
+
+      -- ST interface
+      in_sosi_arr             => bg_sosi_arr,
+      out_raw_sosi_arr        => beamlets_sosi_arr,  -- raw beamlets
+      out_bst_sosi_arr        => OPEN,  -- 16b beamlets
+      out_qua_sosi_arr        => open  -- 8b beamlets
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => beamlets_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => beamlets_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => beamlets_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => beamlets_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/bf/tb/vhdl/tb_bf_unit.vhd b/libraries/dsp/bf/tb/vhdl/tb_bf_unit.vhd
index eef5000dad..3236e708f1 100644
--- a/libraries/dsp/bf/tb/vhdl/tb_bf_unit.vhd
+++ b/libraries/dsp/bf/tb/vhdl/tb_bf_unit.vhd
@@ -39,18 +39,18 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.bf_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.bf_pkg.all;
 
 entity tb_bf_unit is
   generic(
@@ -130,9 +130,21 @@ architecture tb of tb_bf_unit is
   signal reg_st_sst_miso           : t_mem_miso := c_mem_miso_rst;
 
   -- Compose the record with generics for the bf_units.
-  constant c_bf                     : t_c_bf  := (g_nof_signal_paths, g_nof_input_streams, g_nof_subbands,
-                                                  g_nof_weights, g_nof_bf_units, g_in_dat_w, g_in_weight_w, g_bst_gain_w,
-                                                  g_bst_dat_w, g_out_gain_w, g_out_dat_w, g_stat_data_w, g_stat_data_sz);
+  constant c_bf                     : t_c_bf  := (
+    g_nof_signal_paths,
+    g_nof_input_streams,
+    g_nof_subbands,
+    g_nof_weights,
+    g_nof_bf_units,
+    g_in_dat_w,
+    g_in_weight_w,
+    g_bst_gain_w,
+    g_bst_dat_w,
+    g_out_gain_w,
+    g_out_dat_w,
+    g_stat_data_w,
+    g_stat_data_sz
+  );
 
   -- Custom definitions of constants
   constant c_bg_block_len           : natural  := c_bf.nof_subbands * c_bf.nof_signal_paths / c_bf.nof_input_streams;
@@ -175,7 +187,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -186,151 +198,151 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   -- DUT
   u_mm_file_ram_ss_ss_wide       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_SS_SS_WIDE")
-                                           port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
+    port map(mm_rst, mm_clk, ram_ss_ss_wide_mosi, ram_ss_ss_wide_miso);
 
   u_mm_file_ram_bf_weights       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_BF_WEIGHTS")
-                                           port map(mm_rst, mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso);
+    port map(mm_rst, mm_clk, ram_bf_weights_mosi, ram_bf_weights_miso);
 
   u_mm_file_ram_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_ST_SST")
-                                           port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
+    port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
 
   u_mm_file_reg_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_ST_SST")
-                                           port map(mm_rst, mm_clk, reg_st_sst_mosi, reg_st_sst_miso);
+    port map(mm_rst, mm_clk, reg_st_sst_mosi, reg_st_sst_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.bf_unit
-  generic map (
-    g_bf                    => c_bf,
-    g_bf_weights_file_name  => g_bf_weights_file_name,
-    g_ss_wide_file_prefix   => g_ss_wide_file_prefix,
-    g_weights_write_only    => false
+    generic map (
+      g_bf                    => c_bf,
+      g_bf_weights_file_name  => g_bf_weights_file_name,
+      g_ss_wide_file_prefix   => g_ss_wide_file_prefix,
+      g_weights_write_only    => false
     --g_weights_write_only    => TRUE
-  )
-  port map (
-    -- System
-    dp_rst                 =>  dp_rst,
-    dp_clk                 =>  dp_clk,
-    mm_rst                 =>  mm_rst,
-    mm_clk                 =>  mm_clk,
-
-    -- MM interface
-    ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
-    ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
-    ram_bf_weights_mosi     => ram_bf_weights_mosi,
-    ram_bf_weights_miso     => ram_bf_weights_miso,
-    ram_st_sst_mosi         => ram_st_sst_mosi,
-    ram_st_sst_miso         => ram_st_sst_miso,
-    reg_st_sst_mosi         => reg_st_sst_mosi,
-    reg_st_sst_miso         => reg_st_sst_miso,
-
-    -- ST interface
-    in_sosi_arr            => bg_sosi_arr,
-    out_raw_sosi           => out_sosi_arr(0),  -- original    raw beamlets output with c_sum_w bits.
-    out_bst_sosi           => open,  -- requantized 16b beamlets output that is also used for internal BST.
-    out_qua_sosi           => open  -- requantized  8b beamlets output.
-  );
+    )
+    port map (
+      -- System
+      dp_rst                 =>  dp_rst,
+      dp_clk                 =>  dp_clk,
+      mm_rst                 =>  mm_rst,
+      mm_clk                 =>  mm_clk,
+
+      -- MM interface
+      ram_ss_ss_wide_mosi     => ram_ss_ss_wide_mosi,
+      ram_ss_ss_wide_miso     => ram_ss_ss_wide_miso,
+      ram_bf_weights_mosi     => ram_bf_weights_mosi,
+      ram_bf_weights_miso     => ram_bf_weights_miso,
+      ram_st_sst_mosi         => ram_st_sst_mosi,
+      ram_st_sst_miso         => ram_st_sst_miso,
+      reg_st_sst_mosi         => reg_st_sst_mosi,
+      reg_st_sst_miso         => reg_st_sst_miso,
+
+      -- ST interface
+      in_sosi_arr            => bg_sosi_arr,
+      out_raw_sosi           => out_sosi_arr(0),  -- original    raw beamlets output with c_sum_w bits.
+      out_bst_sosi           => open,  -- requantized 16b beamlets output that is also used for internal BST.
+      out_qua_sosi           => open  -- requantized  8b beamlets output.
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd b/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd
index 1c46c88c95..1dc9de7b14 100644
--- a/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd
+++ b/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd
@@ -20,14 +20,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
 
 entity mmm_unb1_correlator is
   generic (
@@ -104,93 +104,93 @@ architecture str of mmm_unb1_correlator is
   -- . Note the SLV->SL edits, e.g. coe_address_export_from_the_reg_wdi.
   -----------------------------------------------------------------------------
   component qsys_unb1_correlator is
-      port (
-          coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-          coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-          mm_clk                                        : out std_logic;  -- clk
-          coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
-          coe_address_export_from_the_pio_pps           : out std_logic;  -- _vector(0 downto 0);                     -- export
-          coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
-          coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
-          coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
-          coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-          coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
-          coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
-          coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
-          coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
-          coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
-          coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-          coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
-          coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
-          coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-          coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
-          coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
-          coe_address_export_from_the_reg_wdi           : out std_logic;  -- _vector(0 downto 0);                     -- export
-          coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
-          coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
-          coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
-          coe_write_export_from_the_pio_pps             : out std_logic;  -- export
-          coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
-          coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
-          coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
-          phasedone_from_the_altpll_0                   : out std_logic;  -- export
-          reset_n                                       : in  std_logic                     := 'X';  -- reset_n
-          coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-          coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-          clk_0                                         : in  std_logic                     := 'X';  -- clk
-          coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
-          tse_clk                                       : out std_logic;  -- clk
-          epcs_clk                                      : out std_logic;  -- clk
-          coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          out_port_from_the_pio_debug_wave              : out std_logic_vector(31 downto 0);  -- export
-          coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
-          coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
-          coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
-          coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
-          coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
-          coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          out_port_from_the_pio_wdi                     : out std_logic;  -- export
-          coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
-          coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
-          coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          coe_read_export_from_the_pio_pps              : out std_logic;  -- export
-          coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
-          coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
-          coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
-          coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
-          coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
-          coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
-          coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
-          areset_to_the_altpll_0                        : in  std_logic                     := 'X';  -- export
-          locked_from_the_altpll_0                      : out std_logic;  -- export
-          coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
-          c3_from_the_altpll_0                          : out std_logic;  -- export
-          ram_diag_data_buf_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          ram_diag_data_buf_read_export                 : out std_logic;  -- export
-          ram_diag_data_buf_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-          ram_diag_data_buf_write_export                : out std_logic;  -- export
-          ram_diag_data_buf_address_export              : out std_logic_vector(14 downto 0);  -- export
-          ram_diag_data_buf_clk_export                  : out std_logic;  -- export
-          ram_diag_data_buf_reset_export                : out std_logic;  -- export
-          reg_diag_data_buf_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
-          reg_diag_data_buf_read_export                 : out std_logic;  -- export
-          reg_diag_data_buf_writedata_export            : out std_logic_vector(31 downto 0);  -- export
-          reg_diag_data_buf_write_export                : out std_logic;  -- export
-          reg_diag_data_buf_address_export              : out std_logic_vector(9 downto 0);  -- export
-          reg_diag_data_buf_clk_export                  : out std_logic;  -- export
-          reg_diag_data_buf_reset_export                : out std_logic;  -- export
-          clk_clk                  : in std_logic;
-          reset_reset_n : in std_logic;
-          altpll_1_c0_clk          : out std_logic;
-          altpll_1_areset_conduit_export     : in  std_logic;
-          altpll_1_phasedone_conduit_export  : out std_logic;
-          altpll_1_locked_conduit_export     : out std_logic
-      );
+    port (
+      coe_ram_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reg_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      mm_clk                                        : out std_logic;  -- clk
+      coe_address_export_from_the_pio_system_info   : out std_logic_vector(4 downto 0);  -- export
+      coe_address_export_from_the_pio_pps           : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_reset_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_readdata_export_to_the_pio_pps            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_pio_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_tse_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_reset_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_clk_export_from_the_rom_system_info       : out std_logic;  -- export
+      coe_read_export_from_the_reg_unb_sens         : out std_logic;  -- export
+      coe_write_export_from_the_reg_unb_sens        : out std_logic;  -- export
+      coe_clk_export_from_the_reg_unb_sens          : out std_logic;  -- export
+      coe_reg_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_read_export_from_the_reg_wdi              : out std_logic;  -- export
+      coe_reg_write_export_from_the_avs_eth_0       : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_unb_sens       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_clk_export_from_the_pio_pps               : out std_logic;  -- export
+      coe_readdata_export_to_the_pio_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_writedata_export_from_the_rom_system_info : out std_logic_vector(31 downto 0);  -- export
+      coe_address_export_from_the_reg_wdi           : out std_logic;  -- _vector(0 downto 0);                     -- export
+      coe_reset_export_from_the_avs_eth_0           : out std_logic;  -- export
+      coe_write_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_tse_address_export_from_the_avs_eth_0     : out std_logic_vector(9 downto 0);  -- export
+      coe_write_export_from_the_pio_pps             : out std_logic;  -- export
+      coe_write_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_irq_export_to_the_avs_eth_0               : in  std_logic                     := 'X';  -- export
+      coe_read_export_from_the_rom_system_info      : out std_logic;  -- export
+      phasedone_from_the_altpll_0                   : out std_logic;  -- export
+      reset_n                                       : in  std_logic                     := 'X';  -- reset_n
+      coe_tse_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      coe_tse_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_ram_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      clk_0                                         : in  std_logic                     := 'X';  -- clk
+      coe_writedata_export_from_the_reg_unb_sens    : out std_logic_vector(31 downto 0);  -- export
+      tse_clk                                       : out std_logic;  -- clk
+      epcs_clk                                      : out std_logic;  -- clk
+      coe_reg_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      out_port_from_the_pio_debug_wave              : out std_logic_vector(31 downto 0);  -- export
+      coe_tse_read_export_from_the_avs_eth_0        : out std_logic;  -- export
+      coe_writedata_export_from_the_reg_wdi         : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_pio_system_info     : out std_logic;  -- export
+      coe_read_export_from_the_pio_system_info      : out std_logic;  -- export
+      coe_clk_export_from_the_reg_wdi               : out std_logic;  -- export
+      coe_ram_readdata_export_to_the_avs_eth_0      : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      out_port_from_the_pio_wdi                     : out std_logic;  -- export
+      coe_clk_export_from_the_avs_eth_0             : out std_logic;  -- export
+      coe_readdata_export_to_the_rom_system_info    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_write_export_from_the_reg_wdi             : out std_logic;  -- export
+      coe_readdata_export_to_the_reg_wdi            : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      coe_read_export_from_the_pio_pps              : out std_logic;  -- export
+      coe_clk_export_from_the_pio_system_info       : out std_logic;  -- export
+      coe_writedata_export_from_the_pio_pps         : out std_logic_vector(31 downto 0);  -- export
+      coe_reset_export_from_the_rom_system_info     : out std_logic;  -- export
+      coe_tse_waitrequest_export_to_the_avs_eth_0   : in  std_logic                     := 'X';  -- export
+      coe_address_export_from_the_reg_unb_sens      : out std_logic_vector(2 downto 0);  -- export
+      coe_reg_address_export_from_the_avs_eth_0     : out std_logic_vector(3 downto 0);  -- export
+      coe_address_export_from_the_rom_system_info   : out std_logic_vector(9 downto 0);  -- export
+      areset_to_the_altpll_0                        : in  std_logic                     := 'X';  -- export
+      locked_from_the_altpll_0                      : out std_logic;  -- export
+      coe_ram_writedata_export_from_the_avs_eth_0   : out std_logic_vector(31 downto 0);  -- export
+      c3_from_the_altpll_0                          : out std_logic;  -- export
+      ram_diag_data_buf_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      ram_diag_data_buf_read_export                 : out std_logic;  -- export
+      ram_diag_data_buf_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      ram_diag_data_buf_write_export                : out std_logic;  -- export
+      ram_diag_data_buf_address_export              : out std_logic_vector(14 downto 0);  -- export
+      ram_diag_data_buf_clk_export                  : out std_logic;  -- export
+      ram_diag_data_buf_reset_export                : out std_logic;  -- export
+      reg_diag_data_buf_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X');  -- export
+      reg_diag_data_buf_read_export                 : out std_logic;  -- export
+      reg_diag_data_buf_writedata_export            : out std_logic_vector(31 downto 0);  -- export
+      reg_diag_data_buf_write_export                : out std_logic;  -- export
+      reg_diag_data_buf_address_export              : out std_logic_vector(9 downto 0);  -- export
+      reg_diag_data_buf_clk_export                  : out std_logic;  -- export
+      reg_diag_data_buf_reset_export                : out std_logic;  -- export
+      clk_clk                  : in std_logic;
+      reset_reset_n : in std_logic;
+      altpll_1_c0_clk          : out std_logic;
+      altpll_1_areset_conduit_export     : in  std_logic;
+      altpll_1_phasedone_conduit_export  : out std_logic;
+      altpll_1_locked_conduit_export     : out std_logic
+    );
   end component qsys_unb1_correlator;
 
 begin
@@ -206,23 +206,23 @@ begin
     mm_locked  <= '0', '1' after c_mm_clk_period * 5;
 
     u_mm_file_reg_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
 
     u_mm_file_rom_unb_system_info : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-                                               port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, i_mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
 
     u_mm_file_reg_wdi             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-                                               port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, i_mm_clk, reg_wdi_mosi, reg_wdi_miso );
 
     u_mm_file_reg_unb_sens        : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-                                               port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, i_mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
 
     u_mm_file_reg_ppsh            : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-                                               port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, i_mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
 
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     u_mm_file_reg_eth             : mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-                                               port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, i_mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
 
     ----------------------------------------------------------------------------
     -- Procedure that polls a sim control file that can be used to e.g. get
@@ -237,112 +237,112 @@ begin
   ----------------------------------------------------------------------------
   gen_qsys_unb1_correlator : if g_sim = false generate
     u_qsys_unb1_correlator : qsys_unb1_correlator
-    port map (
-      clk_0                                         => xo_clk,
-      reset_n                                       => xo_rst_n,
-      mm_clk                                        => i_mm_clk,
-      tse_clk                                       => eth1g_tse_clk,
-
-       -- the_altpll_0
-      locked_from_the_altpll_0                      => mm_locked,
-      phasedone_from_the_altpll_0                   => OPEN,
-      areset_to_the_altpll_0                        => xo_rst,
-
-      -- the_avs_eth_0
-      coe_clk_export_from_the_avs_eth_0             => OPEN,
-      coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
-      coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
-      coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
-      coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
-      coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
-      coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
-      coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
-      coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
-      coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
-      coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
-      coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
-      coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
-      coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-
-      -- the_reg_unb_sens
-      coe_clk_export_from_the_reg_unb_sens          => OPEN,
-      coe_reset_export_from_the_reg_unb_sens        => OPEN,
-      coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
-      coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
-      coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
-      coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_debug_wave
-      out_port_from_the_pio_debug_wave              => OPEN,
-
-      -- the_pio_pps
-      coe_clk_export_from_the_pio_pps               => OPEN,
-      coe_reset_export_from_the_pio_pps             => OPEN,
-      coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
-      coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
-      coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
-      coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_system_info: actually a avs_common_mm instance
-      coe_clk_export_from_the_pio_system_info       => OPEN,
-      coe_reset_export_from_the_pio_system_info     => OPEN,
-      coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_rom_system_info
-      coe_clk_export_from_the_rom_system_info       => OPEN,
-      coe_reset_export_from_the_rom_system_info     => OPEN,
-      coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
-      coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
-      coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
-      coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-
-      -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
-      out_port_from_the_pio_wdi                     => pout_wdi,
-
-      -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
-      coe_clk_export_from_the_reg_wdi               => OPEN,
-      coe_reset_export_from_the_reg_wdi             => OPEN,
-      coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
-      coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
-      coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
-      coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-
-      ram_diag_data_buf_readdata_export             => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_read_export                 => ram_diag_data_buf_mosi.rd,
-      ram_diag_data_buf_writedata_export            => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buf_write_export                => ram_diag_data_buf_mosi.wr,
-      ram_diag_data_buf_address_export              => ram_diag_data_buf_mosi.address(14 downto 0),
-      ram_diag_data_buf_clk_export                  => OPEN,
-      ram_diag_data_buf_reset_export                => OPEN,
-
-      reg_diag_data_buf_readdata_export             => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_read_export                 => reg_diag_data_buf_mosi.rd,
-      reg_diag_data_buf_writedata_export            => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buf_write_export                => reg_diag_data_buf_mosi.wr,
-      reg_diag_data_buf_address_export              => reg_diag_data_buf_mosi.address(9 downto 0),
-      reg_diag_data_buf_clk_export                  => OPEN,
-      reg_diag_data_buf_reset_export                => OPEN,
-
-      clk_clk                    => clk_clk,
-      reset_reset_n   => clk_clk_in_reset_reset_n,
-      altpll_1_c0_clk            => altpll_1_c0_clk,
-
-      altpll_1_areset_conduit_export       => xo_rst,
-      altpll_1_phasedone_conduit_export    => OPEN,
-      altpll_1_locked_conduit_export       => open
+      port map (
+        clk_0                                         => xo_clk,
+        reset_n                                       => xo_rst_n,
+        mm_clk                                        => i_mm_clk,
+        tse_clk                                       => eth1g_tse_clk,
+
+        -- the_altpll_0
+        locked_from_the_altpll_0                      => mm_locked,
+        phasedone_from_the_altpll_0                   => OPEN,
+        areset_to_the_altpll_0                        => xo_rst,
+
+        -- the_avs_eth_0
+        coe_clk_export_from_the_avs_eth_0             => OPEN,
+        coe_reset_export_from_the_avs_eth_0           => eth1g_mm_rst,
+        coe_tse_address_export_from_the_avs_eth_0     => eth1g_tse_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_tse_adr_w - 1 downto 0),
+        coe_tse_write_export_from_the_avs_eth_0       => eth1g_tse_mosi.wr,
+        coe_tse_writedata_export_from_the_avs_eth_0   => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_tse_read_export_from_the_avs_eth_0        => eth1g_tse_mosi.rd,
+        coe_tse_readdata_export_to_the_avs_eth_0      => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        coe_tse_waitrequest_export_to_the_avs_eth_0   => eth1g_tse_miso.waitrequest,
+        coe_reg_address_export_from_the_avs_eth_0     => eth1g_reg_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_eth_adr_w - 1 downto 0),
+        coe_reg_write_export_from_the_avs_eth_0       => eth1g_reg_mosi.wr,
+        coe_reg_writedata_export_from_the_avs_eth_0   => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_reg_read_export_from_the_avs_eth_0        => eth1g_reg_mosi.rd,
+        coe_reg_readdata_export_to_the_avs_eth_0      => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        coe_irq_export_to_the_avs_eth_0               => eth1g_reg_interrupt,
+        coe_ram_address_export_from_the_avs_eth_0     => eth1g_ram_mosi.address(c_unb1_board_peripherals_mm_reg_default.ram_eth_adr_w - 1 downto 0),
+        coe_ram_write_export_from_the_avs_eth_0       => eth1g_ram_mosi.wr,
+        coe_ram_writedata_export_from_the_avs_eth_0   => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        coe_ram_read_export_from_the_avs_eth_0        => eth1g_ram_mosi.rd,
+        coe_ram_readdata_export_to_the_avs_eth_0      => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+
+        -- the_reg_unb_sens
+        coe_clk_export_from_the_reg_unb_sens          => OPEN,
+        coe_reset_export_from_the_reg_unb_sens        => OPEN,
+        coe_address_export_from_the_reg_unb_sens      => reg_unb_sens_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_sens_adr_w - 1 downto 0),
+        coe_read_export_from_the_reg_unb_sens         => reg_unb_sens_mosi.rd,
+        coe_readdata_export_to_the_reg_unb_sens       => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_unb_sens        => reg_unb_sens_mosi.wr,
+        coe_writedata_export_from_the_reg_unb_sens    => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_debug_wave
+        out_port_from_the_pio_debug_wave              => OPEN,
+
+        -- the_pio_pps
+        coe_clk_export_from_the_pio_pps               => OPEN,
+        coe_reset_export_from_the_pio_pps             => OPEN,
+        coe_address_export_from_the_pio_pps           => reg_ppsh_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_ppsh_adr_w - 1),  -- 1 bit address width so must use (0) instead of (0 DOWNTO 0)
+        coe_read_export_from_the_pio_pps              => reg_ppsh_mosi.rd,
+        coe_readdata_export_to_the_pio_pps            => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_pps             => reg_ppsh_mosi.wr,
+        coe_writedata_export_from_the_pio_pps         => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_system_info: actually a avs_common_mm instance
+        coe_clk_export_from_the_pio_system_info       => OPEN,
+        coe_reset_export_from_the_pio_system_info     => OPEN,
+        coe_address_export_from_the_pio_system_info   => reg_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_pio_system_info      => reg_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_pio_system_info    => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_pio_system_info     => reg_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_pio_system_info => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_rom_system_info
+        coe_clk_export_from_the_rom_system_info       => OPEN,
+        coe_reset_export_from_the_rom_system_info     => OPEN,
+        coe_address_export_from_the_rom_system_info   => rom_unb_system_info_mosi.address(c_unb1_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w - 1 downto 0),
+        coe_read_export_from_the_rom_system_info      => rom_unb_system_info_mosi.rd,
+        coe_readdata_export_to_the_rom_system_info    => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_rom_system_info     => rom_unb_system_info_mosi.wr,
+        coe_writedata_export_from_the_rom_system_info => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+
+        -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb1_board.
+        out_port_from_the_pio_wdi                     => pout_wdi,
+
+        -- the_reg_wdi: Manual WDI override; causes FPGA reconfiguration if WDI is enabled (g_use_phy).
+        coe_clk_export_from_the_reg_wdi               => OPEN,
+        coe_reset_export_from_the_reg_wdi             => OPEN,
+        coe_address_export_from_the_reg_wdi           => reg_wdi_mosi.address(0),
+        coe_read_export_from_the_reg_wdi              => reg_wdi_mosi.rd,
+        coe_readdata_export_to_the_reg_wdi            => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        coe_write_export_from_the_reg_wdi             => reg_wdi_mosi.wr,
+        coe_writedata_export_from_the_reg_wdi         => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+
+        ram_diag_data_buf_readdata_export             => ram_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_read_export                 => ram_diag_data_buf_mosi.rd,
+        ram_diag_data_buf_writedata_export            => ram_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buf_write_export                => ram_diag_data_buf_mosi.wr,
+        ram_diag_data_buf_address_export              => ram_diag_data_buf_mosi.address(14 downto 0),
+        ram_diag_data_buf_clk_export                  => OPEN,
+        ram_diag_data_buf_reset_export                => OPEN,
+
+        reg_diag_data_buf_readdata_export             => reg_diag_data_buf_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_read_export                 => reg_diag_data_buf_mosi.rd,
+        reg_diag_data_buf_writedata_export            => reg_diag_data_buf_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buf_write_export                => reg_diag_data_buf_mosi.wr,
+        reg_diag_data_buf_address_export              => reg_diag_data_buf_mosi.address(9 downto 0),
+        reg_diag_data_buf_clk_export                  => OPEN,
+        reg_diag_data_buf_reset_export                => OPEN,
+
+        clk_clk                    => clk_clk,
+        reset_reset_n   => clk_clk_in_reset_reset_n,
+        altpll_1_c0_clk            => altpll_1_c0_clk,
+
+        altpll_1_areset_conduit_export       => xo_rst,
+        altpll_1_phasedone_conduit_export    => OPEN,
+        altpll_1_locked_conduit_export       => open
       );
   end generate;
 
diff --git a/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/unb1_correlator.vhd b/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/unb1_correlator.vhd
index 67c4830a29..bd22958596 100644
--- a/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/unb1_correlator.vhd
+++ b/libraries/dsp/correlator/designs/unb1_correlator/src/vhdl/unb1_correlator.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, unb1_board_lib, correlator_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity unb1_correlator is
   generic (
@@ -141,14 +141,28 @@ architecture str of unb1_correlator is
   --   it is not used by the correlator.
   constant c_bg_blocks_per_sync     : natural := largest(c_integration_period, c_nof_visibilities);
 
-  constant c_bg_ctrl                : t_diag_block_gen := ('1',  -- enable
-                                                           '0',  -- enable_sync
-                                                          TO_UVEC(     c_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                          TO_UVEC(c_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                          TO_UVEC(        c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                          TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                          TO_UVEC(   c_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                          TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                : t_diag_block_gen := (
+    '1',  -- enable
+    '0',  -- enable_sync
+    TO_UVEC(
+                  c_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                c_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
   signal block_gen_src_out_arr      : t_dp_sosi_arr(c_nof_input_streams - 1 downto 0);
 
@@ -173,22 +187,22 @@ begin
   --   initialization file using Python, see tb/python/gen_subband_hex_files.py
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => c_nof_input_streams,
-    g_buf_dat_w          => 2 * c_complex_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_name_prefix   => "../../../libraries/dsp/correlator/src/hex/complex_subbands_" & natural'image(c_complex_data_w) & "b_" & "fold_" & natural'image(c_nof_input_folds),
-    g_diag_block_gen_rst => c_bg_ctrl
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_input_streams,
+      g_buf_dat_w          => 2 * c_complex_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_name_prefix   => "../../../libraries/dsp/correlator/src/hex/complex_subbands_" & natural'image(c_complex_data_w) & "b_" & "fold_" & natural'image(c_nof_input_folds),
+      g_diag_block_gen_rst => c_bg_ctrl
+    )
+    port map (
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Introduce gaps in the input stream
@@ -198,40 +212,40 @@ begin
   -----------------------------------------------------------------------------
   gen_dp_fifo_sc : for i in 0 to c_nof_input_streams - 1 generate
     u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-    generic map (
-      g_data_w         => 2 * c_complex_data_w,
-      g_use_ctrl       => false,
-      g_use_complex    => true,
-      g_fifo_size      => c_bg_block_size,
-      g_fifo_af_margin => 0
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      wr_ful      => OPEN,
-      usedw       => OPEN,
-      rd_emp      => OPEN,
-
-      snk_out     => OPEN,
-      snk_in      => block_gen_src_out_arr(i),
-      src_in      => dp_fifo_sc_src_in_arr(i),
-      src_out     => dp_fifo_sc_src_out_arr(i)
-    );
+      generic map (
+        g_data_w         => 2 * c_complex_data_w,
+        g_use_ctrl       => false,
+        g_use_complex    => true,
+        g_fifo_size      => c_bg_block_size,
+        g_fifo_af_margin => 0
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        wr_ful      => OPEN,
+        usedw       => OPEN,
+        rd_emp      => OPEN,
+
+        snk_out     => OPEN,
+        snk_in      => block_gen_src_out_arr(i),
+        src_in      => dp_fifo_sc_src_in_arr(i),
+        src_out     => dp_fifo_sc_src_out_arr(i)
+      );
   end generate;
 
   gen_dp_src_out_timer : for i in 0 to c_nof_input_streams - 1 generate
     u_dp_src_out_timer : entity dp_lib.dp_src_out_timer
-    generic map (
-      g_block_period => c_block_period
-    )
-    port map (
-      rst                  => dp_rst,
-      clk                  => dp_clk,
-
-      snk_in               => dp_fifo_sc_src_out_arr(i),
-      snk_out              => dp_fifo_sc_src_in_arr(i)
-    );
+      generic map (
+        g_block_period => c_block_period
+      )
+      port map (
+        rst                  => dp_rst,
+        clk                  => dp_clk,
+
+        snk_in               => dp_fifo_sc_src_out_arr(i),
+        snk_out              => dp_fifo_sc_src_in_arr(i)
+      );
   end generate;
 
   correlator_snk_in_arr <= dp_fifo_sc_src_out_arr;
@@ -240,198 +254,198 @@ begin
   -- Correlator
   -----------------------------------------------------------------------------
   u_correlator : entity correlator_lib.correlator
-  generic map (
-    g_nof_input_streams   => c_nof_input_streams,
-    g_input_unfold_factor => c_nof_input_folds,
-    g_nof_pre_mult_folds  => c_nof_pre_mult_folds,
-    g_data_w              => c_complex_data_w,
-    g_conjugate           => c_conjugate,
-    g_nof_channels        => c_nof_channels,
-    g_integration_period  => c_integration_period
-  )
-  port map (
-    clk         => dp_clk,
-    rst         => dp_rst,
-
-    snk_in_arr  => correlator_snk_in_arr,
-    src_out_arr => correlator_src_out_arr
-  );
+    generic map (
+      g_nof_input_streams   => c_nof_input_streams,
+      g_input_unfold_factor => c_nof_input_folds,
+      g_nof_pre_mult_folds  => c_nof_pre_mult_folds,
+      g_data_w              => c_complex_data_w,
+      g_conjugate           => c_conjugate,
+      g_nof_channels        => c_nof_channels,
+      g_integration_period  => c_integration_period
+    )
+    port map (
+      clk         => dp_clk,
+      rst         => dp_rst,
+
+      snk_in_arr  => correlator_snk_in_arr,
+      src_out_arr => correlator_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Data buffer to be read out by Python
   -----------------------------------------------------------------------------
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => 1,
-    g_data_w       => 64,
-    g_data_type    => e_complex,
-    g_buf_nof_data => c_nof_visibilities,
-    g_buf_use_sync => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-
-    in_sync           => correlator_src_out_arr(0).sop,
-    in_sosi_arr       => correlator_src_out_arr
-  );
+    generic map (
+      g_nof_streams  => 1,
+      g_data_w       => 64,
+      g_data_type    => e_complex,
+      g_buf_nof_data => c_nof_visibilities,
+      g_buf_use_sync => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+
+      in_sync           => correlator_src_out_arr(0).sop,
+      in_sosi_arr       => correlator_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim         => g_sim,
-    g_design_name => g_design_name,
-    g_stamp_date  => g_stamp_date,
-    g_stamp_time  => g_stamp_time,
-    g_stamp_svn   => g_stamp_svn,
-    g_fw_version  => c_fw_version,
-    g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
-    g_use_phy     => c_use_phy,
-    g_aux         => c_unb1_board_aux,
-    g_dp_clk_use_pll => false  -- Use altpll_1 in QSYS
-  )
-  port map (
-    -- Clock an reset signals
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-    mm_rst                   => mm_rst,
-
-    epcs_clk                 => '0',
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => OPEN,  -- dp_clk,
-    dp_pps                   => OPEN,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- Toggle WDI
-    pout_wdi                 => pout_wdi,
-
-    -- MM buses
-    -- . Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- . System_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- . UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- . PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- FPGA pins
-    -- . General
-    CLK                      => '0',
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    -- . Others
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    -- . I2C Interface to Sensors
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    -- . 1GbE Control Interface
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_design_name => g_design_name,
+      g_stamp_date  => g_stamp_date,
+      g_stamp_time  => g_stamp_time,
+      g_stamp_svn   => g_stamp_svn,
+      g_fw_version  => c_fw_version,
+      g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
+      g_use_phy     => c_use_phy,
+      g_aux         => c_unb1_board_aux,
+      g_dp_clk_use_pll => false  -- Use altpll_1 in QSYS
+    )
+    port map (
+      -- Clock an reset signals
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+      mm_rst                   => mm_rst,
+
+      epcs_clk                 => '0',
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => OPEN,  -- dp_clk,
+      dp_pps                   => OPEN,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- Toggle WDI
+      pout_wdi                 => pout_wdi,
+
+      -- MM buses
+      -- . Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- . System_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- . UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- . PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,  -- 125 MHz from xo_clk PLL in SOPC system
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- FPGA pins
+      -- . General
+      CLK                      => '0',
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      -- . Others
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      -- . I2C Interface to Sensors
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      -- . 1GbE Control Interface
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
   u_mmm : entity work.mmm_unb1_correlator
-  generic map (
-    g_sim         => g_sim,
-    g_sim_unb_nr  => g_sim_unb_nr,
-    g_sim_node_nr => g_sim_node_nr
-   )
-  port map(
-    xo_clk                   => xo_clk,
-    xo_rst_n                 => xo_rst_n,
-    xo_rst                   => xo_rst,
-
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    mm_locked                => mm_locked,
-
-    clk_clk                  => CLK,  -- altpll_1 ref clk (200MHz)
-    clk_clk_in_reset_reset_n => xo_rst_n,
-    altpll_1_c0_clk          => dp_clk,  -- altpll_1 output clock
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- PPSH
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- . Data buffers
-    reg_diag_data_buf_mosi   => reg_diag_data_buf_mosi,
-    reg_diag_data_buf_miso   => reg_diag_data_buf_miso,
-
-    ram_diag_data_buf_mosi   => ram_diag_data_buf_mosi,
-    ram_diag_data_buf_miso   => ram_diag_data_buf_miso,
-
-    -- eth1g
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso
-  );
+    generic map (
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      xo_clk                   => xo_clk,
+      xo_rst_n                 => xo_rst_n,
+      xo_rst                   => xo_rst,
+
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      mm_locked                => mm_locked,
+
+      clk_clk                  => CLK,  -- altpll_1 ref clk (200MHz)
+      clk_clk_in_reset_reset_n => xo_rst_n,
+      altpll_1_c0_clk          => dp_clk,  -- altpll_1 output clock
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- PPSH
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- . Data buffers
+      reg_diag_data_buf_mosi   => reg_diag_data_buf_mosi,
+      reg_diag_data_buf_miso   => reg_diag_data_buf_miso,
+
+      ram_diag_data_buf_mosi   => ram_diag_data_buf_mosi,
+      ram_diag_data_buf_miso   => ram_diag_data_buf_miso,
+
+      -- eth1g
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso
+    );
 
 end str;
 
diff --git a/libraries/dsp/correlator/designs/unb1_correlator/tb/vhdl/tb_unb1_correlator.vhd b/libraries/dsp/correlator/designs/unb1_correlator/tb/vhdl/tb_unb1_correlator.vhd
index 44a7cd0ee4..404f44cc05 100644
--- a/libraries/dsp/correlator/designs/unb1_correlator/tb/vhdl/tb_unb1_correlator.vhd
+++ b/libraries/dsp/correlator/designs/unb1_correlator/tb/vhdl/tb_unb1_correlator.vhd
@@ -25,11 +25,11 @@
 -- Usage:
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_correlator is
 end tb_unb1_correlator;
diff --git a/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd b/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd
index 08315cb2b7..6a5e43f7c5 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd
@@ -1,6 +1,6 @@
 --------------------------------------------------------------------------------
 --
--- Author: Daniel van der Schuur 
+-- Author: Daniel van der Schuur
 --
 -- Copyright (C) 2016
 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
@@ -22,10 +22,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Keep g_nof_channels serialized accumulators in an array of circular buffers
@@ -43,7 +43,7 @@ entity corr_accumulator is
     g_nof_channel_accs : natural;  -- Maximum number of timesamples to accumulate (per channel)
     g_data_w           : natural;  -- Complex input data width
     g_note_is_ful      : boolean := false  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
-                                           -- when FALSE no note reports, to speed up simulation and avoid many notes
+  -- when FALSE no note reports, to speed up simulation and avoid many notes
   );
   port (
     rst            : in  std_logic;
@@ -107,40 +107,40 @@ begin
   -- Complex adder stage
   -----------------------------------------------------------------------------
   u_corr_adder : entity work.corr_adder
-  generic map (
-    g_nof_inputs => g_nof_inputs,
-    g_data_w     => c_acc_data_w
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_2arr_2  => corr_adder_snk_in_2arr_2,
-    src_out_arr    => corr_adder_src_out_arr
-  );
+    generic map (
+      g_nof_inputs => g_nof_inputs,
+      g_data_w     => c_acc_data_w
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_2arr_2  => corr_adder_snk_in_2arr_2,
+      src_out_arr    => corr_adder_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- FIFOs to store the accumulator sums
   -----------------------------------------------------------------------------
   gen_dp_fifo_sc : for i in 0 to g_nof_inputs - 1 generate
     u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-    generic map (
-      g_note_is_ful    => g_note_is_ful,
-      g_data_w         => 2 * c_acc_data_w,
-      g_use_ctrl       => false,
-      g_use_sync       => sel_a_b(i = 0, true, false),  -- Pass on sync of stream 0.
-      g_use_complex    => true,
-      g_fifo_size      => g_nof_channels,
-      g_fifo_af_margin => 1
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-
-      snk_in      => corr_adder_src_out_arr(i),
-      src_in      => dp_fifo_sc_src_in_arr(i),
-      src_out     => dp_fifo_sc_src_out_arr(i)
-    );
+      generic map (
+        g_note_is_ful    => g_note_is_ful,
+        g_data_w         => 2 * c_acc_data_w,
+        g_use_ctrl       => false,
+        g_use_sync       => sel_a_b(i = 0, true, false),  -- Pass on sync of stream 0.
+        g_use_complex    => true,
+        g_fifo_size      => g_nof_channels,
+        g_fifo_af_margin => 1
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+
+        snk_in      => corr_adder_src_out_arr(i),
+        src_in      => dp_fifo_sc_src_in_arr(i),
+        src_out     => dp_fifo_sc_src_out_arr(i)
+      );
 
     -- Shift out the first accumulated value when it aligns with the corresponding current value at the adder input
     -- . this produces the dp_fifo_sc_src_out_arr data in sync with reg_snk_in_arr data
diff --git a/libraries/dsp/correlator/src/vhdl/corr_adder.vhd b/libraries/dsp/correlator/src/vhdl/corr_adder.vhd
index 71c7a0449b..93d26fec58 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_adder.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_adder.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Provide an array of complex adders with streaming I/O
@@ -36,7 +36,7 @@ entity corr_adder is
   generic (
     g_nof_inputs  : natural;
     g_data_w      : natural
-   );
+  );
   port (
     rst            : in  std_logic;
     clk            : in  std_logic;
@@ -67,53 +67,53 @@ begin
   -----------------------------------------------------------------------------
   gen_common_pipeline_sl : for i in 0 to g_nof_inputs - 1 generate
     u_common_pipeline_sl : entity common_lib.common_pipeline_sl
+      generic map (
+        g_pipeline  => c_pipeline_depth
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => snk_in_2arr_2(i)(0).valid,
+        out_dat => src_out_arr(i).valid
+      );
+  end generate;
+
+  -----------------------------------------------------------------------------
+  -- Delay sync signal of output 0
+  -----------------------------------------------------------------------------
+  u_common_pipeline_sl : entity common_lib.common_pipeline_sl
     generic map (
       g_pipeline  => c_pipeline_depth
     )
     port map (
       rst     => rst,
       clk     => clk,
-      in_dat  => snk_in_2arr_2(i)(0).valid,
-      out_dat => src_out_arr(i).valid
+      in_dat  => snk_in_2arr_2(0)(0).sync,
+      out_dat => src_out_arr(0).sync
     );
-  end generate;
-
-  -----------------------------------------------------------------------------
-  -- Delay sync signal of output 0
-  -----------------------------------------------------------------------------
-  u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_pipeline_depth
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => snk_in_2arr_2(0)(0).sync,
-    out_dat => src_out_arr(0).sync
-  );
 
   -----------------------------------------------------------------------------
   -- Complex adder
   -----------------------------------------------------------------------------
   gen_common_complex_add_sub : for i in 0 to g_nof_inputs - 1 generate
     u_common_complex_add_sub : entity common_lib.common_complex_add_sub
-    generic map (
-      g_direction       => "ADD",
-      g_representation  => "SIGNED",
-      g_pipeline_input  => c_input_pipeline_depth,
-      g_pipeline_output => c_output_pipeline_depth,
-      g_in_dat_w        => g_data_w,
-      g_out_dat_w       => g_data_w + 1
-    )
-    port map (
-      clk        => clk,
-      in_ar      => snk_in_2arr_2(i)(0).re(g_data_w - 1 downto 0),
-      in_ai      => snk_in_2arr_2(i)(0).im(g_data_w - 1 downto 0),
-      in_br      => snk_in_2arr_2(i)(1).re(g_data_w - 1 downto 0),
-      in_bi      => snk_in_2arr_2(i)(1).im(g_data_w - 1 downto 0),
-      out_re     => common_complex_add_sub_src_out_arr(i).re(g_data_w + 1 - 1 downto 0),
-      out_im     => common_complex_add_sub_src_out_arr(i).im(g_data_w + 1 - 1 downto 0)
-    );
+      generic map (
+        g_direction       => "ADD",
+        g_representation  => "SIGNED",
+        g_pipeline_input  => c_input_pipeline_depth,
+        g_pipeline_output => c_output_pipeline_depth,
+        g_in_dat_w        => g_data_w,
+        g_out_dat_w       => g_data_w + 1
+      )
+      port map (
+        clk        => clk,
+        in_ar      => snk_in_2arr_2(i)(0).re(g_data_w - 1 downto 0),
+        in_ai      => snk_in_2arr_2(i)(0).im(g_data_w - 1 downto 0),
+        in_br      => snk_in_2arr_2(i)(1).re(g_data_w - 1 downto 0),
+        in_bi      => snk_in_2arr_2(i)(1).im(g_data_w - 1 downto 0),
+        out_re     => common_complex_add_sub_src_out_arr(i).re(g_data_w + 1 - 1 downto 0),
+        out_im     => common_complex_add_sub_src_out_arr(i).im(g_data_w + 1 - 1 downto 0)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
diff --git a/libraries/dsp/correlator/src/vhdl/corr_carousel.vhd b/libraries/dsp/correlator/src/vhdl/corr_carousel.vhd
index 718caae76a..352d25899d 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_carousel.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_carousel.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Outputs all unique pair permutations of the words at the inputs.
diff --git a/libraries/dsp/correlator/src/vhdl/corr_folder.vhd b/libraries/dsp/correlator/src/vhdl/corr_folder.vhd
index 236c3fbe5f..42ccd2ad6c 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_folder.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_folder.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- Description:
@@ -113,17 +113,17 @@ begin
     -----------------------------------------------------------------------------
     gen_corr_folder: if (g_nof_folds < 0 and c_nof_muxes > 1) or g_nof_folds > 1 generate
       u_corr_folder : corr_folder
-      generic map (
-        g_nof_inputs => c_nof_muxes,
-        g_nof_folds  => g_nof_folds - 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-
-        snk_in_arr  => mux_src_out_arr,
-        src_out_arr => src_out_arr
-      );
+        generic map (
+          g_nof_inputs => c_nof_muxes,
+          g_nof_folds  => g_nof_folds - 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+
+          snk_in_arr  => mux_src_out_arr,
+          src_out_arr => src_out_arr
+        );
     end generate;
 
     gen_src_out_arr: if (g_nof_folds < 0 and c_nof_muxes = 1) or g_nof_folds = 1 generate
diff --git a/libraries/dsp/correlator/src/vhdl/corr_folder_2arr_2.vhd b/libraries/dsp/correlator/src/vhdl/corr_folder_2arr_2.vhd
index 8ce50cd238..81bd341765 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_folder_2arr_2.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_folder_2arr_2.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Wrapper for corr_folder that allows the use of t_dp_sosi_2arr_2
@@ -68,16 +68,16 @@ begin
   -- (0)(0). Since the accumulator now uses a block sync, we need to preserve
   -- the sync of all inputs.
   ------------------------------------------------------------------------------
---  p_dp_pipeline_snk_in_2arr_2: PROCESS(snk_in_2arr_2)
---  BEGIN
---    dp_pipeline_snk_in_2arr_2 <= snk_in_2arr_2;
---    FOR i IN 0 TO g_nof_inputs-1 LOOP
---      FOR j IN 0 TO 2-1 LOOP
---        dp_pipeline_snk_in_2arr_2(i)(j).sync <= '0';
---      END LOOP;
---    END LOOP;
---  dp_pipeline_snk_in_2arr_2(0)(0).sync <= snk_in_2arr_2(0)(0).sync;
---  END PROCESS;
+  --  p_dp_pipeline_snk_in_2arr_2: PROCESS(snk_in_2arr_2)
+  --  BEGIN
+  --    dp_pipeline_snk_in_2arr_2 <= snk_in_2arr_2;
+  --    FOR i IN 0 TO g_nof_inputs-1 LOOP
+  --      FOR j IN 0 TO 2-1 LOOP
+  --        dp_pipeline_snk_in_2arr_2(i)(j).sync <= '0';
+  --      END LOOP;
+  --    END LOOP;
+  --  dp_pipeline_snk_in_2arr_2(0)(0).sync <= snk_in_2arr_2(0)(0).sync;
+  --  END PROCESS;
   dp_pipeline_snk_in_2arr_2 <= snk_in_2arr_2;
 
   -----------------------------------------------------------------------------
@@ -94,16 +94,16 @@ begin
   gen_dp_pipeline_src_out_2arr2 : for i in 0 to g_nof_inputs - 1 generate
     gen_dp_pipeline : for j in 0 to 2 - 1 generate
       u_dp_pipeline : entity dp_lib.dp_pipeline
-      generic map (
-        g_pipeline => 0 + (i rem pow2(g_nof_folds))
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-
-        snk_in      => dp_pipeline_snk_in_2arr_2(i)(j),
-        src_out     => dp_pipeline_src_out_2arr_2(i)(j)
-      );
+        generic map (
+          g_pipeline => 0 + (i rem pow2(g_nof_folds))
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+
+          snk_in      => dp_pipeline_snk_in_2arr_2(i)(j),
+          src_out     => dp_pipeline_src_out_2arr_2(i)(j)
+        );
     end generate;
   end generate;
 
@@ -121,18 +121,18 @@ begin
   ------------------------------------------------------------------------------
   gen_corr_folder: for i in 0 to 2 - 1 generate
     u_corr_folder : entity work.corr_folder
-    generic map (
-      g_nof_inputs => g_nof_inputs,
-      g_nof_folds  => g_nof_folds
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-
-      snk_in_arr  => corr_folder_snk_in_2arr(i),
-
-      src_out_arr => corr_folder_src_out_2arr(i)
-    );
+      generic map (
+        g_nof_inputs => g_nof_inputs,
+        g_nof_folds  => g_nof_folds
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+
+        snk_in_arr  => corr_folder_snk_in_2arr(i),
+
+        src_out_arr => corr_folder_src_out_2arr(i)
+      );
   end generate;
 
   ------------------------------------------------------------------------------
diff --git a/libraries/dsp/correlator/src/vhdl/corr_multiplier.vhd b/libraries/dsp/correlator/src/vhdl/corr_multiplier.vhd
index 935202cbfc..6d310c7f02 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_multiplier.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_multiplier.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Provide an array of multipliers with streaming I/O
@@ -37,7 +37,7 @@ entity corr_multiplier is
     g_technology  : natural := c_tech_select_default;
     g_nof_inputs  : natural;
     g_data_w      : natural
-   );
+  );
   port (
     rst            : in  std_logic;
     clk            : in  std_logic;
@@ -68,31 +68,31 @@ begin
   -----------------------------------------------------------------------------
   gen_common_complex_mult : for i in 0 to g_nof_inputs - 1 generate
     u_common_complex_mult : entity common_mult_lib.common_complex_mult
-    generic map (
-      g_technology       => g_technology,
-      g_variant          => "IP",
-      g_in_a_w           => g_data_w,
-      g_in_b_w           => g_data_w,
-      g_out_p_w          => 2 * g_data_w,  -- default use g_out_p_w = g_in_a_w+g_in_b_w
-      g_conjugate_b      => true,
-      g_pipeline_input   => c_pipeline_input,
-      g_pipeline_product => c_pipeline_product,
-      g_pipeline_adder   => c_pipeline_adder,
-      g_pipeline_output  => c_pipeline_output
-    )
-    port map (
-      clk        => clk,
-      clken      => '1',
-      rst        => '0',
-      in_ar      => snk_in_2arr_2(i)(0).re(g_data_w - 1 downto 0),
-      in_ai      => snk_in_2arr_2(i)(0).im(g_data_w - 1 downto 0),
-      in_br      => snk_in_2arr_2(i)(1).re(g_data_w - 1 downto 0),
-      in_bi      => snk_in_2arr_2(i)(1).im(g_data_w - 1 downto 0),
-      in_val     => snk_in_2arr_2(i)(0).valid,
-      out_pr     => common_complex_mult_src_out_arr(i).re(2 * g_data_w - 1 downto 0),
-      out_pi     => common_complex_mult_src_out_arr(i).im(2 * g_data_w - 1 downto 0),
-      out_val    => common_complex_mult_src_out_arr(i).valid
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_variant          => "IP",
+        g_in_a_w           => g_data_w,
+        g_in_b_w           => g_data_w,
+        g_out_p_w          => 2 * g_data_w,  -- default use g_out_p_w = g_in_a_w+g_in_b_w
+        g_conjugate_b      => true,
+        g_pipeline_input   => c_pipeline_input,
+        g_pipeline_product => c_pipeline_product,
+        g_pipeline_adder   => c_pipeline_adder,
+        g_pipeline_output  => c_pipeline_output
+      )
+      port map (
+        clk        => clk,
+        clken      => '1',
+        rst        => '0',
+        in_ar      => snk_in_2arr_2(i)(0).re(g_data_w - 1 downto 0),
+        in_ai      => snk_in_2arr_2(i)(0).im(g_data_w - 1 downto 0),
+        in_br      => snk_in_2arr_2(i)(1).re(g_data_w - 1 downto 0),
+        in_bi      => snk_in_2arr_2(i)(1).im(g_data_w - 1 downto 0),
+        in_val     => snk_in_2arr_2(i)(0).valid,
+        out_pr     => common_complex_mult_src_out_arr(i).re(2 * g_data_w - 1 downto 0),
+        out_pi     => common_complex_mult_src_out_arr(i).im(2 * g_data_w - 1 downto 0),
+        out_val    => common_complex_mult_src_out_arr(i).valid
+      );
 
     src_out_arr(i).re    <= RESIZE_DP_DSP_DATA(common_complex_mult_src_out_arr(i).re(2 * g_data_w - 1 downto 0));
     src_out_arr(i).im    <= RESIZE_DP_DSP_DATA(common_complex_mult_src_out_arr(i).im(2 * g_data_w - 1 downto 0));
@@ -102,16 +102,16 @@ begin
   -----------------------------------------------------------------------------
   -- Forward the input sync with the correct latency
   -----------------------------------------------------------------------------
- u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => c_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-
-    in_dat  => snk_in_2arr_2(0)(0).sync,
-    out_dat => src_out_arr(0).sync
-  );
+  u_common_pipeline_sl : entity common_lib.common_pipeline_sl
+    generic map (
+      g_pipeline  => c_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+
+      in_dat  => snk_in_2arr_2(0)(0).sync,
+      out_dat => src_out_arr(0).sync
+    );
 
 end str;
diff --git a/libraries/dsp/correlator/src/vhdl/corr_output_framer.vhd b/libraries/dsp/correlator/src/vhdl/corr_output_framer.vhd
index 16847ff430..3f7bb97e91 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_output_framer.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_output_framer.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Forward input stream with added channel (and optional sync, BSN) field
@@ -72,19 +72,19 @@ begin
   -- dp_block_gen to create correc SOP,EOP and Sync
   -----------------------------------------------------------------------------
   u_dp_block_gen_sop_eop_sync: entity dp_lib.dp_block_gen
-  generic map (
-    g_use_src_in         => false,
-    g_nof_data           => g_nof_channels * pow2(g_nof_folds),
-    g_nof_blk_per_sync   => g_nof_channel_frames_per_sync
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    snk_in     => snk_in_arr(0),
-
-    src_out    => dp_block_gen_src_out
-  );
+    generic map (
+      g_use_src_in         => false,
+      g_nof_data           => g_nof_channels * pow2(g_nof_folds),
+      g_nof_blk_per_sync   => g_nof_channel_frames_per_sync
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      snk_in     => snk_in_arr(0),
+
+      src_out    => dp_block_gen_src_out
+    );
 
   -----------------------------------------------------------------------------
   -- Create channel (based on SOP) and BSN (based on Sync)
@@ -126,8 +126,8 @@ begin
       for i in 0 to g_nof_streams - 1 loop
         channel_bsn_src_out.channel <= X"FFFFFFFF";  -- Wrap to 0 on first increment
         channel_bsn_src_out.bsn     <= X"FFFFFFFF_FFFFFFFF";  -- Wrap to 0 on first increment
---        channel_bsn_src_out.channel <= (OTHERS=>'0');
---        channel_bsn_src_out.bsn     <= (OTHERS=>'0');
+        --        channel_bsn_src_out.channel <= (OTHERS=>'0');
+        --        channel_bsn_src_out.bsn     <= (OTHERS=>'0');
         folded_word_cnt             <= (others => '1');  -- Wrap to 0 on first increment
       end loop;
     elsif rising_edge(clk) then
@@ -142,16 +142,16 @@ begin
   -----------------------------------------------------------------------------
   gen_dp_pipeline : for i in 0 to g_nof_streams - 1 generate
     u_dp_pipeline : entity dp_lib.dp_pipeline
-    generic map (
-      g_pipeline => 2
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-
-      snk_in      => snk_in_arr(i),
-      src_out     => dp_pipeline_src_out_arr(i)
-    );
+      generic map (
+        g_pipeline => 2
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+
+        snk_in      => snk_in_arr(i),
+        src_out     => dp_pipeline_src_out_arr(i)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
diff --git a/libraries/dsp/correlator/src/vhdl/corr_permutator.vhd b/libraries/dsp/correlator/src/vhdl/corr_permutator.vhd
index 6ce9b9a8f1..98d6385727 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_permutator.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_permutator.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Outputs all unique pair permutations of the words at the inputs.
@@ -77,7 +77,7 @@ begin
   -----------------------------------------------------------------------------
   gen_input: for i in 0 to g_nof_inputs - 1 generate
     gen_input: for j in 0 to g_nof_inputs - 1 generate
-        permu_in_2arr(i)(j) <= reg_snk_in_arr(i);
+      permu_in_2arr(i)(j) <= reg_snk_in_arr(i);
     end generate;
   end generate;
 
diff --git a/libraries/dsp/correlator/src/vhdl/corr_permutor.vhd b/libraries/dsp/correlator/src/vhdl/corr_permutor.vhd
index fd4b4f70d9..b2cc0b4ecd 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_permutor.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_permutor.vhd
@@ -22,11 +22,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.corr_permutor_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.corr_permutor_pkg.all;
 
 -- Purpose:
 -- . Outputs all unique pair permutations of the words at the inputs.
@@ -89,30 +89,30 @@ begin
       common_paged_reg_wr_im_arr(i) <= snk_in_arr(i).im(g_data_w - 1 downto 0);
 
       u_common_paged_reg_re : entity common_lib.common_paged_reg
-      generic map (
-        g_data_w    => g_data_w,
-        g_nof_pages => 1
-      )
-      port map (
-        rst          => rst,
-        clk          => clk,
-        wr_en(0)     => snk_in_arr(0).valid,
-        wr_dat       => common_paged_reg_wr_re_arr(i),
-        out_dat      => common_paged_reg_out_re_arr(i)
-      );
+        generic map (
+          g_data_w    => g_data_w,
+          g_nof_pages => 1
+        )
+        port map (
+          rst          => rst,
+          clk          => clk,
+          wr_en(0)     => snk_in_arr(0).valid,
+          wr_dat       => common_paged_reg_wr_re_arr(i),
+          out_dat      => common_paged_reg_out_re_arr(i)
+        );
 
       u_common_paged_reg_im : entity common_lib.common_paged_reg
-      generic map (
-        g_data_w    => g_data_w,
-        g_nof_pages => 1
-      )
-      port map (
-        rst          => rst,
-        clk          => clk,
-        wr_en(0)     => snk_in_arr(0).valid,
-        wr_dat       => common_paged_reg_wr_im_arr(i),
-        out_dat      => common_paged_reg_out_im_arr(i)
-      );
+        generic map (
+          g_data_w    => g_data_w,
+          g_nof_pages => 1
+        )
+        port map (
+          rst          => rst,
+          clk          => clk,
+          wr_en(0)     => snk_in_arr(0).valid,
+          wr_dat       => common_paged_reg_wr_im_arr(i),
+          out_dat      => common_paged_reg_out_im_arr(i)
+        );
 
       common_paged_reg_src_out_arr(i).re <= RESIZE_DP_DSP_DATA(common_paged_reg_out_re_arr(i));
       common_paged_reg_src_out_arr(i).im <= RESIZE_DP_DSP_DATA(common_paged_reg_out_im_arr(i));
@@ -163,28 +163,28 @@ begin
   -- level.
   -----------------------------------------------------------------------------
   u_common_pulse_extend_sync : entity common_lib.common_pulse_extend
-  generic map (
-    g_nof_cycles => g_nof_cycles
-  )
-  port map (
-    clk    => clk,
-    rst    => rst,
-
-    p_in   => snk_in_arr(0).sync,
-    ep_out => common_pulse_extend_ep_out_sync
-  );
+    generic map (
+      g_nof_cycles => g_nof_cycles
+    )
+    port map (
+      clk    => clk,
+      rst    => rst,
+
+      p_in   => snk_in_arr(0).sync,
+      ep_out => common_pulse_extend_ep_out_sync
+    );
 
   u_common_pulse_extend_valid : entity common_lib.common_pulse_extend
-  generic map (
-    g_nof_cycles => g_nof_cycles
-  )
-  port map (
-    clk    => clk,
-    rst    => rst,
-
-    p_in   => snk_in_arr(0).valid,
-    ep_out => common_pulse_extend_ep_out_valid
-  );
+    generic map (
+      g_nof_cycles => g_nof_cycles
+    )
+    port map (
+      clk    => clk,
+      rst    => rst,
+
+      p_in   => snk_in_arr(0).valid,
+      ep_out => common_pulse_extend_ep_out_valid
+    );
 
   -----------------------------------------------------------------------------
   -- Output
@@ -213,11 +213,11 @@ begin
     if rst = '1' then
       cycle_cnt   <= (others => '0');
       perm_2arr_2 <= (others => (others => c_dp_sosi_rst));
---      snk_out_arr <= (OTHERS=>c_dp_siso_rst);
+    --      snk_out_arr <= (OTHERS=>c_dp_siso_rst);
     elsif rising_edge(clk) then
       cycle_cnt   <= nxt_cycle_cnt;
       perm_2arr_2 <= nxt_perm_2arr_2;
---      snk_out_arr <= nxt_snk_out_arr;
+    --      snk_out_arr <= nxt_snk_out_arr;
     end if;
   end process;
 
diff --git a/libraries/dsp/correlator/src/vhdl/corr_permutor_pkg.vhd b/libraries/dsp/correlator/src/vhdl/corr_permutor_pkg.vhd
index 9ed1df2885..03c97fed3e 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_permutor_pkg.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_permutor_pkg.vhd
@@ -22,16 +22,16 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package corr_permutor_pkg is
 
   -- 3D array of dimensions nof_folding_cycles*nof_outputs*2 input indices
   type t_corr_permutation_table is array (integer range <>, integer range <>) of t_natural_arr(1 downto 0);
 
-  function corr_permute(nof_inputs : natural; nof_folding_cycles : natural) return t_corr_permutation_table;
+  function corr_permute (nof_inputs : natural; nof_folding_cycles : natural) return t_corr_permutation_table;
 
 end corr_permutor_pkg;
 
@@ -43,7 +43,7 @@ package body corr_permutor_pkg is
   -- . Originally used to generate permutation wiring directly from the inputs,
   --   this function now uses the same principles but supports folding.
   -----------------------------------------------------------------------------
-  function corr_permute(nof_inputs : natural; nof_folding_cycles : natural) return t_corr_permutation_table is
+  function corr_permute (nof_inputs : natural; nof_folding_cycles : natural) return t_corr_permutation_table is
     constant c_nof_permutations : natural := nof_inputs * (nof_inputs + 1) / 2;
     constant c_nof_outputs      : natural := c_nof_permutations / nof_folding_cycles;
     constant c_input_index_arr  : t_natural_arr(0 to nof_inputs - 1) := array_init(0, nof_inputs, 1);  -- array_init resembles Python's range(nof_inputs). We're using a TO range because array_init also uses a TO range.
diff --git a/libraries/dsp/correlator/src/vhdl/corr_unfolder.vhd b/libraries/dsp/correlator/src/vhdl/corr_unfolder.vhd
index bf9c7b0dc4..438ef957ff 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_unfolder.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_unfolder.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- Description:
@@ -89,8 +89,8 @@ begin
     -- Wire the 2D demux output array to 1D array to match entity I/O type
     -----------------------------------------------------------------------------
     gen_demux_inputs_0: for i in 0 to c_nof_demuxes - 1 generate
-       demux_src_out_arr(2 * i)   <= demux_src_out_2arr_2(i)(0);
-       demux_src_out_arr(2 * i + 1) <= demux_src_out_2arr_2(i)(1);
+      demux_src_out_arr(2 * i)   <= demux_src_out_2arr_2(i)(0);
+      demux_src_out_arr(2 * i + 1) <= demux_src_out_2arr_2(i)(1);
     end generate;
 
     -----------------------------------------------------------------------------
@@ -98,17 +98,17 @@ begin
     -----------------------------------------------------------------------------
     gen_corr_folder: if g_nof_unfolds > 1 generate
       u_corr_folder : corr_unfolder
-      generic map (
-        g_nof_inputs  => c_nof_demuxes * 2,  -- Next stage has all our demux outputs as inputs
-        g_nof_unfolds => g_nof_unfolds - 1
-      )
-      port map (
-        rst         => rst,
-        clk         => clk,
-
-        snk_in_arr  => demux_src_out_arr,
-        src_out_arr => src_out_arr
-      );
+        generic map (
+          g_nof_inputs  => c_nof_demuxes * 2,  -- Next stage has all our demux outputs as inputs
+          g_nof_unfolds => g_nof_unfolds - 1
+        )
+        port map (
+          rst         => rst,
+          clk         => clk,
+
+          snk_in_arr  => demux_src_out_arr,
+          src_out_arr => src_out_arr
+        );
     end generate;
 
     gen_src_out_arr: if g_nof_unfolds = 1 generate
@@ -119,16 +119,16 @@ begin
       -----------------------------------------------------------------------------
       gen_dp_pipeline : for i in 0 to c_nof_outputs - 1 generate
         u_dp_pipeline : entity dp_lib.dp_pipeline
-        generic map (
-          g_pipeline => 0 + (pow2(g_nof_unfolds) - i rem pow2(g_nof_unfolds) - 1)
-        )
-        port map (
-          rst         => rst,
-          clk         => clk,
-
-          snk_in      => dp_pipeline_snk_in_arr(i),
-          src_out     => src_out_arr(i)
-        );
+          generic map (
+            g_pipeline => 0 + (pow2(g_nof_unfolds) - i rem pow2(g_nof_unfolds) - 1)
+          )
+          port map (
+            rst         => rst,
+            clk         => clk,
+
+            snk_in      => dp_pipeline_snk_in_arr(i),
+            src_out     => src_out_arr(i)
+          );
       end generate;
     end generate;
 
diff --git a/libraries/dsp/correlator/src/vhdl/corr_visibility_buffer.vhd b/libraries/dsp/correlator/src/vhdl/corr_visibility_buffer.vhd
index 8fc8dbdb0e..fd4454ee56 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_visibility_buffer.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_visibility_buffer.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Buffer the visibilities during folding
@@ -44,7 +44,7 @@ entity corr_visibility_buffer is
     g_data_w              : natural;  -- Complex input data width
     g_nof_pre_mult_folds  : natural;  -- Nof times the data has been folded
     g_inter_channel_delay : natural  -- Nof delay cycles between output channels
-   );
+  );
   port (
     rst            : in  std_logic;
     clk            : in  std_logic;
@@ -101,27 +101,27 @@ begin
   ------------------------------------------------------------------------------
   gen_dp_fifo_sc : for i in 0 to g_nof_inputs - 1 generate
     u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-    generic map (
-      g_data_w         => 2 * g_data_w,
-      g_use_ctrl       => false,
-      g_use_sync       => sel_a_b(i = 0, true, false),  -- Pass on sync of stream 0.
-      g_use_complex    => true,
-      g_fifo_size      => g_buffer_depth,
-      g_fifo_af_margin => 0
-    )
-    port map (
-      rst         => rst,
-      clk         => clk,
-
-      wr_ful      => OPEN,
-      usedw       => OPEN,
-      rd_emp      => OPEN,
-
-      snk_out     => OPEN,
-      snk_in      => snk_in_arr(i),
-      src_in      => dp_fifo_sc_src_in_arr(i),
-      src_out     => dp_fifo_sc_src_out_arr(i)
-    );
+      generic map (
+        g_data_w         => 2 * g_data_w,
+        g_use_ctrl       => false,
+        g_use_sync       => sel_a_b(i = 0, true, false),  -- Pass on sync of stream 0.
+        g_use_complex    => true,
+        g_fifo_size      => g_buffer_depth,
+        g_fifo_af_margin => 0
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+
+        wr_ful      => OPEN,
+        usedw       => OPEN,
+        rd_emp      => OPEN,
+
+        snk_out     => OPEN,
+        snk_in      => snk_in_arr(i),
+        src_in      => dp_fifo_sc_src_in_arr(i),
+        src_out     => dp_fifo_sc_src_out_arr(i)
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -150,20 +150,20 @@ begin
   ------------------------------------------------------------------------------
   gen_dp_src_out_timer : for i in 0 to g_nof_inputs - 1 generate
     u_dp_src_out_timer : entity dp_lib.dp_src_out_timer
-    generic map (
-      g_init_valid_delay => i * c_vis_block_len,  -- relative to dp_fifo_sc_src_out_arr(i).valid
-      g_block_period     => c_channel_block_length + g_inter_channel_delay,
-      g_block_len        => c_vis_block_len
-    )
-    port map (
-      rst                  => rst,
-      clk                  => clk,
-
-      init_valid_delay_ref => snk_in_arr(i).valid,
-
-      snk_in               => dp_fifo_sc_src_out_arr(i),
-      snk_out              => dp_fifo_sc_src_in_arr(i)
-    );
+      generic map (
+        g_init_valid_delay => i * c_vis_block_len,  -- relative to dp_fifo_sc_src_out_arr(i).valid
+        g_block_period     => c_channel_block_length + g_inter_channel_delay,
+        g_block_len        => c_vis_block_len
+      )
+      port map (
+        rst                  => rst,
+        clk                  => clk,
+
+        init_valid_delay_ref => snk_in_arr(i).valid,
+
+        snk_in               => dp_fifo_sc_src_out_arr(i),
+        snk_out              => dp_fifo_sc_src_in_arr(i)
+      );
   end generate;
 
   src_out_arr <= dp_fifo_sc_src_out_arr;
diff --git a/libraries/dsp/correlator/src/vhdl/correlator.vhd b/libraries/dsp/correlator/src/vhdl/correlator.vhd
index 965f502b71..d921997729 100644
--- a/libraries/dsp/correlator/src/vhdl/correlator.vhd
+++ b/libraries/dsp/correlator/src/vhdl/correlator.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Calculate the cross- and auto correlations of c_nof_inputs inputs.
@@ -42,7 +42,7 @@ entity correlator is
     g_inter_channel_delay     : natural := 0;  -- 0: Channels are output back to back. Set to >0 (cycles) for more constant output rate
     g_visibility_buffer_depth : natural := 0;  -- 0: internally set to c_nof_accumulators. Use more depth e.g. in sim with shorter integration period.
     g_note_is_ful             : boolean := false  -- when TRUE report NOTE when FIFO goes full, fifo overflow is always reported as FAILURE
-                                                  -- when FALSE no note reports, to speed up simulation and avoid many notes    g_nof_inputs       : NATURAL; -- Number of input streams
+  -- when FALSE no note reports, to speed up simulation and avoid many notes    g_nof_inputs       : NATURAL; -- Number of input streams
   );
   port (
     rst            : in  std_logic;
@@ -92,7 +92,7 @@ begin
   ------------------------------------------------------------------------------
   -- Check passed parameters
   ------------------------------------------------------------------------------
--- synthesis translate_off
+  -- synthesis translate_off
   p_check_parameters : process
   begin
     print_str( "################################################################################");
@@ -112,91 +112,91 @@ begin
   assert g_integration_period >= c_nof_mults
     report "g_integration_period " & int_to_str(g_integration_period) & " not large enough; cannot fold " & int_to_str(c_nof_mults) & " DSP streams into one stream without losing data"
     severity FAILURE;
--- synthesis translate_on
+  -- synthesis translate_on
 
   ------------------------------------------------------------------------------
   -- Unfold input streams
   ------------------------------------------------------------------------------
   u_corr_unfolder : entity work.corr_unfolder
-  generic map (
-    g_nof_inputs  => g_nof_input_streams,
-    g_nof_unfolds => g_input_unfold_factor
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
+    generic map (
+      g_nof_inputs  => g_nof_input_streams,
+      g_nof_unfolds => g_input_unfold_factor
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
 
-    snk_in_arr  => snk_in_arr,
+      snk_in_arr  => snk_in_arr,
 
-    src_out_arr => corr_unfolder_src_out_arr
-  );
+      src_out_arr => corr_unfolder_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Create all unique pair permutations of the input streams
   -----------------------------------------------------------------------------
   u_corr_permutator : entity work.corr_permutator
-  generic map (
-    g_nof_inputs => c_nof_inputs
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_arr     => corr_unfolder_src_out_arr,
-    src_out_2arr_2 => corr_permutator_src_out_2arr_2
-  );
+    generic map (
+      g_nof_inputs => c_nof_inputs
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_arr     => corr_unfolder_src_out_arr,
+      src_out_2arr_2 => corr_permutator_src_out_2arr_2
+    );
 
   -----------------------------------------------------------------------------
   -- Fold the streams before feeding them to the multiplier stage
   -----------------------------------------------------------------------------
   u_corr_folder_2arr_2 : entity work.corr_folder_2arr_2
-  generic map (
-    g_nof_inputs => c_nof_visibilities,
-    g_nof_folds  => g_nof_pre_mult_folds
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
+    generic map (
+      g_nof_inputs => c_nof_visibilities,
+      g_nof_folds  => g_nof_pre_mult_folds
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
 
-    snk_in_2arr_2  => corr_permutator_src_out_2arr_2,
+      snk_in_2arr_2  => corr_permutator_src_out_2arr_2,
 
-    src_out_2arr_2 => corr_folder_src_out_2arr_2
-  );
+      src_out_2arr_2 => corr_folder_src_out_2arr_2
+    );
 
   -----------------------------------------------------------------------------
   -- Complex multiplier stage
   -----------------------------------------------------------------------------
   u_corr_multiplier : entity work.corr_multiplier
-  generic map (
-    g_nof_inputs => c_nof_mults,
-    g_data_w     => g_data_w
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_2arr_2  => corr_folder_src_out_2arr_2,
-    src_out_arr    => corr_multiplier_src_out_arr
-  );
+    generic map (
+      g_nof_inputs => c_nof_mults,
+      g_data_w     => g_data_w
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_2arr_2  => corr_folder_src_out_2arr_2,
+      src_out_arr    => corr_multiplier_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Accumulator stage
   -----------------------------------------------------------------------------
   u_corr_accumulator : entity work.corr_accumulator
-  generic map (
-    g_nof_inputs         => c_nof_mults,
-    g_nof_channels       => c_nof_accumulators,
-    g_nof_channel_accs   => g_integration_period,
-    g_data_w             => 2 * g_data_w,  -- Multiplier output data width
-    g_note_is_ful        => g_note_is_ful
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_arr     => corr_multiplier_src_out_arr,
-    src_out_arr    => corr_accumulator_src_out_arr
-  );
+    generic map (
+      g_nof_inputs         => c_nof_mults,
+      g_nof_channels       => c_nof_accumulators,
+      g_nof_channel_accs   => g_integration_period,
+      g_data_w             => 2 * g_data_w,  -- Multiplier output data width
+      g_note_is_ful        => g_note_is_ful
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_arr     => corr_multiplier_src_out_arr,
+      src_out_arr    => corr_accumulator_src_out_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Buffer the visibilities before the folding stage.
@@ -205,41 +205,41 @@ begin
   --   pace.
   ------------------------------------------------------------------------------
   u_corr_visibility_buffer : entity work.corr_visibility_buffer
-  generic map (
-    g_nof_inputs          => c_nof_mults,
-    g_data_w              => c_acc_data_w,
-    g_buffer_depth        => c_visibility_buffer_depth,
-    g_nof_pre_mult_folds  => g_nof_pre_mult_folds,
-    g_inter_channel_delay => g_inter_channel_delay
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_arr     => corr_accumulator_src_out_arr,
-    src_out_arr    => corr_visibility_buffer_src_out_arr
-  );
+    generic map (
+      g_nof_inputs          => c_nof_mults,
+      g_data_w              => c_acc_data_w,
+      g_buffer_depth        => c_visibility_buffer_depth,
+      g_nof_pre_mult_folds  => g_nof_pre_mult_folds,
+      g_inter_channel_delay => g_inter_channel_delay
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_arr     => corr_accumulator_src_out_arr,
+      src_out_arr    => corr_visibility_buffer_src_out_arr
+    );
 
   ------------------------------------------------------------------------------
   -- Fold onto one stream
   ------------------------------------------------------------------------------
   u_corr_folder : entity work.corr_folder
-  generic map (
-    g_nof_inputs => c_nof_mults,
-    g_nof_folds  => -1
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    snk_in_arr  => corr_visibility_buffer_src_out_arr,
-
-    src_out_arr => src_out_arr
-  );
-
-  -----------------------------------------------------------------------------
-  -- Add SOP, EOP, BSN, Channel and sync to tag the correlator output
-  -----------------------------------------------------------------------------
+    generic map (
+      g_nof_inputs => c_nof_mults,
+      g_nof_folds  => -1
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      snk_in_arr  => corr_visibility_buffer_src_out_arr,
+
+      src_out_arr => src_out_arr
+    );
+
+-----------------------------------------------------------------------------
+-- Add SOP, EOP, BSN, Channel and sync to tag the correlator output
+-----------------------------------------------------------------------------
 --  u_corr_output_framer : ENTITY work.corr_output_framer
 --  GENERIC MAP (
 --    g_nof_inputs          => 1,
diff --git a/libraries/dsp/correlator/src/vhdl/correlator_dev.vhd b/libraries/dsp/correlator/src/vhdl/correlator_dev.vhd
index 239c08ead5..37db230556 100644
--- a/libraries/dsp/correlator/src/vhdl/correlator_dev.vhd
+++ b/libraries/dsp/correlator/src/vhdl/correlator_dev.vhd
@@ -22,11 +22,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose:
 -- . Calculate the cross- and auto correlations of g_nof_inputs inputs for multiple channels using a specified number of multipliers
@@ -90,54 +90,54 @@ begin
   -- . Also performs serialization
   -----------------------------------------------------------------------------
   u_corr_permutor : entity work.corr_permutor
-  generic map (
-    g_nof_inputs   => g_nof_inputs,
-    g_nof_outputs  => g_nof_mults,
-    g_nof_cycles   => g_nof_cycles,
-    g_data_w       => g_data_w
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_arr     => snk_in_arr,
-    snk_out_arr    => snk_out_arr,
-
-    src_out_2arr_2 => corr_permutor_src_out_2arr_2
-  );
+    generic map (
+      g_nof_inputs   => g_nof_inputs,
+      g_nof_outputs  => g_nof_mults,
+      g_nof_cycles   => g_nof_cycles,
+      g_data_w       => g_data_w
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_arr     => snk_in_arr,
+      snk_out_arr    => snk_out_arr,
+
+      src_out_2arr_2 => corr_permutor_src_out_2arr_2
+    );
 
   -----------------------------------------------------------------------------
   -- Complex multiplier stage
   -----------------------------------------------------------------------------
   u_corr_multiplier : entity work.corr_multiplier
-  generic map (
-    g_nof_inputs => g_nof_mults,
-    g_data_w     => g_data_w
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_2arr_2  => corr_permutor_src_out_2arr_2,
-    src_out_arr    => corr_multiplier_src_out_arr
-  );
+    generic map (
+      g_nof_inputs => g_nof_mults,
+      g_data_w     => g_data_w
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_2arr_2  => corr_permutor_src_out_2arr_2,
+      src_out_arr    => corr_multiplier_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Accumulator stage
   -----------------------------------------------------------------------------
   u_corr_accumulator : entity work.corr_accumulator
-  generic map (
-    g_nof_inputs       => g_nof_mults,
-    g_nof_channels     => c_nof_accumulators,
-    g_nof_channel_accs => g_nof_channel_accs,
-    g_data_w           => 2 * g_data_w  -- = Multiplier output data width
-  )
-  port map (
-    clk            => clk,
-    rst            => rst,
-
-    snk_in_arr     => corr_multiplier_src_out_arr,
-    src_out_arr    => src_out_arr
-  );
+    generic map (
+      g_nof_inputs       => g_nof_mults,
+      g_nof_channels     => c_nof_accumulators,
+      g_nof_channel_accs => g_nof_channel_accs,
+      g_data_w           => 2 * g_data_w  -- = Multiplier output data width
+    )
+    port map (
+      clk            => clk,
+      rst            => rst,
+
+      snk_in_arr     => corr_multiplier_src_out_arr,
+      src_out_arr    => src_out_arr
+    );
 
 end str;
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
index 41cc6863b2..994ba215c7 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
@@ -20,14 +20,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
 
 entity tb_corr_accumulator is
   generic (
@@ -100,7 +100,7 @@ begin
   -- Input data
   -- . We're using simple counter data with the counter value matching the
   --   channel index.
-   -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
   gen_stimuli : for i in 0 to g_nof_inputs - 1 generate
     -- Counter data generation
     proc_dp_gen_data(1, g_data_w, 0, g_nof_channels - 1, rst, clk, dp_gen_data_en, dp_gen_data_src_in_arr(i), dp_gen_data_src_out_arr(i));
@@ -109,8 +109,8 @@ begin
     proc_common_gen_duty_pulse(c_pulse_active, c_pulse_period, '1', rst, clk, pulse_en, pulse);
 
     dp_gen_data_en <= '1'                  when g_flow_control_stimuli = e_active and rst = '0' else
-                       random(random'high) when g_flow_control_stimuli = e_random and rst = '0' else
-                       pulse               when g_flow_control_stimuli = e_pulse  and rst = '0' else '0';
+                      random(random'high) when g_flow_control_stimuli = e_random and rst = '0' else
+                      pulse               when g_flow_control_stimuli = e_pulse  and rst = '0' else '0';
   end generate;
 
   -- Count the number of valid input cycles so we know when we can stop the simulation using tb_end
@@ -136,24 +136,24 @@ begin
     corr_accumulator_snk_in_arr(i).im    <= RESIZE_DP_DSP_DATA(dp_gen_data_src_out_arr(i).data);
     -- Create a block sync of g_nof_channels wide
     corr_accumulator_snk_in_arr(0).sync  <= '1' when (in_valid_count >= (int_period_cnt + 1) * g_nof_channels * g_nof_channel_accs - g_nof_channels and
-                                                      in_valid_count < (int_period_cnt + 1) * g_nof_channels * g_nof_channel_accs and
-                                                      corr_accumulator_snk_in_arr(0).valid = '1') else '0';
+                                                       in_valid_count < (int_period_cnt + 1) * g_nof_channels * g_nof_channel_accs and
+                                                       corr_accumulator_snk_in_arr(0).valid = '1') else '0';
   end generate;
 
   u_corr_accumulator : entity work.corr_accumulator
-  generic map (
-    g_nof_inputs       => g_nof_inputs,
-    g_nof_channels     => g_nof_channels,
-    g_nof_channel_accs => g_nof_channel_accs,
-    g_data_w           => g_data_w
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    snk_in_arr  => corr_accumulator_snk_in_arr,
-    src_out_arr => corr_accumulator_src_out_arr
-  );
+    generic map (
+      g_nof_inputs       => g_nof_inputs,
+      g_nof_channels     => g_nof_channels,
+      g_nof_channel_accs => g_nof_channel_accs,
+      g_data_w           => g_data_w
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      snk_in_arr  => corr_accumulator_snk_in_arr,
+      src_out_arr => corr_accumulator_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Output verification
@@ -213,7 +213,7 @@ begin
       prv_out_valid_count <= 0;
       in_valid_count  <= 0;
       int_period_cnt  <= 0;
-     elsif rising_edge(clk) then
+    elsif rising_edge(clk) then
       output_channel  <= nxt_output_channel;
       expected_data   <= nxt_expected_data;
       prv_out_valid_count <= out_valid_count;
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_carousel.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_carousel.vhd
index 4f82e59b6f..0fc84260c8 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_carousel.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_carousel.vhd
@@ -20,12 +20,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
---USE dp_lib.tb_dp_pkg.ALL;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  --USE dp_lib.tb_dp_pkg.ALL;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_corr_carousel is
   generic (
@@ -54,16 +54,16 @@ begin
   rst <= '1', '0' after c_clk_period * 7;
 
   u_corr_carousel : entity work.corr_carousel
-  generic map (
-    g_nof_inputs  => g_nof_inputs,
-    g_nof_outputs => g_nof_outputs
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    snk_in_arr  => carousel_snk_in_arr,
-    src_out_arr => carousel_src_out_2arr_2
-  );
+    generic map (
+      g_nof_inputs  => g_nof_inputs,
+      g_nof_outputs => g_nof_outputs
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      snk_in_arr  => carousel_snk_in_arr,
+      src_out_arr => carousel_src_out_2arr_2
+    );
 
   p_stimuli: process
   begin
@@ -81,7 +81,7 @@ begin
         carousel_snk_in_arr(j).data <= (others => '0');
         carousel_snk_in_arr(j).valid <= '0';
       end loop;
-    wait for (c_nof_clk_cycles_per_input_val - 1) * c_clk_period;
+      wait for (c_nof_clk_cycles_per_input_val - 1) * c_clk_period;
     end loop;
   end process;
 
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_folder.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_folder.vhd
index 26757f0f91..56dbc1f7b0 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_folder.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_folder.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_corr_folder is
   generic (
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_multiplier.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_multiplier.vhd
index cfb4b20510..e0cf7781bd 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_multiplier.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_multiplier.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_corr_multiplier is
   generic (
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_permutator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_permutator.vhd
index ce03b321e3..0e8e851cb9 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_permutator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_permutator.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_corr_permutator is
   generic (
@@ -51,15 +51,15 @@ begin
   rst <= '1', '0' after c_clk_period * 7;
 
   u_corr_permutator : entity work.corr_permutator
-  generic map (
-    g_nof_inputs  => g_nof_inputs
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    snk_in_arr     => permutator_snk_in_arr,
-    src_out_2arr_2 => permutator_src_out_2arr_2
-  );
+    generic map (
+      g_nof_inputs  => g_nof_inputs
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      snk_in_arr     => permutator_snk_in_arr,
+      src_out_2arr_2 => permutator_src_out_2arr_2
+    );
 
   p_stimuli: process
   begin
@@ -77,7 +77,7 @@ begin
         permutator_snk_in_arr(j).data <= (others => '0');
         permutator_snk_in_arr(j).valid <= '0';
       end loop;
-    wait for c_clk_period;
+      wait for c_clk_period;
     end loop;
   end process;
 
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_permutor.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_permutor.vhd
index a112bd1ac4..6db7cf7b16 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_permutor.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_permutor.vhd
@@ -20,11 +20,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_corr_permutor is
   generic (
@@ -59,18 +59,18 @@ begin
   -- Permutor
   -------------------------------------------------------------------------------
   u_corr_permutor : entity work.corr_permutor
-  generic map (
-    g_nof_inputs   => g_nof_inputs,
-    g_nof_outputs  => g_nof_outputs,
-    g_nof_cycles   => g_nof_cycles,
-    g_data_w       => c_data_w
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-    snk_in_arr     => permutor_snk_in_arr,
-    src_out_2arr_2 => permutor_src_out_2arr_2
-  );
+    generic map (
+      g_nof_inputs   => g_nof_inputs,
+      g_nof_outputs  => g_nof_outputs,
+      g_nof_cycles   => g_nof_cycles,
+      g_data_w       => c_data_w
+    )
+    port map (
+      rst            => rst,
+      clk            => clk,
+      snk_in_arr     => permutor_snk_in_arr,
+      src_out_2arr_2 => permutor_src_out_2arr_2
+    );
 
   -------------------------------------------------------------------------------
   -- Generate stream indices as input data
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd
index e46db6d3cc..9de80d8eea 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd
@@ -20,15 +20,15 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.tb_common_pkg.all;
-use diag_lib.diag_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 -- Folding rules:
 -- . g_nof_input_folds=g_nof_pre_mult_folds : no gaps are needed/desired in the input data.
@@ -64,19 +64,33 @@ architecture tb of tb_correlator is
   -- allow folding the output onto one stream.
   -- . The sync pulse is only there for the human eye (wave window) -
   --   it is not used by the correlator.
---  CONSTANT c_bg_blocks_per_sync : NATURAL := largest(c_integration_period, c_nof_visibilities);
+  --  CONSTANT c_bg_blocks_per_sync : NATURAL := largest(c_integration_period, c_nof_visibilities);
 
   constant c_bg_blocks_per_sync : natural := c_integration_period;
 
 
-  constant c_bg_ctrl            : t_diag_block_gen := ('1',  -- enable
-                                                       '0',  -- enable_sync
-                                                      TO_UVEC(     c_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                      TO_UVEC(c_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                      TO_UVEC(        c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                      TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                      TO_UVEC(   c_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                      TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl            : t_diag_block_gen := (
+    '1',  -- enable
+    '0',  -- enable_sync
+    TO_UVEC(
+                  c_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                c_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
   constant c_dp_clk_period      : time := 10 ns;
   constant c_mm_clk_period      : time := 10 ps;
@@ -119,22 +133,22 @@ begin
   --   initialization file using Python, see tb/python/gen_subband_hex_files.py
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => c_nof_input_streams,
-    g_buf_dat_w          => 2 * c_complex_data_w,
-    g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_name_prefix   => "hex/complex_subbands_" & natural'image(c_complex_data_w) & "b_" & "fold_" & natural'image(g_nof_input_folds),
-    g_diag_block_gen_rst => c_bg_ctrl
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_input_streams,
+      g_buf_dat_w          => 2 * c_complex_data_w,
+      g_buf_addr_w         => ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_name_prefix   => "hex/complex_subbands_" & natural'image(c_complex_data_w) & "b_" & "fold_" & natural'image(g_nof_input_folds),
+      g_diag_block_gen_rst => c_bg_ctrl
+    )
+    port map (
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Introduce gaps in the input stream
@@ -144,147 +158,147 @@ begin
   -----------------------------------------------------------------------------
   gen_dp_fifo_sc : for i in 0 to c_nof_input_streams - 1 generate
     u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-    generic map (
-      g_data_w         => 2 * c_complex_data_w,
-      g_use_sync       => true,
-      g_use_ctrl       => false,
-      g_use_complex    => true,
-      g_fifo_size      => c_bg_block_size,
-      g_fifo_af_margin => 0
-    )
-    port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-
-      wr_ful      => OPEN,
-      usedw       => OPEN,
-      rd_emp      => OPEN,
-
-      snk_out     => OPEN,
-      snk_in      => block_gen_src_out_arr(i),
-      src_in      => dp_fifo_sc_src_in_arr(i),
-      src_out     => dp_fifo_sc_src_out_arr(i)
-    );
+      generic map (
+        g_data_w         => 2 * c_complex_data_w,
+        g_use_sync       => true,
+        g_use_ctrl       => false,
+        g_use_complex    => true,
+        g_fifo_size      => c_bg_block_size,
+        g_fifo_af_margin => 0
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+
+        wr_ful      => OPEN,
+        usedw       => OPEN,
+        rd_emp      => OPEN,
+
+        snk_out     => OPEN,
+        snk_in      => block_gen_src_out_arr(i),
+        src_in      => dp_fifo_sc_src_in_arr(i),
+        src_out     => dp_fifo_sc_src_out_arr(i)
+      );
   end generate;
 
   gen_dp_src_out_timer : for i in 0 to c_nof_input_streams - 1 generate
     u_dp_src_out_timer : entity dp_lib.dp_src_out_timer
-    generic map (
-      g_block_period => c_block_period
-    )
-    port map (
-      rst                  => dp_rst,
-      clk                  => dp_clk,
-
-      snk_in               => dp_fifo_sc_src_out_arr(i),
-      snk_out              => dp_fifo_sc_src_in_arr(i)
-    );
+      generic map (
+        g_block_period => c_block_period
+      )
+      port map (
+        rst                  => dp_rst,
+        clk                  => dp_clk,
+
+        snk_in               => dp_fifo_sc_src_out_arr(i),
+        snk_out              => dp_fifo_sc_src_in_arr(i)
+      );
   end generate;
 
---  correlator_snk_in_arr <= dp_fifo_sc_src_out_arr;
-
---  -----------------------------------------------------------------------------
---  -- We want the correlator inputs to be properly tagged with the following
---  -- fields:
---  -- . Required:
---  --   . Sync pulse - this clears the accumulators
---  -- . Optional but recommended fields that are propagated through the correlator:
---  --   . BSN
---  --   . Channel index (part of which may indicate a channel group (beamlet index)
---  --   . SOP, EOP to tag channel blocks
---  -----------------------------------------------------------------------------
---  u_corr_output_framer : ENTITY work.corr_output_framer --FIXME rename to input_framer
---  GENERIC MAP (
---    g_nof_streams                 => c_nof_input_streams,
---    g_nof_folds                   => g_nof_input_folds, -- >0 also folds channel indices
---    g_nof_channels                => g_nof_channels,
---    g_nof_channel_frames_per_sync => c_integration_period,
---    g_generate_sync_and_bsn       => TRUE, -- Don't use input sync and BSN
---    g_fft_channel_index_reorder   => FALSE
---  )
---  PORT MAP (
---    rst         => dp_rst,
---    clk         => dp_clk,
---
---    snk_in_arr  => dp_fifo_sc_src_out_arr,
---
---    src_out_arr => correlator_snk_in_arr
---  );
+  --  correlator_snk_in_arr <= dp_fifo_sc_src_out_arr;
+
+  --  -----------------------------------------------------------------------------
+  --  -- We want the correlator inputs to be properly tagged with the following
+  --  -- fields:
+  --  -- . Required:
+  --  --   . Sync pulse - this clears the accumulators
+  --  -- . Optional but recommended fields that are propagated through the correlator:
+  --  --   . BSN
+  --  --   . Channel index (part of which may indicate a channel group (beamlet index)
+  --  --   . SOP, EOP to tag channel blocks
+  --  -----------------------------------------------------------------------------
+  --  u_corr_output_framer : ENTITY work.corr_output_framer --FIXME rename to input_framer
+  --  GENERIC MAP (
+  --    g_nof_streams                 => c_nof_input_streams,
+  --    g_nof_folds                   => g_nof_input_folds, -- >0 also folds channel indices
+  --    g_nof_channels                => g_nof_channels,
+  --    g_nof_channel_frames_per_sync => c_integration_period,
+  --    g_generate_sync_and_bsn       => TRUE, -- Don't use input sync and BSN
+  --    g_fft_channel_index_reorder   => FALSE
+  --  )
+  --  PORT MAP (
+  --    rst         => dp_rst,
+  --    clk         => dp_clk,
+  --
+  --    snk_in_arr  => dp_fifo_sc_src_out_arr,
+  --
+  --    src_out_arr => correlator_snk_in_arr
+  --  );
 
   -----------------------------------------------------------------------------
   -- Device under test: correlator
   -----------------------------------------------------------------------------
   u_correlator : entity work.correlator
-  generic map (
-    g_nof_input_streams   => c_nof_input_streams,
-    g_input_unfold_factor => g_nof_input_folds,
-    g_nof_pre_mult_folds  => g_nof_pre_mult_folds,
-    g_data_w              => c_complex_data_w,
-    g_nof_channels        => g_nof_channels,
-    g_integration_period  => c_integration_period
-  )
-  port map (
-    clk         => dp_clk,
-    rst         => dp_rst,
-
-    snk_in_arr  => dp_fifo_sc_src_out_arr,
-    src_out_arr => correlator_src_out_arr
-  );
+    generic map (
+      g_nof_input_streams   => c_nof_input_streams,
+      g_input_unfold_factor => g_nof_input_folds,
+      g_nof_pre_mult_folds  => g_nof_pre_mult_folds,
+      g_data_w              => c_complex_data_w,
+      g_nof_channels        => g_nof_channels,
+      g_integration_period  => c_integration_period
+    )
+    port map (
+      clk         => dp_clk,
+      rst         => dp_rst,
+
+      snk_in_arr  => dp_fifo_sc_src_out_arr,
+      src_out_arr => correlator_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Data buffers to be read out by Python
   -----------------------------------------------------------------------------
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => 1,
-    g_data_w       => 64,
-    g_data_type    => e_complex,
-    g_buf_nof_data => c_nof_visibilities,
-    g_buf_use_sync => true
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-
-    in_sync           => correlator_src_out_arr(0).sop,
-    in_sosi_arr       => correlator_src_out_arr
-  );
+    generic map (
+      g_nof_streams  => 1,
+      g_data_w       => 64,
+      g_data_type    => e_complex,
+      g_buf_nof_data => c_nof_visibilities,
+      g_buf_use_sync => true
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+
+      in_sync           => correlator_src_out_arr(0).sop,
+      in_sosi_arr       => correlator_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Stream recorder to record the correlator output stream to a file
   -- . The data buffer can only take snapshots.
   -----------------------------------------------------------------------------
   u_dp_stream_rec_play : entity dp_lib.dp_stream_rec_play
-  generic map (
-    g_sim            => true,
-    g_pass_through   => false,
-    g_rec_not_play   => true,
-    g_rec_play_file  => "$HDL_WORK/libraries/dsp/correlator/tb/rec/correlator_src_out_arr0.rec",
-    g_record_invalid => false
-  )
-  port map (
-    dp_clk  => dp_clk,
-    snk_in  => correlator_src_out_arr(0),
-    snk_out => OPEN,
-    src_out => OPEN,
-    src_in  => c_dp_siso_rdy
-  );
+    generic map (
+      g_sim            => true,
+      g_pass_through   => false,
+      g_rec_not_play   => true,
+      g_rec_play_file  => "$HDL_WORK/libraries/dsp/correlator/tb/rec/correlator_src_out_arr0.rec",
+      g_record_invalid => false
+    )
+    port map (
+      dp_clk  => dp_clk,
+      snk_in  => correlator_src_out_arr(0),
+      snk_out => OPEN,
+      src_out => OPEN,
+      src_in  => c_dp_siso_rdy
+    );
 
   -----------------------------------------------------------------------------
   -- MM file I/O with Python
   -----------------------------------------------------------------------------
   u_mm_file_ram_diag_data_buffer : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER")
-                                              port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
   u_mm_file_reg_diag_data_buffer : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER")
-                                              port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
   ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd b/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd
index 286003b00e..b3788e9c2e 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd
@@ -1,6 +1,6 @@
 --------------------------------------------------------------------------------
 --
--- Author: Daniel van der Schuur 
+-- Author: Daniel van der Schuur
 --
 -- Copyright (C) 2016
 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
@@ -22,20 +22,20 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib, mm_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.tb_common_pkg.all;
-use diag_lib.diag_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use work.corr_permutor_pkg.all;
-use common_lib.common_str_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use work.corr_permutor_pkg.all;
+  use common_lib.common_str_pkg.all;
 
 entity tb_correlator_dev is
   generic (
@@ -116,8 +116,8 @@ begin
     proc_common_gen_duty_pulse(c_pulse_active, c_pulse_period, '1', rst, clk, pulse_en, pulse);
 
     dp_gen_data_en <= '1'                  and correlator_snk_out_arr(0).ready when g_flow_control_stimuli = e_active and rst = '0' else
-                       random(random'high) and correlator_snk_out_arr(0).ready when g_flow_control_stimuli = e_random and rst = '0' else
-                       pulse               and correlator_snk_out_arr(0).ready when g_flow_control_stimuli = e_pulse  and rst = '0' else '0';
+                      random(random'high) and correlator_snk_out_arr(0).ready when g_flow_control_stimuli = e_random and rst = '0' else
+                      pulse               and correlator_snk_out_arr(0).ready when g_flow_control_stimuli = e_pulse  and rst = '0' else '0';
   end generate;
 
   -- Count the number of valid input cycles so we know when we can stop the simulation using tb_end
@@ -142,27 +142,27 @@ begin
     correlator_snk_in_arr(i).im    <= RESIZE_DP_DSP_DATA(dp_gen_data_src_out_arr(i).data);  -- Imag = Channel index
     -- Create a block sync of g_nof_channels wide
     correlator_snk_in_arr(0).sync  <= '1' when (in_valid_count >= (int_period_cnt + 1) * g_nof_channels * g_nof_channel_accs - g_nof_channels and
-                                                in_valid_count < (int_period_cnt + 1) * g_nof_channels * g_nof_channel_accs and
-                                                correlator_snk_in_arr(0).valid = '1') else '0';
+                                                 in_valid_count < (int_period_cnt + 1) * g_nof_channels * g_nof_channel_accs and
+                                                 correlator_snk_in_arr(0).valid = '1') else '0';
   end generate;
 
   u_correlator_dev : entity work.correlator_dev
-  generic map (
-    g_data_w           => g_data_w ,
-    g_nof_inputs       => g_nof_inputs,
-    g_nof_mults        => g_nof_mults,
-    g_nof_cycles       => g_nof_cycles,
-    g_nof_channels     => g_nof_channels,
-    g_nof_channel_accs => g_nof_channel_accs
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    snk_in_arr  => correlator_snk_in_arr,
-    snk_out_arr => correlator_snk_out_arr,
-    src_out_arr => correlator_src_out_arr
-  );
+    generic map (
+      g_data_w           => g_data_w ,
+      g_nof_inputs       => g_nof_inputs,
+      g_nof_mults        => g_nof_mults,
+      g_nof_cycles       => g_nof_cycles,
+      g_nof_channels     => g_nof_channels,
+      g_nof_channel_accs => g_nof_channel_accs
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      snk_in_arr  => correlator_snk_in_arr,
+      snk_out_arr => correlator_snk_out_arr,
+      src_out_arr => correlator_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Output verification
@@ -176,34 +176,34 @@ begin
   -- 3) Get the input pairs that produced the input on that cycle
   -- 4) Figure out what the input data was, calc output.
 
---  p_verify_corr_accumulator_src_out_arr : PROCESS(out_valid_count, correlator_src_out_arr)
---  BEGIN
---    nxt_out_valid_count    <= out_valid_count;
---    IF correlator_src_out_arr(0).valid = '1' THEN
---      nxt_out_valid_count <= out_valid_count+1;
---
---      wait until rising_edge(clk);
---      -- Print some information
---      print_str("Correlator output valid count: " & int_to_str(out_valid_count));
---    END IF;
---
---  END PROCESS;
---
+  --  p_verify_corr_accumulator_src_out_arr : PROCESS(out_valid_count, correlator_src_out_arr)
+  --  BEGIN
+  --    nxt_out_valid_count    <= out_valid_count;
+  --    IF correlator_src_out_arr(0).valid = '1' THEN
+  --      nxt_out_valid_count <= out_valid_count+1;
+  --
+  --      wait until rising_edge(clk);
+  --      -- Print some information
+  --      print_str("Correlator output valid count: " & int_to_str(out_valid_count));
+  --    END IF;
+  --
+  --  END PROCESS;
+  --
   -----------------------------------------------------------------------------
   -- Registers
   -----------------------------------------------------------------------------
   p_clk : process (clk, rst)
   begin
     if rst = '1' then
---      output_channel  <= 0;
---      expected_data   <= (OTHERS=>'0');
---      out_valid_count <= 0;
+      --      output_channel  <= 0;
+      --      expected_data   <= (OTHERS=>'0');
+      --      out_valid_count <= 0;
       in_valid_count  <= 0;
       int_period_cnt  <= 0;
-     elsif rising_edge(clk) then
---      output_channel  <= nxt_output_channel;
---      expected_data   <= nxt_expected_data;
---      out_valid_count <= nxt_out_valid_count;
+    elsif rising_edge(clk) then
+      --      output_channel  <= nxt_output_channel;
+      --      expected_data   <= nxt_expected_data;
+      --      out_valid_count <= nxt_out_valid_count;
       in_valid_count  <= nxt_in_valid_count;
       int_period_cnt  <= nxt_int_period_cnt;
     end if;
@@ -221,7 +221,7 @@ begin
         -- Print some information
         print_str("Correlator output valid count: " & int_to_str(out_valid_count));
         for i in 0 to g_nof_inputs - 1 loop
---          print_str(". Channel " & int_to_str(g_nof_channels)
+          --          print_str(". Channel " & int_to_str(g_nof_channels)
           print_str(". Output " & int_to_str(i) & ", real: " & int_to_str(TO_UINT(correlator_src_out_arr(i).re)));
           print_str(". Output " & int_to_str(i) & ", imag: " & int_to_str(TO_UINT(correlator_src_out_arr(i).im)));
         end loop;
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_tb_corr_accumulator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_tb_corr_accumulator.vhd
index 0ec632a409..e42cf2787a 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_tb_corr_accumulator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_tb_corr_accumulator.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tb_corr_accumulator is
 end tb_tb_corr_accumulator;
@@ -30,15 +30,15 @@ end tb_tb_corr_accumulator;
 architecture tb of tb_tb_corr_accumulator is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
-                                                                      --  g_nof_inputs
-                                                                      --  |   g_nof_channelss
-                                                                      --  |   |   g_nof_channel_accs
-                                                                      --  |   |   |   g_data_w
-                                                                      --  |   |   |   |
-                                                                      --  |   |   |   |   g_flow_control_stimuli
-                                                                      --  |   |   |   |   |
-                                                                      --  |   |   |   |   |
---  u_tb_corr_accumulator_5 : ENTITY work.tb_corr_accumulator GENERIC MAP ( 1,  5, 16, 32, e_active);
+  --  g_nof_inputs
+  --  |   g_nof_channelss
+  --  |   |   g_nof_channel_accs
+  --  |   |   |   g_data_w
+  --  |   |   |   |
+  --  |   |   |   |   g_flow_control_stimuli
+  --  |   |   |   |   |
+  --  |   |   |   |   |
+  --  u_tb_corr_accumulator_5 : ENTITY work.tb_corr_accumulator GENERIC MAP ( 1,  5, 16, 32, e_active);
   u_tb_corr_accumulator_06_a : entity work.tb_corr_accumulator generic map( 1,  6,  11, 31, e_active);
   u_tb_corr_accumulator_07_a : entity work.tb_corr_accumulator generic map( 1,  7,  65, 32, e_active);
   u_tb_corr_accumulator_08_a : entity work.tb_corr_accumulator generic map( 1,  8, 127, 33, e_active);
diff --git a/libraries/dsp/fft/src/vhdl/fft_lfsr.vhd b/libraries/dsp/fft/src/vhdl/fft_lfsr.vhd
index bb80f83717..9f33b786a2 100644
--- a/libraries/dsp/fft/src/vhdl/fft_lfsr.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_lfsr.vhd
@@ -27,8 +27,8 @@
 --   g_seed = 0 causes the LFSR to remain stuck at 0.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.fft_pkg.all;
 
 entity fft_lfsr is
   generic (
diff --git a/libraries/dsp/fft/src/vhdl/fft_pkg.vhd b/libraries/dsp/fft/src/vhdl/fft_pkg.vhd
index 4b773e51b6..74a9365bb1 100644
--- a/libraries/dsp/fft/src/vhdl/fft_pkg.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_pkg.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package fft_pkg is
 
@@ -30,7 +30,7 @@ package fft_pkg is
   constant c_fft_switch_seed1  : std_logic_vector(c_fft_lfsr_len - 1 downto 0) := "01000101011101110101001011111000101100001";
   constant c_fft_switch_seed2  : std_logic_vector(c_fft_lfsr_len - 1 downto 0) := "11011001000101001011011001110101100101100";
 
-  function fft_switch_new_seed(seed : std_logic_vector; offset : natural) return std_logic_vector;
+  function fft_switch_new_seed (seed : std_logic_vector; offset : natural) return std_logic_vector;
 
   -- The FFT gain for an real input sinus signal is 0.5, because a real input
   -- sinus with amplitude A yields two subband phasors each with amplitude
@@ -56,11 +56,11 @@ package fft_pkg is
     out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
     stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
     guard_w        : natural;  -- = 2, guard used to avoid overflow in first FFT stage, compensated in last guard_w nof FFT stages.
-                               --   on average the gain per stage is 2 so guard_w = 1, but the gain can be 1+sqrt(2) [Lyons section
-                               --   12.3.2], therefore use input guard_w = 2.
+    --   on average the gain per stage is 2 so guard_w = 1, but the gain can be 1+sqrt(2) [Lyons section
+    --   12.3.2], therefore use input guard_w = 2.
     guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be
-                               --   skipped at the last stage(s) compensate for input guard (used in wb fft with pipe fft section
-                               --   doing the input guard and par fft section doing the output compensation)
+    --   skipped at the last stage(s) compensate for input guard (used in wb fft with pipe fft section
+    --   doing the input guard and par fft section doing the output compensation)
     stat_data_w    : positive;  -- = 56
     stat_data_sz   : positive;  -- = 2
   end record;
@@ -68,38 +68,38 @@ package fft_pkg is
   constant c_fft   : t_fft := (true, false, true, 0, 4, 0, 1024, 8, 14, 0, c_dsp_mult_w, 2, true, 56, 2);
 
   -- Check consistancy of the FFT parameters
-  function fft_r2_parameter_asserts(g_fft : t_fft) return boolean;  -- the return value is void, because always true or abort due to failure
+  function fft_r2_parameter_asserts (g_fft : t_fft) return boolean;  -- the return value is void, because always true or abort due to failure
 
   -- FFT input width
-  function func_fft_in_scale_w(g_fft : t_fft) return natural;
+  function func_fft_in_scale_w (g_fft : t_fft) return natural;
 
   -- FFT output width
   --                 Removed MSbits     Quantized data    Rounded LSbits
   --   c_raw_dat_w = c_fft.out_gain_w + c_fft.out_dat_w + c_raw_fraction_w
-  function func_fft_raw_dat_w(g_fft : t_fft) return natural;
-  function func_fft_raw_fraction_w(g_fft : t_fft) return natural;
+  function func_fft_raw_dat_w (g_fft : t_fft) return natural;
+  function func_fft_raw_fraction_w (g_fft : t_fft) return natural;
 
   -- Definitions for fft slv array (an array can not have unconstraint elements, so choose sufficiently wide 32 bit slv elements)
   subtype  t_fft_slv_arr is t_slv_32_arr;  -- use subtype to ease interfacing to existing types and to have central definition for rtwo components
   constant c_fft_slv_w  : natural := 32;  -- match slv width of t_fft_slv_arr
-  function to_fft_svec(n : integer) return std_logic_vector;  -- map to c_fft_slv_w wide slv, no need for to_rtwo_uvec, because natural is subtype of integer
-  function resize_fft_uvec(vec : std_logic_vector) return std_logic_vector;  -- map to c_fft_slv_w wide slv
-  function resize_fft_svec(vec : std_logic_vector) return std_logic_vector;  -- map to c_fft_slv_w wide slv
+  function to_fft_svec (n : integer) return std_logic_vector;  -- map to c_fft_slv_w wide slv, no need for to_rtwo_uvec, because natural is subtype of integer
+  function resize_fft_uvec (vec : std_logic_vector) return std_logic_vector;  -- map to c_fft_slv_w wide slv
+  function resize_fft_svec (vec : std_logic_vector) return std_logic_vector;  -- map to c_fft_slv_w wide slv
 
   -- FFT shift swaps right and left half of bin axis to shift zero-frequency component to center of spectrum
-  function fft_shift(bin : std_logic_vector) return std_logic_vector;
-  function fft_shift(bin, w : natural) return natural;
+  function fft_shift (bin : std_logic_vector) return std_logic_vector;
+  function fft_shift (bin, w : natural) return natural;
 
 end package fft_pkg;
 
 package body fft_pkg is
 
-  function fft_switch_new_seed(seed : std_logic_vector; offset : natural) return std_logic_vector is
+  function fft_switch_new_seed (seed : std_logic_vector; offset : natural) return std_logic_vector is
   begin
     return INCR_UVEC(seed, offset);  -- make new unique seed
   end;
 
-  function fft_r2_parameter_asserts(g_fft : t_fft) return boolean is
+  function fft_r2_parameter_asserts (g_fft : t_fft) return boolean is
   begin
     -- nof_points
     assert g_fft.nof_points = 2**true_log2(g_fft.nof_points) report "fft_r2: nof_points must be a power of 2" severity failure;
@@ -118,14 +118,14 @@ package body fft_pkg is
   end;
 
   -- Scale input data to MSbits of stage data, with g_fft.guard_w bits backoff if g_fft.guard_enable = true
-  function func_fft_in_scale_w(g_fft : t_fft) return natural is
+  function func_fft_in_scale_w (g_fft : t_fft) return natural is
     constant c_in_scale_w  : natural := g_fft.stage_dat_w - g_fft.in_dat_w - sel_a_b(g_fft.guard_enable, g_fft.guard_w, 0);
   begin
     return c_in_scale_w;
   end func_fft_in_scale_w;
 
   -- Raw output data width is equal to the internal data width of the FFT
-  function func_fft_raw_dat_w(g_fft : t_fft) return natural is
+  function func_fft_raw_dat_w (g_fft : t_fft) return natural is
     constant c_sepa_growth_w  : natural := sel_a_b(g_fft.use_separate, 1, 0);  -- add one bit for add sub growth in separate
     constant c_raw_dat_w      : natural := g_fft.stage_dat_w + c_sepa_growth_w;
   begin
@@ -133,7 +133,7 @@ package body fft_pkg is
   end func_fft_raw_dat_w;
 
   -- Number of LSbits in the raw output data that represent the fraction bits in c_raw_dat_w.
-  function func_fft_raw_fraction_w(g_fft : t_fft) return natural is
+  function func_fft_raw_fraction_w (g_fft : t_fft) return natural is
     constant c_raw_dat_w      : natural := func_fft_raw_dat_w(g_fft);
     constant c_raw_fraction_w : natural := c_raw_dat_w - g_fft.out_dat_w - g_fft.out_gain_w;
   begin
@@ -141,29 +141,29 @@ package body fft_pkg is
   end func_fft_raw_fraction_w;
 
 
-  function to_fft_svec(n : integer) return std_logic_vector is
+  function to_fft_svec (n : integer) return std_logic_vector is
   begin
     return RESIZE_SVEC(TO_SVEC(n, 32), c_fft_slv_w);
   end;
 
-  function resize_fft_uvec(vec : std_logic_vector) return std_logic_vector is
+  function resize_fft_uvec (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_UVEC(vec, c_fft_slv_w);
   end;
 
-  function resize_fft_svec(vec : std_logic_vector) return std_logic_vector is
+  function resize_fft_svec (vec : std_logic_vector) return std_logic_vector is
   begin
     return RESIZE_SVEC(vec, c_fft_slv_w);
   end;
 
-  function fft_shift(bin : std_logic_vector) return std_logic_vector is
+  function fft_shift (bin : std_logic_vector) return std_logic_vector is
     constant c_w   : natural := bin'length;
     variable v_bin : std_logic_vector(c_w - 1 downto 0) := bin;
   begin
     return not v_bin(c_w - 1) & v_bin(c_w - 2 downto 0);  -- invert MSbit for fft_shift
   end;
 
-  function fft_shift(bin, w : natural) return natural is
+  function fft_shift (bin, w : natural) return natural is
   begin
     return TO_UINT(fft_shift(TO_UVEC(bin, w)));
   end;
diff --git a/libraries/dsp/fft/src/vhdl/fft_r2_bf_par.vhd b/libraries/dsp/fft/src/vhdl/fft_r2_bf_par.vhd
index c4af5eb531..341e8ea19d 100644
--- a/libraries/dsp/fft/src/vhdl/fft_r2_bf_par.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_r2_bf_par.vhd
@@ -29,12 +29,12 @@
 --
 
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity fft_r2_bf_par is
   generic (
@@ -104,79 +104,79 @@ begin
   -- complex butterfly
   ------------------------------------------------------------------------------
   u_bf_re : entity rTwoSDF_lib.rTwoBF
-  generic map (
-    g_in_a_zdly  => c_bf_in_a_zdly,
-    g_out_d_zdly => c_bf_out_b_zdly
-  )
-  port map (
-    clk    => clk,
-    in_a   => x_in_re,
-    in_b   => y_in_re,
-    in_sel => '1',
-    in_val => in_val,
-    out_c  => sum_re,
-    out_d  => dif_re
-  );
+    generic map (
+      g_in_a_zdly  => c_bf_in_a_zdly,
+      g_out_d_zdly => c_bf_out_b_zdly
+    )
+    port map (
+      clk    => clk,
+      in_a   => x_in_re,
+      in_b   => y_in_re,
+      in_sel => '1',
+      in_val => in_val,
+      out_c  => sum_re,
+      out_d  => dif_re
+    );
 
   u_bf_im : entity rTwoSDF_lib.rTwoBF
-  generic map (
-    g_in_a_zdly  => c_bf_in_a_zdly,
-    g_out_d_zdly => c_bf_out_b_zdly
-  )
-  port map (
-    clk    => clk,
-    in_a   => x_in_im,
-    in_b   => y_in_im,
-    in_sel => '1',
-    in_val => in_val,
-    out_c  => sum_im,
-    out_d  => dif_im
-  );
+    generic map (
+      g_in_a_zdly  => c_bf_in_a_zdly,
+      g_out_d_zdly => c_bf_out_b_zdly
+    )
+    port map (
+      clk    => clk,
+      in_a   => x_in_im,
+      in_b   => y_in_im,
+      in_sel => '1',
+      in_val => in_val,
+      out_c  => sum_im,
+      out_d  => dif_im
+    );
 
   ------------------------------------------------------------------------------
   -- requantize x output
   ------------------------------------------------------------------------------
   u_requantize_x_re : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_stage_bit_growth,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => sum_re'LENGTH,
-    g_out_dat_w           => sum_quant_re'length
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => sum_re,
-    out_dat    => sum_quant_re,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_stage_bit_growth,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => sum_re'LENGTH,
+      g_out_dat_w           => sum_quant_re'length
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => sum_re,
+      out_dat    => sum_quant_re,
+      out_ovr    => open
+    );
 
   u_requantize_x_im : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_stage_bit_growth,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => sum_im'LENGTH,
-    g_out_dat_w           => sum_quant_im'length
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => sum_im,
-    out_dat    => sum_quant_im,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_stage_bit_growth,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => sum_im'LENGTH,
+      g_out_dat_w           => sum_quant_im'length
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => sum_im,
+      out_dat    => sum_quant_im,
+      out_ovr    => open
+    );
 
   ------------------------------------------------------------------------------
   -- Butterfly output pipelining: the sum output (output C)
@@ -188,16 +188,16 @@ begin
   bf_sum_complex <= sum_quant_im & sum_quant_re;
 
   u_pipeline_sum : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline.bf_lat + g_pipeline.mul_lat + g_pipeline.stage_lat,
-    g_in_dat_w  => bf_sum_complex'length,
-    g_out_dat_w => bf_sum_complex'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => bf_sum_complex,
-    out_dat => bf_sum_complex_dly
-  );
+    generic map (
+      g_pipeline  => g_pipeline.bf_lat + g_pipeline.mul_lat + g_pipeline.stage_lat,
+      g_in_dat_w  => bf_sum_complex'length,
+      g_out_dat_w => bf_sum_complex'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => bf_sum_complex,
+      out_dat => bf_sum_complex_dly
+    );
   -- connect to the output.
   x_out_re <= bf_sum_complex_dly(  c_out_dat_w - 1 downto 0);
   x_out_im <= bf_sum_complex_dly(2 * c_out_dat_w - 1 downto c_out_dat_w);
@@ -211,16 +211,16 @@ begin
   bf_dif_complex <= dif_im & dif_re;
 
   u_pipeline_dif : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline.bf_lat,
-    g_in_dat_w  => bf_dif_complex'length,
-    g_out_dat_w => bf_dif_complex'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => bf_dif_complex,
-    out_dat => bf_dif_complex_dly
-  );
+    generic map (
+      g_pipeline  => g_pipeline.bf_lat,
+      g_in_dat_w  => bf_dif_complex'length,
+      g_out_dat_w => bf_dif_complex'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => bf_dif_complex,
+      out_dat => bf_dif_complex_dly
+    );
 
   dif_out_re <= bf_dif_complex_dly(  c_out_dat_w - 1 downto 0);
   dif_out_im <= bf_dif_complex_dly(2 * c_out_dat_w - 1 downto c_out_dat_w);
@@ -230,36 +230,36 @@ begin
   -- before it drives to the multiplier.
   ------------------------------------------------------------------------------
   u_val_bf_lat : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline.bf_lat
-  )
-  port map (
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => mul_in_val
-  );
+    generic map (
+      g_pipeline => g_pipeline.bf_lat
+    )
+    port map (
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => mul_in_val
+    );
 
   ------------------------------------------------------------------------------
   -- twiddle multiplication
   ------------------------------------------------------------------------------
   u_TwiddleMult: entity rTwoSDF_lib.rTwoWMul
-  generic map (
-    g_stage => g_stage,
-    g_lat   => g_pipeline.mul_lat
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-    weight_re   => weight_re,
-    weight_im   => weight_im,
-    in_re       => dif_out_re,
-    in_im       => dif_out_im,
-    in_val      => mul_in_val,
-    in_sel      => '1',  -- Always select the multiplier output
-    out_re      => mul_out_re,
-    out_im      => mul_out_im,
-    out_val     => mul_out_val
-  );
+    generic map (
+      g_stage => g_stage,
+      g_lat   => g_pipeline.mul_lat
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+      weight_re   => weight_re,
+      weight_im   => weight_im,
+      in_re       => dif_out_re,
+      in_im       => dif_out_im,
+      in_val      => mul_in_val,
+      in_sel      => '1',  -- Always select the multiplier output
+      out_re      => mul_out_re,
+      out_im      => mul_out_im,
+      out_val     => mul_out_val
+    );
 
   weight_re <= wRe(wMap(g_element, g_stage));
   weight_im <= wIm(wMap(g_element, g_stage));
@@ -270,82 +270,82 @@ begin
   -- requantize y output
   ------------------------------------------------------------------------------
   u_requantize_y_re : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_stage_bit_growth,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => mul_out_re'LENGTH,
-    g_out_dat_w           => mul_quant_re'length
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => mul_out_re,
-    out_dat    => mul_quant_re,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_stage_bit_growth,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => mul_out_re'LENGTH,
+      g_out_dat_w           => mul_quant_re'length
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => mul_out_re,
+      out_dat    => mul_quant_re,
+      out_ovr    => open
+    );
 
   u_requantize_y_im : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_stage_bit_growth,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => mul_out_im'LENGTH,
-    g_out_dat_w           => mul_quant_im'length
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => mul_out_im,
-    out_dat    => mul_quant_im,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_stage_bit_growth,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => mul_out_im'LENGTH,
+      g_out_dat_w           => mul_quant_im'length
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => mul_out_im,
+      out_dat    => mul_quant_im,
+      out_ovr    => open
+    );
 
   ------------------------------------------------------------------------------
   -- output
   ------------------------------------------------------------------------------
   u_y_re_stage_lat : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline.stage_lat,
-    g_in_dat_w  => mul_quant_re'LENGTH,
-    g_out_dat_w => y_out_re'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => mul_quant_re,
-    out_dat => y_out_re
-  );
+    generic map (
+      g_pipeline  => g_pipeline.stage_lat,
+      g_in_dat_w  => mul_quant_re'LENGTH,
+      g_out_dat_w => y_out_re'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => mul_quant_re,
+      out_dat => y_out_re
+    );
 
   u_y_im_stage_lat : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline.stage_lat,
-    g_in_dat_w  => mul_quant_im'LENGTH,
-    g_out_dat_w => y_out_im'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => mul_quant_im,
-    out_dat => y_out_im
-  );
+    generic map (
+      g_pipeline  => g_pipeline.stage_lat,
+      g_in_dat_w  => mul_quant_im'LENGTH,
+      g_out_dat_w => y_out_im'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => mul_quant_im,
+      out_dat => y_out_im
+    );
 
   u_val_stage_lat : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline.stage_lat
-  )
-  port map (
-    clk     => clk,
-    in_dat  => mul_out_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => g_pipeline.stage_lat
+    )
+    port map (
+      clk     => clk,
+      in_dat  => mul_out_val,
+      out_dat => out_val
+    );
 
 end str;
diff --git a/libraries/dsp/fft/src/vhdl/fft_r2_par.vhd b/libraries/dsp/fft/src/vhdl/fft_r2_par.vhd
index 0a44707f99..fe621e5d9e 100644
--- a/libraries/dsp/fft/src/vhdl/fft_r2_par.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_r2_par.vhd
@@ -38,10 +38,10 @@
 --                        explained in fft_sepa.vhd
 
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity fft_r2_par is
   generic (
@@ -98,7 +98,7 @@ architecture str of fft_r2_par is
   -- It the output element falls in an "odd" area: input element even => output= element - offset
   -- input element odd => output = element.
 
-  function func_butterfly_connect(array_index, stage, nr_of_points : natural) return natural is
+  function func_butterfly_connect (array_index, stage, nr_of_points : natural) return natural is
     variable v_nr_of_domains : natural;  -- Variable that represents the number of "even" areas.
     variable v_return        : natural;  -- Holds the return value
     variable v_offset        : natural;  -- Offset
@@ -180,26 +180,26 @@ begin
   gen_fft_stages: for stage in c_nof_stages downto 1 generate
     gen_fft_elements: for element in 0 to c_nof_bf_per_stage-1 generate
       u_element : entity work.fft_r2_bf_par
-      generic map (
-        g_stage        => stage,
-        g_element      => element,
-        g_scale_enable => sel_a_b(stage <= g_fft.guard_w, false, true),
-        g_pipeline     => g_pipeline
-      )
-      port map (
-        clk      => clk,
-        rst      => rst,
-        x_in_re  => data_re(stage)(2 * element),
-        x_in_im  => data_im(stage)(2 * element),
-        y_in_re  => data_re(stage)(2 * element + 1),
-        y_in_im  => data_im(stage)(2 * element + 1),
-        in_val   => data_val(stage)(element),
-        x_out_re => data_re(stage-1)(func_butterfly_connect(2 * element,   stage-1, g_fft.nof_points)),
-        x_out_im => data_im(stage-1)(func_butterfly_connect(2 * element,   stage-1, g_fft.nof_points)),
-        y_out_re => data_re(stage-1)(func_butterfly_connect(2 * element + 1, stage-1, g_fft.nof_points)),
-        y_out_im => data_im(stage-1)(func_butterfly_connect(2 * element + 1, stage-1, g_fft.nof_points)),
-        out_val  => data_val(stage-1)(element)
-       );
+        generic map (
+          g_stage        => stage,
+          g_element      => element,
+          g_scale_enable => sel_a_b(stage <= g_fft.guard_w, false, true),
+          g_pipeline     => g_pipeline
+        )
+        port map (
+          clk      => clk,
+          rst      => rst,
+          x_in_re  => data_re(stage)(2 * element),
+          x_in_im  => data_im(stage)(2 * element),
+          y_in_re  => data_re(stage)(2 * element + 1),
+          y_in_im  => data_im(stage)(2 * element + 1),
+          in_val   => data_val(stage)(element),
+          x_out_re => data_re(stage-1)(func_butterfly_connect(2 * element,   stage-1, g_fft.nof_points)),
+          x_out_im => data_im(stage-1)(func_butterfly_connect(2 * element,   stage-1, g_fft.nof_points)),
+          y_out_re => data_re(stage-1)(func_butterfly_connect(2 * element + 1, stage-1, g_fft.nof_points)),
+          y_out_im => data_im(stage-1)(func_butterfly_connect(2 * element + 1, stage-1, g_fft.nof_points)),
+          out_val  => data_val(stage-1)(element)
+        );
     end generate;
   end generate;
 
@@ -239,68 +239,68 @@ begin
     gen_positive_bins : for I in 1 to g_fft.nof_points / 2 - 1 generate
       -- common_add_sub
       a_output_real_adder : entity common_lib.common_add_sub
-      generic map (
-        g_direction       => "ADD",
-        g_representation  => "SIGNED",
-        g_pipeline_input  => 0,
-        g_pipeline_output => c_pipeline_add_sub,
-        g_in_dat_w        => g_fft.stage_dat_w,
-        g_out_dat_w       => c_raw_dat_w
-      )
-      port map (
-        clk     => clk,
-        in_a    => int_re_arr(g_fft.nof_points - I),
-        in_b    => int_re_arr(I),
-        result  => add_arr(2 * I)
-      );
+        generic map (
+          g_direction       => "ADD",
+          g_representation  => "SIGNED",
+          g_pipeline_input  => 0,
+          g_pipeline_output => c_pipeline_add_sub,
+          g_in_dat_w        => g_fft.stage_dat_w,
+          g_out_dat_w       => c_raw_dat_w
+        )
+        port map (
+          clk     => clk,
+          in_a    => int_re_arr(g_fft.nof_points - I),
+          in_b    => int_re_arr(I),
+          result  => add_arr(2 * I)
+        );
 
       b_output_real_adder : entity common_lib.common_add_sub
-      generic map (
-        g_direction       => "ADD",
-        g_representation  => "SIGNED",
-        g_pipeline_input  => 0,
-        g_pipeline_output => c_pipeline_add_sub,
-        g_in_dat_w        => g_fft.stage_dat_w,
-        g_out_dat_w       => c_raw_dat_w
-      )
-      port map (
-        clk     => clk,
-        in_a    => int_im_arr(g_fft.nof_points - I),
-        in_b    => int_im_arr(I),
-        result  => add_arr(2 * I + 1)
-      );
+        generic map (
+          g_direction       => "ADD",
+          g_representation  => "SIGNED",
+          g_pipeline_input  => 0,
+          g_pipeline_output => c_pipeline_add_sub,
+          g_in_dat_w        => g_fft.stage_dat_w,
+          g_out_dat_w       => c_raw_dat_w
+        )
+        port map (
+          clk     => clk,
+          in_a    => int_im_arr(g_fft.nof_points - I),
+          in_b    => int_im_arr(I),
+          result  => add_arr(2 * I + 1)
+        );
 
       a_output_imag_subtractor : entity common_lib.common_add_sub
-      generic map (
-        g_direction       => "SUB",
-        g_representation  => "SIGNED",
-        g_pipeline_input  => 0,
-        g_pipeline_output => c_pipeline_add_sub,
-        g_in_dat_w        => g_fft.stage_dat_w,
-        g_out_dat_w       => c_raw_dat_w
-      )
-      port map (
-        clk     => clk,
-        in_a    => int_im_arr(I),
-        in_b    => int_im_arr(g_fft.nof_points - I),
-        result  => sub_arr(2 * I)
-      );
+        generic map (
+          g_direction       => "SUB",
+          g_representation  => "SIGNED",
+          g_pipeline_input  => 0,
+          g_pipeline_output => c_pipeline_add_sub,
+          g_in_dat_w        => g_fft.stage_dat_w,
+          g_out_dat_w       => c_raw_dat_w
+        )
+        port map (
+          clk     => clk,
+          in_a    => int_im_arr(I),
+          in_b    => int_im_arr(g_fft.nof_points - I),
+          result  => sub_arr(2 * I)
+        );
 
       b_output_imag_subtractor : entity common_lib.common_add_sub
-      generic map (
-        g_direction       => "SUB",
-        g_representation  => "SIGNED",
-        g_pipeline_input  => 0,
-        g_pipeline_output => c_pipeline_add_sub,
-        g_in_dat_w        => g_fft.stage_dat_w,
-        g_out_dat_w       => c_raw_dat_w
-      )
-      port map (
-        clk     => clk,
-        in_a    => int_re_arr(g_fft.nof_points - I),
-        in_b    => int_re_arr(I),
-        result  => sub_arr(2 * I + 1)
-      );
+        generic map (
+          g_direction       => "SUB",
+          g_representation  => "SIGNED",
+          g_pipeline_input  => 0,
+          g_pipeline_output => c_pipeline_add_sub,
+          g_in_dat_w        => g_fft.stage_dat_w,
+          g_out_dat_w       => c_raw_dat_w
+        )
+        port map (
+          clk     => clk,
+          in_a    => int_re_arr(g_fft.nof_points - I),
+          in_b    => int_re_arr(I),
+          result  => sub_arr(2 * I + 1)
+        );
 
       fft_re_arr(2 * I  ) <= add_arr(2 * I  )(c_raw_dat_w - 1 downto 0);  -- A real
       fft_re_arr(2 * I + 1) <= add_arr(2 * I + 1)(c_raw_dat_w - 1 downto 0);  -- B real
@@ -318,30 +318,30 @@ begin
     -- . fft_im_arr(1) = (int_re_arr(0) - int_re_arr(N)) / 2 = 0
 
     u_pipeline_a_re_0 : entity common_lib.common_pipeline
-    generic map (
-      g_representation => "SIGNED",
-      g_pipeline       => c_pipeline_add_sub,
-      g_in_dat_w       => g_fft.stage_dat_w,
-      g_out_dat_w      => g_fft.stage_dat_w
-    )
-    port map (
-      clk     => clk,
-      in_dat  => int_re_arr(0),
-      out_dat => int_a_dc
-    );
+      generic map (
+        g_representation => "SIGNED",
+        g_pipeline       => c_pipeline_add_sub,
+        g_in_dat_w       => g_fft.stage_dat_w,
+        g_out_dat_w      => g_fft.stage_dat_w
+      )
+      port map (
+        clk     => clk,
+        in_dat  => int_re_arr(0),
+        out_dat => int_a_dc
+      );
 
     u_pipeline_b_re_0 : entity common_lib.common_pipeline
-    generic map (
-      g_representation => "SIGNED",
-      g_pipeline       => c_pipeline_add_sub,
-      g_in_dat_w       => g_fft.stage_dat_w,
-      g_out_dat_w      => g_fft.stage_dat_w
-    )
-    port map (
-      clk     => clk,
-      in_dat  => int_im_arr(0),
-      out_dat => int_b_dc
-    );
+      generic map (
+        g_representation => "SIGNED",
+        g_pipeline       => c_pipeline_add_sub,
+        g_in_dat_w       => g_fft.stage_dat_w,
+        g_out_dat_w      => g_fft.stage_dat_w
+      )
+      port map (
+        clk     => clk,
+        in_dat  => int_im_arr(0),
+        out_dat => int_b_dc
+      );
 
     -- The real outputs of A(0) and B(0) are scaled by shift left is * 2 for separate add
     fft_re_arr(0) <= int_a_dc & '0';
@@ -355,14 +355,14 @@ begin
     -- Valid pipelining for separate
     ------------------------------------------------------------------------------
     u_seperate_fft_val : entity common_lib.common_pipeline_sl
-    generic map (
-      g_pipeline => c_pipeline_add_sub
-    )
-    port map (
-      clk     => clk,
-      in_dat  => int_val,
-      out_dat => fft_val
-    );
+      generic map (
+        g_pipeline => c_pipeline_add_sub
+      )
+      port map (
+        clk     => clk,
+        in_dat  => int_val,
+        out_dat => fft_val
+      );
   end generate;
 
   no_separate : if g_fft.use_separate = false generate
@@ -379,55 +379,55 @@ begin
   ------------------------------------------------------------------------------
   gen_output_requantizers : for I in 0 to g_fft.nof_points - 1 generate
     u_requantize_re : entity common_lib.common_requantize
-    generic map (
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_raw_fraction_w,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_msb_clip            => false,
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-      g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_raw_dat_w,
-      g_out_dat_w           => g_fft.out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => fft_re_arr(I),
-      out_dat    => out_re_arr(I),
-      out_ovr    => open
-    );
+      generic map (
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_raw_fraction_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_raw_dat_w,
+        g_out_dat_w           => g_fft.out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => fft_re_arr(I),
+        out_dat    => out_re_arr(I),
+        out_ovr    => open
+      );
 
     u_requantize_im : entity common_lib.common_requantize
-    generic map (
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_raw_fraction_w,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_msb_clip            => false,
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-      g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_raw_dat_w,
-      g_out_dat_w           => g_fft.out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => fft_im_arr(I),
-      out_dat    => out_im_arr(I),
-      out_ovr    => open
-    );
+      generic map (
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_raw_fraction_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_raw_dat_w,
+        g_out_dat_w           => g_fft.out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => fft_im_arr(I),
+        out_dat    => out_im_arr(I),
+        out_ovr    => open
+      );
 
   end generate;
 
   u_out_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => c_pipeline_remove_lsb
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => fft_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => c_pipeline_remove_lsb
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => fft_val,
+      out_dat => out_val
+    );
 end str;
diff --git a/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd b/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd
index f05c06b8a4..cc7bab0396 100644
--- a/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd
@@ -71,10 +71,10 @@
 --
 
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity fft_r2_pipe is
   generic (
@@ -163,23 +163,23 @@ begin
   in_dat_val <= in_val;
 
   u_switch : entity work.fft_switch
-  generic map (
-    g_switch_en => c_switch_en,
-    g_seed1     => c_switch_seed1,
-    g_seed2     => c_switch_seed2,
-    g_fft_sz_w  => c_switch_sz_w,
-    g_dat_w     => c_switch_dat_w
-  )
-  port map (
-    in_re      => in_dat_re,
-    in_im      => in_dat_im,
-    in_val     => in_dat_val,
-    out_re     => switch_re,
-    out_im     => switch_im,
-    out_val    => switch_val,
-    clk        => clk,
-    rst        => rst
-  );
+    generic map (
+      g_switch_en => c_switch_en,
+      g_seed1     => c_switch_seed1,
+      g_seed2     => c_switch_seed2,
+      g_fft_sz_w  => c_switch_sz_w,
+      g_dat_w     => c_switch_dat_w
+    )
+    port map (
+      in_re      => in_dat_re,
+      in_im      => in_dat_im,
+      in_val     => in_dat_val,
+      out_re     => switch_re,
+      out_im     => switch_im,
+      out_val    => switch_val,
+      clk        => clk,
+      rst        => rst
+    );
 
   data_re( c_nof_stages) <= scale_and_resize_svec(switch_re, c_in_scale_w, g_fft.stage_dat_w);
   data_im( c_nof_stages) <= scale_and_resize_svec(switch_im, c_in_scale_w, g_fft.stage_dat_w);
@@ -190,46 +190,46 @@ begin
   ------------------------------------------------------------------------------
   gen_fft: for stage in c_nof_stages downto 2 generate
     u_stages : entity rTwoSDF_lib.rTwoSDFStage
+      generic map (
+        g_nof_chan       => g_fft.nof_chan,
+        g_stage          => stage,
+        g_stage_offset   => c_stage_offset,
+        g_twiddle_offset => g_fft.twiddle_offset,
+        g_scale_enable   => sel_a_b(stage <= g_fft.guard_w, false, true),
+        g_pipeline       => g_pipeline
+      )
+      port map (
+        clk       => clk,
+        rst       => rst,
+        in_re     => data_re(stage),
+        in_im     => data_im(stage),
+        in_val    => data_val(stage),
+        out_re    => data_re(stage-1),
+        out_im    => data_im(stage-1),
+        out_val   => data_val(stage-1)
+      );
+  end generate;
+
+  -- last stage = 1
+  u_last_stage : entity rTwoSDF_lib.rTwoSDFStage
     generic map (
       g_nof_chan       => g_fft.nof_chan,
-      g_stage          => stage,
+      g_stage          => 1,
       g_stage_offset   => c_stage_offset,
       g_twiddle_offset => g_fft.twiddle_offset,
-      g_scale_enable   => sel_a_b(stage <= g_fft.guard_w, false, true),
+      g_scale_enable   => sel_a_b(1 <= g_fft.guard_w, false, true),
       g_pipeline       => g_pipeline
     )
     port map (
       clk       => clk,
       rst       => rst,
-      in_re     => data_re(stage),
-      in_im     => data_im(stage),
-      in_val    => data_val(stage),
-      out_re    => data_re(stage-1),
-      out_im    => data_im(stage-1),
-      out_val   => data_val(stage-1)
+      in_re     => data_re(1),
+      in_im     => data_im(1),
+      in_val    => data_val(1),
+      out_re    => data_re(0),
+      out_im    => data_im(0),
+      out_val   => data_val(0)
     );
-  end generate;
-
-  -- last stage = 1
-  u_last_stage : entity rTwoSDF_lib.rTwoSDFStage
-  generic map (
-    g_nof_chan       => g_fft.nof_chan,
-    g_stage          => 1,
-    g_stage_offset   => c_stage_offset,
-    g_twiddle_offset => g_fft.twiddle_offset,
-    g_scale_enable   => sel_a_b(1 <= g_fft.guard_w, false, true),
-    g_pipeline       => g_pipeline
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    in_re     => data_re(1),
-    in_im     => data_im(1),
-    in_val    => data_val(1),
-    out_re    => data_re(0),
-    out_im    => data_im(0),
-    out_val   => data_val(0)
-  );
 
   ------------------------------------------------------------------------------
   -- Optional output reorder and separation
@@ -238,22 +238,22 @@ begin
     in_cplx <= data_im(0) & data_re(0);
 
     u_reorder_sep : entity work.fft_reorder_sepa_pipe
-    generic map (
-      g_bit_flip    => g_fft.use_reorder,
-      g_fft_shift   => g_fft.use_fft_shift,
-      g_separate    => g_fft.use_separate,
-      g_dont_flip_channels => g_dont_flip_channels,
-      g_nof_points  => g_fft.nof_points,
-      g_nof_chan    => g_fft.nof_chan
-    )
-    port map (
-      clk     => clk,
-      rst     => rst,
-      in_dat  => in_cplx,  -- c_nof_complex * g_fft.stage_dat_w
-      in_val  => data_val(0),
-      out_dat => out_cplx,  -- c_nof_complex * c_raw_dat_w
-      out_val => fft_out_val
-    );
+      generic map (
+        g_bit_flip    => g_fft.use_reorder,
+        g_fft_shift   => g_fft.use_fft_shift,
+        g_separate    => g_fft.use_separate,
+        g_dont_flip_channels => g_dont_flip_channels,
+        g_nof_points  => g_fft.nof_points,
+        g_nof_chan    => g_fft.nof_chan
+      )
+      port map (
+        clk     => clk,
+        rst     => rst,
+        in_dat  => in_cplx,  -- c_nof_complex * g_fft.stage_dat_w
+        in_val  => data_val(0),
+        out_dat => out_cplx,  -- c_nof_complex * c_raw_dat_w
+        out_val => fft_out_val
+      );
 
     -- c_raw_dat_w = g_fft.stage_dat_w     when g_fft.use_separate = false
     -- c_raw_dat_w = g_fft.stage_dat_w + 1 when g_fft.use_separate = true
@@ -274,77 +274,77 @@ begin
   -- g_fft.use_separate = TRUE
   ------------------------------------------------------------------------------
   u_unswitch : entity work.fft_unswitch
-  generic map (
-    g_switch_en => c_switch_en,
-    g_seed1     => c_switch_seed1,
-    g_seed2     => c_switch_seed2,
-    g_fft_sz_w  => c_switch_sz_w,
-    g_dat_w     => c_unswitch_dat_w
-  )
-  port map (
-    in_re      => fft_out_re,
-    in_im      => fft_out_im,
-    in_val     => fft_out_val,
-    out_re     => raw_re,
-    out_im     => raw_im,
-    out_val    => raw_val,
-    clk        => clk,
-    rst        => rst
-  );
+    generic map (
+      g_switch_en => c_switch_en,
+      g_seed1     => c_switch_seed1,
+      g_seed2     => c_switch_seed2,
+      g_fft_sz_w  => c_switch_sz_w,
+      g_dat_w     => c_unswitch_dat_w
+    )
+    port map (
+      in_re      => fft_out_re,
+      in_im      => fft_out_im,
+      in_val     => fft_out_val,
+      out_re     => raw_re,
+      out_im     => raw_im,
+      out_val    => raw_val,
+      clk        => clk,
+      rst        => rst
+    );
 
   ------------------------------------------------------------------------------
   -- Pipelined FFT output requantization
   ------------------------------------------------------------------------------
   u_requantize_re : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_raw_fraction_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_raw_dat_w,
-    g_out_dat_w           => g_fft.out_dat_w
-  )
-  port map (
-    clk        => clk,
-    in_dat     => raw_re,
-    out_dat    => out_quant_re,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_raw_fraction_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_raw_dat_w,
+      g_out_dat_w           => g_fft.out_dat_w
+    )
+    port map (
+      clk        => clk,
+      in_dat     => raw_re,
+      out_dat    => out_quant_re,
+      out_ovr    => open
+    );
 
   u_requantize_im : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_raw_fraction_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_raw_dat_w,
-    g_out_dat_w           => g_fft.out_dat_w
-  )
-  port map (
-    clk        => clk,
-    in_dat     => raw_im,
-    out_dat    => out_quant_im,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_raw_fraction_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_raw_dat_w,
+      g_out_dat_w           => g_fft.out_dat_w
+    )
+    port map (
+      clk        => clk,
+      in_dat     => raw_im,
+      out_dat    => out_quant_im,
+      out_ovr    => open
+    );
 
   u_quant_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => c_pipeline_remove_lsb
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => raw_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => c_pipeline_remove_lsb
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => raw_val,
+      out_dat => out_val
+    );
 
   ------------------------------------------------------------------------------
   -- Pipelined FFT raw output register
@@ -353,32 +353,32 @@ begin
   -- . Pipeline out_raw to align with out_quant, so they can share
   --   out_val.
   u_out_raw_re : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_remove_lsb,
-    g_in_dat_w       => c_raw_dat_w,
-    g_out_dat_w      => c_32
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => raw_re,
-    out_dat => out_raw_re
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_remove_lsb,
+      g_in_dat_w       => c_raw_dat_w,
+      g_out_dat_w      => c_32
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => raw_re,
+      out_dat => out_raw_re
+    );
 
   u_out_raw_im : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline_remove_lsb,
-    g_in_dat_w       => c_raw_dat_w,
-    g_out_dat_w      => c_32
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => raw_im,
-    out_dat => out_raw_im
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline_remove_lsb,
+      g_in_dat_w       => c_raw_dat_w,
+      g_out_dat_w      => c_32
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => raw_im,
+      out_dat => out_raw_im
+    );
 
 end str;
 
diff --git a/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd b/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd
index 7bd7d2708f..539423935d 100644
--- a/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd
@@ -68,10 +68,10 @@
 --   library instead of using a dedicated local solution.
 
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity fft_r2_wide is
   generic (
@@ -103,7 +103,7 @@ architecture rtl of fft_r2_wide is
   -- Most imortant in the settings are twiddle_offset and
   -- the nof_points.
   ----------------------------------------------------------
-  function func_create_generic_for_pipe_fft(input : t_fft) return t_fft_arr is
+  function func_create_generic_for_pipe_fft (input : t_fft) return t_fft_arr is
     variable v_nof_points : natural := input.nof_points / input.wb_factor;  -- The nof_points for the pipelined fft stages
     variable v_return     : t_fft_arr(input.wb_factor - 1 downto 0) := (others => input);  -- Variable that holds the return values
   begin
@@ -127,19 +127,19 @@ architecture rtl of fft_r2_wide is
   -- parallel fft stage, based on the g_fft generic that
   -- belongs to the fft_r2_wide entity.
   ----------------------------------------------------------
-  function func_create_generic_for_par_fft(input : t_fft) return t_fft is
+  function func_create_generic_for_par_fft (input : t_fft) return t_fft is
     variable v_return         : t_fft   := input;  -- Variable that holds the return value
   begin
-      v_return.use_reorder    := input.use_reorder;  -- Pass on use_reorder
-      v_return.use_fft_shift  := input.use_fft_shift;  -- Pass on use_fft_shift
-      v_return.use_separate   := false;  -- Separate function is forced to false, because it is handled outside the parallel fft
-      v_return.twiddle_offset := 0;  -- Twiddle offset is forced to 0, which is also the input.twiddle_offset default
-      v_return.nof_points     := input.wb_factor;  -- Set the number of points to wb_factor
-      v_return.in_dat_w       := input.stage_dat_w;  -- Specify the input width
-      v_return.out_dat_w      := input.stage_dat_w;  -- Output width
-      v_return.out_gain_w     := 0;  -- Output gain is forced to 0, because it is handled outside the parallel fft
-      v_return.guard_w        := input.guard_w;  -- Set the guard_w here to skip the scaling on the last stages
-      v_return.guard_enable   := false;  -- No input guard.
+    v_return.use_reorder    := input.use_reorder;  -- Pass on use_reorder
+    v_return.use_fft_shift  := input.use_fft_shift;  -- Pass on use_fft_shift
+    v_return.use_separate   := false;  -- Separate function is forced to false, because it is handled outside the parallel fft
+    v_return.twiddle_offset := 0;  -- Twiddle offset is forced to 0, which is also the input.twiddle_offset default
+    v_return.nof_points     := input.wb_factor;  -- Set the number of points to wb_factor
+    v_return.in_dat_w       := input.stage_dat_w;  -- Specify the input width
+    v_return.out_dat_w      := input.stage_dat_w;  -- Output width
+    v_return.out_gain_w     := 0;  -- Output gain is forced to 0, because it is handled outside the parallel fft
+    v_return.guard_w        := input.guard_w;  -- Set the guard_w here to skip the scaling on the last stages
+    v_return.guard_enable   := false;  -- No input guard.
     return v_return;
   end;
 
@@ -187,20 +187,20 @@ begin
   -- Default to fft_r2_pipe when g_fft.wb_factor=1
   gen_fft_r2_pipe : if g_fft.wb_factor = 1 generate
     u_fft_r2_pipe : entity work.fft_r2_pipe
-    generic map (
-      g_fft            => g_fft,
-      g_pipeline       => g_pft_pipeline
-    )
-    port map (
-      clk           => clk,
-      rst           => rst,
-      in_re         => in_re_arr(0)(g_fft.in_dat_w - 1 downto 0),
-      in_im         => in_im_arr(0)(g_fft.in_dat_w - 1 downto 0),
-      in_val        => in_val,
-      out_quant_re  => fft_pipe_out_re,
-      out_quant_im  => fft_pipe_out_im,
-      out_val       => out_val
-    );
+      generic map (
+        g_fft            => g_fft,
+        g_pipeline       => g_pft_pipeline
+      )
+      port map (
+        clk           => clk,
+        rst           => rst,
+        in_re         => in_re_arr(0)(g_fft.in_dat_w - 1 downto 0),
+        in_im         => in_im_arr(0)(g_fft.in_dat_w - 1 downto 0),
+        in_val        => in_val,
+        out_quant_re  => fft_pipe_out_re,
+        out_quant_im  => fft_pipe_out_im,
+        out_val       => out_val
+      );
 
     out_re_arr(0) <= resize_fft_svec(fft_pipe_out_re);
     out_im_arr(0) <= resize_fft_svec(fft_pipe_out_im);
@@ -209,20 +209,20 @@ begin
   -- Default to fft_r2_par when g_fft.wb_factor=g_fft.nof_points
   gen_fft_r2_par : if g_fft.wb_factor = g_fft.nof_points generate
     u_fft_r2_par : entity work.fft_r2_par
-    generic map (
-      g_fft      => g_fft,
-      g_pipeline => g_fft_pipeline
-    )
-    port map (
-      clk        => clk,
-      rst        => rst,
-      in_re_arr  => in_re_arr,
-      in_im_arr  => in_im_arr,
-      in_val     => in_val,
-      out_re_arr => out_re_arr,
-      out_im_arr => out_im_arr,
-      out_val    => out_val
-    );
+      generic map (
+        g_fft      => g_fft,
+        g_pipeline => g_fft_pipeline
+      )
+      port map (
+        clk        => clk,
+        rst        => rst,
+        in_re_arr  => in_re_arr,
+        in_im_arr  => in_im_arr,
+        in_val     => in_val,
+        out_re_arr => out_re_arr,
+        out_im_arr => out_im_arr,
+        out_val    => out_val
+      );
   end generate;
 
   -- Create wideband FFT as combinination of g_fft.wb_factor instances of fft_r2_pipe with one instance of fft_r2_par
@@ -242,20 +242,20 @@ begin
     -- pipelined fft's. These pipelines fft's operate in parallel.
     gen_pipelined_ffts : for I in g_fft.wb_factor - 1 downto 0 generate
       u_pft : entity work.fft_r2_pipe
-      generic map (
-        g_fft            => c_fft_r2_pipe_arr(I),  -- generics for the pipelined FFTs
-        g_pipeline       => g_pft_pipeline  -- pipeline generics for the pipelined FFTs
-      )
-      port map (
-        clk           => clk,
-        rst           => rst,
-        in_re         => in_fft_pipe_re_arr(I)(c_fft_r2_pipe_arr(I).in_dat_w - 1 downto 0),
-        in_im         => in_fft_pipe_im_arr(I)(c_fft_r2_pipe_arr(I).in_dat_w - 1 downto 0),
-        in_val        => in_val,
-        out_quant_re  => out_fft_pipe_re_arr(I)(c_fft_r2_pipe_arr(I).out_dat_w - 1 downto 0),
-        out_quant_im  => out_fft_pipe_im_arr(I)(c_fft_r2_pipe_arr(I).out_dat_w - 1 downto 0),
-        out_val       => out_fft_pipe_val(I)
-      );
+        generic map (
+          g_fft            => c_fft_r2_pipe_arr(I),  -- generics for the pipelined FFTs
+          g_pipeline       => g_pft_pipeline  -- pipeline generics for the pipelined FFTs
+        )
+        port map (
+          clk           => clk,
+          rst           => rst,
+          in_re         => in_fft_pipe_re_arr(I)(c_fft_r2_pipe_arr(I).in_dat_w - 1 downto 0),
+          in_im         => in_fft_pipe_im_arr(I)(c_fft_r2_pipe_arr(I).in_dat_w - 1 downto 0),
+          in_val        => in_val,
+          out_quant_re  => out_fft_pipe_re_arr(I)(c_fft_r2_pipe_arr(I).out_dat_w - 1 downto 0),
+          out_quant_im  => out_fft_pipe_im_arr(I)(c_fft_r2_pipe_arr(I).out_dat_w - 1 downto 0),
+          out_val       => out_fft_pipe_val(I)
+        );
     end generate;
 
 
@@ -274,20 +274,20 @@ begin
     -- The g_fft.wb_factor outputs of the pipelined fft's are offered
     -- to the input of a single parallel FFT.
     u_fft : entity work.fft_r2_par
-    generic map (
-      g_fft      => c_fft_r2_par,  -- generics for the FFT
-      g_pipeline => g_fft_pipeline  -- pipeline generics for the parallel FFT
-    )
-    port map (
-      clk        => clk,
-      rst        => rst,
-      in_re_arr  => in_fft_par_re_arr,
-      in_im_arr  => in_fft_par_im_arr,
-      in_val     => in_fft_par,
-      out_re_arr => fft_out_re_arr,
-      out_im_arr => fft_out_im_arr,
-      out_val    => fft_out_val
-    );
+      generic map (
+        g_fft      => c_fft_r2_par,  -- generics for the FFT
+        g_pipeline => g_fft_pipeline  -- pipeline generics for the parallel FFT
+      )
+      port map (
+        clk        => clk,
+        rst        => rst,
+        in_re_arr  => in_fft_par_re_arr,
+        in_im_arr  => in_fft_par_im_arr,
+        in_val     => in_fft_par,
+        out_re_arr => fft_out_re_arr,
+        out_im_arr => fft_out_im_arr,
+        out_val    => fft_out_val
+      );
 
     ---------------------------------------------------------------
     -- OPTIONAL: SEPARATION STAGE
@@ -295,22 +295,22 @@ begin
     -- When the separate functionality is required:
     gen_separate : if g_fft.use_separate generate
       u_separator : entity work.fft_sepa_wide
-      generic map (
-        g_fft      => g_fft
-      )
-      port map (
-        clk        => clk,
-        rst        => rst,
-        in_re_arr  => fft_out_re_arr,
-        in_im_arr  => fft_out_im_arr,
-        in_val     => fft_out_val,
-        out_re_arr => sep_out_re_arr,
-        out_im_arr => sep_out_im_arr,
-        out_val    => sep_out_val
-      );
+        generic map (
+          g_fft      => g_fft
+        )
+        port map (
+          clk        => clk,
+          rst        => rst,
+          in_re_arr  => fft_out_re_arr,
+          in_im_arr  => fft_out_im_arr,
+          in_val     => fft_out_val,
+          out_re_arr => sep_out_re_arr,
+          out_im_arr => sep_out_im_arr,
+          out_val    => sep_out_val
+        );
     end generate;
 
-     -- In case no separtion is required, the output of the parallel fft is used.
+    -- In case no separtion is required, the output of the parallel fft is used.
     no_separate : if g_fft.use_separate = false generate
       sep_out_re_arr <= fft_out_re_arr;
       sep_out_im_arr <= fft_out_im_arr;
@@ -322,56 +322,56 @@ begin
     ---------------------------------------------------------------
     gen_output_requantizers : for I in g_fft.wb_factor - 1 downto 0 generate
       u_requantize_output_re : entity common_lib.common_requantize
-      generic map (
-        g_representation      => "SIGNED",
-        g_lsb_w               => c_raw_fraction_w,
-        g_lsb_round           => true,
-        g_lsb_round_clip      => false,
-        g_msb_clip            => false,
-        g_msb_clip_symmetric  => false,
-        g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-        g_pipeline_remove_msb => 0,
-        g_in_dat_w            => c_raw_dat_w,
-        g_out_dat_w           => g_fft.out_dat_w
-      )
-      port map (
-        clk        => clk,
-        in_dat     => sep_out_re_arr(I),
-        out_dat    => out_re_arr(I),
-        out_ovr    => open
-      );
+        generic map (
+          g_representation      => "SIGNED",
+          g_lsb_w               => c_raw_fraction_w,
+          g_lsb_round           => true,
+          g_lsb_round_clip      => false,
+          g_msb_clip            => false,
+          g_msb_clip_symmetric  => false,
+          g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+          g_pipeline_remove_msb => 0,
+          g_in_dat_w            => c_raw_dat_w,
+          g_out_dat_w           => g_fft.out_dat_w
+        )
+        port map (
+          clk        => clk,
+          in_dat     => sep_out_re_arr(I),
+          out_dat    => out_re_arr(I),
+          out_ovr    => open
+        );
 
       u_requantize_output_im : entity common_lib.common_requantize
+        generic map (
+          g_representation      => "SIGNED",
+          g_lsb_w               => c_raw_fraction_w,
+          g_lsb_round           => true,
+          g_lsb_round_clip      => false,
+          g_msb_clip            => false,
+          g_msb_clip_symmetric  => false,
+          g_pipeline_remove_lsb => c_pipeline_remove_lsb,
+          g_pipeline_remove_msb => 0,
+          g_in_dat_w            => c_raw_dat_w,
+          g_out_dat_w           => g_fft.out_dat_w
+        )
+        port map (
+          clk        => clk,
+          in_dat     => sep_out_im_arr(I),
+          out_dat    => out_im_arr(I),
+          out_ovr    => open
+        );
+    end generate;
+
+    u_out_val : entity common_lib.common_pipeline_sl
       generic map (
-        g_representation      => "SIGNED",
-        g_lsb_w               => c_raw_fraction_w,
-        g_lsb_round           => true,
-        g_lsb_round_clip      => false,
-        g_msb_clip            => false,
-        g_msb_clip_symmetric  => false,
-        g_pipeline_remove_lsb => c_pipeline_remove_lsb,
-        g_pipeline_remove_msb => 0,
-        g_in_dat_w            => c_raw_dat_w,
-        g_out_dat_w           => g_fft.out_dat_w
+        g_pipeline => c_pipeline_remove_lsb
       )
       port map (
-        clk        => clk,
-        in_dat     => sep_out_im_arr(I),
-        out_dat    => out_im_arr(I),
-        out_ovr    => open
+        rst     => rst,
+        clk     => clk,
+        in_dat  => sep_out_val,
+        out_dat => out_val
       );
-    end generate;
-
-    u_out_val : entity common_lib.common_pipeline_sl
-    generic map (
-      g_pipeline => c_pipeline_remove_lsb
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => sep_out_val,
-      out_dat => out_val
-    );
 
   end generate;
 end rtl;
diff --git a/libraries/dsp/fft/src/vhdl/fft_reorder_sepa_pipe.vhd b/libraries/dsp/fft/src/vhdl/fft_reorder_sepa_pipe.vhd
index 6eec8d96ee..f19c10a4ca 100644
--- a/libraries/dsp/fft/src/vhdl/fft_reorder_sepa_pipe.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_reorder_sepa_pipe.vhd
@@ -34,10 +34,10 @@
 --
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.fft_pkg.all;
 
 entity fft_reorder_sepa_pipe is
   generic   (
@@ -159,17 +159,17 @@ begin
   end generate;
 
   u_adr_point_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => ceil_log2(g_nof_points)
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_en  => cnt_ena,
-    count   => adr_points_cnt
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => ceil_log2(g_nof_points)
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_en  => cnt_ena,
+      count   => adr_points_cnt
+    );
 
   -- Generate on c_nof_channels to avoid simulation warnings on TO_UINT(adr_chan_cnt) when adr_chan_cnt is a NULL array
   one_chan : if c_nof_channels = 1 generate
@@ -180,41 +180,41 @@ begin
   end generate;
 
   u_adr_chan_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => g_nof_chan
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_en  => in_val,
-    count   => adr_chan_cnt
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => g_nof_chan
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_en  => in_val,
+      count   => adr_chan_cnt
+    );
 
   u_buff : entity common_lib.common_paged_ram_r_w
-  generic map (
-    g_str             => "use_adr",
-    g_data_w          => c_dat_w,
-    g_nof_pages       => 2,
-    g_page_sz         => c_page_size,
-    g_wr_start_page   => 0,
-    g_rd_start_page   => 1,
-    g_rd_latency      => 1
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_next_page => next_page,
-    wr_adr       => wr_adr,
-    wr_en        => wr_en,
-    wr_dat       => wr_dat,
-    rd_next_page => next_page,
-    rd_adr       => rd_adr,
-    rd_en        => rd_en,
-    rd_dat       => rd_dat,
-    rd_val       => rd_val
-  );
+    generic map (
+      g_str             => "use_adr",
+      g_data_w          => c_dat_w,
+      g_nof_pages       => 2,
+      g_page_sz         => c_page_size,
+      g_wr_start_page   => 0,
+      g_rd_start_page   => 1,
+      g_rd_latency      => 1
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_next_page => next_page,
+      wr_adr       => wr_adr,
+      wr_en        => wr_en,
+      wr_dat       => wr_dat,
+      rd_next_page => next_page,
+      rd_adr       => rd_adr,
+      rd_en        => rd_en,
+      rd_dat       => rd_dat,
+      rd_val       => rd_val
+    );
 
   -- If the separate functionality is enabled the read address will
   -- be composed of an up and down counter that are interleaved. This is
@@ -239,7 +239,7 @@ begin
     v.rd_en := '0';
 
     case r.state is
-	    when s_idle =>
+      when s_idle =>
         if(next_page = '1') then  -- Both counters are reset on page turn.
           v.rd_en        := '1';
           v.switch       := '0';
@@ -253,7 +253,7 @@ begin
           end if;
         end if;
 
-	    when s_run_separate =>
+      when s_run_separate =>
         v.rd_en      := '1';
         if(r.switch = '0') then
           v.switch   := '1';
@@ -289,10 +289,10 @@ begin
           v.count_up := r.count_up + 1;
         end if;
 
-	    when others =>
-	  	  v.state := s_idle;
+      when others =>
+        v.state := s_idle;
 
-	  end case;
+    end case;
 
     if(rst = '1') then
       v.switch     := '0';
@@ -328,14 +328,14 @@ begin
     -- separate unit is connected to the output of rtwo_order_separate unit.
     -- The 2nd stage of the separate funtion is performed:
     u_separate : entity work.fft_sepa
-    port map (
-      clk     => clk,
-      rst     => rst,
-      in_dat  => out_dat_i,  -- c_dat_w
-      in_val  => out_val_i,
-      out_dat => out_dat,  -- c_dat_w + 2
-      out_val => out_val
-    );
+      port map (
+        clk     => clk,
+        rst     => rst,
+        in_dat  => out_dat_i,  -- c_dat_w
+        in_val  => out_val_i,
+        out_dat => out_dat,  -- c_dat_w + 2
+        out_val => out_val
+      );
   end generate;
 
   -- If the separate functionality is disabled the
diff --git a/libraries/dsp/fft/src/vhdl/fft_sepa.vhd b/libraries/dsp/fft/src/vhdl/fft_sepa.vhd
index 9bfa824135..dfc0c586c4 100644
--- a/libraries/dsp/fft/src/vhdl/fft_sepa.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_sepa.vhd
@@ -46,9 +46,9 @@
 --   c_out_data_w = c_in_data_w + 1 bits, to avoid overflow.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity fft_sepa is
   port (
@@ -95,36 +95,36 @@ begin
   -- ADDER AND SUBTRACTOR
   ---------------------------------------------------------------
   adder : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "ADD",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,
-    g_pipeline_output => 1,
-    g_in_dat_w        => c_in_data_w,
-    g_out_dat_w       => c_out_data_w  -- = c_in_data_w + 1
-  )
-  port map (
-    clk     => clk,
-    in_a    => r.add_reg_a,
-    in_b    => r.add_reg_b,
-    result  => add_result
-  );
+    generic map (
+      g_direction       => "ADD",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,
+      g_pipeline_output => 1,
+      g_in_dat_w        => c_in_data_w,
+      g_out_dat_w       => c_out_data_w  -- = c_in_data_w + 1
+    )
+    port map (
+      clk     => clk,
+      in_a    => r.add_reg_a,
+      in_b    => r.add_reg_b,
+      result  => add_result
+    );
 
   subtractor : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "SUB",
-    g_representation  => "SIGNED",
-    g_pipeline_input  => 0,
-    g_pipeline_output => 1,
-    g_in_dat_w        => c_in_data_w,
-    g_out_dat_w       => c_out_data_w  -- = c_in_data_w + 1
-  )
-  port map (
-    clk     => clk,
-    in_a    => r.sub_reg_a,
-    in_b    => r.sub_reg_b,
-    result  => sub_result
-  );
+    generic map (
+      g_direction       => "SUB",
+      g_representation  => "SIGNED",
+      g_pipeline_input  => 0,
+      g_pipeline_output => 1,
+      g_in_dat_w        => c_in_data_w,
+      g_out_dat_w       => c_out_data_w  -- = c_in_data_w + 1
+    )
+    port map (
+      clk     => clk,
+      in_a    => r.sub_reg_a,
+      in_b    => r.sub_reg_b,
+      result  => sub_result
+    );
 
   ---------------------------------------------------------------
   -- CONTROL PROCESS
diff --git a/libraries/dsp/fft/src/vhdl/fft_sepa_wide.vhd b/libraries/dsp/fft/src/vhdl/fft_sepa_wide.vhd
index ed4be37b55..6522b3d19f 100644
--- a/libraries/dsp/fft/src/vhdl/fft_sepa_wide.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_sepa_wide.vhd
@@ -39,10 +39,10 @@
 --
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.fft_pkg.all;
 
 entity fft_sepa_wide is
   generic (
@@ -129,43 +129,43 @@ begin
 
   -- Counter will generate the write address
   u_wr_adr_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => c_adr_w
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_en  => in_val,
-    count   => wr_adr
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => c_adr_w
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_en  => in_val,
+      count   => wr_adr
+    );
 
   -- Instantiation of the rams.
   gen_dual_paged_rams : for I in g_fft.wb_factor - 1 downto 0 generate
     u_buff : entity common_lib.common_paged_ram_r_w
-    generic map (
-      g_str             => "use_adr",
-      g_data_w          => c_dat_w,
-      g_nof_pages       => c_nof_pages,
-      g_page_sz         => c_page_size,
-      g_wr_start_page   => 0,
-      g_rd_start_page   => 1,
-      g_rd_latency      => 1
-    )
-    port map (
-      rst          => rst,
-      clk          => clk,
-      wr_next_page => next_page,
-      wr_adr       => wr_adr,
-      wr_en        => wr_en,
-      wr_dat       => wr_dat(I),
-      rd_next_page => next_page,
-      rd_adr       => rd_adr_arr(I / (g_fft.wb_factor / 2)),
-      rd_en        => '1',
-      rd_dat       => rd_dat_arr(I),
-      rd_val       => open
-    );
+      generic map (
+        g_str             => "use_adr",
+        g_data_w          => c_dat_w,
+        g_nof_pages       => c_nof_pages,
+        g_page_sz         => c_page_size,
+        g_wr_start_page   => 0,
+        g_rd_start_page   => 1,
+        g_rd_latency      => 1
+      )
+      port map (
+        rst          => rst,
+        clk          => clk,
+        wr_next_page => next_page,
+        wr_adr       => wr_adr,
+        wr_en        => wr_en,
+        wr_dat       => wr_dat(I),
+        rd_next_page => next_page,
+        rd_adr       => rd_adr_arr(I / (g_fft.wb_factor / 2)),
+        rd_en        => '1',
+        rd_dat       => rd_dat_arr(I),
+        rd_val       => open
+      );
   end generate;
 
   -- Compose the read-addresses for the memories.
@@ -196,28 +196,28 @@ begin
   -- adjacent separate unit.
   gen_separators : for I in g_fft.wb_factor - 1 downto 0 generate
     u_zipper : entity common_lib.common_zip
-    generic map (
-      g_nof_streams => c_nof_streams,
-      g_dat_w       => c_dat_w
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-      in_val     => zip_in_val(I),
-      in_dat_arr => zip_in_matrix(I),
-      out_val    => zip_out_val(I),
-      out_dat    => zip_out_dat_arr(I)
-    );
+      generic map (
+        g_nof_streams => c_nof_streams,
+        g_dat_w       => c_dat_w
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+        in_val     => zip_in_val(I),
+        in_dat_arr => zip_in_matrix(I),
+        out_val    => zip_out_val(I),
+        out_dat    => zip_out_dat_arr(I)
+      );
 
     u_separate : entity work.fft_sepa
-    port map (
-      clk     => clk,
-      rst     => rst,
-      in_dat  => zip_out_dat_arr(I),  -- c_dat_w
-      in_val  => zip_out_val(I),
-      out_dat => sep_out_dat_arr(I),  -- c_dat_w + 2
-      out_val => sep_out_val_vec(I)
-    );
+      port map (
+        clk     => clk,
+        rst     => rst,
+        in_dat  => zip_out_dat_arr(I),  -- c_dat_w
+        in_val  => zip_out_val(I),
+        out_dat => sep_out_dat_arr(I),  -- c_dat_w + 2
+        out_val => sep_out_val_vec(I)
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -289,39 +289,39 @@ begin
   ---------------------------------------------------------------
   gen_align_and_pipeline_stages : for I in g_fft.wb_factor / 2 - 1 downto 0 generate
     u_output_pipeline_align : entity common_lib.common_pipeline
-    generic map (
-      g_pipeline  => c_pipeline_output + 1,  -- Pipeline + one stage for allignment
-      g_in_dat_w  => c_raw_dat_w,
-      g_out_dat_w => c_raw_dat_w
-    )
-    port map (
-      clk     => clk,
-      in_dat  => sep_out_dat_arr(2 * I),
-      out_dat => out_dat_arr(2 * I)
-    );
+      generic map (
+        g_pipeline  => c_pipeline_output + 1,  -- Pipeline + one stage for allignment
+        g_in_dat_w  => c_raw_dat_w,
+        g_out_dat_w => c_raw_dat_w
+      )
+      port map (
+        clk     => clk,
+        in_dat  => sep_out_dat_arr(2 * I),
+        out_dat => out_dat_arr(2 * I)
+      );
 
     u_output_pipeline : entity common_lib.common_pipeline
+      generic map (
+        g_pipeline  => c_pipeline_output,  -- Only pipeline stage
+        g_in_dat_w  => c_raw_dat_w,
+        g_out_dat_w => c_raw_dat_w
+      )
+      port map (
+        clk     => clk,
+        in_dat  => sep_out_dat_arr(2 * I + 1),
+        out_dat => out_dat_arr(2 * I + 1)
+      );
+  end generate;
+
+  u_out_val_pipeline : entity common_lib.common_pipeline_sl
     generic map (
-      g_pipeline  => c_pipeline_output,  -- Only pipeline stage
-      g_in_dat_w  => c_raw_dat_w,
-      g_out_dat_w => c_raw_dat_w
+      g_pipeline => c_pipeline_output
     )
     port map (
       clk     => clk,
-      in_dat  => sep_out_dat_arr(2 * I + 1),
-      out_dat => out_dat_arr(2 * I + 1)
+      in_dat  => sep_out_val_vec(1),
+      out_dat => out_val
     );
-  end generate;
-
-  u_out_val_pipeline : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => c_pipeline_output
-  )
-  port map (
-    clk     => clk,
-    in_dat  => sep_out_val_vec(1),
-    out_dat => out_val
-  );
 
   -- Split the concatenated array into a real and imaginary array for the output
   gen_output_arrays : for I in g_fft.wb_factor - 1 downto 0 generate
diff --git a/libraries/dsp/fft/src/vhdl/fft_switch.vhd b/libraries/dsp/fft/src/vhdl/fft_switch.vhd
index 623dcfa820..ff4ec8f58d 100644
--- a/libraries/dsp/fft/src/vhdl/fft_switch.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_switch.vhd
@@ -44,9 +44,9 @@
 --   enough, but scrambling both inputs is fine too.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.fft_pkg.all;
 
 entity fft_switch is
   generic (
@@ -90,20 +90,20 @@ begin
 
   -- Create input strobes to view data blocks for debugging
   u_in_strobes : entity common_lib.common_create_strobes_from_valid
-  generic map (
-    g_pipeline          => false,
-    g_nof_clk_per_sync  => c_nof_clk_per_block * 16,  -- void value, sync is not used
-    g_nof_clk_per_block => c_nof_clk_per_block
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    in_val    => in_val,
-    out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
-    out_sop   => in_sop,
-    out_eop   => in_eop,
-    out_sync  => open
-  );
+    generic map (
+      g_pipeline          => false,
+      g_nof_clk_per_sync  => c_nof_clk_per_block * 16,  -- void value, sync is not used
+      g_nof_clk_per_block => c_nof_clk_per_block
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      in_val    => in_val,
+      out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
+      out_sop   => in_sop,
+      out_eop   => in_eop,
+      out_sync  => open
+    );
 
   no_switch : if g_switch_en = false generate
     -- wire inputs to outputs
@@ -159,17 +159,17 @@ begin
     end process;
 
     u_fft_lfsr: entity work.fft_lfsr
-    generic map (
-      g_seed1 => g_seed1,
-      g_seed2 => g_seed2
-    )
-    port map (
-      clk      => clk,
-      rst      => rst,
-      in_en    => lfsr_en,
-      out_bit1 => lfsr_bit1,
-      out_bit2 => lfsr_bit2
-    );
+      generic map (
+        g_seed1 => g_seed1,
+        g_seed2 => g_seed2
+      )
+      port map (
+        clk      => clk,
+        rst      => rst,
+        in_en    => lfsr_en,
+        out_bit1 => lfsr_bit1,
+        out_bit2 => lfsr_bit2
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/dsp/fft/src/vhdl/fft_unswitch.vhd b/libraries/dsp/fft/src/vhdl/fft_unswitch.vhd
index 47288cd638..409e847790 100644
--- a/libraries/dsp/fft/src/vhdl/fft_unswitch.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_unswitch.vhd
@@ -29,9 +29,9 @@
 --   c_nof_clk_per_block samples, forever after rst release.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.fft_pkg.all;
 
 entity fft_unswitch is
   generic (
@@ -75,20 +75,20 @@ begin
 
   -- Create input strobes to view data blocks for debugging
   u_in_strobes : entity common_lib.common_create_strobes_from_valid
-  generic map (
-    g_pipeline          => false,
-    g_nof_clk_per_sync  => c_nof_clk_per_block * 16,  -- void value, sync is not used
-    g_nof_clk_per_block => c_nof_clk_per_block
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    in_val    => in_val,
-    out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
-    out_sop   => in_sop,
-    out_eop   => in_eop,
-    out_sync  => open
-  );
+    generic map (
+      g_pipeline          => false,
+      g_nof_clk_per_sync  => c_nof_clk_per_block * 16,  -- void value, sync is not used
+      g_nof_clk_per_block => c_nof_clk_per_block
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      in_val    => in_val,
+      out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
+      out_sop   => in_sop,
+      out_eop   => in_eop,
+      out_sync  => open
+    );
 
   no_switch : if g_switch_en = false generate
     -- wire inputs to outputs
@@ -150,17 +150,17 @@ begin
     end process;
 
     u_fft_lfsr: entity work.fft_lfsr
-    generic map (
-      g_seed1 => g_seed1,
-      g_seed2 => g_seed2
-    )
-    port map (
-      clk      => clk,
-      rst      => rst,
-      in_en    => lfsr_en,
-      out_bit1 => lfsr_bit1,
-      out_bit2 => lfsr_bit2
-    );
+      generic map (
+        g_seed1 => g_seed1,
+        g_seed2 => g_seed2
+      )
+      port map (
+        clk      => clk,
+        rst      => rst,
+        in_en    => lfsr_en,
+        out_bit1 => lfsr_bit1,
+        out_bit2 => lfsr_bit2
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/dsp/fft/src/vhdl/fft_wide_unit.vhd b/libraries/dsp/fft/src/vhdl/fft_wide_unit.vhd
index 082de52587..61289d7185 100644
--- a/libraries/dsp/fft/src/vhdl/fft_wide_unit.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_wide_unit.vhd
@@ -34,13 +34,13 @@
 --              stages of the wideband fft.
 
 library ieee, common_lib, dp_lib, rTwoSDF_lib, st_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use st_lib.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use st_lib.all;
+  use work.fft_pkg.all;
 
 entity fft_wide_unit is
   generic (
@@ -113,16 +113,16 @@ begin
   -- statistics to one array that is connected to the port of the
   -- fft_wide_unit.
   u_mem_mux_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_fft.wb_factor,
-    g_mult_addr_w => ceil_log2(g_fft.stat_data_sz * c_nof_stats)
-  )
-  port map (
-    mosi     => ram_st_sst_mosi,
-    miso     => ram_st_sst_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_fft.wb_factor,
+      g_mult_addr_w => ceil_log2(g_fft.stat_data_sz * c_nof_stats)
+    )
+    port map (
+      mosi     => ram_st_sst_mosi,
+      miso     => ram_st_sst_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   ---------------------------------------------------------------
   -- PREPARE INPUT DATA FOR WIDEBAND FFT
@@ -138,21 +138,21 @@ begin
   -- THE WIDEBAND FFT
   ---------------------------------------------------------------
   u_fft_wide : entity work.fft_r2_wide
-  generic map(
-    g_fft          => g_fft,  -- generics for the WFFT
-    g_pft_pipeline => g_pft_pipeline,
-    g_fft_pipeline => g_fft_pipeline
-  )
-  port map(
-    clk        => dp_clk,
-    rst        => dp_rst,
-    in_re_arr  => fft_in_re_arr,
-    in_im_arr  => fft_in_im_arr,
-    in_val     => r.in_sosi_arr(0).valid,
-    out_re_arr => fft_out_re_arr,
-    out_im_arr => fft_out_im_arr,
-    out_val    => fft_out_val
-  );
+    generic map(
+      g_fft          => g_fft,  -- generics for the WFFT
+      g_pft_pipeline => g_pft_pipeline,
+      g_fft_pipeline => g_fft_pipeline
+    )
+    port map(
+      clk        => dp_clk,
+      rst        => dp_rst,
+      in_re_arr  => fft_in_re_arr,
+      in_im_arr  => fft_in_im_arr,
+      in_val     => r.in_sosi_arr(0).valid,
+      out_re_arr => fft_out_re_arr,
+      out_im_arr => fft_out_im_arr,
+      out_val    => fft_out_val
+    );
 
   ---------------------------------------------------------------
   -- FFT CONTROL UNIT
@@ -160,18 +160,18 @@ begin
   -- The fft control unit composes the output array in the dp-
   -- streaming format.
   u_fft_control : entity work.fft_wide_unit_control
-  generic map (
-    g_fft         => g_fft
-  )
-  port map(
-    rst          => dp_rst,
-    clk          => dp_clk,
-    in_re_arr    => fft_out_re_arr,
-    in_im_arr    => fft_out_im_arr,
-    in_val       => fft_out_val,
-    ctrl_sosi    => r.in_sosi_arr(0),
-    out_sosi_arr => fft_out_sosi_arr
-  );
+    generic map (
+      g_fft         => g_fft
+    )
+    port map(
+      rst          => dp_rst,
+      clk          => dp_clk,
+      in_re_arr    => fft_out_re_arr,
+      in_im_arr    => fft_out_im_arr,
+      in_val       => fft_out_val,
+      ctrl_sosi    => r.in_sosi_arr(0),
+      out_sosi_arr => fft_out_sosi_arr
+    );
 
   ---------------------------------------------------------------
   -- SUBBAND STATISTICS
@@ -183,43 +183,43 @@ begin
   -- than 18 bit. Therefor a quantizer is inserted.
   gen_subband_stats: for I in 0 to g_fft.wb_factor - 1 generate
     u_quantizer_for_bst : entity dp_lib.dp_requantize
-    generic map (
-      g_complex             => true,
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_lsb_w,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_msb_clip            => true,
-      g_msb_clip_symmetric  => true,
-      g_pipeline_remove_lsb => 1,
-      g_pipeline_remove_msb => 1,
-      g_in_dat_w            => g_fft.out_dat_w,
-      g_out_dat_w           => g_fft.stage_dat_w
-    )
-    port map (
-      rst        => dp_rst,
-      clk        => dp_clk,
-      snk_in     => fft_out_sosi_arr(I),
-      src_out    => sst_in_sosi_arr(I),
-      out_ovr    => open
-    );
+      generic map (
+        g_complex             => true,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_lsb_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => true,
+        g_msb_clip_symmetric  => true,
+        g_pipeline_remove_lsb => 1,
+        g_pipeline_remove_msb => 1,
+        g_in_dat_w            => g_fft.out_dat_w,
+        g_out_dat_w           => g_fft.stage_dat_w
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+        snk_in     => fft_out_sosi_arr(I),
+        src_out    => sst_in_sosi_arr(I),
+        out_ovr    => open
+      );
 
     u_subband_stats : entity st_lib.st_sst
-    generic map(
-      g_nof_stat      => c_nof_stats,
-      g_in_data_w     => g_fft.stage_dat_w,
-      g_stat_data_w   => g_fft.stat_data_w,
-      g_stat_data_sz  => g_fft.stat_data_sz
-    )
-    port map (
-      mm_rst          => mm_rst,
-      mm_clk          => mm_clk,
-      dp_rst          => dp_rst,
-      dp_clk          => dp_clk,
-      in_complex      => sst_in_sosi_arr(I),
-      ram_st_sst_mosi => ram_st_sst_mosi_arr(I),
-      ram_st_sst_miso => ram_st_sst_miso_arr(I)
-    );
+      generic map(
+        g_nof_stat      => c_nof_stats,
+        g_in_data_w     => g_fft.stage_dat_w,
+        g_stat_data_w   => g_fft.stat_data_w,
+        g_stat_data_sz  => g_fft.stat_data_sz
+      )
+      port map (
+        mm_rst          => mm_rst,
+        mm_clk          => mm_clk,
+        dp_rst          => dp_rst,
+        dp_clk          => dp_clk,
+        in_complex      => sst_in_sosi_arr(I),
+        ram_st_sst_mosi => ram_st_sst_mosi_arr(I),
+        ram_st_sst_miso => ram_st_sst_miso_arr(I)
+      );
   end generate;
 
   -- Connect to the outside world
diff --git a/libraries/dsp/fft/src/vhdl/fft_wide_unit_control.vhd b/libraries/dsp/fft/src/vhdl/fft_wide_unit_control.vhd
index 5cb7ec80e4..98d47781b2 100644
--- a/libraries/dsp/fft/src/vhdl/fft_wide_unit_control.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_wide_unit_control.vhd
@@ -37,12 +37,12 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.fft_pkg.all;
 
 entity fft_wide_unit_control is
   generic (
@@ -97,93 +97,93 @@ begin
   -- INPUT FIFO FOR BSN
   ---------------------------------------------------------------
   u_bsn_fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_use_lut   => true,  -- Make this FIFO in logic, since it's only 4 words deep.
-    g_reset     => false,
-    g_init      => false,
-    g_dat_w     => c_dp_stream_bsn_w,
-    g_nof_words => c_ctrl_fifo_depth
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    wr_dat  => ctrl_sosi.bsn,
-    wr_req  => ctrl_sosi.sop,
-    wr_ful  => open ,
-    rd_dat  => bsn,
-    rd_req  => r.sop_dly(0),
-    rd_emp  => open ,
-    rd_val  => open ,
-    usedw   => open
-  );
+    generic map (
+      g_use_lut   => true,  -- Make this FIFO in logic, since it's only 4 words deep.
+      g_reset     => false,
+      g_init      => false,
+      g_dat_w     => c_dp_stream_bsn_w,
+      g_nof_words => c_ctrl_fifo_depth
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      wr_dat  => ctrl_sosi.bsn,
+      wr_req  => ctrl_sosi.sop,
+      wr_ful  => open ,
+      rd_dat  => bsn,
+      rd_req  => r.sop_dly(0),
+      rd_emp  => open ,
+      rd_val  => open ,
+      usedw   => open
+    );
 
   ---------------------------------------------------------------
   -- INPUT FIFO FOR ERR
   ---------------------------------------------------------------
   u_error_fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_use_lut   => true,  -- Make this FIFO in logic, since it's only 4 words deep.
-    g_reset     => false,
-    g_init      => false,
-    g_dat_w     => c_dp_stream_error_w,
-    g_nof_words => c_ctrl_fifo_depth
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    wr_dat  => ctrl_sosi.err,
-    wr_req  => ctrl_sosi.sop,
-    wr_ful  => open ,
-    rd_dat  => err,
-    rd_req  => r.sop_dly(1),
-    rd_emp  => open ,
-    rd_val  => open ,
-    usedw   => open
-  );
+    generic map (
+      g_use_lut   => true,  -- Make this FIFO in logic, since it's only 4 words deep.
+      g_reset     => false,
+      g_init      => false,
+      g_dat_w     => c_dp_stream_error_w,
+      g_nof_words => c_ctrl_fifo_depth
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      wr_dat  => ctrl_sosi.err,
+      wr_req  => ctrl_sosi.sop,
+      wr_ful  => open ,
+      rd_dat  => err,
+      rd_req  => r.sop_dly(1),
+      rd_emp  => open ,
+      rd_val  => open ,
+      usedw   => open
+    );
 
   ---------------------------------------------------------------
   -- FIFO FOR SYNC-BSN
   ---------------------------------------------------------------
   u_sync_bsn_fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_use_lut   => true,  -- Make this FIFO in logic, since it's only 4 words deep.
-    g_reset     => false,
-    g_init      => false,
-    g_dat_w     => c_dp_stream_bsn_w,
-    g_nof_words => 2
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    wr_dat  => ctrl_sosi.bsn,
-    wr_req  => ctrl_sosi.sync,
-    wr_ful  => open ,
-    rd_dat  => rd_dat_i,
-    rd_req  => rd_req_i,
-    rd_emp  => open,
-    rd_val  => rd_val_i,
-    usedw   => open
-  );
+    generic map (
+      g_use_lut   => true,  -- Make this FIFO in logic, since it's only 4 words deep.
+      g_reset     => false,
+      g_init      => false,
+      g_dat_w     => c_dp_stream_bsn_w,
+      g_nof_words => 2
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      wr_dat  => ctrl_sosi.bsn,
+      wr_req  => ctrl_sosi.sync,
+      wr_ful  => open ,
+      rd_dat  => rd_dat_i,
+      rd_req  => rd_req_i,
+      rd_emp  => open,
+      rd_val  => rd_val_i,
+      usedw   => open
+    );
 
   ---------------------------------------------------------------
   -- CREATE READ-AHEAD FIFO INTERFACE FOR SYNC-BSN
   ---------------------------------------------------------------
   u_fifo_adapter : entity common_lib.common_fifo_rd
-  generic map (
-    g_dat_w => c_dp_stream_bsn_w
-  )
-  port map(
-    rst        => rst,
-    clk        => clk,
-    -- ST sink: RL = 1
-    fifo_req   => rd_req_i,
-    fifo_dat   => rd_dat_i,
-    fifo_val   => rd_val_i,
-    -- ST source: RL = 0
-    rd_req     => rd_req,
-    rd_dat     => sync_bsn,
-    rd_val     => open
-  );
+    generic map (
+      g_dat_w => c_dp_stream_bsn_w
+    )
+    port map(
+      rst        => rst,
+      clk        => clk,
+      -- ST sink: RL = 1
+      fifo_req   => rd_req_i,
+      fifo_dat   => rd_dat_i,
+      fifo_val   => rd_val_i,
+      -- ST source: RL = 0
+      rd_req     => rd_req,
+      rd_dat     => sync_bsn,
+      rd_val     => open
+    );
 
   rd_req <= r.out_sosi_arr(0).sync;  -- (r.sync_detected and not(rd_emp)) or r.rd_first;
 
@@ -235,15 +235,15 @@ begin
     end if;
 
     case r.state is
-	    when s_idle =>
-	    	if(in_val = '1') then  -- Wait for the first data to arrive
-	    	  v.packet_cnt := 0;  -- Reset the packet counter
-	    		v.state      := s_run;
-	    	end if;
+      when s_idle =>
+        if(in_val = '1') then  -- Wait for the first data to arrive
+          v.packet_cnt := 0;  -- Reset the packet counter
+          v.state      := s_run;
+        end if;
 
-	    when s_run =>
-	      v.val_dly(0) := '1';  -- Assert the valid signal (Stream starts)
-	      v.packet_cnt := r.packet_cnt + 1;  -- Increment the packet-counter when in s_run-state
+      when s_run =>
+        v.val_dly(0) := '1';  -- Assert the valid signal (Stream starts)
+        v.packet_cnt := r.packet_cnt + 1;  -- Increment the packet-counter when in s_run-state
 
         if(r.packet_cnt = 0) then  -- First sample marks
           v.sop_dly(0) := '1';  -- the start of a packet
@@ -261,10 +261,10 @@ begin
           v.state := s_run;
         end if;
 
-	    when others =>
-	      v.state := s_idle;
+      when others =>
+        v.state := s_idle;
 
-	  end case;
+    end case;
 
     if(rst = '1') then
       v.out_sosi_arr  := (others => c_dp_sosi_rst);
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_functions.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_functions.vhd
index bd206abe35..30fcc51493 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_functions.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_functions.vhd
@@ -30,10 +30,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.fft_pkg.all;
-use work.tb_fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.fft_pkg.all;
+  use work.tb_fft_pkg.all;
 
 entity tb_fft_functions is
 end tb_fft_functions;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_lfsr.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_lfsr.vhd
index accc2d27b4..0436e32264 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_lfsr.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_lfsr.vhd
@@ -31,9 +31,9 @@
 --     start to differ after about c_fft_lfsr_len blocks. Similar for
 --     u0_lfsr_bit1 and u1_lfsr_bit1.
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use work.fft_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.fft_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_fft_lfsr is
 end tb_fft_lfsr;
@@ -64,29 +64,29 @@ begin
   proc_common_gen_pulse(1, c_block_period, '1', rst, clk, in_en);
 
   u0 : entity work.fft_lfsr
-  generic map (
-    g_seed1 => fft_switch_new_seed(c_fft_switch_seed1, 0),
-    g_seed2 => fft_switch_new_seed(c_fft_switch_seed2, 0)
-  )
-  port map (
-    in_en          => in_en,
-    out_bit1       => u0_lfsr_bit1,
-    out_bit2       => u0_lfsr_bit2,
-    clk            => clk,
-    rst            => rst
-  );
+    generic map (
+      g_seed1 => fft_switch_new_seed(c_fft_switch_seed1, 0),
+      g_seed2 => fft_switch_new_seed(c_fft_switch_seed2, 0)
+    )
+    port map (
+      in_en          => in_en,
+      out_bit1       => u0_lfsr_bit1,
+      out_bit2       => u0_lfsr_bit2,
+      clk            => clk,
+      rst            => rst
+    );
 
   u1 : entity work.fft_lfsr
-  generic map (
-    g_seed1 => fft_switch_new_seed(c_fft_switch_seed1, 1),
-    g_seed2 => fft_switch_new_seed(c_fft_switch_seed2, 1)
-  )
-  port map (
-    in_en          => in_en,
-    out_bit1       => u1_lfsr_bit1,
-    out_bit2       => u1_lfsr_bit2,
-    clk            => clk,
-    rst            => rst
-  );
+    generic map (
+      g_seed1 => fft_switch_new_seed(c_fft_switch_seed1, 1),
+      g_seed2 => fft_switch_new_seed(c_fft_switch_seed2, 1)
+    )
+    port map (
+      in_en          => in_en,
+      out_bit1       => u1_lfsr_bit1,
+      out_bit2       => u1_lfsr_bit2,
+      clk            => clk,
+      rst            => rst
+    );
 
 end tb;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_pkg.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_pkg.vhd
index 81966fcc72..2298d08438 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_pkg.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_pkg.vhd
@@ -20,16 +20,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.fft_pkg.all;
 
 package tb_fft_pkg is
 
@@ -39,49 +39,54 @@ package tb_fft_pkg is
   type    t_fft_sst_arr2 is array (integer range <>) of t_fft_sst_arr;  -- Private procedures
 
   -- map fft output index to bin frequency
-  function fft_index_to_bin_frequency(wb_factor, nof_points, index : natural; use_reorder, use_fft_shift, use_separate : boolean) return natural;
+  function fft_index_to_bin_frequency (wb_factor, nof_points, index : natural; use_reorder, use_fft_shift, use_separate : boolean) return natural;
 
   -- use out_val and out_val_cnt to determine the FFT output bin frequency and channel
-  procedure proc_fft_out_control(wb_factor          : natural;
-                                 nof_points         : natural;
-                                 nof_channels       : natural;
-                                 use_reorder        : boolean;
-                                 use_fft_shift      : boolean;
-                                 use_separate       : boolean;
-                                 signal out_val_cnt : in  natural;  -- count at sclk sample rate
-                                 signal out_val     : in  std_logic;
-                                 signal out_val_a   : out std_logic;
-                                 signal out_val_b   : out std_logic;
-                                 signal out_channel : out natural;
-                                 signal out_bin     : out natural;
-                                 signal out_bin_cnt : out natural);
-
-  procedure proc_read_input_file(signal   clk                 : in  std_logic;
-                                 signal   in_file_data        : out t_integer_matrix;
-                                 signal   in_file_sync        : out std_logic_vector;
-                                 signal   in_file_val         : out std_logic_vector;
-                                          file_name           : in  string);
-
-  procedure proc_read_input_file(signal   clk                 : in  std_logic;  -- Same read procedure for data files that do not contain a valid and sync column
-                                 signal   in_file_data        : out t_integer_matrix;
-                                          file_name           : in  string);
-
-
-  procedure proc_fft_read_subband_statistics_memory(constant c_fft_lane     : in  natural;
-                                                    constant c_fft          : in  t_fft;
-                                                    signal   clk            : in  std_logic;
-                                                    signal   mm_mosi        : out t_mem_mosi;
-                                                    signal   mm_miso        : in  t_mem_miso;
-                                                    signal   statistics_arr : out t_slv_64_arr);
+  procedure proc_fft_out_control (
+    wb_factor          : natural;
+    nof_points         : natural;
+    nof_channels       : natural;
+    use_reorder        : boolean;
+    use_fft_shift      : boolean;
+    use_separate       : boolean;
+    signal out_val_cnt : in  natural;  -- count at sclk sample rate
+    signal out_val     : in  std_logic;
+    signal out_val_a   : out std_logic;
+    signal out_val_b   : out std_logic;
+    signal out_channel : out natural;
+    signal out_bin     : out natural;
+    signal out_bin_cnt : out natural);
+
+  procedure proc_read_input_file (
+    signal   clk                 : in  std_logic;
+    signal   in_file_data        : out t_integer_matrix;
+    signal   in_file_sync        : out std_logic_vector;
+    signal   in_file_val         : out std_logic_vector;
+    file_name           : in  string);
+
+  procedure proc_read_input_file (
+    signal   clk                 : in  std_logic;  -- Same read procedure for data files that do not contain a valid and sync column
+    signal   in_file_data        : out t_integer_matrix;
+    file_name           : in  string);
+
+
+  procedure proc_fft_read_subband_statistics_memory (
+    constant c_fft_lane     : in  natural;
+    constant c_fft          : in  t_fft;
+    signal   clk            : in  std_logic;
+    signal   mm_mosi        : out t_mem_mosi;
+    signal   mm_miso        : in  t_mem_miso;
+    signal   statistics_arr : out t_slv_64_arr);
 
 
   -- Private procedures
-  procedure proc_read_subband_stats(constant nof_subbands      : in  natural;
-                                    constant offset            : in  natural;
-                                    signal   clk               : in  std_logic;
-                                    signal   mm_mosi           : out t_mem_mosi;
-                                    signal   mm_miso           : in  t_mem_miso;
-                                    variable result            : out t_slv_64_arr);
+  procedure proc_read_subband_stats (
+    constant nof_subbands      : in  natural;
+    constant offset            : in  natural;
+    signal   clk               : in  std_logic;
+    signal   mm_mosi           : out t_mem_mosi;
+    signal   mm_miso           : in  t_mem_miso;
+    variable result            : out t_slv_64_arr);
 
 
 --  PROCEDURE proc_prepare_input_data(CONSTANT nof_subbands        : IN  NATURAL;
@@ -96,7 +101,7 @@ end tb_fft_pkg;
 
 package body tb_fft_pkg is
 
-  function fft_index_to_bin_frequency(wb_factor, nof_points, index : natural; use_reorder, use_fft_shift, use_separate : boolean) return natural is
+  function fft_index_to_bin_frequency (wb_factor, nof_points, index : natural; use_reorder, use_fft_shift, use_separate : boolean) return natural is
     -- Purpose: map fft output index to bin frequency
     -- Description:
     --   The input index counts the bins as they are output by the HDL implementation of the FFT.
@@ -362,19 +367,20 @@ package body tb_fft_pkg is
   end fft_index_to_bin_frequency;
 
 
-  procedure proc_fft_out_control(wb_factor          : natural;
-                                 nof_points         : natural;
-                                 nof_channels       : natural;
-                                 use_reorder        : boolean;
-                                 use_fft_shift      : boolean;
-                                 use_separate       : boolean;
-                                 signal out_val_cnt : in  natural;  -- count at sclk sample rate
-                                 signal out_val     : in  std_logic;
-                                 signal out_val_a   : out std_logic;
-                                 signal out_val_b   : out std_logic;
-                                 signal out_channel : out natural;
-                                 signal out_bin     : out natural;
-                                 signal out_bin_cnt : out natural) is
+  procedure proc_fft_out_control (
+    wb_factor          : natural;
+    nof_points         : natural;
+    nof_channels       : natural;
+    use_reorder        : boolean;
+    use_fft_shift      : boolean;
+    use_separate       : boolean;
+    signal out_val_cnt : in  natural;  -- count at sclk sample rate
+    signal out_val     : in  std_logic;
+    signal out_val_a   : out std_logic;
+    signal out_val_b   : out std_logic;
+    signal out_channel : out natural;
+    signal out_bin     : out natural;
+    signal out_bin_cnt : out natural) is
     -- Purpose: Derive reference control signals from FFT out_val, out_val_cnt
     --          and derive an index per block that can be used to determine
     --          the frequency bin with fft_index_to_bin_frequency().
@@ -446,11 +452,12 @@ package body tb_fft_pkg is
   --            Reads data (re, im, sync and val) from a file and writes values
   --            to the output signals.
   ------------------------------------------------------------------------------
-  procedure proc_read_input_file( signal   clk                 : in  std_logic;
-                                  signal   in_file_data        : out t_integer_matrix;
-                                  signal   in_file_sync        : out std_logic_vector;
-                                  signal   in_file_val         : out std_logic_vector;
-                                           file_name           : in  string) is
+  procedure proc_read_input_file (
+    signal   clk                 : in  std_logic;
+    signal   in_file_data        : out t_integer_matrix;
+    signal   in_file_sync        : out std_logic_vector;
+    signal   in_file_val         : out std_logic_vector;
+    file_name           : in  string) is
 
     variable v_file_status : FILE_OPEN_STATUS;
     file     v_in_file     : TEXT;
@@ -501,9 +508,10 @@ package body tb_fft_pkg is
   --            Reads data (re, im, sync and val) from a file and writes values
   --            to the output signals.
   ------------------------------------------------------------------------------
-  procedure proc_read_input_file( signal   clk                 : in  std_logic;
-                                  signal   in_file_data        : out t_integer_matrix;
-                                           file_name           : in  string) is
+  procedure proc_read_input_file (
+    signal   clk                 : in  std_logic;
+    signal   in_file_data        : out t_integer_matrix;
+    file_name           : in  string) is
 
     variable v_file_status : FILE_OPEN_STATUS;
     file     v_in_file     : TEXT;
@@ -544,12 +552,13 @@ package body tb_fft_pkg is
   -- PROCEDURE: Read the beamlet statistics memory into an matrix
   ------------------------------------------------------------------------------
 
-  procedure proc_fft_read_subband_statistics_memory(constant c_fft_lane     : in  natural;
-                                                    constant c_fft          : in  t_fft;
-                                                    signal   clk            : in  std_logic;
-                                                    signal   mm_mosi        : out t_mem_mosi;
-                                                    signal   mm_miso        : in  t_mem_miso;
-                                                    signal   statistics_arr : out t_slv_64_arr) is
+  procedure proc_fft_read_subband_statistics_memory (
+    constant c_fft_lane     : in  natural;
+    constant c_fft          : in  t_fft;
+    signal   clk            : in  std_logic;
+    signal   mm_mosi        : out t_mem_mosi;
+    signal   mm_miso        : in  t_mem_miso;
+    signal   statistics_arr : out t_slv_64_arr) is
     variable v_offset         : natural;
     variable v_nof_stats      : natural := c_fft.nof_points / c_fft.wb_factor;
     variable v_statistics_arr : t_slv_64_arr(statistics_arr'range);
@@ -564,12 +573,13 @@ package body tb_fft_pkg is
   ------------------------------------------------------------------------------
   -- PROCEDURE: Reads the beamlet statistics into an array.
   ------------------------------------------------------------------------------
-  procedure proc_read_subband_stats( constant nof_subbands      : in  natural;
-                                     constant offset            : in  natural;
-                                     signal   clk               : in  std_logic;
-                                     signal   mm_mosi           : out t_mem_mosi;
-                                     signal   mm_miso           : in  t_mem_miso;
-                                     variable result            : out t_slv_64_arr) is
+  procedure proc_read_subband_stats (
+    constant nof_subbands      : in  natural;
+    constant offset            : in  natural;
+    signal   clk               : in  std_logic;
+    signal   mm_mosi           : out t_mem_mosi;
+    signal   mm_miso           : in  t_mem_miso;
+    variable result            : out t_slv_64_arr) is
     variable v_data_lo : std_logic_vector(31 downto 0);
   begin
     for J in 0 to nof_subbands - 1 loop
@@ -586,11 +596,11 @@ package body tb_fft_pkg is
 
 
 
-  ------------------------------------------------------------------------------
-  -- PROCEDURE: Prepare input array.
-  --            Combinatorial read data from source file and re-arrange in such
-  --            a way that it represents the data of one input stream
-  ------------------------------------------------------------------------------
+------------------------------------------------------------------------------
+-- PROCEDURE: Prepare input array.
+--            Combinatorial read data from source file and re-arrange in such
+--            a way that it represents the data of one input stream
+------------------------------------------------------------------------------
 --  PROCEDURE proc_prepare_input_data( CONSTANT nof_subbands        : IN  NATURAL;
 --                                     CONSTANT nof_inputs          : IN  NATURAL;
 --                                     CONSTANT nof_input_streams   : IN  NATURAL;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_bf_par.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_bf_par.vhd
index d40b86cb6e..ef8fd7dfa7 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_bf_par.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_bf_par.vhd
@@ -29,17 +29,17 @@
 -- Testbench is selftesting.
 
 library IEEE, common_lib, dp_lib, diag_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
 
 entity tb_fft_r2_bf_par is
   generic(
@@ -142,49 +142,49 @@ begin
   end process;
 
   u_block_generator : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * c_in_dat_w,
-    g_buf_addr_w         => c_bg_addr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_name_prefix   => c_bg_prefix
-  )
-  port map(
-   -- Clocks and reset
-    mm_rst           => rst,
-    mm_clk           => clk,
-    dp_rst           => rst,
-    dp_clk           => clk,
-    en_sync          => '1',
-    ram_bg_data_mosi => ram_bg_data_mosi,
-    ram_bg_data_miso => open,
-    reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-    reg_bg_ctrl_miso => open,
-    out_siso_arr     => in_siso_arr,
-    out_sosi_arr     => in_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * c_in_dat_w,
+      g_buf_addr_w         => c_bg_addr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_name_prefix   => c_bg_prefix
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst           => rst,
+      mm_clk           => clk,
+      dp_rst           => rst,
+      dp_clk           => clk,
+      en_sync          => '1',
+      ram_bg_data_mosi => ram_bg_data_mosi,
+      ram_bg_data_miso => open,
+      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+      reg_bg_ctrl_miso => open,
+      out_siso_arr     => in_siso_arr,
+      out_sosi_arr     => in_sosi_arr
+    );
   in_siso_arr(0) <= c_dp_siso_rdy;
   in_siso_arr(1) <= c_dp_siso_rdy;
 
   -- device under test
   u_dut : entity work.fft_r2_bf_par
-  generic map (
-    g_stage       => g_stage,
-    g_element     => g_element
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    x_in_re  => in_sosi_arr(0).re(c_in_dat_w - 1 downto 0),
-    x_in_im  => in_sosi_arr(0).im(c_in_dat_w - 1 downto 0),
-    y_in_re  => in_sosi_arr(1).re(c_in_dat_w - 1 downto 0),
-    y_in_im  => in_sosi_arr(1).im(c_in_dat_w - 1 downto 0),
-    in_val   => in_sosi_arr(0).valid,
-    x_out_re => x_out_re,
-    x_out_im => x_out_im,
-    y_out_re => y_out_re,
-    y_out_im => y_out_im,
-    out_val  => out_val
-  );
+    generic map (
+      g_stage       => g_stage,
+      g_element     => g_element
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      x_in_re  => in_sosi_arr(0).re(c_in_dat_w - 1 downto 0),
+      x_in_im  => in_sosi_arr(0).im(c_in_dat_w - 1 downto 0),
+      y_in_re  => in_sosi_arr(1).re(c_in_dat_w - 1 downto 0),
+      y_in_im  => in_sosi_arr(1).im(c_in_dat_w - 1 downto 0),
+      in_val   => in_sosi_arr(0).valid,
+      x_out_re => x_out_re,
+      x_out_im => x_out_im,
+      y_out_re => y_out_re,
+      y_out_im => y_out_im,
+      out_val  => out_val
+    );
 
   -- verification
   weight_re <= wRe(wMap(g_element, g_stage));
@@ -212,52 +212,52 @@ begin
   end process;
 
   u_verify_pipeline_x_re : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
-    g_in_dat_w  => ref_x_out_re'length,
-    g_out_dat_w => ref_x_out_re'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => ref_x_out_re,
-    out_dat => ref_x_out_re_dly
-  );
+    generic map (
+      g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
+      g_in_dat_w  => ref_x_out_re'length,
+      g_out_dat_w => ref_x_out_re'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => ref_x_out_re,
+      out_dat => ref_x_out_re_dly
+    );
 
   u_verify_pipeline_x_im : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
-    g_in_dat_w  => ref_x_out_im'length,
-    g_out_dat_w => ref_x_out_im'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => ref_x_out_im,
-    out_dat => ref_x_out_im_dly
-  );
+    generic map (
+      g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
+      g_in_dat_w  => ref_x_out_im'length,
+      g_out_dat_w => ref_x_out_im'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => ref_x_out_im,
+      out_dat => ref_x_out_im_dly
+    );
 
   u_verify_pipeline_y_re : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
-    g_in_dat_w  => ref_y_out_re'length,
-    g_out_dat_w => ref_y_out_re'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => ref_y_out_re,
-    out_dat => ref_y_out_re_dly
-  );
+    generic map (
+      g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
+      g_in_dat_w  => ref_y_out_re'length,
+      g_out_dat_w => ref_y_out_re'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => ref_y_out_re,
+      out_dat => ref_y_out_re_dly
+    );
 
   u_verify_pipeline_y_im : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
-    g_in_dat_w  => ref_y_out_im'length,
-    g_out_dat_w => ref_y_out_im'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => ref_y_out_im,
-    out_dat => ref_y_out_im_dly
-  );
+    generic map (
+      g_pipeline  => (c_pipeline.bf_lat + c_pipeline.mul_lat),
+      g_in_dat_w  => ref_y_out_im'length,
+      g_out_dat_w => ref_y_out_im'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => ref_y_out_im,
+      out_dat => ref_y_out_im_dly
+    );
 
   ------------------------------------------------------------------------
   -- Simples process that does the final test.
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_par.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_par.vhd
index 134b6b6c1e..d834f8d266 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_par.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_par.vhd
@@ -56,18 +56,18 @@
 --   able to disable the dut_clk during verification to significantly speed
 --   up the simulation.
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
-use work.tb_fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
+  use work.tb_fft_pkg.all;
 
 entity tb_fft_r2_par is
   generic(
@@ -131,7 +131,7 @@ architecture tb of tb_fft_r2_par is
   constant c_rnd_factor            : natural := sel_a_b(g_enable_in_val_gaps, 3, 1);
   constant c_dut_block_latency     : natural := 3;
   constant c_dut_clk_latency       : natural := g_fft.nof_points * c_dut_block_latency * c_rnd_factor;  -- worst case
-                                                -- need to account for g_fft.nof_points, because tb verifies on serialized output
+  -- need to account for g_fft.nof_points, because tb verifies on serialized output
 
   -- input/output data width
   constant c_in_dat_w              : natural := g_fft.in_dat_w;
@@ -304,19 +304,19 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fft_r2_par
-  generic map(
-    g_fft      => g_fft
-  )
-  port map(
-    clk        => dut_clk,
-    rst        => rst,
-    in_re_arr  => in_re_arr,
-    in_im_arr  => in_im_arr,
-    in_val     => in_val,
-    out_re_arr => out_re_arr,
-    out_im_arr => out_im_arr,
-    out_val    => out_val
-  );
+    generic map(
+      g_fft      => g_fft
+    )
+    port map(
+      clk        => dut_clk,
+      rst        => rst,
+      in_re_arr  => in_re_arr,
+      in_im_arr  => in_im_arr,
+      in_val     => in_val,
+      out_re_arr => out_re_arr,
+      out_im_arr => out_im_arr,
+      out_val    => out_val
+    );
 
   -- Block count
   in_val_cnt  <= in_val_cnt + 1  when rising_edge(dut_clk) and in_val = '1'  else in_val_cnt;
@@ -397,7 +397,7 @@ begin
         end if;
         out_val_c <= '1';
         proc_common_wait_some_cycles(tb_clk, 1);
-        --out_cnt <= out_cnt + 1;  -- can increment out_cnt here inside this process after rising_edge(tb_clk) or in separate concurrent process statement
+      --out_cnt <= out_cnt + 1;  -- can increment out_cnt here inside this process after rising_edge(tb_clk) or in separate concurrent process statement
       end loop;
     end loop;
     out_val_c <= '0';
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_pipe.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_pipe.vhd
index 01fb8d4ea7..b860703560 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_pipe.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_pipe.vhd
@@ -83,18 +83,18 @@
 --     signals in the Wave window
 --
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
-use work.tb_fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
+  use work.tb_fft_pkg.all;
 
 entity tb_fft_r2_pipe is
   generic(
@@ -327,19 +327,19 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fft_r2_pipe
-  generic map (
-    g_fft      => g_fft
-  )
-  port map (
-    clk           => clk,
-    rst           => rst,
-    in_re         => in_dat_a,
-    in_im         => in_dat_b,
-    in_val        => in_val,
-    out_quant_re  => out_re,
-    out_quant_im  => out_im,
-    out_val       => out_val
-  );
+    generic map (
+      g_fft      => g_fft
+    )
+    port map (
+      clk           => clk,
+      rst           => rst,
+      in_re         => in_dat_a,
+      in_im         => in_dat_b,
+      in_val        => in_val,
+      out_quant_re  => out_re,
+      out_quant_im  => out_im,
+      out_val       => out_val
+    );
 
   -- Separate output
   in_val_cnt  <= in_val_cnt + 1  when rising_edge(clk) and in_val = '1'  else in_val_cnt;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_wide.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_wide.vhd
index a98b9991d7..e08bb258e1 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_r2_wide.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_r2_wide.vhd
@@ -43,18 +43,18 @@
 --     signals in the Wave window
 --
 library ieee, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
-use work.tb_fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
+  use work.tb_fft_pkg.all;
 
 entity tb_fft_r2_wide is
   generic(
@@ -313,19 +313,19 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fft_r2_wide
-  generic map(
-    g_fft          => g_fft
-  )
-  port map(
-    clk        => clk,
-    rst        => rst,
-    in_re_arr  => in_re_arr,
-    in_im_arr  => in_im_arr,
-    in_val     => in_val,
-    out_re_arr => out_re_arr,
-    out_im_arr => out_im_arr,
-    out_val    => out_val
-  );
+    generic map(
+      g_fft          => g_fft
+    )
+    port map(
+      clk        => clk,
+      rst        => rst,
+      in_re_arr  => in_re_arr,
+      in_im_arr  => in_im_arr,
+      in_val     => in_val,
+      out_re_arr => out_re_arr,
+      out_im_arr => out_im_arr,
+      out_val    => out_val
+    );
 
   -- Data valid count
   in_val_cnt  <= in_val_cnt + 1  when rising_edge(clk) and in_val = '1'  else in_val_cnt;
@@ -451,87 +451,87 @@ begin
   end process;
 
   u_in_re_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_in_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => in_re_data,
-    in_val    => in_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => in_re_scope,
-    out_val   => in_val_scope
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_in_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => in_re_data,
+      in_val    => in_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => in_re_scope,
+      out_val   => in_val_scope
+    );
 
   u_in_im_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_in_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => in_im_data,
-    in_val    => in_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => in_im_scope,
-    out_val   => open
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_in_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => in_im_data,
+      in_val    => in_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => in_im_scope,
+      out_val   => open
+    );
 
   u_out_re_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_out_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => out_re_data,
-    in_val    => out_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => out_re_scope,
-    out_val   => out_val_c
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_out_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => out_re_data,
+      in_val    => out_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => out_re_scope,
+      out_val   => out_val_c
+    );
 
   u_out_im_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_out_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => out_im_data,
-    in_val    => out_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => out_im_scope,
-    out_val   => open
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fft.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_out_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => out_im_data,
+      in_val    => out_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => out_im_scope,
+      out_val   => open
+    );
 
 end tb;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_reorder_sepa_pipe.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_reorder_sepa_pipe.vhd
index 3eca94f880..cd2ffeb3ce 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_reorder_sepa_pipe.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_reorder_sepa_pipe.vhd
@@ -30,15 +30,15 @@
 -- Run testbench for different values of c_seperate and c_reorder. (Recompile is required)
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_fft_reorder_sepa_pipe is
 end tb_fft_reorder_sepa_pipe;
@@ -124,44 +124,44 @@ begin
   end process;
 
   u_block_generator : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => 1,
-    g_buf_dat_w          => c_nof_complex * c_in_dat_w,
-    g_buf_addr_w         => c_bg_addr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_name_prefix   => c_bg_prefix
-  )
-  port map(
-   -- Clocks and reset
-    mm_rst           => rst,
-    mm_clk           => clk,
-    dp_rst           => rst,
-    dp_clk           => clk,
-    en_sync          => '1',
-    ram_bg_data_mosi => ram_bg_data_mosi,
-    ram_bg_data_miso => open,
-    reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-    reg_bg_ctrl_miso => open,
-    out_siso_arr     => in_siso_arr,
-    out_sosi_arr     => in_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => 1,
+      g_buf_dat_w          => c_nof_complex * c_in_dat_w,
+      g_buf_addr_w         => c_bg_addr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_name_prefix   => c_bg_prefix
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst           => rst,
+      mm_clk           => clk,
+      dp_rst           => rst,
+      dp_clk           => clk,
+      en_sync          => '1',
+      ram_bg_data_mosi => ram_bg_data_mosi,
+      ram_bg_data_miso => open,
+      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+      reg_bg_ctrl_miso => open,
+      out_siso_arr     => in_siso_arr,
+      out_sosi_arr     => in_sosi_arr
+    );
   in_siso_arr(0) <= c_dp_siso_rdy;
 
   -- device under test
   u_dut : entity work.fft_reorder_sepa_pipe
-  generic map (
-    g_separate    => c_separate,
-    g_nof_points  => c_nof_points,
-    g_bit_flip    => c_reorder,
-    g_nof_chan    => c_nof_chan
-  )
-  port map (
-    clk     => clk,
-    rst     => rst,
-    in_dat  => in_dat,
-    in_val  => in_sosi_arr(0).valid,
-    out_dat => out_dat,
-    out_val => out_val
-  );
+    generic map (
+      g_separate    => c_separate,
+      g_nof_points  => c_nof_points,
+      g_bit_flip    => c_reorder,
+      g_nof_chan    => c_nof_chan
+    )
+    port map (
+      clk     => clk,
+      rst     => rst,
+      in_dat  => in_dat,
+      in_val  => in_sosi_arr(0).valid,
+      out_dat => out_dat,
+      out_val => out_val
+    );
 
   in_dat <= in_sosi_arr(0).im(c_in_dat_w - 1 downto 0) & in_sosi_arr(0).re(c_in_dat_w - 1 downto 0);
   out_dat_re <= out_dat(c_in_dat_w - 1 downto 0);
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_sepa.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_sepa.vhd
index 6746cc7e0a..61aee32ff7 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_sepa.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_sepa.vhd
@@ -30,14 +30,14 @@
 -- First frame contains always some errors.
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_fft_sepa is
 end tb_fft_sepa;
@@ -121,38 +121,38 @@ begin
   end process;
 
   u_block_generator : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => 1,
-    g_buf_dat_w          => c_nof_complex * c_in_dat_w,
-    g_buf_addr_w         => c_bg_addr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_name_prefix   => c_bg_prefix
-  )
-  port map(
-   -- Clocks and reset
-    mm_rst           => rst,
-    mm_clk           => clk,
-    dp_rst           => rst,
-    dp_clk           => clk,
-    en_sync          => '1',
-    ram_bg_data_mosi => ram_bg_data_mosi,
-    ram_bg_data_miso => open,
-    reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-    reg_bg_ctrl_miso => open,
-    out_siso_arr     => in_siso_arr,
-    out_sosi_arr     => in_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => 1,
+      g_buf_dat_w          => c_nof_complex * c_in_dat_w,
+      g_buf_addr_w         => c_bg_addr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_name_prefix   => c_bg_prefix
+    )
+    port map(
+      -- Clocks and reset
+      mm_rst           => rst,
+      mm_clk           => clk,
+      dp_rst           => rst,
+      dp_clk           => clk,
+      en_sync          => '1',
+      ram_bg_data_mosi => ram_bg_data_mosi,
+      ram_bg_data_miso => open,
+      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+      reg_bg_ctrl_miso => open,
+      out_siso_arr     => in_siso_arr,
+      out_sosi_arr     => in_sosi_arr
+    );
   in_siso_arr(0) <= c_dp_siso_rdy;
 
   -- device under test
   u_dut : entity work.fft_sepa
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => in_dat,
-    in_val   => in_sosi_arr(0).valid,
-    out_dat  => out_dat,
-    out_val  => out_val
-  );
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => in_dat,
+      in_val   => in_sosi_arr(0).valid,
+      out_dat  => out_dat,
+      out_val  => out_val
+    );
 
   in_dat <= in_sosi_arr(0).im(c_in_dat_w - 1 downto 0) & in_sosi_arr(0).re(c_in_dat_w - 1 downto 0);
   out_dat_re <= out_dat(c_in_dat_w - 1 downto 0);
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_switch.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_switch.vhd
index ba130080a3..bb279f2c71 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_switch.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_switch.vhd
@@ -49,10 +49,10 @@
 -- # view a,b and re,im signals in radix decimal
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.fft_pkg.all;
 
 entity tb_fft_switch is
   generic (
@@ -161,20 +161,20 @@ begin
 
   -- Create in strobes for debugging
   u_in_strobes : entity common_lib.common_create_strobes_from_valid
-  generic map (
-    g_pipeline          => false,
-    g_nof_clk_per_sync  => g_nof_clk_per_sync,
-    g_nof_clk_per_block => c_nof_clk_per_block
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    in_val    => in_val,
-    out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
-    out_sop   => in_sop,
-    out_eop   => in_eop,
-    out_sync  => in_sync
-  );
+    generic map (
+      g_pipeline          => false,
+      g_nof_clk_per_sync  => g_nof_clk_per_sync,
+      g_nof_clk_per_block => c_nof_clk_per_block
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      in_val    => in_val,
+      out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
+      out_sop   => in_sop,
+      out_eop   => in_eop,
+      out_sync  => in_sync
+    );
 
 
   gen_increment_at_val : if g_increment_at_val = true generate
@@ -188,23 +188,23 @@ begin
 
 
   u_fft_switch : entity work.fft_switch
-  generic map (
-    g_switch_en => g_switch_en,
-    g_seed1     => c_switch_seed1,
-    g_seed2     => c_switch_seed2,
-    g_fft_sz_w  => g_fft_size_w,
-    g_dat_w     => c_dat_w
-  )
-  port map (
-    in_re      => in_a,
-    in_im      => in_b,
-    in_val     => in_val,
-    out_re     => switch_a,
-    out_im     => switch_b,
-    out_val    => switch_val,
-    clk        => clk,
-    rst        => rst
-  );
+    generic map (
+      g_switch_en => g_switch_en,
+      g_seed1     => c_switch_seed1,
+      g_seed2     => c_switch_seed2,
+      g_fft_sz_w  => g_fft_size_w,
+      g_dat_w     => c_dat_w
+    )
+    port map (
+      in_re      => in_a,
+      in_im      => in_b,
+      in_val     => in_val,
+      out_re     => switch_a,
+      out_im     => switch_b,
+      out_val    => switch_val,
+      clk        => clk,
+      rst        => rst
+    );
 
   -- Model A, B multiplexing part of FFT
   --                   0  1  2 ..  N-1
@@ -232,23 +232,23 @@ begin
 
 
   u_fft_unswitch : entity work.fft_unswitch
-  generic map (
-    g_switch_en => g_switch_en,
-    g_seed1     => c_switch_seed1,
-    g_seed2     => c_switch_seed2,
-    g_fft_sz_w  => g_fft_size_w,
-    g_dat_w     => c_dat_w
-  )
-  port map (
-    in_re      => mux_re,
-    in_im      => mux_im,
-    in_val     => mux_val,
-    out_re     => unswitch_re,
-    out_im     => unswitch_im,
-    out_val    => unswitch_val,
-    clk        => clk,
-    rst        => rst
-  );
+    generic map (
+      g_switch_en => g_switch_en,
+      g_seed1     => c_switch_seed1,
+      g_seed2     => c_switch_seed2,
+      g_fft_sz_w  => g_fft_size_w,
+      g_dat_w     => c_dat_w
+    )
+    port map (
+      in_re      => mux_re,
+      in_im      => mux_im,
+      in_val     => mux_val,
+      out_re     => unswitch_re,
+      out_im     => unswitch_im,
+      out_val    => unswitch_val,
+      clk        => clk,
+      rst        => rst
+    );
 
   -- Demultiplex output to ease verification
   --                      0  1  2  3 ..  N-2  N-1
@@ -276,20 +276,20 @@ begin
 
   -- Create out strobes for debugging
   u_out_strobes : entity common_lib.common_create_strobes_from_valid
-  generic map (
-    g_pipeline          => false,
-    g_nof_clk_per_sync  => g_nof_clk_per_sync,
-    g_nof_clk_per_block => c_nof_clk_per_block
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    in_val    => out_val,
-    out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
-    out_sop   => out_sop,
-    out_eop   => out_eop,
-    out_sync  => out_sync
-  );
+    generic map (
+      g_pipeline          => false,
+      g_nof_clk_per_sync  => g_nof_clk_per_sync,
+      g_nof_clk_per_block => c_nof_clk_per_block
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+      in_val    => out_val,
+      out_val   => OPEN,  -- out_val = in_val, because g_pipeline = FALSE
+      out_sop   => out_sop,
+      out_eop   => out_eop,
+      out_sync  => out_sync
+    );
 
   -- Account for pipeling in fft_switch, mux,  fft_unswitch and demux
   dly_val(0) <= in_val;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_fft_wide_unit.vhd b/libraries/dsp/fft/tb/vhdl/tb_fft_wide_unit.vhd
index 82f97458c8..f6bfd48d62 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_fft_wide_unit.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_fft_wide_unit.vhd
@@ -30,20 +30,20 @@
 --
 
 library ieee, common_lib, dp_lib, diag_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.tb_fft_pkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.tb_fft_pkg.all;
+  use work.fft_pkg.all;
 
 entity tb_fft_wide_unit is
   generic(
@@ -54,23 +54,23 @@ entity tb_fft_wide_unit is
     g_use_impulse_file   : boolean  := false;
     g_use_2xreal_inputs  : boolean  := false;  -- Set to true for running the two-real input variants
     g_fft : t_fft := (true, false, false, 0, 4, 0, 1024, 16, 18, 0, 18, 2, true, 56, 2)
-    --  type t_rtwo_fft is record
-    --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
-    --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
-    --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --    wb_factor      : natural;  -- = default 1, wideband factor
-    --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
-    --    nof_points     : natural;  -- = 1024, N point FFT
-    --    in_dat_w       : natural;  -- = 8, number of input bits
-    --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
-    --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
-    --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
-    --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
-    --    stat_data_w    : positive; -- = 56
-    --    stat_data_sz   : positive; -- = 2
-    --  end record;
+  --  type t_rtwo_fft is record
+  --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --    wb_factor      : natural;  -- = default 1, wideband factor
+  --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  --    nof_points     : natural;  -- = 1024, N point FFT
+  --    in_dat_w       : natural;  -- = 8, number of input bits
+  --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  --    stat_data_w    : positive; -- = 56
+  --    stat_data_sz   : positive; -- = 2
+  --  end record;
   );
 end entity tb_fft_wide_unit;
 
@@ -100,7 +100,7 @@ architecture tb of tb_fft_wide_unit is
 
   constant c_normal                : boolean  := true;
 
-      -- input from uniform noise file created automatically by MATLAB testFFT_input.m
+  -- input from uniform noise file created automatically by MATLAB testFFT_input.m
   constant c_noiseInputFile    : string := "data/test/in/uniNoise_p"  & natural'image(g_fft.nof_points) & "_b" & natural'image(c_twiddle_w) & "_in.txt";
   constant c_noiseGoldenFile   : string := "data/test/out/uniNoise_p" & natural'image(g_fft.nof_points) & "_b" & natural'image(c_twiddle_w) & "_tb" & natural'image(wTyp'length) & "_out.txt";
   constant c_noiseOutputFile   : string := "data/test/out/uniNoise_out.txt";
@@ -138,16 +138,16 @@ architecture tb of tb_fft_wide_unit is
   constant c_preSelSinNoiseGoldenFile : string := sel_a_b(g_use_2xreal_inputs, c_2xrealSinNoiseGoldenFile, c_sinNoiseGoldenFile);
 
   constant c_inputFile  : string := sel_a_b(g_use_uniNoise_file, c_noiseInputFile,
-                                    sel_a_b(g_use_sinus_file,    c_sinusInputFile,
-                                    sel_a_b(g_use_sinNoise_file, c_sinNoiseInputFile,        c_preSelImpulseInputFile)));
+                                            sel_a_b(g_use_sinus_file,    c_sinusInputFile,
+                                                     sel_a_b(g_use_sinNoise_file, c_sinNoiseInputFile,        c_preSelImpulseInputFile)));
 
   constant c_goldenFile : string := sel_a_b(g_use_uniNoise_file, c_preSelNoiseGoldenFile,
-                                    sel_a_b(g_use_sinus_file,    c_preSelSinusGoldenFile,
-                                    sel_a_b(g_use_sinNoise_file, c_preSelSinNoiseGoldenFile, c_preSelImpulseGoldenFile)));
+                                            sel_a_b(g_use_sinus_file,    c_preSelSinusGoldenFile,
+                                                     sel_a_b(g_use_sinNoise_file, c_preSelSinNoiseGoldenFile, c_preSelImpulseGoldenFile)));
 
   constant c_outputFile : string := sel_a_b(g_use_uniNoise_file, c_noiseOutputFile,
-                                    sel_a_b(g_use_sinus_file,    c_sinusOutputFile,
-                                    sel_a_b(g_use_sinNoise_file, c_sinNoiseOutputFile,       c_preSelImpulseOutputFile)));
+                                            sel_a_b(g_use_sinus_file,    c_sinusOutputFile,
+                                                     sel_a_b(g_use_sinNoise_file, c_sinNoiseOutputFile,       c_preSelImpulseOutputFile)));
 
   -- signal definitions
   signal tb_end         : std_logic := '0';
@@ -274,26 +274,26 @@ begin
   ---------------------------------------------------------------
   gen_block_gen : for I in 0 to g_fft.wb_factor - 1 generate
     u_block_generator : entity diag_lib.mms_diag_block_gen
-    generic map(
-      g_nof_streams        => 1,
-      g_buf_dat_w          => c_nof_complex * g_fft.in_dat_w,
-      g_buf_addr_w         => c_bg_addr_w,
-      g_file_name_prefix   => c_bg_prefix
-    )
-    port map(
-     -- Clocks and Reset
-      mm_rst           => rst,
-      mm_clk           => clk,
-      dp_rst           => rst,
-      dp_clk           => clk,
-      en_sync          => '1',
-      ram_bg_data_mosi => ram_bg_data_mosi_arr(I),
-      ram_bg_data_miso => open,
-      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-      reg_bg_ctrl_miso => open,
-      out_siso_arr     => in_siso_matrix(I),
-      out_sosi_arr     => in_sosi_matrix(I)
-    );
+      generic map(
+        g_nof_streams        => 1,
+        g_buf_dat_w          => c_nof_complex * g_fft.in_dat_w,
+        g_buf_addr_w         => c_bg_addr_w,
+        g_file_name_prefix   => c_bg_prefix
+      )
+      port map(
+        -- Clocks and Reset
+        mm_rst           => rst,
+        mm_clk           => clk,
+        dp_rst           => rst,
+        dp_clk           => clk,
+        en_sync          => '1',
+        ram_bg_data_mosi => ram_bg_data_mosi_arr(I),
+        ram_bg_data_miso => open,
+        reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+        reg_bg_ctrl_miso => open,
+        out_siso_arr     => in_siso_matrix(I),
+        out_sosi_arr     => in_sosi_matrix(I)
+      );
     in_sosi_arr(I)       <= in_sosi_matrix(I)(0);
     in_siso_matrix(I)(0) <= c_dp_siso_rdy;
   end generate;
@@ -328,19 +328,19 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fft_wide_unit
-  generic map (
-    g_fft          => g_fft
-  )
-  port map (
-    dp_rst          => rst,
-    dp_clk          => clk,
-    mm_rst          => rst,
-    mm_clk          => clk,
-    ram_st_sst_mosi => ram_sst_mosi,
-    ram_st_sst_miso => ram_sst_miso,
-    in_sosi_arr     => in_sosi_arr,
-    out_sosi_arr    => result_sosi_arr
-  );
+    generic map (
+      g_fft          => g_fft
+    )
+    port map (
+      dp_rst          => rst,
+      dp_clk          => clk,
+      mm_rst          => rst,
+      mm_clk          => clk,
+      ram_st_sst_mosi => ram_sst_mosi,
+      ram_st_sst_miso => ram_sst_miso,
+      in_sosi_arr     => in_sosi_arr,
+      out_sosi_arr    => result_sosi_arr
+    );
   ---------------------------------------------------------------
   -- REARRANGE THE OUTPUT-DATA FOR VERIFICATION
   ---------------------------------------------------------------
diff --git a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2.vhd b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2.vhd
index f378cb2fa0..ca6fb5ff05 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2.vhd
@@ -46,19 +46,19 @@
 
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_mmf_fft_r2 is
   generic(
@@ -77,23 +77,23 @@ end tb_mmf_fft_r2;
 architecture tb of tb_mmf_fft_r2 is
 
   constant c_fft : t_fft := (true, false, g_use_separate, g_nof_chan, g_wb_factor, 0, g_nof_points, g_in_dat_w, g_out_dat_w, 0, c_dsp_mult_w, 2, true, 56, 2);
-    --  type t_rtwo_fft is record
-    --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
-    --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
-    --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --    wb_factor      : natural;  -- = default 1, wideband factor
-    --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
-    --    nof_points     : natural;  -- = 1024, N point FFT
-    --    in_dat_w       : natural;  -- = 8, number of input bits
-    --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
-    --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
-    --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
-    --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
-    --    stat_data_w    : positive; -- = 56
-    --    stat_data_sz   : positive; -- = 2
-    --  end record;
+  --  type t_rtwo_fft is record
+  --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --    wb_factor      : natural;  -- = default 1, wideband factor
+  --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  --    nof_points     : natural;  -- = 1024, N point FFT
+  --    in_dat_w       : natural;  -- = 8, number of input bits
+  --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  --    stat_data_w    : positive; -- = 56
+  --    stat_data_sz   : positive; -- = 2
+  --  end record;
 
   constant c_sim                : boolean := true;
 
@@ -177,7 +177,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -187,63 +187,63 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * c_fft.in_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * c_fft.in_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   u_in_scope : entity dp_lib.dp_wideband_wb_arr_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => c_fft.wb_factor,
-    g_wideband_big_endian => false,
-    g_dat_w               => c_fft.in_dat_w
-  )
-  port map (
-    SCLK         => SCLK,
-    wb_sosi_arr  => bg_sosi_arr,
-    scope_sosi   => scope_in_sosi
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => c_fft.wb_factor,
+      g_wideband_big_endian => false,
+      g_dat_w               => c_fft.in_dat_w
+    )
+    port map (
+      SCLK         => SCLK,
+      wb_sosi_arr  => bg_sosi_arr,
+      scope_sosi   => scope_in_sosi
+    );
 
   connect_input_data : for I in 0 to c_fft.wb_factor - 1 generate
     in_re_arr(I) <= RESIZE_SVEC(bg_sosi_arr(I).re(c_fft.in_dat_w - 1 downto 0), in_re_arr(I)'length);
@@ -257,53 +257,53 @@ begin
   -- DUT is instantiated.
   gen_wideband_fft : if g_fft_type = "wide" generate
     u_dut : entity work.fft_r2_wide
-    generic map(
-      g_fft          => c_fft  -- generics for the FFT
-    )
-    port map(
-      clk        => dp_clk,
-      rst        => dp_rst,
-      in_re_arr  => in_re_arr,
-      in_im_arr  => in_im_arr,
-      in_val     => in_val,
-      out_re_arr => out_re_arr,
-      out_im_arr => out_im_arr,
-      out_val    => out_val
-    );
+      generic map(
+        g_fft          => c_fft  -- generics for the FFT
+      )
+      port map(
+        clk        => dp_clk,
+        rst        => dp_rst,
+        in_re_arr  => in_re_arr,
+        in_im_arr  => in_im_arr,
+        in_val     => in_val,
+        out_re_arr => out_re_arr,
+        out_im_arr => out_im_arr,
+        out_val    => out_val
+      );
   end generate;
 
   gen_pipelined_fft : if g_fft_type = "pipe" generate
     u_dut : entity work.fft_r2_pipe
-    generic map(
-      g_fft      => c_fft
-    )
-    port map(
-      clk           => dp_clk,
-      rst           => dp_rst,
-      in_re         => in_re_arr(0)(c_fft.in_dat_w - 1 downto 0),
-      in_im         => in_im_arr(0)(c_fft.in_dat_w - 1 downto 0),
-      in_val        => in_val,
-      out_quant_re  => out_re_arr(0)(c_fft.out_dat_w - 1 downto 0),
-      out_quant_im  => out_im_arr(0)(c_fft.out_dat_w - 1 downto 0),
-      out_val       => out_val
-    );
+      generic map(
+        g_fft      => c_fft
+      )
+      port map(
+        clk           => dp_clk,
+        rst           => dp_rst,
+        in_re         => in_re_arr(0)(c_fft.in_dat_w - 1 downto 0),
+        in_im         => in_im_arr(0)(c_fft.in_dat_w - 1 downto 0),
+        in_val        => in_val,
+        out_quant_re  => out_re_arr(0)(c_fft.out_dat_w - 1 downto 0),
+        out_quant_im  => out_im_arr(0)(c_fft.out_dat_w - 1 downto 0),
+        out_val       => out_val
+      );
   end generate;
 
   gen_parallel_fft : if g_fft_type = "par" generate
     u_dut : entity work.fft_r2_par
-    generic map(
-      g_fft      => c_fft
-    )
-    port map(
-      clk        => dp_clk,
-      rst        => dp_rst,
-      in_re_arr  => in_re_arr,
-      in_im_arr  => in_im_arr,
-      in_val     => in_val,
-      out_re_arr => out_re_arr,
-      out_im_arr => out_im_arr,
-      out_val    => out_val
-    );
+      generic map(
+        g_fft      => c_fft
+      )
+      port map(
+        clk        => dp_clk,
+        rst        => dp_rst,
+        in_re_arr  => in_re_arr,
+        in_im_arr  => in_im_arr,
+        in_val     => in_val,
+        out_re_arr => out_re_arr,
+        out_im_arr => out_im_arr,
+        out_val    => out_val
+      );
   end generate;
 
   connect_output_data : for I in 0 to c_fft.wb_factor - 1 generate
@@ -313,76 +313,76 @@ begin
   end generate;
 
   u_out_scope : entity dp_lib.dp_wideband_wb_arr_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => c_fft.wb_factor,
-    g_wideband_big_endian => false,
-    g_dat_w               => c_fft.out_dat_w
-  )
-  port map (
-    SCLK         => SCLK,
-    wb_sosi_arr  => out_sosi_arr,
-    scope_sosi   => scope_out_sosi
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => c_fft.wb_factor,
+      g_wideband_big_endian => false,
+      g_dat_w               => c_fft.out_dat_w
+    )
+    port map (
+      SCLK         => SCLK,
+      wb_sosi_arr  => out_sosi_arr,
+      scope_sosi   => scope_out_sosi
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_type    => e_real,
-    g_data_w       => c_fft.out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => false
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_type    => e_real,
+      g_data_w       => c_fft.out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => false
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_type    => e_imag,
-    g_data_w       => c_fft.out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => false
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_type    => e_imag,
+      g_data_w       => c_fft.out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => false
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_par.vhd b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_par.vhd
index 68cafe8168..e5e660aeb3 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_par.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_par.vhd
@@ -37,39 +37,39 @@
 
 
 library IEEE, common_lib, unb_common_lib, mm_lib, diag_lib, dp_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_mmf_fft_r2_par is
   generic(
     g_fft : t_fft := (true, false, false, 0, 1, 0, 64, 8, 14, 0, c_dsp_mult_w, 2, true, 56, 2)
-    --  type t_rtwo_fft is record
-    --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
-    --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
-    --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --    wb_factor      : natural;  -- = default 1, wideband factor
-    --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
-    --    nof_points     : natural;  -- = 1024, N point FFT
-    --    in_dat_w       : natural;  -- = 8, number of input bits
-    --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
-    --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
-    --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
-    --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
-    --    stat_data_w    : positive; -- = 56
-    --    stat_data_sz   : positive; -- = 2
-    --  end record;
+  --  type t_rtwo_fft is record
+  --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --    wb_factor      : natural;  -- = default 1, wideband factor
+  --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  --    nof_points     : natural;  -- = 1024, N point FFT
+  --    in_dat_w       : natural;  -- = 8, number of input bits
+  --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  --    stat_data_w    : positive; -- = 56
+  --    stat_data_sz   : positive; -- = 2
+  --  end record;
   );
 end tb_mmf_fft_r2_par;
 
@@ -153,7 +153,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -163,50 +163,50 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_output_streams => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * g_fft.in_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map(
+      g_nof_output_streams => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * g_fft.in_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   connect_input_data : for I in 0 to g_fft.nof_points - 1 generate
     in_re_arr(I) <= RESIZE_SVEC(bg_sosi_arr(I).re(g_fft.in_dat_w - 1 downto 0), in_re_arr(I)'length);
@@ -217,19 +217,19 @@ begin
 
   -- DUT = Device Under Test
   u_dut : entity work.fft_r2_par
-  generic map(
-    g_fft      => g_fft  -- generics for the FFT
-  )
-  port map(
-    clk        => dp_clk,
-    rst        => dp_rst,
-    in_re_arr  => in_re_arr,
-    in_im_arr  => in_im_arr,
-    in_val     => in_val,
-    out_re_arr => out_re_arr,
-    out_im_arr => out_im_arr,
-    out_val    => out_val
-  );
+    generic map(
+      g_fft      => g_fft  -- generics for the FFT
+    )
+    port map(
+      clk        => dp_clk,
+      rst        => dp_rst,
+      in_re_arr  => in_re_arr,
+      in_im_arr  => in_im_arr,
+      in_val     => in_val,
+      out_re_arr => out_re_arr,
+      out_im_arr => out_im_arr,
+      out_val    => out_val
+    );
 
   connect_output_data : for I in 0 to g_fft.nof_points - 1 generate
     ss_out_sosi_re_arr(I).data  <= RESIZE_SVEC(out_re_arr(I), ss_out_sosi_re_arr(I).data'length);
@@ -245,58 +245,58 @@ begin
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => g_fft.out_dat_w,
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => ss_out_sosi_re_arr(0).sync,
-    in_sosi_arr       => ss_out_sosi_re_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => g_fft.out_dat_w,
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => ss_out_sosi_re_arr(0).sync,
+      in_sosi_arr       => ss_out_sosi_re_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => g_fft.out_dat_w,
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => ss_out_sosi_im_arr(0).sync,
-    in_sosi_arr       => ss_out_sosi_im_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => g_fft.out_dat_w,
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => ss_out_sosi_im_arr(0).sync,
+      in_sosi_arr       => ss_out_sosi_im_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_pipe.vhd b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_pipe.vhd
index 577d0be261..d668cc0e7c 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_pipe.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_r2_pipe.vhd
@@ -36,39 +36,39 @@
 --   > Stop the simulation manually in Modelsim by pressing the stop-button.
 
 library IEEE, common_lib, unb_common_lib, mm_lib, diag_lib, dp_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_mmf_fft_r2_pipe is
   generic(
     g_fft : t_fft := (true, false, false, 1, 1, 0, 64, 8, 14, 0, c_dsp_mult_w, 2, true, 56, 2)
-    --  type t_rtwo_fft is record
-    --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
-    --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
-    --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --    wb_factor      : natural;  -- = default 1, wideband factor
-    --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
-    --    nof_points     : natural;  -- = 1024, N point FFT
-    --    in_dat_w       : natural;  -- = 8, number of input bits
-    --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
-    --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
-    --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
-    --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
-    --    stat_data_w    : positive; -- = 56
-    --    stat_data_sz   : positive; -- = 2
-    --  end record;
+  --  type t_rtwo_fft is record
+  --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --    wb_factor      : natural;  -- = default 1, wideband factor
+  --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  --    nof_points     : natural;  -- = 1024, N point FFT
+  --    in_dat_w       : natural;  -- = 8, number of input bits
+  --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  --    stat_data_w    : positive; -- = 56
+  --    stat_data_sz   : positive; -- = 2
+  --  end record;
   );
 end tb_mmf_fft_r2_pipe;
 
@@ -154,7 +154,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -164,50 +164,50 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_output_streams => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * g_fft.in_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map(
+      g_nof_output_streams => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * g_fft.in_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   in_re  <= bg_sosi_arr(0).re(g_fft.in_dat_w - 1 downto 0);
   in_im  <= bg_sosi_arr(0).im(g_fft.in_dat_w - 1 downto 0);
@@ -215,19 +215,19 @@ begin
 
   -- DUT = Device Under Test
   u_dut : entity work.fft_r2_pipe
-  generic map(
-    g_fft      => g_fft  -- generics for the FFT
-  )
-  port map(
-    clk           => dp_clk,
-    rst           => dp_rst,
-    in_re         => in_re,
-    in_im         => in_im,
-    in_val        => in_val,
-    out_quant_re  => out_re,
-    out_quant_im  => out_im,
-    out_val       => out_val
-  );
+    generic map(
+      g_fft      => g_fft  -- generics for the FFT
+    )
+    port map(
+      clk           => dp_clk,
+      rst           => dp_rst,
+      in_re         => in_re,
+      in_im         => in_im,
+      in_val        => in_val,
+      out_quant_re  => out_re,
+      out_quant_im  => out_im,
+      out_val       => out_val
+    );
 
   ss_out_sosi_re_arr(0).data  <= RESIZE_SVEC(out_re, ss_out_sosi_re_arr(0).data'length);
   ss_out_sosi_re_arr(0).valid <= out_val;
@@ -242,58 +242,58 @@ begin
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => g_fft.out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => false
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => ss_out_sosi_re_arr(0).sync,
-    in_sosi_arr       => ss_out_sosi_re_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => g_fft.out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => false
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => ss_out_sosi_re_arr(0).sync,
+      in_sosi_arr       => ss_out_sosi_re_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => g_fft.out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => false
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => ss_out_sosi_im_arr(0).sync,
-    in_sosi_arr       => ss_out_sosi_im_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => g_fft.out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => false
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => ss_out_sosi_im_arr(0).sync,
+      in_sosi_arr       => ss_out_sosi_im_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_wide_unit.vhd b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_wide_unit.vhd
index e94a9dda2d..bd97d3ea12 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_wide_unit.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_mmf_fft_wide_unit.vhd
@@ -45,18 +45,18 @@
 
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_mmf_fft_wide_unit is
   generic(
@@ -75,23 +75,23 @@ end tb_mmf_fft_wide_unit;
 architecture tb of tb_mmf_fft_wide_unit is
 
   constant c_fft : t_fft := (true, false, g_use_separate, g_nof_chan, g_wb_factor, 0, g_nof_points, g_in_dat_w, g_out_dat_w, 0, c_dsp_mult_w, 2, true, 56, 2);
-    --  type t_rtwo_fft is record
-    --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
-    --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
-    --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --    wb_factor      : natural;  -- = default 1, wideband factor
-    --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
-    --    nof_points     : natural;  -- = 1024, N point FFT
-    --    in_dat_w       : natural;  -- = 8, number of input bits
-    --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
-    --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
-    --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
-    --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
-    --    stat_data_w    : positive; -- = 56
-    --    stat_data_sz   : positive; -- = 2
-    --  end record;
+  --  type t_rtwo_fft is record
+  --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --    wb_factor      : natural;  -- = default 1, wideband factor
+  --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  --    nof_points     : natural;  -- = 1024, N point FFT
+  --    in_dat_w       : natural;  -- = 8, number of input bits
+  --    out_dat_w      : natural;  -- = 13, number of output bits: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  --    stat_data_w    : positive; -- = 56
+  --    stat_data_sz   : positive; -- = 2
+  --  end record;
 
   constant c_sim                : boolean := true;
 
@@ -170,7 +170,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -180,71 +180,71 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_ST_SST")
-                                           port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
+    port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * c_fft.in_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * c_fft.in_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   ---------------------------------------------------------------
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fft_wide_unit
-  generic map (
-    g_fft          => c_fft
-  )
-  port map (
-    dp_rst          => dp_rst,
-    dp_clk          => dp_clk,
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
-    ram_st_sst_mosi => ram_st_sst_mosi,
-    ram_st_sst_miso => ram_st_sst_miso,
-    in_sosi_arr     => bg_sosi_arr,
-    out_sosi_arr    => result_sosi_arr
-  );
+    generic map (
+      g_fft          => c_fft
+    )
+    port map (
+      dp_rst          => dp_rst,
+      dp_clk          => dp_clk,
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
+      ram_st_sst_mosi => ram_st_sst_mosi,
+      ram_st_sst_miso => ram_st_sst_miso,
+      in_sosi_arr     => bg_sosi_arr,
+      out_sosi_arr    => result_sosi_arr
+    );
 
   connect_output_data : for I in 0 to c_fft.wb_factor - 1 generate
     ss_out_sosi_re_arr(I).data  <= RESIZE_SVEC(result_sosi_arr(I).re, ss_out_sosi_re_arr(I).data'length);
@@ -260,58 +260,58 @@ begin
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => c_fft.out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => true
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => ss_out_sosi_re_arr(0).sync,
-    in_sosi_arr       => ss_out_sosi_re_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => c_fft.out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => true
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => ss_out_sosi_re_arr(0).sync,
+      in_sosi_arr       => ss_out_sosi_re_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => c_fft.out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => true
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => ss_out_sosi_im_arr(0).sync,
-    in_sosi_arr       => ss_out_sosi_im_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => c_fft.out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => true
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => ss_out_sosi_im_arr(0).sync,
+      in_sosi_arr       => ss_out_sosi_im_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_par.vhd b/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_par.vhd
index 1b4e1a73f3..3a282255b1 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_par.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_par.vhd
@@ -29,10 +29,10 @@
 --   > run -all
 
 library IEEE, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_tb_fft_r2_par is
 end tb_tb_fft_r2_par;
@@ -63,38 +63,38 @@ architecture tb of tb_tb_fft_r2_par is
 
 begin
 
--- -- DUT generics
--- g_fft : t_fft := (true, false, true, 0, 1, 0, 128, 9, 16, 0, c_dsp_mult_w, 2, true, 56, 2);
--- --  type t_rtwo_fft is record
--- --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
--- --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
--- --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
--- --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
--- --    wb_factor      : natural;  -- = default 1, wideband factor
--- --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
--- --    nof_points     : natural;  -- = 1024, N point FFT
--- --    in_dat_w       : natural;  -- = 8, number of input bits
--- --    out_dat_w      : natural;  -- = 13, number of output bits, bit growth: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
--- --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
--- --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
--- --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
--- --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
--- --    stat_data_w    : positive; -- = 56 (= 18b+18b)+log2(781250)
--- --    stat_data_sz   : positive; -- = 2 (complex re and im)
--- --  end record;
--- --
--- -- TB generics
--- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
--- -- Two real input data files A and B used when g_fft.use_separate = true
--- g_data_file_a           : string := "data/run_pfft_m_impulse_chirp_8b_128points_16b.dat";  -- real input data and expected output data for 1 stream, or zeros when UNUSED
--- g_data_file_a_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_a
--- g_data_file_b           : string := "UNUSED";
--- g_data_file_b_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_b
--- -- One complex input data file C used when g_fft.use_separate = false
--- g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_64points_16b.dat";
--- g_data_file_c_nof_lines : natural := 320;
--- g_data_file_nof_lines   : natural := 320;
--- g_enable_in_val_gaps    : boolean := FALSE   -- when false then in_val flow control active continuously, else with random inactive gaps
+  -- -- DUT generics
+  -- g_fft : t_fft := (true, false, true, 0, 1, 0, 128, 9, 16, 0, c_dsp_mult_w, 2, true, 56, 2);
+  -- --  type t_rtwo_fft is record
+  -- --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  -- --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  -- --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  -- --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  -- --    wb_factor      : natural;  -- = default 1, wideband factor
+  -- --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  -- --    nof_points     : natural;  -- = 1024, N point FFT
+  -- --    in_dat_w       : natural;  -- = 8, number of input bits
+  -- --    out_dat_w      : natural;  -- = 13, number of output bits, bit growth: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  -- --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  -- --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  -- --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  -- --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  -- --    stat_data_w    : positive; -- = 56 (= 18b+18b)+log2(781250)
+  -- --    stat_data_sz   : positive; -- = 2 (complex re and im)
+  -- --  end record;
+  -- --
+  -- -- TB generics
+  -- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
+  -- -- Two real input data files A and B used when g_fft.use_separate = true
+  -- g_data_file_a           : string := "data/run_pfft_m_impulse_chirp_8b_128points_16b.dat";  -- real input data and expected output data for 1 stream, or zeros when UNUSED
+  -- g_data_file_a_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_a
+  -- g_data_file_b           : string := "UNUSED";
+  -- g_data_file_b_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_b
+  -- -- One complex input data file C used when g_fft.use_separate = false
+  -- g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_64points_16b.dat";
+  -- g_data_file_c_nof_lines : natural := 320;
+  -- g_data_file_nof_lines   : natural := 320;
+  -- g_enable_in_val_gaps    : boolean := FALSE   -- when false then in_val flow control active continuously, else with random inactive gaps
 
   -- Two real input data A and B
   u_act_two_real_chirp    : entity work.tb_fft_r2_par generic map (c_fft_two_real, c_diff_margin, c_sinusoid_chirp, 25600, c_impulse_chirp, 25600, c_unused, 0, 25600, false);
diff --git a/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_pipe.vhd b/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_pipe.vhd
index 73fb439b70..a369e3be87 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_pipe.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_pipe.vhd
@@ -29,10 +29,10 @@
 --   > run -all
 
 library IEEE, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_tb_fft_r2_pipe is
 end tb_tb_fft_r2_pipe;
@@ -68,38 +68,38 @@ architecture tb of tb_tb_fft_r2_pipe is
 
 begin
 
--- -- DUT generics
--- g_fft : t_fft := (true, false, true, 0, 1, 0, 128, 9, 16, 0, c_dsp_mult_w, 2, true, 56, 2);
--- --  type t_rtwo_fft is record
--- --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
--- --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
--- --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
--- --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
--- --    wb_factor      : natural;  -- = default 1, wideband factor
--- --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
--- --    nof_points     : natural;  -- = 1024, N point FFT
--- --    in_dat_w       : natural;  -- = 8, number of input bits
--- --    out_dat_w      : natural;  -- = 13, number of output bits, bit growth: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
--- --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
--- --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
--- --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
--- --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
--- --    stat_data_w    : positive; -- = 56 (= 18b+18b)+log2(781250)
--- --    stat_data_sz   : positive; -- = 2 (complex re and im)
--- --  end record;
--- --
--- -- TB generics
--- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
--- -- Two real input data files A and B used when g_fft.use_separate = true
--- g_data_file_a           : string := "data/run_pfft_m_impulse_chirp_8b_128points_16b.dat";  -- real input data and expected output data for 1 stream, or zeros when UNUSED
--- g_data_file_a_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_a
--- g_data_file_b           : string := "UNUSED";
--- g_data_file_b_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_b
--- -- One complex input data file C used when g_fft.use_separate = false
--- g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_64points_16b.dat";
--- g_data_file_c_nof_lines : natural := 320;
--- g_data_file_nof_lines   : natural := 320;
--- g_enable_in_val_gaps    : boolean := FALSE   -- when false then in_val flow control active continuously, else with random inactive gaps
+  -- -- DUT generics
+  -- g_fft : t_fft := (true, false, true, 0, 1, 0, 128, 9, 16, 0, c_dsp_mult_w, 2, true, 56, 2);
+  -- --  type t_rtwo_fft is record
+  -- --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  -- --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  -- --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  -- --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  -- --    wb_factor      : natural;  -- = default 1, wideband factor
+  -- --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  -- --    nof_points     : natural;  -- = 1024, N point FFT
+  -- --    in_dat_w       : natural;  -- = 8, number of input bits
+  -- --    out_dat_w      : natural;  -- = 13, number of output bits, bit growth: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  -- --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  -- --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  -- --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  -- --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  -- --    stat_data_w    : positive; -- = 56 (= 18b+18b)+log2(781250)
+  -- --    stat_data_sz   : positive; -- = 2 (complex re and im)
+  -- --  end record;
+  -- --
+  -- -- TB generics
+  -- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
+  -- -- Two real input data files A and B used when g_fft.use_separate = true
+  -- g_data_file_a           : string := "data/run_pfft_m_impulse_chirp_8b_128points_16b.dat";  -- real input data and expected output data for 1 stream, or zeros when UNUSED
+  -- g_data_file_a_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_a
+  -- g_data_file_b           : string := "UNUSED";
+  -- g_data_file_b_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file_b
+  -- -- One complex input data file C used when g_fft.use_separate = false
+  -- g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_64points_16b.dat";
+  -- g_data_file_c_nof_lines : natural := 320;
+  -- g_data_file_nof_lines   : natural := 320;
+  -- g_enable_in_val_gaps    : boolean := FALSE   -- when false then in_val flow control active continuously, else with random inactive gaps
 
   -- Two real input data A and B
   u_act_two_real_chirp    : entity work.tb_fft_r2_pipe generic map (c_fft_two_real,               c_diff_margin, c_sinusoid_chirp, 25600, c_impulse_chirp, 25600, c_unused, 0, 25600, false);
diff --git a/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_wide.vhd b/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_wide.vhd
index b97ef5b520..60fae22f87 100644
--- a/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_wide.vhd
+++ b/libraries/dsp/fft/tb/vhdl/tb_tb_fft_r2_wide.vhd
@@ -31,10 +31,10 @@
 --   > run -all
 
 library IEEE, common_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.fft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.fft_pkg.all;
 
 entity tb_tb_fft_r2_wide is
 end tb_tb_fft_r2_wide;
@@ -68,69 +68,69 @@ architecture tb of tb_tb_fft_r2_wide is
 
 begin
 
--- -- DUT generics
--- --g_fft : t_fft := ( true, false,  true, 0, 4, 0, 128, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- two real inputs A and B
--- g_fft : t_fft := ( true, false,  true, 0, 4, 0,  32, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- two real inputs A and B
--- --g_fft : t_fft := ( true, false, false, 0, 4, 0,  32, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- complex input reordered
--- --g_fft : t_fft := (false, false, false, 0, 4, 0,  32, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- complex input flipped
--- --  type t_rtwo_fft is record
--- --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
--- --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
--- --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
--- --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
--- --    wb_factor      : natural;  -- = default 1, wideband factor
--- --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
--- --    nof_points     : natural;  -- = 1024, N point FFT
--- --    in_dat_w       : natural;  -- = 8, number of input bits
--- --    out_dat_w      : natural;  -- = 13, number of output bits, bit growth: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
--- --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
--- --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
--- --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
--- --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
--- --    stat_data_w    : positive; -- = 56 (= 18b+18b)+log2(781250)
--- --    stat_data_sz   : positive; -- = 2 (complex re and im)
--- --  end record;
--- --
--- -- TB generics
--- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
---
--- -- Two real input data files A and B used when g_fft.use_separate = true
--- -- * 128 points = 64 subbands
--- --g_data_file_a           : string := "data/run_pfft_m_sinusoid_chirp_8b_128points_16b.dat";
--- --g_data_file_a_nof_lines : natural := 25600;
--- --g_data_file_b           : string := "UNUSED";
--- --g_data_file_b_nof_lines : natural := 0;
---
--- -- * 32 points = 16 subbands
--- g_data_file_a           : string := "data/run_pfft_m_sinusoid_chirp_8b_32points_16b.dat";
--- g_data_file_a_nof_lines : natural := 6400;
--- --g_data_file_a           : string := "data/run_pfft_m_sinusoid_8b_32points_16b.dat";
--- --g_data_file_a_nof_lines : natural := 160;
---
--- --g_data_file_b           : string := "data/run_pfft_m_impulse_chirp_8b_32points_16b.dat";
--- --g_data_file_b_nof_lines : natural := 6400;
--- g_data_file_b           : string := "UNUSED";
--- g_data_file_b_nof_lines : natural := 0;
---
--- -- One complex input data file C used when g_fft.use_separate = false
--- -- * 64 points = 64 channels
--- --g_data_file_c           : string := "data/run_pfft_complex_m_phasor_chirp_8b_64points_16b.dat";
--- --g_data_file_c_nof_lines : natural := 12800;
--- --g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_64points_16b.dat";
--- --g_data_file_c_nof_lines : natural := 320;
--- --g_data_file_c           : string := "data/run_pfft_complex_m_noise_8b_64points_16b.dat";
--- --g_data_file_c_nof_lines : natural := 640;
---
--- -- * 32 points = 32 channels
--- g_data_file_c           : string := "data/run_pfft_complex_m_phasor_chirp_8b_32points_16b.dat";
--- g_data_file_c_nof_lines : natural := 6400;
--- --g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_32points_16b.dat";
--- --g_data_file_c_nof_lines : natural := 160;
--- --g_data_file_c           : string := "data/run_pfft_complex_m_noise_8b_32points_16b.dat";
--- --g_data_file_c_nof_lines : natural := 320;
---
--- g_data_file_nof_lines   : natural := 6400;    -- actual number of lines with input data to simulate from the data files, must be <= g_data_file_*_nof_lines
--- g_enable_in_val_gaps    : boolean := TRUE   -- when false then in_val flow control active continuously, else with random inactive gaps
+  -- -- DUT generics
+  -- --g_fft : t_fft := ( true, false,  true, 0, 4, 0, 128, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- two real inputs A and B
+  -- g_fft : t_fft := ( true, false,  true, 0, 4, 0,  32, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- two real inputs A and B
+  -- --g_fft : t_fft := ( true, false, false, 0, 4, 0,  32, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- complex input reordered
+  -- --g_fft : t_fft := (false, false, false, 0, 4, 0,  32, 8, 16, 0, c_dsp_mult_w, 2, true, 56, 2);         -- complex input flipped
+  -- --  type t_rtwo_fft is record
+  -- --    use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
+  -- --    use_fft_shift  : boolean;  -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  -- --    use_separate   : boolean;  -- = false for complex input, true for two real inputs
+  -- --    nof_chan       : natural;  -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  -- --    wb_factor      : natural;  -- = default 1, wideband factor
+  -- --    twiddle_offset : natural;  -- = default 0, twiddle offset for PFT sections in a wideband FFT
+  -- --    nof_points     : natural;  -- = 1024, N point FFT
+  -- --    in_dat_w       : natural;  -- = 8, number of input bits
+  -- --    out_dat_w      : natural;  -- = 13, number of output bits, bit growth: in_dat_w + natural((ceil_log2(nof_points))/2 + 2)
+  -- --    out_gain_w     : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  -- --    stage_dat_w    : natural;  -- = 18, data width used between the stages(= DSP multiplier-width)
+  -- --    guard_w        : natural;  -- = 2,  Guard used to avoid overflow in FFT stage.
+  -- --    guard_enable   : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be skipped at the last stage(s) (used in wb fft)
+  -- --    stat_data_w    : positive; -- = 56 (= 18b+18b)+log2(781250)
+  -- --    stat_data_sz   : positive; -- = 2 (complex re and im)
+  -- --  end record;
+  -- --
+  -- -- TB generics
+  -- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
+  --
+  -- -- Two real input data files A and B used when g_fft.use_separate = true
+  -- -- * 128 points = 64 subbands
+  -- --g_data_file_a           : string := "data/run_pfft_m_sinusoid_chirp_8b_128points_16b.dat";
+  -- --g_data_file_a_nof_lines : natural := 25600;
+  -- --g_data_file_b           : string := "UNUSED";
+  -- --g_data_file_b_nof_lines : natural := 0;
+  --
+  -- -- * 32 points = 16 subbands
+  -- g_data_file_a           : string := "data/run_pfft_m_sinusoid_chirp_8b_32points_16b.dat";
+  -- g_data_file_a_nof_lines : natural := 6400;
+  -- --g_data_file_a           : string := "data/run_pfft_m_sinusoid_8b_32points_16b.dat";
+  -- --g_data_file_a_nof_lines : natural := 160;
+  --
+  -- --g_data_file_b           : string := "data/run_pfft_m_impulse_chirp_8b_32points_16b.dat";
+  -- --g_data_file_b_nof_lines : natural := 6400;
+  -- g_data_file_b           : string := "UNUSED";
+  -- g_data_file_b_nof_lines : natural := 0;
+  --
+  -- -- One complex input data file C used when g_fft.use_separate = false
+  -- -- * 64 points = 64 channels
+  -- --g_data_file_c           : string := "data/run_pfft_complex_m_phasor_chirp_8b_64points_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 12800;
+  -- --g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_64points_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 320;
+  -- --g_data_file_c           : string := "data/run_pfft_complex_m_noise_8b_64points_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 640;
+  --
+  -- -- * 32 points = 32 channels
+  -- g_data_file_c           : string := "data/run_pfft_complex_m_phasor_chirp_8b_32points_16b.dat";
+  -- g_data_file_c_nof_lines : natural := 6400;
+  -- --g_data_file_c           : string := "data/run_pfft_complex_m_phasor_8b_32points_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 160;
+  -- --g_data_file_c           : string := "data/run_pfft_complex_m_noise_8b_32points_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 320;
+  --
+  -- g_data_file_nof_lines   : natural := 6400;    -- actual number of lines with input data to simulate from the data files, must be <= g_data_file_*_nof_lines
+  -- g_enable_in_val_gaps    : boolean := TRUE   -- when false then in_val flow control active continuously, else with random inactive gaps
 
   -- Two real input data A and B
   u_act_two_real_chirp    : entity work.tb_fft_r2_wide generic map (c_fft_wb4_two_real, c_diff_margin, c_sinusoid_chirp, 25600, c_impulse_chirp, 25600, c_unused, 0, 25600, false);
diff --git a/libraries/dsp/filter/src/vhdl/fil_pkg.vhd b/libraries/dsp/filter/src/vhdl/fil_pkg.vhd
index 9d400afe37..29fc01b9cb 100644
--- a/libraries/dsp/filter/src/vhdl/fil_pkg.vhd
+++ b/libraries/dsp/filter/src/vhdl/fil_pkg.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package fil_pkg is
 
diff --git a/libraries/dsp/filter/src/vhdl/fil_ppf_ctrl.vhd b/libraries/dsp/filter/src/vhdl/fil_ppf_ctrl.vhd
index df0fd9db85..55b2121055 100644
--- a/libraries/dsp/filter/src/vhdl/fil_ppf_ctrl.vhd
+++ b/libraries/dsp/filter/src/vhdl/fil_ppf_ctrl.vhd
@@ -31,10 +31,10 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.fil_pkg.all;
 
 entity fil_ppf_ctrl is
   generic (
diff --git a/libraries/dsp/filter/src/vhdl/fil_ppf_filter.vhd b/libraries/dsp/filter/src/vhdl/fil_ppf_filter.vhd
index 2c65de8a36..70f102605f 100644
--- a/libraries/dsp/filter/src/vhdl/fil_ppf_filter.vhd
+++ b/libraries/dsp/filter/src/vhdl/fil_ppf_filter.vhd
@@ -28,11 +28,11 @@
 --
 
 library IEEE, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use work.fil_pkg.all;
 
 entity fil_ppf_filter is
   generic (
@@ -55,7 +55,7 @@ architecture rtl of fil_ppf_filter is
   constant c_in_dat_w       : natural := g_fil_ppf.backoff_w + g_fil_ppf.in_dat_w;  -- add optional input backoff to fit output overshoot
   constant c_prod_w         : natural := c_in_dat_w + g_fil_ppf.coef_dat_w - c_sign_w;  -- skip double sign bit
   constant c_gain_w         : natural := 0;  -- no need for adder bit growth so fixed 0, because filter coefficients should have DC gain <= 1.
-                                              -- The adder tree bit growth depends on DC gain of FIR coefficients, not on ceil_log2(g_fil_ppf.nof_taps).
+  -- The adder tree bit growth depends on DC gain of FIR coefficients, not on ceil_log2(g_fil_ppf.nof_taps).
   constant c_sum_w          : natural := c_prod_w + c_gain_w;
   constant c_ppf_lsb_w      : natural := c_sum_w - g_fil_ppf.out_dat_w;
 
@@ -78,26 +78,26 @@ begin
     in_taps_backoff((I + 1) * c_in_dat_w - 1 downto I * c_in_dat_w) <= resize_svec(in_taps((I + 1) * g_fil_ppf.in_dat_w - 1 downto I * g_fil_ppf.in_dat_w), c_in_dat_w);
 
     u_multiplier : entity common_mult_lib.common_mult
-    generic map (
-      g_technology       => g_technology,
-      g_variant          => "IP",
-      g_in_a_w           => c_in_dat_w,
-      g_in_b_w           => g_fil_ppf.coef_dat_w,
-      g_out_p_w          => c_prod_w,
-      g_nof_mult         => 1,
-      g_pipeline_input   => g_fil_ppf_pipeline.mult_input,
-      g_pipeline_product => g_fil_ppf_pipeline.mult_product,
-      g_pipeline_output  => g_fil_ppf_pipeline.mult_output,
-      g_representation   => "SIGNED"
-    )
-    port map (
-      rst      => rst,
-      clk      => clk,
-      clken    => '1',
-      in_a     => in_taps_backoff((I + 1) * c_in_dat_w - 1 downto I * c_in_dat_w),
-      in_b     => coefs((I + 1) * g_fil_ppf.coef_dat_w - 1 downto I * g_fil_ppf.coef_dat_w),
-      out_p    => prod_vec((I + 1) * c_prod_w - 1 downto I * c_prod_w)
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_variant          => "IP",
+        g_in_a_w           => c_in_dat_w,
+        g_in_b_w           => g_fil_ppf.coef_dat_w,
+        g_out_p_w          => c_prod_w,
+        g_nof_mult         => 1,
+        g_pipeline_input   => g_fil_ppf_pipeline.mult_input,
+        g_pipeline_product => g_fil_ppf_pipeline.mult_product,
+        g_pipeline_output  => g_fil_ppf_pipeline.mult_output,
+        g_representation   => "SIGNED"
+      )
+      port map (
+        rst      => rst,
+        clk      => clk,
+        clken    => '1',
+        in_a     => in_taps_backoff((I + 1) * c_in_dat_w - 1 downto I * c_in_dat_w),
+        in_b     => coefs((I + 1) * g_fil_ppf.coef_dat_w - 1 downto I * g_fil_ppf.coef_dat_w),
+        out_p    => prod_vec((I + 1) * c_prod_w - 1 downto I * c_prod_w)
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -105,40 +105,40 @@ begin
   ---------------------------------------------------------------
   -- The adder tree summarizes the outputs of all multipliers.
   u_adder_tree : entity common_lib.common_adder_tree(str)
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => g_fil_ppf_pipeline.adder_stage,
-    g_nof_inputs     => g_fil_ppf.nof_taps,
-    g_dat_w          => c_prod_w,
-    g_sum_w          => c_sum_w
-  )
-  port map (
-    clk    => clk,
-    in_dat => prod_vec,
-    sum    => adder_out
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => g_fil_ppf_pipeline.adder_stage,
+      g_nof_inputs     => g_fil_ppf.nof_taps,
+      g_dat_w          => c_prod_w,
+      g_sum_w          => c_sum_w
+    )
+    port map (
+      clk    => clk,
+      in_dat => prod_vec,
+      sum    => adder_out
+    );
 
   u_requantize_adder_output : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_ppf_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_lsb_round_even      => g_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => g_fil_ppf_pipeline.requant_remove_lsb,
-    g_pipeline_remove_msb => g_fil_ppf_pipeline.requant_remove_msb,
-    g_in_dat_w            => c_sum_w,
-    g_out_dat_w           => g_fil_ppf.out_dat_w
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => adder_out,
-    out_dat    => requant_out,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_ppf_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_lsb_round_even      => g_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => g_fil_ppf_pipeline.requant_remove_lsb,
+      g_pipeline_remove_msb => g_fil_ppf_pipeline.requant_remove_msb,
+      g_in_dat_w            => c_sum_w,
+      g_out_dat_w           => g_fil_ppf.out_dat_w
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => adder_out,
+      out_dat    => requant_out,
+      out_ovr    => open
+    );
 
   result <= RESIZE_SVEC(requant_out, result'length);
 
diff --git a/libraries/dsp/filter/src/vhdl/fil_ppf_single.vhd b/libraries/dsp/filter/src/vhdl/fil_ppf_single.vhd
index 4c0efb8d62..79f0dc6e5e 100644
--- a/libraries/dsp/filter/src/vhdl/fil_ppf_single.vhd
+++ b/libraries/dsp/filter/src/vhdl/fil_ppf_single.vhd
@@ -64,11 +64,11 @@
 -- .  See also description tb_fil_ppf_single.vhd for more info.
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.fil_pkg.all;
 
 entity fil_ppf_single is
   generic (
@@ -102,17 +102,21 @@ architecture rtl of fil_ppf_single is
   constant c_taps_mem_data_w : natural := g_fil_ppf.in_dat_w * g_fil_ppf.nof_taps;
   constant c_coef_mem_data_w : natural := g_fil_ppf.coef_dat_w;
 
-  constant c_taps_mem : t_c_mem := (latency   => c_taps_mem_delay,
-                                    adr_w     => c_taps_mem_addr_w,
-                                    dat_w     => c_taps_mem_data_w,
-                                    nof_dat   => g_fil_ppf.nof_bands * (2**g_fil_ppf.nof_chan),
-                                    init_sl   => '0');  -- use '0' instead of 'X' to avoid RTL RAM simulation warnings due to read before write
+  constant c_taps_mem : t_c_mem := (
+    latency   => c_taps_mem_delay,
+    adr_w     => c_taps_mem_addr_w,
+    dat_w     => c_taps_mem_data_w,
+    nof_dat   => g_fil_ppf.nof_bands * (2**g_fil_ppf.nof_chan),
+    init_sl   => '0'
+  );  -- use '0' instead of 'X' to avoid RTL RAM simulation warnings due to read before write
 
-  constant c_coef_mem : t_c_mem := (latency   => c_coef_mem_delay,
-                                    adr_w     => c_coef_mem_addr_w,
-                                    dat_w     => c_coef_mem_data_w,
-                                    nof_dat   => g_fil_ppf.nof_bands,
-                                    init_sl   => '0');  -- use '0' instead of 'X' to avoid RTL RAM simulation warnings due to read before write
+  constant c_coef_mem : t_c_mem := (
+    latency   => c_coef_mem_delay,
+    adr_w     => c_coef_mem_addr_w,
+    dat_w     => c_coef_mem_data_w,
+    nof_dat   => g_fil_ppf.nof_bands,
+    init_sl   => '0'
+  );  -- use '0' instead of 'X' to avoid RTL RAM simulation warnings due to read before write
 
   signal ram_coefs_mosi_arr : t_mem_mosi_arr(g_fil_ppf.nof_taps - 1 downto 0);
   signal ram_coefs_miso_arr : t_mem_miso_arr(g_fil_ppf.nof_taps - 1 downto 0) := (others => c_mem_miso_rst);
@@ -131,21 +135,21 @@ begin
   ---------------------------------------------------------------
   gen_taps_mems : for I in 0 to g_fil_ppf.nof_streams - 1 generate
     u_taps_mem : entity common_lib.common_ram_r_w
-    generic map (
-      g_ram       => c_taps_mem,
-      g_init_file => "UNUSED"  -- assume block RAM gets initialized to '0' by default in simulation
-    )
-    port map (
-      rst       => dp_rst,
-      clk       => dp_clk,
-      wr_en     => taps_wren,
-      wr_adr    => taps_wraddr,
-      wr_dat    => taps_mem_in_vec((I + 1) * c_taps_mem_data_w - 1 downto I * c_taps_mem_data_w),
-      rd_en     => '1',
-      rd_adr    => taps_rdaddr,
-      rd_dat    => taps_mem_out_vec((I + 1) * c_taps_mem_data_w - 1 downto I * c_taps_mem_data_w),
-      rd_val    => open
-    );
+      generic map (
+        g_ram       => c_taps_mem,
+        g_init_file => "UNUSED"  -- assume block RAM gets initialized to '0' by default in simulation
+      )
+      port map (
+        rst       => dp_rst,
+        clk       => dp_clk,
+        wr_en     => taps_wren,
+        wr_adr    => taps_wraddr,
+        wr_dat    => taps_mem_in_vec((I + 1) * c_taps_mem_data_w - 1 downto I * c_taps_mem_data_w),
+        rd_en     => '1',
+        rd_adr    => taps_rdaddr,
+        rd_dat    => taps_mem_out_vec((I + 1) * c_taps_mem_data_w - 1 downto I * c_taps_mem_data_w),
+        rd_val    => open
+      );
   end generate;
   ---------------------------------------------------------------
   -- COMBINE MEMORY MAPPED INTERFACES
@@ -153,16 +157,16 @@ begin
   -- Combine the internal array of mm interfaces for the coefficents
   -- memory to one array that is connected to the port of the fil_ppf
   u_mem_mux_coef : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_fil_ppf.nof_taps,
-    g_mult_addr_w => c_coef_mem_addr_w
-  )
-  port map (
-    mosi     => ram_coefs_mosi,
-    miso     => ram_coefs_miso,
-    mosi_arr => ram_coefs_mosi_arr,
-    miso_arr => ram_coefs_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_fil_ppf.nof_taps,
+      g_mult_addr_w => c_coef_mem_addr_w
+    )
+    port map (
+      mosi     => ram_coefs_mosi,
+      miso     => ram_coefs_miso,
+      mosi_arr => ram_coefs_mosi_arr,
+      miso_arr => ram_coefs_miso_arr
+    );
 
   ---------------------------------------------------------------
   -- GENERATE THE COEFFICIENT MEMORIES
@@ -171,31 +175,31 @@ begin
   -- the corresponding coefficients for all the bands.
   gen_coef_mems : for I in 0 to g_fil_ppf.nof_taps - 1 generate
     u_coef_mem : entity common_lib.common_ram_crw_crw
-    generic map (
-      g_ram        => c_coef_mem,
-      -- Sequence number and ".hex" extensie are added to the relative path in case a ram file is provided.
-      g_init_file  => sel_a_b(g_coefs_file_prefix = "UNUSED", g_coefs_file_prefix, g_coefs_file_prefix & "_" & natural'image(g_file_index_arr(I)) & c_coefs_postfix)
-    )
-    port map (
-      -- MM side
-      rst_a     => mm_rst,
-      clk_a     => mm_clk,
-      wr_en_a   => ram_coefs_mosi_arr(I).wr,
-      wr_dat_a  => ram_coefs_mosi_arr(I).wrdata(g_fil_ppf.coef_dat_w - 1 downto 0),
-      adr_a     => ram_coefs_mosi_arr(I).address(c_coef_mem.adr_w - 1 downto 0),
-      rd_en_a   => ram_coefs_mosi_arr(I).rd,
-      rd_dat_a  => ram_coefs_miso_arr(I).rddata(g_fil_ppf.coef_dat_w - 1 downto 0),
-      rd_val_a  => ram_coefs_miso_arr(I).rdval,
-      -- Datapath side
-      rst_b     => dp_rst,
-      clk_b     => dp_clk,
-      wr_en_b   => '0',
-      wr_dat_b  => (others => '0'),
-      adr_b     => coef_rdaddr,
-      rd_en_b   => '1',
-      rd_dat_b  => coef_vec((I + 1) * c_coef_mem_data_w - 1 downto I * c_coef_mem_data_w),
-      rd_val_b  => open
-    );
+      generic map (
+        g_ram        => c_coef_mem,
+        -- Sequence number and ".hex" extensie are added to the relative path in case a ram file is provided.
+        g_init_file  => sel_a_b(g_coefs_file_prefix = "UNUSED", g_coefs_file_prefix, g_coefs_file_prefix & "_" & natural'image(g_file_index_arr(I)) & c_coefs_postfix)
+      )
+      port map (
+        -- MM side
+        rst_a     => mm_rst,
+        clk_a     => mm_clk,
+        wr_en_a   => ram_coefs_mosi_arr(I).wr,
+        wr_dat_a  => ram_coefs_mosi_arr(I).wrdata(g_fil_ppf.coef_dat_w - 1 downto 0),
+        adr_a     => ram_coefs_mosi_arr(I).address(c_coef_mem.adr_w - 1 downto 0),
+        rd_en_a   => ram_coefs_mosi_arr(I).rd,
+        rd_dat_a  => ram_coefs_miso_arr(I).rddata(g_fil_ppf.coef_dat_w - 1 downto 0),
+        rd_val_a  => ram_coefs_miso_arr(I).rdval,
+        -- Datapath side
+        rst_b     => dp_rst,
+        clk_b     => dp_clk,
+        wr_en_b   => '0',
+        wr_dat_b  => (others => '0'),
+        adr_b     => coef_rdaddr,
+        rd_en_b   => '1',
+        rd_dat_b  => coef_vec((I + 1) * c_coef_mem_data_w - 1 downto I * c_coef_mem_data_w),
+        rd_val_b  => open
+      );
   end generate;
 
   -- Address the coefficients, taking into account the nof_chan. The coefficients will only be
@@ -209,22 +213,22 @@ begin
   -- the tap memory, along with the historical tap data.
   -- It also controls the reading of the coefficients memory.
   u_fil_ctrl : entity work.fil_ppf_ctrl
-  generic map (
-    g_fil_ppf_pipeline => g_fil_ppf_pipeline,
-    g_fil_ppf          => g_fil_ppf
-  )
-  port map (
-    clk          => dp_clk,
-    rst          => dp_rst,
-    in_dat       => in_dat,
-    in_val       => in_val,
-    taps_rdaddr  => taps_rdaddr,
-    taps_wraddr  => taps_wraddr,
-    taps_wren    => taps_wren,
-    taps_in_vec  => taps_mem_out_vec,
-    taps_out_vec => taps_mem_in_vec,
-    out_val      => out_val
-  );
+    generic map (
+      g_fil_ppf_pipeline => g_fil_ppf_pipeline,
+      g_fil_ppf          => g_fil_ppf
+    )
+    port map (
+      clk          => dp_clk,
+      rst          => dp_rst,
+      in_dat       => in_dat,
+      in_val       => in_val,
+      taps_rdaddr  => taps_rdaddr,
+      taps_wraddr  => taps_wraddr,
+      taps_wren    => taps_wren,
+      taps_in_vec  => taps_mem_out_vec,
+      taps_out_vec => taps_mem_in_vec,
+      out_val      => out_val
+    );
 
   ---------------------------------------------------------------
   -- FILTER UNIT
@@ -233,18 +237,18 @@ begin
   -- multiplications and additions.
   gen_filter_units : for I in 0 to g_fil_ppf.nof_streams - 1 generate
     u_filter : entity work.fil_ppf_filter
-    generic map (
-      g_fil_ppf_pipeline => g_fil_ppf_pipeline,
-      g_fil_ppf          => g_fil_ppf,
-      g_round_even       => g_round_even
-    )
-    port map (
-      clk       => dp_clk,
-      rst       => dp_rst,
-      taps      => taps_mem_out_vec((I + 1) * c_taps_mem_data_w - 1 downto I * c_taps_mem_data_w),
-      coefs     => coef_vec,
-      result    => out_dat((I + 1) * g_fil_ppf.out_dat_w - 1 downto I * g_fil_ppf.out_dat_w)
-    );
+      generic map (
+        g_fil_ppf_pipeline => g_fil_ppf_pipeline,
+        g_fil_ppf          => g_fil_ppf,
+        g_round_even       => g_round_even
+      )
+      port map (
+        clk       => dp_clk,
+        rst       => dp_rst,
+        taps      => taps_mem_out_vec((I + 1) * c_taps_mem_data_w - 1 downto I * c_taps_mem_data_w),
+        coefs     => coef_vec,
+        result    => out_dat((I + 1) * g_fil_ppf.out_dat_w - 1 downto I * g_fil_ppf.out_dat_w)
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/dsp/filter/src/vhdl/fil_ppf_wide.vhd b/libraries/dsp/filter/src/vhdl/fil_ppf_wide.vhd
index c11c6c0eb2..9855762b6f 100644
--- a/libraries/dsp/filter/src/vhdl/fil_ppf_wide.vhd
+++ b/libraries/dsp/filter/src/vhdl/fil_ppf_wide.vhd
@@ -109,11 +109,11 @@
 -- . See also description tb_fil_ppf_single.vhd for more info.
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.fil_pkg.all;
 
 entity fil_ppf_wide is
   generic (
@@ -123,7 +123,7 @@ entity fil_ppf_wide is
     g_fil_ppf_pipeline  : t_fil_ppf_pipeline := c_fil_ppf_pipeline;
     g_round_even        : boolean            := true;
     g_coefs_file_prefix : string             := "../../data/coef"  -- Relative path to the mif files that contain the FIR the coefficients
-                                                                   -- The sequence number and ".mif"-extension are added within the entity.
+  -- The sequence number and ".mif"-extension are added within the entity.
   );
   port (
     dp_clk         : in  std_logic;
@@ -158,7 +158,7 @@ architecture rtl of fil_ppf_wide is
   -- generic that belongs to the fil_ppf_w entity.
   -- Only the nof_bands is modified.
   ----------------------------------------------------------
-  function func_create_generics_for_ppfs(input: t_fil_ppf) return t_fil_ppf_arr is
+  function func_create_generics_for_ppfs (input: t_fil_ppf) return t_fil_ppf_arr is
     variable v_nof_bands : natural := input.nof_bands / input.wb_factor;  -- The nof_bands for the single channel poly phase filters
     variable v_return    : t_fil_ppf_arr(input.wb_factor - 1 downto 0) := (others => input);  -- Variable that holds the return values
   begin
@@ -172,7 +172,7 @@ architecture rtl of fil_ppf_wide is
   -- Function that divides the input file index array into
   -- "wb_factor" new file index arrays.
   ----------------------------------------------------------
-  function func_create_file_index_array(input: t_nat_natural_arr; wb_factor: natural; nof_taps: natural) return t_nat_natural_arr2 is
+  function func_create_file_index_array (input: t_nat_natural_arr; wb_factor: natural; nof_taps: natural) return t_nat_natural_arr2 is
     variable v_return : t_nat_natural_arr2(wb_factor - 1 downto 0);  -- Variable that holds the return values
   begin
     for P in 0 to wb_factor - 1 loop
@@ -202,16 +202,16 @@ begin
   -- Combine the internal array of mm interfaces for the coefficents
   -- memory to one array that is connected to the port of the fil_ppf_w
   u_mem_mux_coef : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_fil_ppf.wb_factor,
-    g_mult_addr_w => c_mem_addr_w
-  )
-  port map (
-    mosi     => ram_coefs_mosi,
-    miso     => ram_coefs_miso,
-    mosi_arr => ram_coefs_mosi_arr,
-    miso_arr => ram_coefs_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_fil_ppf.wb_factor,
+      g_mult_addr_w => c_mem_addr_w
+    )
+    port map (
+      mosi     => ram_coefs_mosi,
+      miso     => ram_coefs_miso,
+      mosi_arr => ram_coefs_mosi_arr,
+      miso_arr => ram_coefs_miso_arr
+    );
 
   p_wire_input : process(in_dat_arr)
     variable vP : natural;
@@ -233,25 +233,25 @@ begin
   ---------------------------------------------------------------
   gen_fil_ppf_singles : for P in 0 to g_fil_ppf.wb_factor - 1 generate
     u_fil_ppf_single : entity work.fil_ppf_single
-    generic map (
-      g_fil_ppf           => c_fil_ppf_arr(P),
-      g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
-      g_round_even        => g_round_even,
-      g_file_index_arr    => c_file_index_arr2(P),  -- use (g_fil_ppf.wb_factor-1 - P) to try impact of reversed WB FIR coefficients
-      g_coefs_file_prefix => g_coefs_file_prefix
-    )
-    port map (
-      dp_clk         => dp_clk,
-      dp_rst         => dp_rst,
-      mm_clk         => mm_clk,
-      mm_rst         => mm_rst,
-      ram_coefs_mosi => ram_coefs_mosi_arr(P),
-      ram_coefs_miso => ram_coefs_miso_arr(P),
-      in_dat         => streams_in_arr(P),
-      in_val         => in_val,
-      out_dat        => streams_out_arr(P),
-      out_val        => streams_out_val_arr(P)
-    );
+      generic map (
+        g_fil_ppf           => c_fil_ppf_arr(P),
+        g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
+        g_round_even        => g_round_even,
+        g_file_index_arr    => c_file_index_arr2(P),  -- use (g_fil_ppf.wb_factor-1 - P) to try impact of reversed WB FIR coefficients
+        g_coefs_file_prefix => g_coefs_file_prefix
+      )
+      port map (
+        dp_clk         => dp_clk,
+        dp_rst         => dp_rst,
+        mm_clk         => mm_clk,
+        mm_rst         => mm_rst,
+        ram_coefs_mosi => ram_coefs_mosi_arr(P),
+        ram_coefs_miso => ram_coefs_miso_arr(P),
+        in_dat         => streams_in_arr(P),
+        in_val         => in_val,
+        out_dat        => streams_out_arr(P),
+        out_val        => streams_out_val_arr(P)
+      );
   end generate;
 
   p_wire_output : process(streams_out_arr)
diff --git a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_single.vhd b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_single.vhd
index 78bd355ce5..815e30573a 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_single.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_single.vhd
@@ -130,47 +130,47 @@
 --   > testbench is selftesting.
 --
 library ieee, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.fil_pkg.all;
 
 entity tb_fil_ppf_single is
   generic(
     g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
-      -- type t_fil_pipeline is record
-      --   -- generic for the taps and coefficients memory
-      --   mem_delay      : natural;  -- = 2
-      --   -- generics for the multiplier in in the filter unit
-      --   mult_input     : natural;  -- = 1
-      --   mult_product   : natural;  -- = 1
-      --   mult_output    : natural;  -- = 1
-      --   -- generics for the adder tree in in the filter unit
-      --   adder_stage    : natural;  -- = 1
-      --   -- generics for the requantizer in the filter unit
-      --   requant_remove_lsb : natural;  -- = 1
-      --   requant_remove_msb : natural;  -- = 0
-      -- end record;
+    -- type t_fil_pipeline is record
+    --   -- generic for the taps and coefficients memory
+    --   mem_delay      : natural;  -- = 2
+    --   -- generics for the multiplier in in the filter unit
+    --   mult_input     : natural;  -- = 1
+    --   mult_product   : natural;  -- = 1
+    --   mult_output    : natural;  -- = 1
+    --   -- generics for the adder tree in in the filter unit
+    --   adder_stage    : natural;  -- = 1
+    --   -- generics for the requantizer in the filter unit
+    --   requant_remove_lsb : natural;  -- = 1
+    --   requant_remove_msb : natural;  -- = 0
+    -- end record;
     g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 0, 8, 16, 16);
-      -- type t_fil_ppf is record
-      --   wb_factor      : natural; -- = 1, the wideband factor
-      --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-      --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
-      --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
-      --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
-      --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
-      --   in_dat_w       : natural; -- = 8, number of input bits per stream
-      --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
-      --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
-      --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
-      -- end record;
+    -- type t_fil_ppf is record
+    --   wb_factor      : natural; -- = 1, the wideband factor
+    --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+    --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
+    --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
+    --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
+    --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
+    --   in_dat_w       : natural; -- = 8, number of input bits per stream
+    --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
+    --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
+    --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
+    -- end record;
     g_coefs_file_prefix  : string  := "hex/run_pfir_coeff_m_incrementing";
     g_enable_in_val_gaps : boolean := false
   );
@@ -189,8 +189,8 @@ architecture tb of tb_fil_ppf_single is
   constant c_mif_coef_mem_addr_w : natural := ceil_log2(g_fil_ppf.nof_bands);
   constant c_mif_coef_mem_span   : natural := 2**c_mif_coef_mem_addr_w;  -- mif coef mem span for one tap
   constant c_coefs_file_prefix   : string  := g_coefs_file_prefix & "_" & integer'image(g_fil_ppf.nof_taps) & "taps" &
-                                                                    "_" & integer'image(g_fil_ppf.nof_bands) & "points" &
-                                                                    "_" & integer'image(g_fil_ppf.coef_dat_w) & "b";
+                                              "_" & integer'image(g_fil_ppf.nof_bands) & "points" &
+                                              "_" & integer'image(g_fil_ppf.coef_dat_w) & "b";
   constant c_mif_file_prefix     : string  := c_coefs_file_prefix & "_" & "1wb";
   constant c_mif_file_index_arr  : t_nat_natural_arr := array_init(0, c_nof_mif_files, 1);
 
@@ -369,24 +369,24 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fil_ppf_single
-  generic map (
-    g_fil_ppf           => g_fil_ppf,
-    g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
-    g_file_index_arr    => c_mif_file_index_arr,
-    g_coefs_file_prefix => c_mif_file_prefix
-  )
-  port map (
-    dp_clk         => clk,
-    dp_rst         => rst,
-    mm_clk         => clk,
-    mm_rst         => rst,
-    ram_coefs_mosi => ram_coefs_mosi,
-    ram_coefs_miso => ram_coefs_miso,
-    in_dat         => in_dat,
-    in_val         => in_val,
-    out_dat        => out_dat,
-    out_val        => out_val
-  );
+    generic map (
+      g_fil_ppf           => g_fil_ppf,
+      g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
+      g_file_index_arr    => c_mif_file_index_arr,
+      g_coefs_file_prefix => c_mif_file_prefix
+    )
+    port map (
+      dp_clk         => clk,
+      dp_rst         => rst,
+      mm_clk         => clk,
+      mm_rst         => rst,
+      ram_coefs_mosi => ram_coefs_mosi,
+      ram_coefs_miso => ram_coefs_miso,
+      in_dat         => in_dat,
+      in_val         => in_val,
+      out_dat        => out_dat,
+      out_val        => out_val
+    );
 
   ---------------------------------------------------------------
   -- VERIFY THE OUTPUT
diff --git a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide.vhd b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide.vhd
index 42b76f6243..10fdaa1995 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide.vhd
@@ -33,17 +33,17 @@
 --   > testbench is selftesting.
 --
 library ieee, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.fil_pkg.all;
 
 entity tb_fil_ppf_wide is
   generic(
@@ -51,32 +51,32 @@ entity tb_fil_ppf_wide is
     g_big_endian_wb_in  : boolean := true;
     g_big_endian_wb_out : boolean := true;
     g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
-      -- type t_fil_pipeline is record
-      --   -- generic for the taps and coefficients memory
-      --   mem_delay      : natural;  -- = 2
-      --   -- generics for the multiplier in in the filter unit
-      --   mult_input     : natural;  -- = 1
-      --   mult_product   : natural;  -- = 1
-      --   mult_output    : natural;  -- = 1
-      --   -- generics for the adder tree in in the filter unit
-      --   adder_stage    : natural;  -- = 1
-      --   -- generics for the requantizer in the filter unit
-      --   requant_remove_lsb : natural;  -- = 1
-      --   requant_remove_msb : natural;  -- = 0
-      -- end record;
+    -- type t_fil_pipeline is record
+    --   -- generic for the taps and coefficients memory
+    --   mem_delay      : natural;  -- = 2
+    --   -- generics for the multiplier in in the filter unit
+    --   mult_input     : natural;  -- = 1
+    --   mult_product   : natural;  -- = 1
+    --   mult_output    : natural;  -- = 1
+    --   -- generics for the adder tree in in the filter unit
+    --   adder_stage    : natural;  -- = 1
+    --   -- generics for the requantizer in the filter unit
+    --   requant_remove_lsb : natural;  -- = 1
+    --   requant_remove_msb : natural;  -- = 0
+    -- end record;
     g_fil_ppf : t_fil_ppf := (4, 1, 64, 8, 1, 0, 8, 23, 16);
-      -- type t_fil_ppf is record
-      --   wb_factor      : natural; -- = 4, the wideband factor
-      --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-      --   nof_bands      : natural; -- = 1024, the number of polyphase channels (= number of points of the FFT)
-      --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
-      --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
-      --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
-      --   in_dat_w       : natural; -- = 8, number of input bits per stream
-      --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
-      --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
-      --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
-      -- end record;
+    -- type t_fil_ppf is record
+    --   wb_factor      : natural; -- = 4, the wideband factor
+    --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+    --   nof_bands      : natural; -- = 1024, the number of polyphase channels (= number of points of the FFT)
+    --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
+    --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
+    --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
+    --   in_dat_w       : natural; -- = 8, number of input bits per stream
+    --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
+    --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
+    --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
+    -- end record;
     g_coefs_file_prefix  : string  := "hex/run_pfir_coeff_m_incrementing";
     g_enable_in_val_gaps : boolean := false
   );
@@ -98,8 +98,8 @@ architecture tb of tb_fil_ppf_wide is
   constant c_mif_coef_mem_span   : natural := 2**c_mif_coef_mem_addr_w;  -- mif coef mem span for one tap
 
   constant c_coefs_file_prefix   : string  := g_coefs_file_prefix & "_" & integer'image(g_fil_ppf.nof_taps) & "taps" &
-                                                                    "_" & integer'image(g_fil_ppf.nof_bands) & "points" &
-                                                                    "_" & integer'image(g_fil_ppf.coef_dat_w) & "b";
+                                              "_" & integer'image(g_fil_ppf.nof_bands) & "points" &
+                                              "_" & integer'image(g_fil_ppf.coef_dat_w) & "b";
   constant c_mif_file_prefix     : string  := c_coefs_file_prefix & "_" & integer'image(g_fil_ppf.wb_factor) & "wb";
 
   constant c_fil_prod_w          : natural := g_fil_ppf.in_dat_w + g_fil_ppf.coef_dat_w - 1;  -- skip double sign bit
@@ -276,25 +276,25 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fil_ppf_wide
-  generic map (
-    g_big_endian_wb_in  => g_big_endian_wb_in,
-    g_big_endian_wb_out => g_big_endian_wb_out,
-    g_fil_ppf           => g_fil_ppf,
-    g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
-    g_coefs_file_prefix => c_mif_file_prefix
-  )
-  port map (
-    dp_clk         => clk,
-    dp_rst         => rst,
-    mm_clk         => clk,
-    mm_rst         => rst,
-    ram_coefs_mosi => ram_coefs_mosi,
-    ram_coefs_miso => ram_coefs_miso,
-    in_dat_arr     => in_dat_arr,
-    in_val         => in_val,
-    out_dat_arr    => out_dat_arr,
-    out_val        => out_val
-  );
+    generic map (
+      g_big_endian_wb_in  => g_big_endian_wb_in,
+      g_big_endian_wb_out => g_big_endian_wb_out,
+      g_fil_ppf           => g_fil_ppf,
+      g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
+      g_coefs_file_prefix => c_mif_file_prefix
+    )
+    port map (
+      dp_clk         => clk,
+      dp_rst         => rst,
+      mm_clk         => clk,
+      mm_rst         => rst,
+      ram_coefs_mosi => ram_coefs_mosi,
+      ram_coefs_miso => ram_coefs_miso,
+      in_dat_arr     => in_dat_arr,
+      in_val         => in_val,
+      out_dat_arr    => out_dat_arr,
+      out_val        => out_val
+    );
 
   -- Verify the output of the DUT with the expected output from the reference array
   p_verify_out_dat_width : process
diff --git a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd
index 55b1ec0d52..f0242fd8af 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd
@@ -74,17 +74,17 @@
 --     in the Wave window
 --
 library ieee, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.fil_pkg.all;
 
 entity tb_fil_ppf_wide_file_data is
   generic(
@@ -92,31 +92,31 @@ entity tb_fil_ppf_wide_file_data is
     g_big_endian_wb_in  : boolean := true;
     g_big_endian_wb_out : boolean := true;
     g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
-      -- type t_fil_pipeline is record
-      --   -- generic for the taps and coefficients memory
-      --   mem_delay      : natural;  -- = 2
-      --   -- generics for the multiplier in in the filter unit
-      --   mult_input     : natural;  -- = 1
-      --   mult_product   : natural;  -- = 1
-      --   mult_output    : natural;  -- = 1
-      --   -- generics for the adder tree in in the filter unit
-      --   adder_stage    : natural;  -- = 1
-      --   -- generics for the requantizer in the filter unit
-      --   requant_remove_lsb : natural;  -- = 1
-      --   requant_remove_msb : natural;  -- = 0
-      -- end record;
+    -- type t_fil_pipeline is record
+    --   -- generic for the taps and coefficients memory
+    --   mem_delay      : natural;  -- = 2
+    --   -- generics for the multiplier in in the filter unit
+    --   mult_input     : natural;  -- = 1
+    --   mult_product   : natural;  -- = 1
+    --   mult_output    : natural;  -- = 1
+    --   -- generics for the adder tree in in the filter unit
+    --   adder_stage    : natural;  -- = 1
+    --   -- generics for the requantizer in the filter unit
+    --   requant_remove_lsb : natural;  -- = 1
+    --   requant_remove_msb : natural;  -- = 0
+    -- end record;
     g_fil_ppf : t_fil_ppf := (4, 0, 128, 16, 2, 1, 8, 16, 16);
-      -- type t_fil_ppf is record
-      --   wb_factor      : natural; -- = 4, the wideband factor
-      --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-      --   nof_bands      : natural; -- = 1024, the number of polyphase channels (= number of points of the FFT)
-      --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
-      --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
-      --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
-      --   in_dat_w       : natural; -- = 8, number of input bits per stream
-      --   out_dat_w      : natural; -- = 16, number of output bits (per stream)
-      --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
-      -- end record;
+    -- type t_fil_ppf is record
+    --   wb_factor      : natural; -- = 4, the wideband factor
+    --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+    --   nof_bands      : natural; -- = 1024, the number of polyphase channels (= number of points of the FFT)
+    --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
+    --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
+    --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
+    --   in_dat_w       : natural; -- = 8, number of input bits per stream
+    --   out_dat_w      : natural; -- = 16, number of output bits (per stream)
+    --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
+    -- end record;
     g_coefs_file_prefix   : string := "hex/run_pfir_m_pfir_coeff_fircls1";
     g_data_file           : string := "data/run_pfir_m_sinusoid_chirp_8b_16taps_128points_16b_16b.dat";  -- coefs, input and output data for 1 stream
     g_data_file_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file
@@ -149,8 +149,8 @@ architecture tb of tb_fil_ppf_wide_file_data is
 
   -- PFIR coefficients file access
   constant c_coefs_dat_file_prefix    : string  := g_coefs_file_prefix & "_" & integer'image(g_fil_ppf.nof_taps) & "taps" &
-                                                                         "_" & integer'image(g_fil_ppf.nof_bands) & "points" &
-                                                                         "_" & integer'image(g_fil_ppf.coef_dat_w) & "b";
+                                                   "_" & integer'image(g_fil_ppf.nof_bands) & "points" &
+                                                   "_" & integer'image(g_fil_ppf.coef_dat_w) & "b";
   constant c_coefs_mif_file_prefix    : string  := c_coefs_dat_file_prefix & "_" & integer'image(g_fil_ppf.wb_factor) & "wb";
 
   -- Data file access
@@ -281,26 +281,26 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.fil_ppf_wide
-  generic map (
-    g_big_endian_wb_in  => g_big_endian_wb_in,
-    g_big_endian_wb_out => g_big_endian_wb_out,
-    g_fil_ppf           => g_fil_ppf,
-    g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
-    g_round_even        => c_round_even,
-    g_coefs_file_prefix => c_coefs_mif_file_prefix
-  )
-  port map (
-    dp_clk         => clk,
-    dp_rst         => rst,
-    mm_clk         => clk,
-    mm_rst         => rst,
-    ram_coefs_mosi => c_mem_mosi_rst,
-    ram_coefs_miso => OPEN,
-    in_dat_arr     => in_dat_arr,
-    in_val         => in_val,
-    out_dat_arr    => out_dat_arr,
-    out_val        => out_val
-  );
+    generic map (
+      g_big_endian_wb_in  => g_big_endian_wb_in,
+      g_big_endian_wb_out => g_big_endian_wb_out,
+      g_fil_ppf           => g_fil_ppf,
+      g_fil_ppf_pipeline  => g_fil_ppf_pipeline,
+      g_round_even        => c_round_even,
+      g_coefs_file_prefix => c_coefs_mif_file_prefix
+    )
+    port map (
+      dp_clk         => clk,
+      dp_rst         => rst,
+      mm_clk         => clk,
+      mm_rst         => rst,
+      ram_coefs_mosi => c_mem_mosi_rst,
+      ram_coefs_miso => OPEN,
+      in_dat_arr     => in_dat_arr,
+      in_val         => in_val,
+      out_dat_arr    => out_dat_arr,
+      out_val        => out_val
+    );
 
   ---------------------------------------------------------------
   -- Verify PFIR coefficients
@@ -421,64 +421,64 @@ begin
   end process;
 
   u_input_data_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fil_ppf.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => g_big_endian_wb_in,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_in_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => input_data,
-    in_val    => in_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => input_data_scope
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fil_ppf.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => g_big_endian_wb_in,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_in_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => input_data,
+      in_val    => in_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => input_data_scope
+    );
 
   u_exp_data_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fil_ppf.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => g_big_endian_wb_out,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_out_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => exp_data,
-    in_val    => out_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => exp_data_scope
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fil_ppf.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => g_big_endian_wb_out,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_out_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => exp_data,
+      in_val    => out_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => exp_data_scope
+    );
 
   u_output_data_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_fil_ppf.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => g_big_endian_wb_out,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_out_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => output_data,
-    in_val    => out_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => output_data_scope
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_fil_ppf.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => g_big_endian_wb_out,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_out_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => output_data,
+      in_val    => out_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => output_data_scope
+    );
 
   diff_data_scope <= exp_data_scope - output_data_scope;
 
diff --git a/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_single.vhd b/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_single.vhd
index a7c4b5c3f8..88269e66cd 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_single.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_single.vhd
@@ -27,9 +27,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.fil_pkg.all;
 
 entity tb_tb_fil_ppf_single is
 end tb_tb_fil_ppf_single;
@@ -43,35 +43,35 @@ architecture tb of tb_tb_fil_ppf_single is
 
 begin
 
---g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
---  -- type t_fil_pipeline is record
---  --   -- generic for the taps and coefficients memory
---  --   mem_delay      : natural;  -- = 2
---  --   -- generics for the multiplier in in the filter unit
---  --   mult_input     : natural;  -- = 1
---  --   mult_product   : natural;  -- = 1
---  --   mult_output    : natural;  -- = 1
---  --   -- generics for the adder tree in in the filter unit
---  --   adder_stage    : natural;  -- = 1
---  --   -- generics for the requantizer in the filter unit
---  --   requant_remove_lsb : natural;  -- = 1
---  --   requant_remove_msb : natural;  -- = 0
---  -- end record;
---g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 8, 20, 16);
---  -- type t_fil_ppf is record
---  --   wb_factor      : natural; -- = 1, the wideband factor
---  --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
---  --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
---  --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
---  --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
---  --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
---  --   in_dat_w       : natural; -- = 8, number of input bits per stream
---  --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
---  --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
---  --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
---  -- end record;
---g_coefs_file_prefix  : string  := "hex/run_pfir_coeff_m_incrementing";
---g_enable_in_val_gaps : boolean := FALSE
+  --g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
+  --  -- type t_fil_pipeline is record
+  --  --   -- generic for the taps and coefficients memory
+  --  --   mem_delay      : natural;  -- = 2
+  --  --   -- generics for the multiplier in in the filter unit
+  --  --   mult_input     : natural;  -- = 1
+  --  --   mult_product   : natural;  -- = 1
+  --  --   mult_output    : natural;  -- = 1
+  --  --   -- generics for the adder tree in in the filter unit
+  --  --   adder_stage    : natural;  -- = 1
+  --  --   -- generics for the requantizer in the filter unit
+  --  --   requant_remove_lsb : natural;  -- = 1
+  --  --   requant_remove_msb : natural;  -- = 0
+  --  -- end record;
+  --g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 8, 20, 16);
+  --  -- type t_fil_ppf is record
+  --  --   wb_factor      : natural; -- = 1, the wideband factor
+  --  --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --  --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
+  --  --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
+  --  --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
+  --  --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
+  --  --   in_dat_w       : natural; -- = 8, number of input bits per stream
+  --  --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
+  --  --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
+  --  --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
+  --  -- end record;
+  --g_coefs_file_prefix  : string  := "hex/run_pfir_coeff_m_incrementing";
+  --g_enable_in_val_gaps : boolean := FALSE
 
   u_act           : entity work.tb_fil_ppf_single generic map ((1, 1, 1, 1, 1, 1, 0), (1, 0, 64, 8, 1, 0, 8, 23, 16), c_prefix, false);
   u_rnd_quant     : entity work.tb_fil_ppf_single generic map ((1, 1, 1, 1, 1, 1, 0), (1, 0, 64, 8, 1, 0, 8, 16, 16), c_prefix, true);
diff --git a/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide.vhd b/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide.vhd
index c7d545849f..28e7ddd64d 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide.vhd
@@ -27,9 +27,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.fil_pkg.all;
 
 entity tb_tb_fil_ppf_wide is
 end tb_tb_fil_ppf_wide;
@@ -43,37 +43,37 @@ architecture tb of tb_tb_fil_ppf_wide is
 
 begin
 
---g_big_endian_wb_in  : boolean := true;
---g_big_endian_wb_out : boolean := true;
---g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
---  -- type t_fil_pipeline is record
---  --   -- generic for the taps and coefficients memory
---  --   mem_delay      : natural;  -- = 2
---  --   -- generics for the multiplier in in the filter unit
---  --   mult_input     : natural;  -- = 1
---  --   mult_product   : natural;  -- = 1
---  --   mult_output    : natural;  -- = 1
---  --   -- generics for the adder tree in in the filter unit
---  --   adder_stage    : natural;  -- = 1
---  --   -- generics for the requantizer in the filter unit
---  --   requant_remove_lsb : natural;  -- = 1
---  --   requant_remove_msb : natural;  -- = 0
---  -- end record;
---g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 8, 20, 16);
---  -- type t_fil_ppf is record
---  --   wb_factor      : natural; -- = 1, the wideband factor
---  --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
---  --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
---  --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
---  --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
---  --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
---  --   in_dat_w       : natural; -- = 8, number of input bits per stream
---  --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
---  --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
---  --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
---  -- end record;
---g_coefs_file_prefix  : string  := "hex/run_pfir_coeff_m_incrementing";
---g_enable_in_val_gaps : boolean := FALSE
+  --g_big_endian_wb_in  : boolean := true;
+  --g_big_endian_wb_out : boolean := true;
+  --g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
+  --  -- type t_fil_pipeline is record
+  --  --   -- generic for the taps and coefficients memory
+  --  --   mem_delay      : natural;  -- = 2
+  --  --   -- generics for the multiplier in in the filter unit
+  --  --   mult_input     : natural;  -- = 1
+  --  --   mult_product   : natural;  -- = 1
+  --  --   mult_output    : natural;  -- = 1
+  --  --   -- generics for the adder tree in in the filter unit
+  --  --   adder_stage    : natural;  -- = 1
+  --  --   -- generics for the requantizer in the filter unit
+  --  --   requant_remove_lsb : natural;  -- = 1
+  --  --   requant_remove_msb : natural;  -- = 0
+  --  -- end record;
+  --g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 8, 20, 16);
+  --  -- type t_fil_ppf is record
+  --  --   wb_factor      : natural; -- = 1, the wideband factor
+  --  --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --  --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
+  --  --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
+  --  --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
+  --  --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
+  --  --   in_dat_w       : natural; -- = 8, number of input bits per stream
+  --  --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
+  --  --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
+  --  --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
+  --  -- end record;
+  --g_coefs_file_prefix  : string  := "hex/run_pfir_coeff_m_incrementing";
+  --g_enable_in_val_gaps : boolean := FALSE
 
   -- verify fil_ppf_wide for wb_factor=1, so effectively same as using fil_ppf_single directly
   u1_act           : entity work.tb_fil_ppf_wide generic map (true, true, (1, 1, 1, 1, 1, 1, 0), (1, 0, 64, 8, 1, 0, 8, 23, 16), c_prefix, false);
diff --git a/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide_file_data.vhd b/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide_file_data.vhd
index 31c0ae3f78..628227c2d2 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide_file_data.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_tb_fil_ppf_wide_file_data.vhd
@@ -29,9 +29,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.fil_pkg.all;
 
 entity tb_tb_fil_ppf_wide_file_data is
 end tb_tb_fil_ppf_wide_file_data;
@@ -48,40 +48,40 @@ architecture tb of tb_tb_fil_ppf_wide_file_data is
 
 begin
 
---g_big_endian_wb_in  : boolean := true;
---g_big_endian_wb_out : boolean := true;
---g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
---  -- type t_fil_pipeline is record
---  --   -- generic for the taps and coefficients memory
---  --   mem_delay      : natural;  -- = 2
---  --   -- generics for the multiplier in in the filter unit
---  --   mult_input     : natural;  -- = 1
---  --   mult_product   : natural;  -- = 1
---  --   mult_output    : natural;  -- = 1
---  --   -- generics for the adder tree in in the filter unit
---  --   adder_stage    : natural;  -- = 1
---  --   -- generics for the requantizer in the filter unit
---  --   requant_remove_lsb : natural;  -- = 1
---  --   requant_remove_msb : natural;  -- = 0
---  -- end record;
---g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 8, 20, 16);
---  -- type t_fil_ppf is record
---  --   wb_factor      : natural; -- = 1, the wideband factor
---  --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
---  --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
---  --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
---  --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
---  --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
---  --   in_dat_w       : natural; -- = 8, number of input bits per stream
---  --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
---  --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
---  --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
---  -- end record;
---g_coefs_file_prefix   : string := "hex/run_pfir_m_pfir_coeff_fircls1";
---g_data_file           : string := "data/run_pfir_m_sinusoid_chirp_8b_16taps_128points_16b_16b.dat";  -- coefs, input and output data for 1 stream
---g_data_file_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file
---g_data_file_nof_read  : natural := 5000;   -- number of lines with input data to read and simulate, must be <= g_data_file_nof_lines
---g_enable_in_val_gaps  : boolean := FALSE
+  --g_big_endian_wb_in  : boolean := true;
+  --g_big_endian_wb_out : boolean := true;
+  --g_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
+  --  -- type t_fil_pipeline is record
+  --  --   -- generic for the taps and coefficients memory
+  --  --   mem_delay      : natural;  -- = 2
+  --  --   -- generics for the multiplier in in the filter unit
+  --  --   mult_input     : natural;  -- = 1
+  --  --   mult_product   : natural;  -- = 1
+  --  --   mult_output    : natural;  -- = 1
+  --  --   -- generics for the adder tree in in the filter unit
+  --  --   adder_stage    : natural;  -- = 1
+  --  --   -- generics for the requantizer in the filter unit
+  --  --   requant_remove_lsb : natural;  -- = 1
+  --  --   requant_remove_msb : natural;  -- = 0
+  --  -- end record;
+  --g_fil_ppf : t_fil_ppf := (1, 1, 64, 8, 1, 8, 20, 16);
+  --  -- type t_fil_ppf is record
+  --  --   wb_factor      : natural; -- = 1, the wideband factor
+  --  --   nof_chan       : natural; -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --  --   nof_bands      : natural; -- = 128, the number of polyphase channels (= number of points of the FFT)
+  --  --   nof_taps       : natural; -- = 16, the number of FIR taps per subband
+  --  --   nof_streams    : natural; -- = 1, the number of streams that are served by the same coefficients.
+  --  --   backoff_w      : natural; -- = 0, number of bits for input backoff to avoid output overflow
+  --  --   in_dat_w       : natural; -- = 8, number of input bits per stream
+  --  --   out_dat_w      : natural; -- = 23, number of output bits (per stream). It is set to in_dat_w+coef_dat_w-1 = 23 to be sure the requantizer
+  --  --                                  does not remove any of the data in order to be able to verify with the original coefficients values.
+  --  --   coef_dat_w     : natural; -- = 16, data width of the FIR coefficients
+  --  -- end record;
+  --g_coefs_file_prefix   : string := "hex/run_pfir_m_pfir_coeff_fircls1";
+  --g_data_file           : string := "data/run_pfir_m_sinusoid_chirp_8b_16taps_128points_16b_16b.dat";  -- coefs, input and output data for 1 stream
+  --g_data_file_nof_lines : natural := 25600;  -- number of lines with input data that is available in the g_data_file
+  --g_data_file_nof_read  : natural := 5000;   -- number of lines with input data to read and simulate, must be <= g_data_file_nof_lines
+  --g_enable_in_val_gaps  : boolean := FALSE
 
   -- verify fil_ppf_wide for wb_factor=1, so effectively same as using fil_ppf_single directly
   u1_act                  : entity work.tb_fil_ppf_wide_file_data generic map (false, false, c_pipeline, (1, 0, 128, 16, 1, 1, 8, 16, 16), c_coeff_prefix, c_data,   25600, 25600, false);
diff --git a/libraries/dsp/fringe_stop/src/vhdl/fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/src/vhdl/fringe_stop_unit.vhd
index 7f74e63a76..9913613af5 100644
--- a/libraries/dsp/fringe_stop/src/vhdl/fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/src/vhdl/fringe_stop_unit.vhd
@@ -25,14 +25,14 @@
 --   block diagram of fringe_stop_unit.
 --
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 library common_lib, common_mult_lib, technology_lib, dp_lib;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_math_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_math_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity fringe_stop_unit is
@@ -189,49 +189,49 @@ begin
 
   -- Making the MM write pulse available in the DP clock domain
   u_common_spulse_wr_offset_last : entity common_lib.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst     => mm_rst,
-    in_clk     => mm_clk,
-    in_pulse   => wr_offset_last,
-    out_rst    => dp_rst,
-    out_clk    => dp_clk,
-    out_pulse  => wr_offset_last_dp
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst     => mm_rst,
+      in_clk     => mm_clk,
+      in_pulse   => wr_offset_last,
+      out_rst    => dp_rst,
+      out_clk    => dp_clk,
+      out_pulse  => wr_offset_last_dp
+    );
 
   u_common_spulse_wr_step_last : entity common_lib.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst     => mm_rst,
-    in_clk     => mm_clk,
-    in_pulse   => wr_step_last,
-    out_rst    => dp_rst,
-    out_clk    => dp_clk,
-    out_pulse  => wr_step_last_dp
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst     => mm_rst,
+      in_clk     => mm_clk,
+      in_pulse   => wr_step_last,
+      out_rst    => dp_rst,
+      out_clk    => dp_clk,
+      out_pulse  => wr_step_last_dp
+    );
 
   -- Holding the write pulse until the next sync
   u_common_switch_offset : entity common_lib.common_switch
-  port map(
-    rst         => dp_rst,
-    clk         => dp_clk,
-    switch_high => wr_offset_last_dp,
-    switch_low  => in_sosi.sync,
-    out_level   => wr_offset_last_hold
-  );
+    port map(
+      rst         => dp_rst,
+      clk         => dp_clk,
+      switch_high => wr_offset_last_dp,
+      switch_low  => in_sosi.sync,
+      out_level   => wr_offset_last_hold
+    );
 
   u_common_switch_step : entity common_lib.common_switch
-  port map(
-    rst         => dp_rst,
-    clk         => dp_clk,
-    switch_high => wr_step_last_dp,
-    switch_low  => in_sosi.sync,
-    out_level   => wr_step_last_hold
-  );
+    port map(
+      rst         => dp_rst,
+      clk         => dp_clk,
+      switch_high => wr_step_last_dp,
+      switch_low  => in_sosi.sync,
+      out_level   => wr_step_last_hold
+    );
 
   -- Page turn only happens when both offset and step memories have been written.
   page_turn <= in_sosi.sync and wr_step_last_hold and wr_offset_last_hold;
@@ -240,149 +240,149 @@ begin
   -- Making the page turn pulse available in the MM clock domain
   ------------------------------------------------------------------------------
   u_common_spulse_page_turn : entity common_lib.common_spulse
-  generic map (
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst     => dp_rst,
-    in_clk     => dp_clk,
-    in_pulse   => page_turn,
-    out_rst    => mm_rst,
-    out_clk    => mm_clk,
-    out_pulse  => page_turn_mm
-  );
+    generic map (
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst     => dp_rst,
+      in_clk     => dp_clk,
+      in_pulse   => page_turn,
+      out_rst    => mm_rst,
+      out_clk    => mm_clk,
+      out_pulse  => page_turn_mm
+    );
 
   ------------------------------------------------------------------------------
   -- Fringe stop Offset memory (dual page and dual port)
   ------------------------------------------------------------------------------
   u_fringe_stop_offset_ram : entity common_lib.common_paged_ram_crw_crw
-  generic map (
-    g_technology     => g_technology,
-    g_str            => "use_adr",
-    g_data_w         => g_fs_offset_w,
-    g_nof_pages      => 2,
-    g_page_sz        => g_nof_channels,
-    g_start_page_a   => 0,
-    g_start_page_b   => 1,
-    g_rd_latency     => 1,
-    g_true_dual_port => true
-  )
-  port map(
-    rst_a       => mm_rst,
-    clk_a       => mm_clk,
-
-    next_page_a => page_turn_mm,
-    adr_a       => ram_fringe_stop_offset_mosi.address(c_fs_ram_w - 1 downto 0),
-    wr_en_a     => ram_fringe_stop_offset_mosi.wr,
-    wr_dat_a    => ram_fringe_stop_offset_mosi.wrdata(g_fs_offset_w - 1 downto 0),
-    rd_en_a     => ram_fringe_stop_offset_mosi.rd,
-    rd_dat_a    => ram_fringe_stop_offset_miso.rddata(g_fs_offset_w - 1 downto 0),
-    rd_val_a    => ram_fringe_stop_offset_miso.rdval,
-
-    rst_b       => dp_rst,
-    clk_b       => dp_clk,
-    next_page_b => page_turn,
-    adr_b       => fs_addr,
-    wr_en_b     => '0',
-    wr_dat_b    => (others => '0'),
-    rd_en_b     => r.in_sosi_arr(0).valid,
-    rd_dat_b    => fs_offset_data,
-    rd_val_b    => fs_offset_data_valid
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_str            => "use_adr",
+      g_data_w         => g_fs_offset_w,
+      g_nof_pages      => 2,
+      g_page_sz        => g_nof_channels,
+      g_start_page_a   => 0,
+      g_start_page_b   => 1,
+      g_rd_latency     => 1,
+      g_true_dual_port => true
+    )
+    port map(
+      rst_a       => mm_rst,
+      clk_a       => mm_clk,
+
+      next_page_a => page_turn_mm,
+      adr_a       => ram_fringe_stop_offset_mosi.address(c_fs_ram_w - 1 downto 0),
+      wr_en_a     => ram_fringe_stop_offset_mosi.wr,
+      wr_dat_a    => ram_fringe_stop_offset_mosi.wrdata(g_fs_offset_w - 1 downto 0),
+      rd_en_a     => ram_fringe_stop_offset_mosi.rd,
+      rd_dat_a    => ram_fringe_stop_offset_miso.rddata(g_fs_offset_w - 1 downto 0),
+      rd_val_a    => ram_fringe_stop_offset_miso.rdval,
+
+      rst_b       => dp_rst,
+      clk_b       => dp_clk,
+      next_page_b => page_turn,
+      adr_b       => fs_addr,
+      wr_en_b     => '0',
+      wr_dat_b    => (others => '0'),
+      rd_en_b     => r.in_sosi_arr(0).valid,
+      rd_dat_b    => fs_offset_data,
+      rd_val_b    => fs_offset_data_valid
+    );
 
   ------------------------------------------------------------------------------
   -- Fringe stop Step memory (dual page and dual port)
   ------------------------------------------------------------------------------
   u_fringe_stop_step_ram : entity common_lib.common_paged_ram_crw_crw
-  generic map (
-    g_technology     => g_technology,
-    g_str            => "use_adr",
-    g_data_w         => g_fs_step_w,
-    g_nof_pages      => 2,
-    g_page_sz        => g_nof_channels,
-    g_start_page_a   => 0,
-    g_start_page_b   => 1,
-    g_rd_latency     => 1,
-    g_true_dual_port => true
-  )
-  port map(
-    rst_a       => mm_rst,
-    clk_a       => mm_clk,
-
-    next_page_a => page_turn_mm,
-    adr_a       => ram_fringe_stop_step_mosi.address(c_fs_ram_w - 1 downto 0),
-    wr_en_a     => ram_fringe_stop_step_mosi.wr,
-    wr_dat_a    => ram_fringe_stop_step_mosi.wrdata(g_fs_step_w - 1 downto 0),
-    rd_en_a     => ram_fringe_stop_step_mosi.rd,
-    rd_dat_a    => ram_fringe_stop_step_miso.rddata(g_fs_step_w - 1 downto 0),
-    rd_val_a    => ram_fringe_stop_step_miso.rdval,
-
-    rst_b       => dp_rst,
-    clk_b       => dp_clk,
-    next_page_b => page_turn,
-    adr_b       => fs_addr,
-    wr_en_b     => '0',
-    wr_dat_b    => (others => '0'),
-    rd_en_b     => r.in_sosi_arr(0).valid,
-    rd_dat_b    => fs_step_data,
-    rd_val_b    => fs_step_data_valid
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_str            => "use_adr",
+      g_data_w         => g_fs_step_w,
+      g_nof_pages      => 2,
+      g_page_sz        => g_nof_channels,
+      g_start_page_a   => 0,
+      g_start_page_b   => 1,
+      g_rd_latency     => 1,
+      g_true_dual_port => true
+    )
+    port map(
+      rst_a       => mm_rst,
+      clk_a       => mm_clk,
+
+      next_page_a => page_turn_mm,
+      adr_a       => ram_fringe_stop_step_mosi.address(c_fs_ram_w - 1 downto 0),
+      wr_en_a     => ram_fringe_stop_step_mosi.wr,
+      wr_dat_a    => ram_fringe_stop_step_mosi.wrdata(g_fs_step_w - 1 downto 0),
+      rd_en_a     => ram_fringe_stop_step_mosi.rd,
+      rd_dat_a    => ram_fringe_stop_step_miso.rddata(g_fs_step_w - 1 downto 0),
+      rd_val_a    => ram_fringe_stop_step_miso.rdval,
+
+      rst_b       => dp_rst,
+      clk_b       => dp_clk,
+      next_page_b => page_turn,
+      adr_b       => fs_addr,
+      wr_en_b     => '0',
+      wr_dat_b    => (others => '0'),
+      rd_en_b     => r.in_sosi_arr(0).valid,
+      rd_dat_b    => fs_step_data,
+      rd_val_b    => fs_step_data_valid
+    );
 
 
   ------------------------------------------------------------------------------
   -- Pipeline offset to align with step accumulation
   ------------------------------------------------------------------------------
   u_fs_offset_data_pipeline : entity common_lib.common_pipeline
-  generic map(
-    g_representation => "UNSIGNED",
-    g_pipeline       => 1,
-    g_in_dat_w       => g_fs_offset_w,
-    g_out_dat_w      => g_fs_offset_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    in_dat  => fs_offset_data,
-    out_dat => fs_offset_data_piped
-  );
+    generic map(
+      g_representation => "UNSIGNED",
+      g_pipeline       => 1,
+      g_in_dat_w       => g_fs_offset_w,
+      g_out_dat_w      => g_fs_offset_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      in_dat  => fs_offset_data,
+      out_dat => fs_offset_data_piped
+    );
 
   ------------------------------------------------------------------------------
   -- Counter used to create addresses for both the offset ram and the step ram
   ------------------------------------------------------------------------------
   u_fs_adrs_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => c_fs_ram_w,
-    g_max       => g_nof_channels - 1,
-    g_step_size => 1
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => r.in_sosi_arr(0).eop,
-    cnt_en  => r.in_sosi_arr(0).valid,
-    count   => fs_addr
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => c_fs_ram_w,
+      g_max       => g_nof_channels - 1,
+      g_step_size => 1
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => r.in_sosi_arr(0).eop,
+      cnt_en  => r.in_sosi_arr(0).valid,
+      count   => fs_addr
+    );
 
   ------------------------------------------------------------------------------
   -- Adder for auto incrementing the step.(phi1 portion)
   ------------------------------------------------------------------------------
   u_step_adder : entity common_lib.common_add_sub
-  generic map(
-    g_direction       => "ADD",
-    g_representation  => "UNSIGNED",
-    g_pipeline_input  => 0,
-    g_pipeline_output => 0,
-    g_in_dat_w        => g_accu_w,
-    g_out_dat_w       => g_accu_w
-  )
-  port map(
-    clk     => dp_clk,
-    in_a    => fs_step_data_resized,
-    in_b    => accu_flushed,
-    result  => accu_in
-  );
+    generic map(
+      g_direction       => "ADD",
+      g_representation  => "UNSIGNED",
+      g_pipeline_input  => 0,
+      g_pipeline_output => 0,
+      g_in_dat_w        => g_accu_w,
+      g_out_dat_w       => g_accu_w
+    )
+    port map(
+      clk     => dp_clk,
+      in_a    => fs_step_data_resized,
+      in_b    => accu_flushed,
+      result  => accu_in
+    );
 
   -- Resize to match the input width of the adder
   fs_step_data_resized <= RESIZE_SVEC(fs_step_data, g_accu_w);
@@ -394,75 +394,75 @@ begin
   -- Accumulation FIFO where the intermediate phi1's are stored.
   ------------------------------------------------------------------------------
   u_accumulate_register : entity common_lib.common_delay
-  generic map(
-    g_dat_w => g_accu_w,
-    g_depth => g_nof_channels
-  )
-  port map(
-    clk      => dp_clk,
-    in_val   => fs_step_data_valid,
-    in_dat   => accu_in,
-    out_dat  => accu_out
-  );
+    generic map(
+      g_dat_w => g_accu_w,
+      g_depth => g_nof_channels
+    )
+    port map(
+      clk      => dp_clk,
+      in_val   => fs_step_data_valid,
+      in_dat   => accu_in,
+      out_dat  => accu_out
+    );
 
   u_step_pipe : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => 1,
-    g_in_dat_w       => g_fs_offset_w,
-    g_out_dat_w      => g_fs_offset_w
-  )
-  port map (
-    clk     => dp_clk,
-    in_dat  => accu_in(g_accu_w - 1 downto g_accu_w - g_fs_offset_w),
-    out_dat => fs_step_accumulated
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => 1,
+      g_in_dat_w       => g_fs_offset_w,
+      g_out_dat_w      => g_fs_offset_w
+    )
+    port map (
+      clk     => dp_clk,
+      in_dat  => accu_in(g_accu_w - 1 downto g_accu_w - g_fs_offset_w),
+      out_dat => fs_step_accumulated
+    );
 
   ------------------------------------------------------------------------------
   -- Adder that combines the offset and the step. phi0 + phi1
   ------------------------------------------------------------------------------
   u_phi0_phi1_adder : entity common_lib.common_add_sub
-  generic map(
-    g_direction       => "ADD",
-    g_representation  => "UNSIGNED",
-    g_pipeline_input  => 0,
-    g_pipeline_output => 1,
-    g_in_dat_w        => g_fs_offset_w,
-    g_out_dat_w       => g_fs_offset_w + 1
-  )
-  port map(
-    clk     => dp_clk,
-    in_a    => fs_step_accumulated,
-    in_b    => fs_offset_data_piped,
-    result  => fs_sum
-  );
+    generic map(
+      g_direction       => "ADD",
+      g_representation  => "UNSIGNED",
+      g_pipeline_input  => 0,
+      g_pipeline_output => 1,
+      g_in_dat_w        => g_fs_offset_w,
+      g_out_dat_w       => g_fs_offset_w + 1
+    )
+    port map(
+      clk     => dp_clk,
+      in_a    => fs_step_accumulated,
+      in_b    => fs_offset_data_piped,
+      result  => fs_sum
+    );
 
   phasor_real <= TO_SVEC(c_lookup_real(TO_UINT(fs_sum(g_fs_offset_w - 1 downto 0))), g_phasor_w);
   phasor_imag <= TO_SVEC(c_lookup_imag(TO_UINT(fs_sum(g_fs_offset_w - 1 downto 0))), g_phasor_w);
 
   u_multiplier : entity common_mult_lib.common_complex_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => "IP",
-    g_in_a_w           => g_in_dat_w,
-    g_in_b_w           => g_phasor_w,
-    g_out_p_w          => c_product_w,
-    g_conjugate_b      => false,
-    g_pipeline_input   => 1,
-    g_pipeline_product => 0,
-    g_pipeline_adder   => 1,
-    g_pipeline_output  => 1
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    in_ar      => r.in_sosi_arr(c_total_latency - c_cmult_latency - 1).re(g_in_dat_w - 1 downto 0),
-    in_ai      => r.in_sosi_arr(c_total_latency - c_cmult_latency - 1).im(g_in_dat_w - 1 downto 0),
-    in_br      => phasor_real,
-    in_bi      => phasor_imag,
-    out_pr     => result_re,
-    out_pi     => result_im
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => "IP",
+      g_in_a_w           => g_in_dat_w,
+      g_in_b_w           => g_phasor_w,
+      g_out_p_w          => c_product_w,
+      g_conjugate_b      => false,
+      g_pipeline_input   => 1,
+      g_pipeline_product => 0,
+      g_pipeline_adder   => 1,
+      g_pipeline_output  => 1
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      in_ar      => r.in_sosi_arr(c_total_latency - c_cmult_latency - 1).re(g_in_dat_w - 1 downto 0),
+      in_ai      => r.in_sosi_arr(c_total_latency - c_cmult_latency - 1).im(g_in_dat_w - 1 downto 0),
+      in_br      => phasor_real,
+      in_bi      => phasor_imag,
+      out_pr     => result_re,
+      out_pi     => result_im
+    );
 
   p_set_output : process(r, result_re, result_im)
   begin
diff --git a/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd
index 477a78ead4..6841fd0f4f 100644
--- a/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd
@@ -30,17 +30,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, technology_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_math_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_math_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 
 entity tb_fringe_stop_unit is
@@ -107,14 +107,28 @@ architecture tb of tb_fringe_stop_unit is
   constant c_bg_mem_high_addr       : natural := g_nof_channels - 1;
 
 
-  constant c_bg_ctrl                : t_diag_block_gen := ( '0',  -- enable: On by default in simulation; MM enable required on hardware.
-                                                            '0',  -- enable_sync
-                                                TO_UVEC(            c_block_size, c_diag_bg_samples_per_packet_w),
-                                                TO_UVEC(c_bg_nof_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                TO_UVEC(            c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                TO_UVEC(                       0, c_diag_bg_mem_low_adrs_w),
-                                                TO_UVEC(      c_bg_mem_high_addr, c_diag_bg_mem_high_adrs_w),
-                                                TO_UVEC(                       0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                : t_diag_block_gen := (
+     '0',  -- enable: On by default in simulation; MM enable required on hardware.
+    '0',  -- enable_sync
+    TO_UVEC(
+                         c_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_nof_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                         c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                    0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                   c_bg_mem_high_addr,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                    0,
+             c_diag_bg_bsn_init_w)
+  );
 
   -- Configuration of the databuffers:
   signal bg_sosi_arr                : t_dp_sosi_arr(c_bg_nof_output_streams - 1 downto 0);
@@ -168,7 +182,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
- ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Stimuli: Create the stimuli values and calculate the reference array
   ----------------------------------------------------------------------------
   p_create_stimuli : process
@@ -289,61 +303,61 @@ begin
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_diag_block_gen_rst => c_bg_ctrl,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_diag_block_gen_rst => c_bg_ctrl,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.fringe_stop_unit
-  generic map (
-    g_technology     => c_tech_select_default,
-    g_in_dat_w       => g_in_dat_w,
-    g_fs_offset_w    => g_fs_offset_w,
-    g_fs_step_w      => g_fs_step_w,
-    g_accu_w         => g_accu_w,
-    g_phi_minus_sign => g_phi_minus_sign,
-    g_phasor_w       => g_phasor_w,
-    g_nof_channels   => g_nof_channels
-  )
-  port map (
-    -- System
-    dp_rst                 =>  dp_rst,
-    dp_clk                 =>  dp_clk,
-    mm_rst                 =>  mm_rst,
-    mm_clk                 =>  mm_clk,
-
-    -- MM interface
-    ram_fringe_stop_offset_mosi => ram_fringe_stop_offset_mosi,
-    ram_fringe_stop_offset_miso => ram_fringe_stop_offset_miso,
-    ram_fringe_stop_step_mosi   => ram_fringe_stop_step_mosi,
-    ram_fringe_stop_step_miso   => ram_fringe_stop_step_miso,
-
-    -- ST interface
-    in_sosi            => bg_sosi_arr(0),
-    out_sosi           => out_sosi_arr(0)
-  );
+    generic map (
+      g_technology     => c_tech_select_default,
+      g_in_dat_w       => g_in_dat_w,
+      g_fs_offset_w    => g_fs_offset_w,
+      g_fs_step_w      => g_fs_step_w,
+      g_accu_w         => g_accu_w,
+      g_phi_minus_sign => g_phi_minus_sign,
+      g_phasor_w       => g_phasor_w,
+      g_nof_channels   => g_nof_channels
+    )
+    port map (
+      -- System
+      dp_rst                 =>  dp_rst,
+      dp_clk                 =>  dp_clk,
+      mm_rst                 =>  mm_rst,
+      mm_clk                 =>  mm_clk,
+
+      -- MM interface
+      ram_fringe_stop_offset_mosi => ram_fringe_stop_offset_mosi,
+      ram_fringe_stop_offset_miso => ram_fringe_stop_offset_miso,
+      ram_fringe_stop_step_mosi   => ram_fringe_stop_step_mosi,
+      ram_fringe_stop_step_miso   => ram_fringe_stop_step_miso,
+
+      -- ST interface
+      in_sosi            => bg_sosi_arr(0),
+      out_sosi           => out_sosi_arr(0)
+    );
 
   p_reference_comb : process(r, dp_rst, out_sosi_arr, fs_offset_matrix, fs_step_matrix, bg_data_arr_re, bg_data_arr_im)
     variable v : reg_type;
@@ -356,7 +370,7 @@ begin
     -- Maintain counters for sync, sop and channels.
     if out_sosi_arr(0).valid = '1' then
       if r.first_chn = true then
-          v.first_chn := false;
+        v.first_chn := false;
       elsif r.chn_cnt = g_nof_channels - 1 then
         v.chn_cnt := 0;
       else
@@ -402,8 +416,8 @@ begin
       v_sum_int := fs_offset_matrix(v.sync_cnt, v.chn_cnt) + v.step;
       v_sum_vec := TO_SVEC(v_sum_int, c_integer_w);
       v.index   := TO_UINT(v_sum_vec(g_fs_offset_w - 1 downto 0));
---      v.index  := TO_UINT(TO_UVEC(temp, g_fs_offset_w+1)(g_fs_offset_w-1 DOWNTO 0));
---      v.index  := TO_UINT(TO_UVEC(fs_offset_matrix(v.sync_cnt, v.chn_cnt) + v.step, g_fs_offset_w+1)(g_fs_offset_w-1 DOWNTO 0));
+      --      v.index  := TO_UINT(TO_UVEC(temp, g_fs_offset_w+1)(g_fs_offset_w-1 DOWNTO 0));
+      --      v.index  := TO_UINT(TO_UVEC(fs_offset_matrix(v.sync_cnt, v.chn_cnt) + v.step, g_fs_offset_w+1)(g_fs_offset_w-1 DOWNTO 0));
       v.ref_re := COMPLEX_MULT_REAL( bg_data_arr_re(v.chn_cnt), bg_data_arr_im(v.chn_cnt), c_lookup_real(v.index), c_lookup_imag(v.index));
       v.ref_im := COMPLEX_MULT_IMAG( bg_data_arr_re(v.chn_cnt), bg_data_arr_im(v.chn_cnt), c_lookup_real(v.index), c_lookup_imag(v.index));
     end if;
diff --git a/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd
index dd28809da6..e822406e26 100644
--- a/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd
@@ -57,17 +57,17 @@
 --     > python $UPE_GEAR/peripherals/util_fringe_stop.py --sim --unb 0 --fn 0 -n 1        read entire step RAM
 
 library IEEE, common_lib, technology_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity tb_mmf_fringe_stop_unit is
@@ -220,7 +220,7 @@ begin
         mmf_mm_bus_rd(c_mm_file_ram_fringe_stop_step, I, rd_data, mm_clk);
         assert TO_UINT(rd_data) = c_in_step2 report "Wrong fringe stop step readback value" severity ERROR;
       end loop;
-       -- write to last address c_nof_channels-1 will cause page swap at in_sosi.sync
+      -- write to last address c_nof_channels-1 will cause page swap at in_sosi.sync
 
       -- wait until begin of sync interval
       proc_common_wait_until_hi_lo(dp_clk, in_sosi.sync);
@@ -291,33 +291,33 @@ begin
   u_mm_file_ram_fringe_stop_step   : entity mm_lib.mm_file generic map(c_mm_file_ram_fringe_stop_step)   port map(mm_rst, mm_clk, ram_fringe_stop_step_mosi,   ram_fringe_stop_step_miso);
 
   u_dut : entity work.fringe_stop_unit
-  generic map (
-    g_technology     => c_tech_select_default,
-    g_in_dat_w       => c_in_dat_w,
-    g_fs_offset_w    => c_fs_offset_w,
-    g_fs_step_w      => c_fs_step_w,
-    g_accu_w         => c_accu_w,
-    g_phi_minus_sign => c_phi_minus_sign,
-    g_phasor_w       => c_phasor_w,
-    g_nof_channels   => c_nof_channels
-  )
-  port map (
-    -- System
-    dp_rst                 =>  dp_rst,
-    dp_clk                 =>  dp_clk,
-    mm_rst                 =>  mm_rst,
-    mm_clk                 =>  mm_clk,
-
-    -- MM interface
-    ram_fringe_stop_offset_mosi => ram_fringe_stop_offset_mosi,
-    ram_fringe_stop_offset_miso => ram_fringe_stop_offset_miso,
-    ram_fringe_stop_step_mosi   => ram_fringe_stop_step_mosi,
-    ram_fringe_stop_step_miso   => ram_fringe_stop_step_miso,
-
-    -- ST interface
-    in_sosi            => in_sosi,
-    out_sosi           => out_sosi
-  );
+    generic map (
+      g_technology     => c_tech_select_default,
+      g_in_dat_w       => c_in_dat_w,
+      g_fs_offset_w    => c_fs_offset_w,
+      g_fs_step_w      => c_fs_step_w,
+      g_accu_w         => c_accu_w,
+      g_phi_minus_sign => c_phi_minus_sign,
+      g_phasor_w       => c_phasor_w,
+      g_nof_channels   => c_nof_channels
+    )
+    port map (
+      -- System
+      dp_rst                 =>  dp_rst,
+      dp_clk                 =>  dp_clk,
+      mm_rst                 =>  mm_rst,
+      mm_clk                 =>  mm_clk,
+
+      -- MM interface
+      ram_fringe_stop_offset_mosi => ram_fringe_stop_offset_mosi,
+      ram_fringe_stop_offset_miso => ram_fringe_stop_offset_miso,
+      ram_fringe_stop_step_mosi   => ram_fringe_stop_step_mosi,
+      ram_fringe_stop_step_miso   => ram_fringe_stop_step_miso,
+
+      -- ST interface
+      in_sosi            => in_sosi,
+      out_sosi           => out_sosi
+    );
 
 
   ----------------------------------------------------------------------------
@@ -358,7 +358,7 @@ begin
       assert out_im_min = -c_in_amplitude report "Wrong out_im_min" severity ERROR;
     end if;
     wait;
- end process;
+  end process;
 
   p_verify_dc : process
     variable vBegin  : natural;
diff --git a/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_fringe_stop_unit.vhd
index 19601d088b..ba6bc70fea 100644
--- a/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_fringe_stop_unit.vhd
@@ -30,7 +30,7 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, technology_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_fringe_stop_unit is
 end tb_tb_fringe_stop_unit;
@@ -39,20 +39,20 @@ architecture tb of tb_tb_fringe_stop_unit is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
--- Usage
---   > as 8
---   > run -all
---   > Testbenches are self-checking
+  -- Usage
+  --   > as 8
+  --   > run -all
+  --   > Testbenches are self-checking
 
---    g_sim_type             : NATURAL  := 0;   -- 0 = Increment, 1 = Increment over the maximum, 2 = Decrease, 3 = Decrease over the minimum
---    g_in_dat_w             : POSITIVE := 8;   -- Width of the incoming data.
---    g_fs_offset_w          : POSITIVE := 10;  -- Width of the offset of the linear coefficient
---    g_accu_w               : POSITIVE := 31;  -- Width of the accumulation register
---    g_fs_step_w            : POSITIVE := 17;  -- Width of the step of the linear coefficient
---    g_nof_channels         : POSITIVE := 4;   -- Number of serial channels for which the fringe stopping must be applied uniquely
---    g_phasor_w             : POSITIVE := 9    -- Width of the phasor values in the lookup table
---    g_phi_minus_sign       : BOOLEAN := TRUE; -- Apply exp(j*phi) or exp(-j*phi) based on phasor lookup table address phi
---
+  --    g_sim_type             : NATURAL  := 0;   -- 0 = Increment, 1 = Increment over the maximum, 2 = Decrease, 3 = Decrease over the minimum
+  --    g_in_dat_w             : POSITIVE := 8;   -- Width of the incoming data.
+  --    g_fs_offset_w          : POSITIVE := 10;  -- Width of the offset of the linear coefficient
+  --    g_accu_w               : POSITIVE := 31;  -- Width of the accumulation register
+  --    g_fs_step_w            : POSITIVE := 17;  -- Width of the step of the linear coefficient
+  --    g_nof_channels         : POSITIVE := 4;   -- Number of serial channels for which the fringe stopping must be applied uniquely
+  --    g_phasor_w             : POSITIVE := 9    -- Width of the phasor values in the lookup table
+  --    g_phi_minus_sign       : BOOLEAN := TRUE; -- Apply exp(j*phi) or exp(-j*phi) based on phasor lookup table address phi
+  --
 
   gen_sim_types : for I in 0 to 3 generate
     sim_phi       : entity work.tb_fringe_stop_unit generic map (I, 8, 10, 31, 17, 4, 9, false);
diff --git a/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_mmf_fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_mmf_fringe_stop_unit.vhd
index 5b6de6df3d..4517a69dd4 100644
--- a/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_mmf_fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/tb/vhdl/tb_tb_mmf_fringe_stop_unit.vhd
@@ -27,7 +27,7 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_mmf_fringe_stop_unit is
 end tb_tb_mmf_fringe_stop_unit;
@@ -36,10 +36,10 @@ architecture tb of tb_tb_mmf_fringe_stop_unit is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
---    g_tb_index                  : NATURAL := 0;      -- use different index to avoid MM file conflict in multi tb
---    g_mm_stimuli_enable         : BOOLEAN := FALSE;  -- use TRUE to activate p_mm_stimuli, else FALSE to apply mm_file IO via Python
---    g_mm_clk_faster_than_dp_clk : BOOLEAN := TRUE;   -- when TRUE than mm_clk > dp_clk.
---    g_in_valid_gapsize          : NATURAL := 0
+  --    g_tb_index                  : NATURAL := 0;      -- use different index to avoid MM file conflict in multi tb
+  --    g_mm_stimuli_enable         : BOOLEAN := FALSE;  -- use TRUE to activate p_mm_stimuli, else FALSE to apply mm_file IO via Python
+  --    g_mm_clk_faster_than_dp_clk : BOOLEAN := TRUE;   -- when TRUE than mm_clk > dp_clk.
+  --    g_in_valid_gapsize          : NATURAL := 0
 
   u_mm_slower_no_gap    : entity work.tb_mmf_fringe_stop_unit generic map (0, true, false, 0);  -- use no gap to enable verification of phasor period
   u_mm_faster_with_gap  : entity work.tb_mmf_fringe_stop_unit generic map (1, true, true,  1);  -- use gap to verify valid gaps
diff --git a/libraries/dsp/iquv/src/vhdl/iquv.vhd b/libraries/dsp/iquv/src/vhdl/iquv.vhd
index 09bd9053ba..ef6574bd43 100644
--- a/libraries/dsp/iquv/src/vhdl/iquv.vhd
+++ b/libraries/dsp/iquv/src/vhdl/iquv.vhd
@@ -39,10 +39,10 @@
 
 
 library IEEE, common_lib, common_mult_lib, technology_lib, dp_lib, iquv_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity iquv is
@@ -112,18 +112,18 @@ begin
   -- control counter to demultiplex the X and Y polarizations
   ------------------------------------------------------------------------------
   u_ctrl_count : entity common_lib.common_counter
-  generic map (
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => 2,
-    g_step_size => 1
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    cnt_en      => in_complex.valid,
-    count       => ctrl_count
-  );
+    generic map (
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => 2,
+      g_step_size => 1
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      cnt_en      => in_complex.valid,
+      count       => ctrl_count
+    );
 
   enable_strobe  <= ctrl_count(0) when in_complex.valid = '1' else '0';
 
@@ -131,154 +131,154 @@ begin
   -- delay the input one clock
   ------------------------------------------------------------------------------
   u_delay_input_real : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => g_in_data_w,
-    g_out_dat_w   => g_in_data_w,
-    g_reset_value => 0,
-    g_pipeline    => 1
-  )
-  port map (
-    clk           => dp_clk,
-    rst           => dp_rst,
-    in_dat        => in_complex.re(g_in_data_w - 1 downto 0),
-    out_dat       => in_complex_re_d1
-  );
+    generic map (
+      g_in_dat_w    => g_in_data_w,
+      g_out_dat_w   => g_in_data_w,
+      g_reset_value => 0,
+      g_pipeline    => 1
+    )
+    port map (
+      clk           => dp_clk,
+      rst           => dp_rst,
+      in_dat        => in_complex.re(g_in_data_w - 1 downto 0),
+      out_dat       => in_complex_re_d1
+    );
 
   u_delay_input_imag : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => g_in_data_w,
-    g_out_dat_w   => g_in_data_w,
-    g_reset_value => 0,
-    g_pipeline    => 1
-  )
-  port map (
-    clk           => dp_clk,
-    rst           => dp_rst,
-    in_dat        => in_complex.im(g_in_data_w - 1 downto 0),
-    out_dat       => in_complex_im_d1
-  );
+    generic map (
+      g_in_dat_w    => g_in_data_w,
+      g_out_dat_w   => g_in_data_w,
+      g_reset_value => 0,
+      g_pipeline    => 1
+    )
+    port map (
+      clk           => dp_clk,
+      rst           => dp_rst,
+      in_dat        => in_complex.im(g_in_data_w - 1 downto 0),
+      out_dat       => in_complex_im_d1
+    );
 
   in_complex_valid(0) <= in_complex.valid;
 
   u_delay_input_valid : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => 1,
-    g_out_dat_w   => 1,
-    g_reset_value => 0,
-    g_pipeline    => 1
-  )
-  port map (
-    clk           => dp_clk,
-    rst           => dp_rst,
-    in_dat        => in_complex_valid,
-    out_dat       => in_complex_valid_d1
-  );
+    generic map (
+      g_in_dat_w    => 1,
+      g_out_dat_w   => 1,
+      g_reset_value => 0,
+      g_pipeline    => 1
+    )
+    port map (
+      clk           => dp_clk,
+      rst           => dp_rst,
+      in_dat        => in_complex_valid,
+      out_dat       => in_complex_valid_d1
+    );
 
 
 
   ------------------------------------------------------------------------------
   -- Two complex multipliers (XX'. XY' and YY')
   ------------------------------------------------------------------------------
---  u_CmplxMul_xx : ENTITY common_mult_lib.common_complex_mult
---  GENERIC MAP (
---    g_technology       => g_technology,
---    g_in_a_w           => g_in_data_w,
---    g_in_b_w           => g_in_data_w,
---    g_out_p_w          => c_multprod_w,
---    g_conjugate_b      => true
---  )
---  port map (
---    rst       => dp_rst,
---    clk       => dp_clk,
---    in_ar     => in_complex_re_d1,
---    in_ai     => in_complex_im_d1,
---    in_br     => in_complex_re_d1,
---    in_bi     => in_complex_im_d1,
---    in_val    => enable_strobe,
---    out_pr    => product_xx,
---    out_pi    => open,
---    out_val   => products_valid
---  );
+  --  u_CmplxMul_xx : ENTITY common_mult_lib.common_complex_mult
+  --  GENERIC MAP (
+  --    g_technology       => g_technology,
+  --    g_in_a_w           => g_in_data_w,
+  --    g_in_b_w           => g_in_data_w,
+  --    g_out_p_w          => c_multprod_w,
+  --    g_conjugate_b      => true
+  --  )
+  --  port map (
+  --    rst       => dp_rst,
+  --    clk       => dp_clk,
+  --    in_ar     => in_complex_re_d1,
+  --    in_ai     => in_complex_im_d1,
+  --    in_br     => in_complex_re_d1,
+  --    in_bi     => in_complex_im_d1,
+  --    in_val    => enable_strobe,
+  --    out_pr    => product_xx,
+  --    out_pi    => open,
+  --    out_val   => products_valid
+  --  );
 
   u_CmplxMul_xy : entity common_mult_lib.common_complex_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_data_w,
-    g_in_b_w           => g_in_data_w,
-    g_out_p_w          => c_multprod_w,
-    g_conjugate_b      => true
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    in_ar     => in_complex_re_d1,
-    in_ai     => in_complex_im_d1,
-    in_br     => in_complex.re(g_in_data_w - 1 downto 0),
-    in_bi     => in_complex.im(g_in_data_w - 1 downto 0),
-    in_val    => enable_strobe,
-    out_pr    => product_xy_re,
-    out_pi    => product_xy_im,
-    out_val   => open
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_data_w,
+      g_in_b_w           => g_in_data_w,
+      g_out_p_w          => c_multprod_w,
+      g_conjugate_b      => true
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      in_ar     => in_complex_re_d1,
+      in_ai     => in_complex_im_d1,
+      in_br     => in_complex.re(g_in_data_w - 1 downto 0),
+      in_bi     => in_complex.im(g_in_data_w - 1 downto 0),
+      in_val    => enable_strobe,
+      out_pr    => product_xy_re,
+      out_pi    => product_xy_im,
+      out_val   => open
+    );
 
   u_CmplxMul_xxyy : entity common_mult_lib.common_complex_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_in_data_w,
-    g_in_b_w           => g_in_data_w,
-    g_out_p_w          => c_multprod_w,
-    g_conjugate_b      => true
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    in_ar     => in_complex.re(g_in_data_w - 1 downto 0),
-    in_ai     => in_complex.im(g_in_data_w - 1 downto 0),
-    in_br     => in_complex.re(g_in_data_w - 1 downto 0),
-    in_bi     => in_complex.im(g_in_data_w - 1 downto 0),
-    in_val    => enable_strobe,
-    out_pr    => product_yy,
-    out_pi    => open,
-    out_val   => products_valid
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_in_data_w,
+      g_in_b_w           => g_in_data_w,
+      g_out_p_w          => c_multprod_w,
+      g_conjugate_b      => true
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      in_ar     => in_complex.re(g_in_data_w - 1 downto 0),
+      in_ai     => in_complex.im(g_in_data_w - 1 downto 0),
+      in_br     => in_complex.re(g_in_data_w - 1 downto 0),
+      in_bi     => in_complex.im(g_in_data_w - 1 downto 0),
+      in_val    => enable_strobe,
+      out_pr    => product_yy,
+      out_pi    => open,
+      out_val   => products_valid
+    );
 
   ------------------------------------------------------------------------------
   -- Delay the YY product one clock to get the XX product
   ------------------------------------------------------------------------------
   u_delay_yy : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => c_multprod_w,
-    g_out_dat_w   => c_multprod_w,
-    g_reset_value => 0,
-    g_pipeline    => 1
-  )
-  port map (
-    clk           => dp_clk,
-    rst           => dp_rst,
-    in_dat        => product_yy,
-    out_dat       => product_xx
-  );
+    generic map (
+      g_in_dat_w    => c_multprod_w,
+      g_out_dat_w   => c_multprod_w,
+      g_reset_value => 0,
+      g_pipeline    => 1
+    )
+    port map (
+      clk           => dp_clk,
+      rst           => dp_rst,
+      in_dat        => product_yy,
+      out_dat       => product_xx
+    );
 
 
   ------------------------------------------------------------------------------
   -- I = XX' + YY'
   ------------------------------------------------------------------------------
   u_adder_i : entity common_lib.common_add_sub
-  generic map (
-    g_representation   => "UNSIGNED",
-    g_direction        => "ADD",
-    g_in_dat_w         => c_multprod_w,
-    g_out_dat_w        => c_iquv_w
-  )
-  port map (
-    clk       => dp_clk,
-    clken     => '1',
-    in_a      => product_xx,
-    in_b      => product_yy,
-    result    => i_result
-  );
+    generic map (
+      g_representation   => "UNSIGNED",
+      g_direction        => "ADD",
+      g_in_dat_w         => c_multprod_w,
+      g_out_dat_w        => c_iquv_w
+    )
+    port map (
+      clk       => dp_clk,
+      clken     => '1',
+      in_a      => product_xx,
+      in_b      => product_yy,
+      result    => i_result
+    );
 
 
 
@@ -286,19 +286,19 @@ begin
   -- Q = XX' - YY'
   ------------------------------------------------------------------------------
   u_adder_q : entity common_lib.common_add_sub
-  generic map (
-    g_representation   => "SIGNED",
-    g_direction        => "SUB",
-    g_in_dat_w         => c_multprod_w,
-    g_out_dat_w        => c_iquv_w
-  )
-  port map (
-    clk       => dp_clk,
-    clken     => '1',
-    in_a      => product_xx,
-    in_b      => product_yy,
-    result    => q_result
-  );
+    generic map (
+      g_representation   => "SIGNED",
+      g_direction        => "SUB",
+      g_in_dat_w         => c_multprod_w,
+      g_out_dat_w        => c_iquv_w
+    )
+    port map (
+      clk       => dp_clk,
+      clken     => '1',
+      in_a      => product_xx,
+      in_b      => product_yy,
+      result    => q_result
+    );
 
 
 
@@ -310,34 +310,34 @@ begin
   -- Delay the multiplier products valid output as well
   ------------------------------------------------------------------------------
   u_delay_xy_real : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w  => c_multprod_w,
-    g_out_dat_w => c_multprod_w,
-    g_reset_value => 0,
-    g_pipeline  => 1
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    in_dat        => product_xy_re,
-    out_dat       => product_xy_re_dly
-  );
+    generic map (
+      g_in_dat_w  => c_multprod_w,
+      g_out_dat_w => c_multprod_w,
+      g_reset_value => 0,
+      g_pipeline  => 1
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      in_dat        => product_xy_re,
+      out_dat       => product_xy_re_dly
+    );
 
   product_xy_im_neg <= TO_SVEC(-TO_SINT(product_xy_im), c_multprod_w);
 
   u_delay_xy_imag : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => c_multprod_w,
-    g_out_dat_w   => c_multprod_w,
-    g_reset_value => 0,
-    g_pipeline    => 1
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    in_dat        => product_xy_im_neg,
-    out_dat       => product_xy_im_dly
-  );
+    generic map (
+      g_in_dat_w    => c_multprod_w,
+      g_out_dat_w   => c_multprod_w,
+      g_reset_value => 0,
+      g_pipeline    => 1
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      in_dat        => product_xy_im_neg,
+      out_dat       => product_xy_im_dly
+    );
 
 
   u_result <= product_xy_re_dly(c_multprod_w - 1 downto 0) & '0';
@@ -346,18 +346,18 @@ begin
   products_valid_vec(0) <= products_valid;
 
   u_delay_products_valid : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => 1,
-    g_out_dat_w   => 1,
-    g_reset_value => 0,
-    g_pipeline    => 1
-  )
-  port map (
-    rst           => dp_rst,
-    clk           => dp_clk,
-    in_dat        => products_valid_vec,
-    out_dat       => products_valid_vec_dly
-  );
+    generic map (
+      g_in_dat_w    => 1,
+      g_out_dat_w   => 1,
+      g_reset_value => 0,
+      g_pipeline    => 1
+    )
+    port map (
+      rst           => dp_rst,
+      clk           => dp_clk,
+      in_dat        => products_valid_vec,
+      out_dat       => products_valid_vec_dly
+    );
 
 
   ------------------------------------------------------------------------------
@@ -365,23 +365,23 @@ begin
   ------------------------------------------------------------------------------
   gen_iquv_accum : if g_use_accum = true generate
     u_iquv_accum : entity work.iquv_accum
-    generic map (
-      g_accumcount_w  => c_accumcount_w,
-      g_in_data_w     => c_iquv_w
-    )
-    port map (
-      dp_rst          => dp_rst,
-      dp_clk          => dp_clk,
-      i_in            => i_result,
-      q_in            => q_result,
-      u_in            => u_result,
-      v_in            => v_result,
-      in_valid        => products_valid_vec_dly(0),
-      i_out_sosi      => i_out_raw_sosi,
-      q_out_sosi      => q_out_raw_sosi,
-      u_out_sosi      => u_out_raw_sosi,
-      v_out_sosi      => v_out_raw_sosi
-    );
+      generic map (
+        g_accumcount_w  => c_accumcount_w,
+        g_in_data_w     => c_iquv_w
+      )
+      port map (
+        dp_rst          => dp_rst,
+        dp_clk          => dp_clk,
+        i_in            => i_result,
+        q_in            => q_result,
+        u_in            => u_result,
+        v_in            => v_result,
+        in_valid        => products_valid_vec_dly(0),
+        i_out_sosi      => i_out_raw_sosi,
+        q_out_sosi      => q_out_raw_sosi,
+        u_out_sosi      => u_out_raw_sosi,
+        v_out_sosi      => v_out_raw_sosi
+      );
   end generate;
 
   gen_noiquv_accum : if g_use_accum = false generate
@@ -401,95 +401,95 @@ begin
   -- Requantize the outputs to the desired bit width
   ------------------------------------------------------------------------------
   u_dp_requantize_i : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "UNSIGNED",
-    g_lsb_w               => c_lsb_w - 1,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => true,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => true,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_iquv_accum_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => i_out_raw_sosi,
-    src_out    => i_out,
-    out_ovr    => open
-  );
+    generic map (
+      g_complex             => false,
+      g_representation      => "UNSIGNED",
+      g_lsb_w               => c_lsb_w - 1,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => true,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => true,
+      g_gain_w              => 0,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_iquv_accum_w,
+      g_out_dat_w           => g_out_data_w
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => i_out_raw_sosi,
+      src_out    => i_out,
+      out_ovr    => open
+    );
 
   u_dp_requantize_q : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => true,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => true,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_iquv_accum_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => q_out_raw_sosi,
-    src_out    => q_out,
-    out_ovr    => open
-  );
+    generic map (
+      g_complex             => false,
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => true,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => true,
+      g_gain_w              => 0,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_iquv_accum_w,
+      g_out_dat_w           => g_out_data_w
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => q_out_raw_sosi,
+      src_out    => q_out,
+      out_ovr    => open
+    );
 
   u_dp_requantize_u : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => true,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => true,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_iquv_accum_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => u_out_raw_sosi,
-    src_out    => u_out,
-    out_ovr    => open
-  );
+    generic map (
+      g_complex             => false,
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => true,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => true,
+      g_gain_w              => 0,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_iquv_accum_w,
+      g_out_dat_w           => g_out_data_w
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => u_out_raw_sosi,
+      src_out    => u_out,
+      out_ovr    => open
+    );
 
   u_dp_requantize_v : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => true,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => true,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_iquv_accum_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => v_out_raw_sosi,
-    src_out    => v_out,
-    out_ovr    => open
-  );
+    generic map (
+      g_complex             => false,
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_lsb_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => true,
+      g_msb_clip            => true,
+      g_msb_clip_symmetric  => true,
+      g_gain_w              => 0,
+      g_pipeline_remove_lsb => 1,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => c_iquv_accum_w,
+      g_out_dat_w           => g_out_data_w
+    )
+    port map (
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => v_out_raw_sosi,
+      src_out    => v_out,
+      out_ovr    => open
+    );
 
 end str;
diff --git a/libraries/dsp/iquv/src/vhdl/iquv_accum.vhd b/libraries/dsp/iquv/src/vhdl/iquv_accum.vhd
index 33cefd25cc..926a5652f2 100644
--- a/libraries/dsp/iquv/src/vhdl/iquv_accum.vhd
+++ b/libraries/dsp/iquv/src/vhdl/iquv_accum.vhd
@@ -35,9 +35,9 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity iquv_accum is
@@ -76,18 +76,18 @@ begin
   -- control counter to generate an end of integration period strobe
   ------------------------------------------------------------------------------
   u_accum_ctrl_count : entity common_lib.common_counter
-  generic map (
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => g_accumcount_w,
-    g_step_size => 1
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    cnt_en      => in_valid,
-    count       => accum_ctrl_count
-  );
+    generic map (
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => g_accumcount_w,
+      g_step_size => 1
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      cnt_en      => in_valid,
+      count       => accum_ctrl_count
+    );
 
   accum_ctrl <= '1' when accum_ctrl_count = c_accum_count_zero and in_valid = '1' else '0';
 
@@ -95,63 +95,63 @@ begin
   -- Accumulators to integrate the Stokes products
   ------------------------------------------------------------------------------
   u_accum_i : entity common_lib.common_accumulate
-  generic map (
-    g_representation   => "UNSIGNED"
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    clken     => '1',
-    sload     => accum_ctrl,
-    in_val    => in_valid,
-    in_dat    => i_in,
-    out_dat   => i_out_sosi.data
-  );
+    generic map (
+      g_representation   => "UNSIGNED"
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      clken     => '1',
+      sload     => accum_ctrl,
+      in_val    => in_valid,
+      in_dat    => i_in,
+      out_dat   => i_out_sosi.data
+    );
 
 
   u_accum_q : entity common_lib.common_accumulate
-  generic map (
-    g_representation   => "SIGNED"
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    clken     => '1',
-    sload     => accum_ctrl,
-    in_val    => in_valid,
-    in_dat    => q_in,
-    out_dat   => q_out_sosi.data
-  );
+    generic map (
+      g_representation   => "SIGNED"
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      clken     => '1',
+      sload     => accum_ctrl,
+      in_val    => in_valid,
+      in_dat    => q_in,
+      out_dat   => q_out_sosi.data
+    );
 
 
   u_accum_u : entity common_lib.common_accumulate
-  generic map (
-    g_representation   => "SIGNED"
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    clken     => '1',
-    sload     => accum_ctrl,
-    in_val    => in_valid,
-    in_dat    => u_in,
-    out_dat   => u_out_sosi.data
-  );
+    generic map (
+      g_representation   => "SIGNED"
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      clken     => '1',
+      sload     => accum_ctrl,
+      in_val    => in_valid,
+      in_dat    => u_in,
+      out_dat   => u_out_sosi.data
+    );
 
 
   u_accum_v : entity common_lib.common_accumulate
-  generic map (
-    g_representation   => "SIGNED"
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    clken     => '1',
-    sload     => accum_ctrl,
-    in_val    => in_valid,
-    in_dat    => v_in,
-    out_dat   => v_out_sosi.data
-  );
+    generic map (
+      g_representation   => "SIGNED"
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      clken     => '1',
+      sload     => accum_ctrl,
+      in_val    => in_valid,
+      in_dat    => v_in,
+      out_dat   => v_out_sosi.data
+    );
 
 
   p_clk : process (dp_clk, dp_rst)
diff --git a/libraries/dsp/iquv/src/vhdl/iquv_iab.vhd b/libraries/dsp/iquv/src/vhdl/iquv_iab.vhd
index b38d5b8b24..a2d1110084 100644
--- a/libraries/dsp/iquv/src/vhdl/iquv_iab.vhd
+++ b/libraries/dsp/iquv/src/vhdl/iquv_iab.vhd
@@ -35,11 +35,11 @@
 
 
 library IEEE, common_lib, common_mult_lib, technology_lib, dp_lib, iquv_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity iquv_iab is
@@ -157,23 +157,23 @@ begin
   gen_iquv : for stream in 0 to g_nof_streams - 1 generate
 
     dut : entity work.iquv
-    generic map (
-      g_sim              => g_sim,
-      g_technology       => c_tech_select_default,
-      g_use_accum        => c_accum_in_iquv,
-      g_nof_int          => g_nof_int,
-      g_in_data_w        => g_in_data_w,
-      g_out_data_w       => c_iquv_out_w
-    )
-    port map (
-      dp_rst     => dp_rst,
-      dp_clk     => dp_clk,
-      in_complex => in_complex_arr(stream),
-      i_out      => iquv_i_sosi(stream),
-      q_out      => iquv_q_sosi(stream),
-      u_out      => iquv_u_sosi(stream),
-      v_out      => iquv_v_sosi(stream)
-    );
+      generic map (
+        g_sim              => g_sim,
+        g_technology       => c_tech_select_default,
+        g_use_accum        => c_accum_in_iquv,
+        g_nof_int          => g_nof_int,
+        g_in_data_w        => g_in_data_w,
+        g_out_data_w       => c_iquv_out_w
+      )
+      port map (
+        dp_rst     => dp_rst,
+        dp_clk     => dp_clk,
+        in_complex => in_complex_arr(stream),
+        i_out      => iquv_i_sosi(stream),
+        q_out      => iquv_q_sosi(stream),
+        u_out      => iquv_u_sosi(stream),
+        v_out      => iquv_v_sosi(stream)
+      );
 
     iquv_i_linear((stream + 1) * c_iquv_out_w - 1 downto stream * c_iquv_out_w) <= iquv_i_sosi(stream).data(c_iquv_out_w - 1 downto 0);
     iquv_q_linear((stream + 1) * c_iquv_out_w - 1 downto stream * c_iquv_out_w) <= iquv_q_sosi(stream).data(c_iquv_out_w - 1 downto 0);
@@ -187,56 +187,56 @@ begin
   -- Add up all the I, Q, U and V values from all the streams
   ------------------------------------------------------------------------------
   u_common_adder_i : entity common_lib.common_adder_tree
-  generic map (
-    g_representation   => "UNSIGNED",
-    g_nof_inputs       => g_nof_streams,
-    g_dat_w            => c_iquv_out_w,
-    g_sum_w            => c_adder_out_w
-  )
-  port map (
-    clk       => dp_clk,
-    in_dat    => iquv_i_linear,
-    sum       => i_summed
-  );
+    generic map (
+      g_representation   => "UNSIGNED",
+      g_nof_inputs       => g_nof_streams,
+      g_dat_w            => c_iquv_out_w,
+      g_sum_w            => c_adder_out_w
+    )
+    port map (
+      clk       => dp_clk,
+      in_dat    => iquv_i_linear,
+      sum       => i_summed
+    );
 
   u_common_adder_q : entity common_lib.common_adder_tree
-  generic map (
-    g_representation   => "SIGNED",
-    g_nof_inputs       => g_nof_streams,
-    g_dat_w            => c_iquv_out_w,
-    g_sum_w            => c_adder_out_w
-  )
-  port map (
-    clk       => dp_clk,
-    in_dat    => iquv_q_linear,
-    sum       => q_summed
-  );
+    generic map (
+      g_representation   => "SIGNED",
+      g_nof_inputs       => g_nof_streams,
+      g_dat_w            => c_iquv_out_w,
+      g_sum_w            => c_adder_out_w
+    )
+    port map (
+      clk       => dp_clk,
+      in_dat    => iquv_q_linear,
+      sum       => q_summed
+    );
 
   u_common_adder_u : entity common_lib.common_adder_tree
-  generic map (
-    g_representation   => "SIGNED",
-    g_nof_inputs       => g_nof_streams,
-    g_dat_w            => c_iquv_out_w,
-    g_sum_w            => c_adder_out_w
-  )
-  port map (
-    clk       => dp_clk,
-    in_dat    => iquv_u_linear,
-    sum       => u_summed
-  );
+    generic map (
+      g_representation   => "SIGNED",
+      g_nof_inputs       => g_nof_streams,
+      g_dat_w            => c_iquv_out_w,
+      g_sum_w            => c_adder_out_w
+    )
+    port map (
+      clk       => dp_clk,
+      in_dat    => iquv_u_linear,
+      sum       => u_summed
+    );
 
   u_common_adder_v : entity common_lib.common_adder_tree
-  generic map (
-    g_representation   => "SIGNED",
-    g_nof_inputs       => g_nof_streams,
-    g_dat_w            => c_iquv_out_w,
-    g_sum_w            => c_adder_out_w
-  )
-  port map (
-    clk       => dp_clk,
-    in_dat    => iquv_v_linear,
-    sum       => v_summed
-  );
+    generic map (
+      g_representation   => "SIGNED",
+      g_nof_inputs       => g_nof_streams,
+      g_dat_w            => c_iquv_out_w,
+      g_sum_w            => c_adder_out_w
+    )
+    port map (
+      clk       => dp_clk,
+      in_dat    => iquv_v_linear,
+      sum       => v_summed
+    );
 
 
   ------------------------------------------------------------------------------
@@ -245,18 +245,18 @@ begin
   iquv_valid(0) <= iquv_i_sosi(0).valid;
 
   u_delay_valid : entity common_lib.common_pipeline
-  generic map (
-    g_in_dat_w    => 1,
-    g_out_dat_w   => 1,
-    g_reset_value => 0,
-    g_pipeline    => c_adder_delay
-  )
-  port map (
-    clk           => dp_clk,
-    rst           => dp_rst,
-    in_dat        => iquv_valid,
-    out_dat       => iquv_valid_dly
-  );
+    generic map (
+      g_in_dat_w    => 1,
+      g_out_dat_w   => 1,
+      g_reset_value => 0,
+      g_pipeline    => c_adder_delay
+    )
+    port map (
+      clk           => dp_clk,
+      rst           => dp_rst,
+      in_dat        => iquv_valid,
+      out_dat       => iquv_valid_dly
+    );
 
 
   ------------------------------------------------------------------------------
@@ -264,23 +264,23 @@ begin
   ------------------------------------------------------------------------------
   gen_iquv_accum : if g_use_accum = true generate
     u_iquv_accum : entity work.iquv_accum
-    generic map (
-      g_accumcount_w  => c_accumcount_w,
-      g_in_data_w     => c_adder_out_w
-    )
-    port map (
-      dp_rst          => dp_rst,
-      dp_clk          => dp_clk,
-      i_in            => i_summed,
-      q_in            => q_summed,
-      u_in            => u_summed,
-      v_in            => v_summed,
-      in_valid        => iquv_valid_dly(0),
-      i_out_sosi      => i_sum_raw_sosi,
-      q_out_sosi      => q_sum_raw_sosi,
-      u_out_sosi      => u_sum_raw_sosi,
-      v_out_sosi      => v_sum_raw_sosi
-    );
+      generic map (
+        g_accumcount_w  => c_accumcount_w,
+        g_in_data_w     => c_adder_out_w
+      )
+      port map (
+        dp_rst          => dp_rst,
+        dp_clk          => dp_clk,
+        i_in            => i_summed,
+        q_in            => q_summed,
+        u_in            => u_summed,
+        v_in            => v_summed,
+        in_valid        => iquv_valid_dly(0),
+        i_out_sosi      => i_sum_raw_sosi,
+        q_out_sosi      => q_sum_raw_sosi,
+        u_out_sosi      => u_sum_raw_sosi,
+        v_out_sosi      => v_sum_raw_sosi
+      );
   end generate;
 
   gen_noiquv_accum : if g_use_accum = false generate
@@ -305,10 +305,205 @@ begin
 
     -- I
     u_dp_gain_requantize_i : entity dp_lib.dp_requantize
+      generic map (
+        g_complex             => false,
+        g_representation      => "UNSIGNED",
+        g_lsb_w               => c_gain_requantize_lsb_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => true,
+        g_msb_clip_symmetric  => false,
+        g_gain_w              => 0,
+        g_pipeline_remove_lsb => 1,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_gain_requantize_in_w,
+        g_out_dat_w           => c_gain_in_w
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+        snk_in     => i_sum_raw_sosi,
+        src_out    => dp_gain_i_snk_in,
+        out_ovr    => open
+      );
+
+    u_dp_gain_i : entity dp_lib.mms_dp_gain
+      generic map (
+        g_technology    => g_technology,
+        g_complex_data  => false,
+        g_complex_gain  => false,
+        g_gain_init_re  => 1,
+        g_gain_w        => c_mm_gain_ctrl_w,
+        g_in_dat_w      => c_gain_in_w,
+        g_out_dat_w     => c_gain_out_w  -- Todo: Check that the MSBs get truncated correctly
+      )
+      port map
+    (
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        reg_gain_re_mosi  => reg_dp_gain_i_mosi,
+        reg_gain_re_miso  => reg_dp_gain_i_miso,
+        in_sosi           => dp_gain_i_snk_in,
+        out_sosi          => dp_requantize_i_snk_in
+      );
+
+    -- Q
+    u_dp_gain_requantize_q : entity dp_lib.dp_requantize
+      generic map (
+        g_complex             => false,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_gain_requantize_lsb_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_gain_w              => 0,
+        g_pipeline_remove_lsb => 1,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_gain_requantize_in_w,
+        g_out_dat_w           => c_gain_in_w
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+        snk_in     => q_sum_raw_sosi,
+        src_out    => dp_gain_q_snk_in,
+        out_ovr    => open
+      );
+
+    u_dp_gain_q : entity dp_lib.mms_dp_gain
+      generic map (
+        g_technology    => g_technology,
+        g_complex_data  => false,
+        g_complex_gain  => false,
+        g_gain_init_re  => 1,
+        g_gain_w        => c_mm_gain_ctrl_w,
+        g_in_dat_w      => c_gain_in_w,
+        g_out_dat_w     => c_gain_out_w
+      )
+      port map
+    (
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        reg_gain_re_mosi  => reg_dp_gain_q_mosi,
+        reg_gain_re_miso  => reg_dp_gain_q_miso,
+        in_sosi           => dp_gain_q_snk_in,
+        out_sosi          => dp_requantize_q_snk_in
+      );
+
+    -- U
+    u_dp_gain_requantize_u : entity dp_lib.dp_requantize
+      generic map (
+        g_complex             => false,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_gain_requantize_lsb_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_gain_w              => 0,
+        g_pipeline_remove_lsb => 1,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_gain_requantize_in_w,
+        g_out_dat_w           => c_gain_in_w
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+        snk_in     => u_sum_raw_sosi,
+        src_out    => dp_gain_u_snk_in,
+        out_ovr    => open
+      );
+
+    u_dp_gain_u : entity dp_lib.mms_dp_gain
+      generic map (
+        g_technology    => g_technology,
+        g_complex_data  => false,
+        g_complex_gain  => false,
+        g_gain_init_re  => 1,
+        g_gain_w        => c_mm_gain_ctrl_w,
+        g_in_dat_w      => c_gain_in_w,
+        g_out_dat_w     => c_gain_out_w
+      )
+      port map
+    (
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        reg_gain_re_mosi  => reg_dp_gain_u_mosi,
+        reg_gain_re_miso  => reg_dp_gain_u_miso,
+        in_sosi           => dp_gain_u_snk_in,
+        out_sosi          => dp_requantize_u_snk_in
+      );
+
+    -- V
+    u_dp_gain_requantize_v : entity dp_lib.dp_requantize
+      generic map (
+        g_complex             => false,
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_gain_requantize_lsb_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_gain_w              => 0,
+        g_pipeline_remove_lsb => 1,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_gain_requantize_in_w,
+        g_out_dat_w           => c_gain_in_w
+      )
+      port map (
+        rst        => dp_rst,
+        clk        => dp_clk,
+        snk_in     => v_sum_raw_sosi,
+        src_out    => dp_gain_v_snk_in,
+        out_ovr    => open
+      );
+
+    u_dp_gain_v : entity dp_lib.mms_dp_gain
+      generic map (
+        g_technology    => g_technology,
+        g_complex_data  => false,
+        g_complex_gain  => false,
+        g_gain_init_re  => 1,
+        g_gain_w        => c_mm_gain_ctrl_w,
+        g_in_dat_w      => c_gain_in_w,
+        g_out_dat_w     => c_gain_out_w
+      )
+      port map
+    (
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        reg_gain_re_mosi  => reg_dp_gain_v_mosi,
+        reg_gain_re_miso  => reg_dp_gain_v_miso,
+        in_sosi           => dp_gain_v_snk_in,
+        out_sosi          => dp_requantize_v_snk_in
+      );
+  end generate;
+
+
+  gen_nogain_ctrl : if g_use_gain_ctrl = false generate
+    dp_requantize_i_snk_in <= i_sum_raw_sosi;
+    dp_requantize_q_snk_in <= q_sum_raw_sosi;
+    dp_requantize_u_snk_in <= u_sum_raw_sosi;
+    dp_requantize_v_snk_in <= v_sum_raw_sosi;
+  end generate;
+
+  ------------------------------------------------------------------------------
+  -- Requantize the outputs to the desired bit width
+  ------------------------------------------------------------------------------
+  u_dp_requantize_i : entity dp_lib.dp_requantize
     generic map (
       g_complex             => false,
       g_representation      => "UNSIGNED",
-      g_lsb_w               => c_gain_requantize_lsb_w,
+      g_lsb_w               => c_lsb_w,
       g_lsb_round           => true,
       g_lsb_round_clip      => false,
       g_msb_clip            => true,
@@ -316,45 +511,22 @@ begin
       g_gain_w              => 0,
       g_pipeline_remove_lsb => 1,
       g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_gain_requantize_in_w,
-      g_out_dat_w           => c_gain_in_w
+      g_in_dat_w            => c_requantize_in_w,
+      g_out_dat_w           => g_out_data_w
     )
     port map (
       rst        => dp_rst,
       clk        => dp_clk,
-      snk_in     => i_sum_raw_sosi,
-      src_out    => dp_gain_i_snk_in,
+      snk_in     => dp_requantize_i_snk_in,
+      src_out    => i_out,
       out_ovr    => open
     );
 
-    u_dp_gain_i : entity dp_lib.mms_dp_gain
-    generic map (
-      g_technology    => g_technology,
-      g_complex_data  => false,
-      g_complex_gain  => false,
-      g_gain_init_re  => 1,
-      g_gain_w        => c_mm_gain_ctrl_w,
-      g_in_dat_w      => c_gain_in_w,
-      g_out_dat_w     => c_gain_out_w  -- Todo: Check that the MSBs get truncated correctly
-    )
-    port map
-    (
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      reg_gain_re_mosi  => reg_dp_gain_i_mosi,
-      reg_gain_re_miso  => reg_dp_gain_i_miso,
-      in_sosi           => dp_gain_i_snk_in,
-      out_sosi          => dp_requantize_i_snk_in
-    );
-
-    -- Q
-    u_dp_gain_requantize_q : entity dp_lib.dp_requantize
+  u_dp_requantize_q : entity dp_lib.dp_requantize
     generic map (
       g_complex             => false,
       g_representation      => "SIGNED",
-      g_lsb_w               => c_gain_requantize_lsb_w,
+      g_lsb_w               => c_lsb_w,
       g_lsb_round           => true,
       g_lsb_round_clip      => false,
       g_msb_clip            => false,
@@ -362,45 +534,22 @@ begin
       g_gain_w              => 0,
       g_pipeline_remove_lsb => 1,
       g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_gain_requantize_in_w,
-      g_out_dat_w           => c_gain_in_w
+      g_in_dat_w            => c_requantize_in_w,
+      g_out_dat_w           => g_out_data_w
     )
     port map (
       rst        => dp_rst,
       clk        => dp_clk,
-      snk_in     => q_sum_raw_sosi,
-      src_out    => dp_gain_q_snk_in,
+      snk_in     => dp_requantize_q_snk_in,
+      src_out    => q_out,
       out_ovr    => open
     );
 
-    u_dp_gain_q : entity dp_lib.mms_dp_gain
-    generic map (
-      g_technology    => g_technology,
-      g_complex_data  => false,
-      g_complex_gain  => false,
-      g_gain_init_re  => 1,
-      g_gain_w        => c_mm_gain_ctrl_w,
-      g_in_dat_w      => c_gain_in_w,
-      g_out_dat_w     => c_gain_out_w
-    )
-    port map
-    (
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      reg_gain_re_mosi  => reg_dp_gain_q_mosi,
-      reg_gain_re_miso  => reg_dp_gain_q_miso,
-      in_sosi           => dp_gain_q_snk_in,
-      out_sosi          => dp_requantize_q_snk_in
-    );
-
-    -- U
-    u_dp_gain_requantize_u : entity dp_lib.dp_requantize
+  u_dp_requantize_u : entity dp_lib.dp_requantize
     generic map (
       g_complex             => false,
       g_representation      => "SIGNED",
-      g_lsb_w               => c_gain_requantize_lsb_w,
+      g_lsb_w               => c_lsb_w,
       g_lsb_round           => true,
       g_lsb_round_clip      => false,
       g_msb_clip            => false,
@@ -408,45 +557,22 @@ begin
       g_gain_w              => 0,
       g_pipeline_remove_lsb => 1,
       g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_gain_requantize_in_w,
-      g_out_dat_w           => c_gain_in_w
+      g_in_dat_w            => c_requantize_in_w,
+      g_out_dat_w           => g_out_data_w
     )
     port map (
       rst        => dp_rst,
       clk        => dp_clk,
-      snk_in     => u_sum_raw_sosi,
-      src_out    => dp_gain_u_snk_in,
+      snk_in     => dp_requantize_u_snk_in,
+      src_out    => u_out,
       out_ovr    => open
     );
 
-    u_dp_gain_u : entity dp_lib.mms_dp_gain
-    generic map (
-      g_technology    => g_technology,
-      g_complex_data  => false,
-      g_complex_gain  => false,
-      g_gain_init_re  => 1,
-      g_gain_w        => c_mm_gain_ctrl_w,
-      g_in_dat_w      => c_gain_in_w,
-      g_out_dat_w     => c_gain_out_w
-    )
-    port map
-    (
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      reg_gain_re_mosi  => reg_dp_gain_u_mosi,
-      reg_gain_re_miso  => reg_dp_gain_u_miso,
-      in_sosi           => dp_gain_u_snk_in,
-      out_sosi          => dp_requantize_u_snk_in
-    );
-
-    -- V
-    u_dp_gain_requantize_v : entity dp_lib.dp_requantize
+  u_dp_requantize_v : entity dp_lib.dp_requantize
     generic map (
       g_complex             => false,
       g_representation      => "SIGNED",
-      g_lsb_w               => c_gain_requantize_lsb_w,
+      g_lsb_w               => c_lsb_w,
       g_lsb_round           => true,
       g_lsb_round_clip      => false,
       g_msb_clip            => false,
@@ -454,143 +580,17 @@ begin
       g_gain_w              => 0,
       g_pipeline_remove_lsb => 1,
       g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_gain_requantize_in_w,
-      g_out_dat_w           => c_gain_in_w
+      g_in_dat_w            => c_requantize_in_w,
+      g_out_dat_w           => g_out_data_w
     )
     port map (
       rst        => dp_rst,
       clk        => dp_clk,
-      snk_in     => v_sum_raw_sosi,
-      src_out    => dp_gain_v_snk_in,
+      snk_in     => dp_requantize_v_snk_in,
+      src_out    => v_out,
       out_ovr    => open
     );
 
-    u_dp_gain_v : entity dp_lib.mms_dp_gain
-    generic map (
-      g_technology    => g_technology,
-      g_complex_data  => false,
-      g_complex_gain  => false,
-      g_gain_init_re  => 1,
-      g_gain_w        => c_mm_gain_ctrl_w,
-      g_in_dat_w      => c_gain_in_w,
-      g_out_dat_w     => c_gain_out_w
-    )
-    port map
-    (
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      reg_gain_re_mosi  => reg_dp_gain_v_mosi,
-      reg_gain_re_miso  => reg_dp_gain_v_miso,
-      in_sosi           => dp_gain_v_snk_in,
-      out_sosi          => dp_requantize_v_snk_in
-    );
-  end generate;
-
-
-  gen_nogain_ctrl : if g_use_gain_ctrl = false generate
-    dp_requantize_i_snk_in <= i_sum_raw_sosi;
-    dp_requantize_q_snk_in <= q_sum_raw_sosi;
-    dp_requantize_u_snk_in <= u_sum_raw_sosi;
-    dp_requantize_v_snk_in <= v_sum_raw_sosi;
-  end generate;
-
-  ------------------------------------------------------------------------------
-  -- Requantize the outputs to the desired bit width
-  ------------------------------------------------------------------------------
-  u_dp_requantize_i : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "UNSIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => true,
-    g_msb_clip_symmetric  => false,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_requantize_in_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => dp_requantize_i_snk_in,
-    src_out    => i_out,
-    out_ovr    => open
-  );
-
-  u_dp_requantize_q : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_requantize_in_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => dp_requantize_q_snk_in,
-    src_out    => q_out,
-    out_ovr    => open
-  );
-
-  u_dp_requantize_u : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_requantize_in_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => dp_requantize_u_snk_in,
-    src_out    => u_out,
-    out_ovr    => open
-  );
-
-  u_dp_requantize_v : entity dp_lib.dp_requantize
-  generic map (
-    g_complex             => false,
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_lsb_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_gain_w              => 0,
-    g_pipeline_remove_lsb => 1,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => c_requantize_in_w,
-    g_out_dat_w           => g_out_data_w
-  )
-  port map (
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => dp_requantize_v_snk_in,
-    src_out    => v_out,
-    out_ovr    => open
-  );
-
 
   -------------------------------------------------------------------------------
   -- Diagnostic to display the I signal flow in simulation
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd b/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd
index b50d804d9e..0554160839 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd
@@ -32,12 +32,12 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_iquv is
@@ -79,78 +79,78 @@ architecture tb of tb_iquv is
 
   -- expected data values
   constant i_nint8_exp_arr : t_integer_arr(0 to 35) := (140,1100,3084,6092,
-                                                     140,1100,3084,6092,
-                                                     140,1100,3084,6092,
-                                                     140,1100,3084,6092,
-                                                     280,2200,6168,12184,
-                                                     280,2200,6168,12184,
-                                                     560,4400,12336,24368,
-                                                     84864,84864,84864,84864,
-                                                     134086688,134086688,134086688,134086688);
+    140,1100,3084,6092,
+    140,1100,3084,6092,
+    140,1100,3084,6092,
+    280,2200,6168,12184,
+    280,2200,6168,12184,
+    560,4400,12336,24368,
+    84864,84864,84864,84864,
+    134086688,134086688,134086688,134086688);
   constant q_nint8_exp_arr : t_integer_arr(0 to 35) := (140,1100,3084,6092,
-                                                     - 140, -1100, -3084, -6092,
-                                                     140,1100,3084,6092,
-                                                     - 140, -1100, -3084, -6092,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     - 77440, -77440, -77440, -77440,
-                                                     0,0,0,0);
+    - 140, -1100, -3084, -6092,
+    140,1100,3084,6092,
+    - 140, -1100, -3084, -6092,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    - 77440, -77440, -77440, -77440,
+    0,0,0,0);
   constant u_nint8_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     280,2200,6168,12184,
-                                                     280,2200,6168,12184,
-                                                     560,4400,12336,24368,
-                                                     - 16640, -16640, -16640, -16640,
-                                                     - 134086688, -134086688, -134086688, -134086688);
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    280,2200,6168,12184,
+    280,2200,6168,12184,
+    560,4400,12336,24368,
+    - 16640, -16640, -16640, -16640,
+    - 134086688, -134086688, -134086688, -134086688);
   constant v_nint8_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     - 30464, -30464, -30464, -30464,
-                                                     0,0,0,0);
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    - 30464, -30464, -30464, -30464,
+    0,0,0,0);
 
   constant i_nint16_exp_arr : t_integer_arr(0 to 35) := (1240,9176,25304,49624,
-                                                     1240,9176,25304,49624,
-                                                     1240,9176,25304,49624,
-                                                     1240,9176,25304,49624,
-                                                     2480,18352,50608,99248,
-                                                     2480,18352,50608,99248,
-                                                     4960,36704,101216,198496,
-                                                     169728,169728,169728,169728,
-                                                     268173376,268173376,268173376,268173376);
+    1240,9176,25304,49624,
+    1240,9176,25304,49624,
+    1240,9176,25304,49624,
+    2480,18352,50608,99248,
+    2480,18352,50608,99248,
+    4960,36704,101216,198496,
+    169728,169728,169728,169728,
+    268173376,268173376,268173376,268173376);
   constant q_nint16_exp_arr : t_integer_arr(0 to 35) := (1240,9176,25304,49624,
-                                                     - 1240, -9176, -25304, -49624,
-                                                     1240,9176,25304,49624,
-                                                     - 1240, -9176, -25304, -49624,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     - 154880, -154880, -154880, -154880,
-                                                     0,0,0,0);
+    - 1240, -9176, -25304, -49624,
+    1240,9176,25304,49624,
+    - 1240, -9176, -25304, -49624,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    - 154880, -154880, -154880, -154880,
+    0,0,0,0);
   constant u_nint16_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     2480,18352,50608,99248,
-                                                     2480,18352,50608,99248,
-                                                     4960,36704,101216,198496,
-                                                     - 33280, -33280, -33280, -33280,
-                                                     - 268173376, -268173376, -268173376, -268173376);
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    2480,18352,50608,99248,
+    2480,18352,50608,99248,
+    4960,36704,101216,198496,
+    - 33280, -33280, -33280, -33280,
+    - 268173376, -268173376, -268173376, -268173376);
   constant v_nint16_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     0,0,0,0,
-                                                     - 60928, -60928, -60928, -60928,
-                                                     0,0,0,0);
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    0,0,0,0,
+    - 60928, -60928, -60928, -60928,
+    0,0,0,0);
 
 
 
@@ -276,23 +276,23 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.iquv
-  generic map (
-    g_sim              => true,
-    g_technology       => c_tech_select_default,
-    g_use_accum        => true,
-    g_nof_int          => g_nof_int,
-    g_in_data_w        => g_in_data_w,
-    g_out_data_w       => g_out_data_w
-  )
-  port map (
-    dp_rst     => dp_rst,
-    dp_clk     => dp_clk,
-    in_complex => in_complex,
-    i_out      => i_out,
-    q_out      => q_out,
-    u_out      => u_out,
-    v_out      => v_out
-  );
+    generic map (
+      g_sim              => true,
+      g_technology       => c_tech_select_default,
+      g_use_accum        => true,
+      g_nof_int          => g_nof_int,
+      g_in_data_w        => g_in_data_w,
+      g_out_data_w       => g_out_data_w
+    )
+    port map (
+      dp_rst     => dp_rst,
+      dp_clk     => dp_clk,
+      in_complex => in_complex,
+      i_out      => i_out,
+      q_out      => q_out,
+      u_out      => u_out,
+      v_out      => v_out
+    );
 
   -- diag signals to make outputs visible in Modelsim wave
 
@@ -303,7 +303,7 @@ begin
   diag_out_valid  <= i_out.valid;
 
   p_verify : process(dp_clk, diag_out_valid, diag_i_out_data, diag_q_out_data, diag_u_out_data, diag_v_out_data)
-  variable v_index : integer := 0;
+    variable v_index : integer := 0;
   begin
     if rising_edge(dp_clk) then
       if diag_out_valid = '1' then
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_iquv_file_data.vhd b/libraries/dsp/iquv/tb/vhdl/tb_iquv_file_data.vhd
index 59bd66a8dc..06b8c84025 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_iquv_file_data.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_iquv_file_data.vhd
@@ -32,13 +32,13 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_iquv_file_data is
@@ -211,23 +211,23 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.iquv
-  generic map (
-    g_sim              => true,
-    g_technology       => c_tech_select_default,
-    g_use_accum        => g_use_accum,
-    g_nof_int          => g_nof_int,
-    g_in_data_w        => g_in_data_w,
-    g_out_data_w       => g_out_data_w
-  )
-  port map (
-    dp_rst     => dp_rst,
-    dp_clk     => dp_clk,
-    in_complex => in_complex,
-    i_out      => i_out,
-    q_out      => q_out,
-    u_out      => u_out,
-    v_out      => v_out
-  );
+    generic map (
+      g_sim              => true,
+      g_technology       => c_tech_select_default,
+      g_use_accum        => g_use_accum,
+      g_nof_int          => g_nof_int,
+      g_in_data_w        => g_in_data_w,
+      g_out_data_w       => g_out_data_w
+    )
+    port map (
+      dp_rst     => dp_rst,
+      dp_clk     => dp_clk,
+      in_complex => in_complex,
+      i_out      => i_out,
+      q_out      => q_out,
+      u_out      => u_out,
+      v_out      => v_out
+    );
 
   -- diag signals to make outputs visible in Modelsim wave
 
@@ -242,7 +242,7 @@ begin
   -- Results Checker
   ------------------------------------------------------------------------------
   p_verify : process(dp_clk, diag_out_valid, diag_i_out_data, diag_q_out_data, diag_u_out_data, diag_v_out_data)
-  variable v_index : integer := 0;
+    variable v_index : integer := 0;
   begin
     if rising_edge(dp_clk) then
       if diag_out_valid = '1' then
@@ -255,7 +255,7 @@ begin
             report "Error: wrong result in U out DUT" severity ERROR;
           assert TO_SINT(v_out.data) = TO_SINT(s_round(TO_SVEC(v_nint8_exp_arr(v_index),c_fsd_w), c_lsb_w, true))
             report "Error: wrong result in V out DUT" severity ERROR;
-          --REPORT "I exp = " & integer'image(to_uint(s_round(TO_UVEC(i_nint8_exp_arr(v_index),c_fsd_w-1), c_lsb_w-1, TRUE)));
+        --REPORT "I exp = " & integer'image(to_uint(s_round(TO_UVEC(i_nint8_exp_arr(v_index),c_fsd_w-1), c_lsb_w-1, TRUE)));
 
         elsif g_use_accum = true and g_nof_int = 16 then
           assert TO_UINT(i_out.data) = TO_UINT(u_round(TO_UVEC(i_nint16_exp_arr(v_index),c_fsd_w - 1), c_lsb_w - 1, true))
@@ -266,8 +266,8 @@ begin
             report "Error: wrong result in U out DUT" severity ERROR;
           assert TO_SINT(v_out.data) = TO_SINT(s_round(TO_SVEC(v_nint16_exp_arr(v_index),c_fsd_w), c_lsb_w, true))
             report "Error: wrong result in V out DUT" severity ERROR;
-          --REPORT "I expected = " & integer'image(to_uint(u_round(TO_UVEC(i_nint16_exp_arr(v_index),c_fsd_w-1), c_lsb_w-1, TRUE)));
-          --REPORT "Q expected = " & integer'image(to_sint(s_round(TO_SVEC(q_nint16_exp_arr(v_index),c_word_w), c_lsb_w)));
+        --REPORT "I expected = " & integer'image(to_uint(u_round(TO_UVEC(i_nint16_exp_arr(v_index),c_fsd_w-1), c_lsb_w-1, TRUE)));
+        --REPORT "Q expected = " & integer'image(to_sint(s_round(TO_SVEC(q_nint16_exp_arr(v_index),c_word_w), c_lsb_w)));
 
         elsif g_use_accum = false then
           assert TO_UINT(i_out.data) = TO_UINT(u_round(TO_UVEC(i_noint_exp_arr(v_index),c_fsd_w - 1), c_lsb_w - 1, true))
@@ -278,8 +278,8 @@ begin
             report "Error: wrong result in U out DUT" severity ERROR;
           assert TO_SINT(v_out.data) = TO_SINT(s_round(TO_SVEC(v_noint_exp_arr(v_index),c_fsd_w), c_lsb_w, true))
             report "Error: wrong result in V out DUT" severity ERROR;
-          --REPORT "U expected = " & integer'image(to_sint(s_round(TO_SVEC(u_noint_exp_arr(v_index),c_fsd_w), c_lsb_w, TRUE)));
-          --REPORT "U actual   = " & integer'image(to_sint(u_out.data));
+        --REPORT "U expected = " & integer'image(to_sint(s_round(TO_SVEC(u_noint_exp_arr(v_index),c_fsd_w), c_lsb_w, TRUE)));
+        --REPORT "U actual   = " & integer'image(to_sint(u_out.data));
         end if;
 
         --REPORT "I = " & integer'image(to_uint(i_out.data)) & ", Q = " & integer'image(to_sint(q_out.data)) &
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd b/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd
index bccdaa1fb1..be350fa5d4 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd
@@ -32,12 +32,12 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_iquv_iab is
@@ -86,22 +86,22 @@ architecture tb of tb_iquv_iab is
 
   -- expected data values
   constant i_nint8_exp_arr : t_integer_arr(0 to 7) := (164340,125340,110340,119340,
-                                                       3142644,3142644,3142644,3142644);
+    3142644,3142644,3142644,3142644);
   constant q_nint8_exp_arr : t_integer_arr(0 to 7) := (-81708, -59208, -43908, -35808,
-                                                       0,0,0,0);
+    0,0,0,0);
   constant u_nint8_exp_arr : t_integer_arr(0 to 7) := (2160, -14340, -30840, -47340,
-                                                       - 1571328, -1571328, -1571328, -1571328);
+    - 1571328, -1571328, -1571328, -1571328);
   constant v_nint8_exp_arr : t_integer_arr(0 to 7) := (5904,13404,11304, -396,
-                                                       0,0,0,0);
+    0,0,0,0);
 
   constant i_nint16_exp_arr : t_integer_arr(0 to 7) := (144840,114840,180840,342840,
-                                                       3142656,3142656,3142656,3142656);
+    3142656,3142656,3142656,3142656);
   constant q_nint16_exp_arr : t_integer_arr(0 to 7) := (-70452, -39852, -38052, -65052,
-                                                       0,0,0,0);
+    0,0,0,0);
   constant u_nint16_exp_arr : t_integer_arr(0 to 7) := (-6096, -39096, -72096, -105096,
-                                                       - 1571328, -1571328, -1571328, -1571328);
+    - 1571328, -1571328, -1571328, -1571328);
   constant v_nint16_exp_arr : t_integer_arr(0 to 7) := (9660,5460, -37140, -118140,
-                                                       0,0,0,0);
+    0,0,0,0);
 
 
 begin
@@ -177,23 +177,23 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.iquv_iab
-  generic map (
-    g_sim              => true,
-    g_technology       => c_tech_select_default,
-    g_nof_streams      => g_nof_streams,
-    g_nof_int          => g_nof_int,
-    g_in_data_w        => g_in_data_w,
-    g_out_data_w       => g_out_data_w
-  )
-  port map (
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-    in_complex_arr => in_complex_arr,
-    i_out          => i_out,
-    q_out          => q_out,
-    u_out          => u_out,
-    v_out          => v_out
-  );
+    generic map (
+      g_sim              => true,
+      g_technology       => c_tech_select_default,
+      g_nof_streams      => g_nof_streams,
+      g_nof_int          => g_nof_int,
+      g_in_data_w        => g_in_data_w,
+      g_out_data_w       => g_out_data_w
+    )
+    port map (
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+      in_complex_arr => in_complex_arr,
+      i_out          => i_out,
+      q_out          => q_out,
+      u_out          => u_out,
+      v_out          => v_out
+    );
 
   -- diag signals to make outputs visible in Modelsim wave
 
@@ -205,7 +205,7 @@ begin
 
 
   p_verify : process(dp_clk, diag_out_valid, diag_i_out_data, diag_q_out_data, diag_u_out_data, diag_v_out_data)
-  variable v_index : integer := 0;
+    variable v_index : integer := 0;
   begin
     if rising_edge(dp_clk) then
       if diag_out_valid = '1' then
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab_file_data.vhd b/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab_file_data.vhd
index cfe0e44772..7a5ac6d7d2 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab_file_data.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab_file_data.vhd
@@ -32,13 +32,13 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_iquv_iab_file_data is
@@ -63,7 +63,7 @@ architecture tb of tb_iquv_iab_file_data is
   constant c_fsd_iquv_w  : natural := g_in_data_w * 2 + 1 + c_iquv_accum_w;  -- The number of bits used for the result inside the IQUV
   constant c_lsb_iquv_w  : natural := c_fsd_iquv_w - c_out_iquv_w;  -- number of lsbs to remove from the expected IQUV result
   constant c_fsd_w       : natural := c_out_iquv_w + ceil_log2(g_nof_streams) + c_iab_accum_w;  -- The number of bits fsd after the IAB adder tree
-                                                                                               -- and IAB accumulator if used
+  -- and IAB accumulator if used
   constant c_lsb_w       : natural := c_fsd_w - g_out_data_w;  -- number of lsbs to remove from the expected IAB result
   constant c_allstreams  : natural := g_nof_streams;
   constant latency       : time := 100 ns;
@@ -193,7 +193,7 @@ begin
     for istream in 0 to g_nof_streams loop
       activestream := istream;
       if activestream > 0 and activestream < g_nof_streams then
-      --IF activestream = 0 OR activestream = 1 THEN -- example for testing the next
+        --IF activestream = 0 OR activestream = 1 THEN -- example for testing the next
         report "skipping activestream " & integer'image(activestream);
         next;
       else
@@ -222,24 +222,24 @@ begin
 
 
   dut : entity work.iquv_iab
-  generic map (
-    g_sim              => true,
-    g_technology       => c_tech_select_default,
-    g_use_accum        => g_use_accum,
-    g_nof_streams      => g_nof_streams,
-    g_nof_int          => g_nof_int,
-    g_in_data_w        => g_in_data_w,
-    g_out_data_w       => g_out_data_w
-  )
-  port map (
-    dp_rst         => dp_rst,
-    dp_clk         => dp_clk,
-    in_complex_arr => in_complex_arr,
-    i_out          => i_out,
-    q_out          => q_out,
-    u_out          => u_out,
-    v_out          => v_out
-  );
+    generic map (
+      g_sim              => true,
+      g_technology       => c_tech_select_default,
+      g_use_accum        => g_use_accum,
+      g_nof_streams      => g_nof_streams,
+      g_nof_int          => g_nof_int,
+      g_in_data_w        => g_in_data_w,
+      g_out_data_w       => g_out_data_w
+    )
+    port map (
+      dp_rst         => dp_rst,
+      dp_clk         => dp_clk,
+      in_complex_arr => in_complex_arr,
+      i_out          => i_out,
+      q_out          => q_out,
+      u_out          => u_out,
+      v_out          => v_out
+    );
 
 
   -- diag signals to make outputs visible in Modelsim wave
@@ -255,15 +255,15 @@ begin
   -- Results Checker
   ------------------------------------------------------------------------------
   p_verify : process(dp_clk)
-  variable v_index : integer := 0;
-  variable i_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
-  variable q_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
-  variable u_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
-  variable v_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
-  variable i_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
-  variable q_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
-  variable u_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
-  variable v_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
+    variable v_index : integer := 0;
+    variable i_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
+    variable q_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
+    variable u_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
+    variable v_expected_iquv : std_logic_vector(c_out_iquv_w - 1 downto 0);
+    variable i_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
+    variable q_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
+    variable u_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
+    variable v_expected_iab  : std_logic_vector(c_fsd_w - 1 downto 0);
   begin
     if rising_edge(dp_clk) then
       if diag_out_valid = '1' then
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_file_data.vhd b/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_file_data.vhd
index e1df0a8567..9beeb91992 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_file_data.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_file_data.vhd
@@ -27,8 +27,8 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity tb_tb_iquv_file_data is
 end tb_tb_iquv_file_data;
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_iab_file_data.vhd b/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_iab_file_data.vhd
index 2f25ab59b5..89c45fa96d 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_iab_file_data.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_tb_iquv_iab_file_data.vhd
@@ -27,8 +27,8 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity tb_tb_iquv_iab_file_data is
 end tb_tb_iquv_iab_file_data;
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoBF.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoBF.vhd
index 49d059fecb..1e9890c4cf 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoBF.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoBF.vhd
@@ -42,8 +42,8 @@
 --   stages.
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity rTwoBF is
   generic (
@@ -70,28 +70,28 @@ begin
 
   -- Optionally some z-1 delay gets move here into this BF stage, default 0
   u_in_dly : entity common_lib.common_delay
-  generic map (
-    g_dat_w => in_a'length,
-    g_depth => g_in_a_zdly
-  )
-  port map (
-    clk      => clk,
-    in_val   => in_val,
-    in_dat   => in_a,
-    out_dat  => in_a_dly
-  );
+    generic map (
+      g_dat_w => in_a'length,
+      g_depth => g_in_a_zdly
+    )
+    port map (
+      clk      => clk,
+      in_val   => in_val,
+      in_dat   => in_a,
+      out_dat  => in_a_dly
+    );
 
   u_out_dly : entity common_lib.common_delay
-  generic map (
-    g_dat_w => out_d'length,
-    g_depth => g_out_d_zdly
-  )
-  port map (
-    clk      => clk,
-    in_val   => in_val,
-    in_dat   => out_d_ely,
-    out_dat  => out_d
-  );
+    generic map (
+      g_dat_w => out_d'length,
+      g_depth => g_out_d_zdly
+    )
+    port map (
+      clk      => clk,
+      in_val   => in_val,
+      in_dat   => out_d_ely,
+      out_dat  => out_d
+    );
 
   -- BF function: add, subtract or pass the data on dependent on in_sel
   out_c     <= ADD_SVEC(in_a_dly, in_b, out_c'length) when in_sel = '1' else in_a_dly;
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoBFStage.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoBFStage.vhd
index f53c5c7c7d..4ad8aaa701 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoBFStage.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoBFStage.vhd
@@ -20,8 +20,8 @@
 --------------------------------------------------------------------------------
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity rTwoBFStage is
   generic (
@@ -86,34 +86,34 @@ begin
   ------------------------------------------------------------------------------
 
   u_bf_re : entity work.rTwoBF
-  generic map (
-    g_in_a_zdly  => c_bf_in_a_zdly,
-    g_out_d_zdly => c_bf_out_b_zdly
-  )
-  port map (
-    clk     => clk,
-    in_a    => bf_re_dly,
-    in_b    => in_re,
-    in_sel  => in_sel,
-    in_val  => in_val,
-    out_c   => stage_re,
-    out_d   => bf_re
-  );
+    generic map (
+      g_in_a_zdly  => c_bf_in_a_zdly,
+      g_out_d_zdly => c_bf_out_b_zdly
+    )
+    port map (
+      clk     => clk,
+      in_a    => bf_re_dly,
+      in_b    => in_re,
+      in_sel  => in_sel,
+      in_val  => in_val,
+      out_c   => stage_re,
+      out_d   => bf_re
+    );
 
   u_bf_im : entity work.rTwoBF
-  generic map (
-    g_in_a_zdly  => c_bf_in_a_zdly,
-    g_out_d_zdly => c_bf_out_b_zdly
-  )
-  port map (
-    clk     => clk,
-    in_a    => bf_im_dly,
-    in_b    => in_im,
-    in_sel  => in_sel,
-    in_val  => in_val,
-    out_c   => stage_im,
-    out_d   => bf_im
-  );
+    generic map (
+      g_in_a_zdly  => c_bf_in_a_zdly,
+      g_out_d_zdly => c_bf_out_b_zdly
+    )
+    port map (
+      clk     => clk,
+      in_a    => bf_im_dly,
+      in_b    => in_im,
+      in_sel  => in_sel,
+      in_val  => in_val,
+      out_c   => stage_im,
+      out_d   => bf_im
+    );
 
 
   ------------------------------------------------------------------------------
@@ -129,44 +129,44 @@ begin
 
   -- share FIFO for Im & Re
   u_feedback : entity common_lib.common_delay
-  generic map (
-    g_dat_w  => bf_complex'length,
-    g_depth  => c_feedback_zdly * (2**g_nof_chan) - c_bf_zdly
-  )
-  port map (
-    clk     => clk,
-    in_dat  => bf_complex,
-    in_val  => bf_val,
-    out_dat => bf_complex_dly
-  );
+    generic map (
+      g_dat_w  => bf_complex'length,
+      g_depth  => c_feedback_zdly * (2**g_nof_chan) - c_bf_zdly
+    )
+    port map (
+      clk     => clk,
+      in_dat  => bf_complex,
+      in_val  => bf_val,
+      out_dat => bf_complex_dly
+    );
 
   -- compensate for feedback fifo
   u_stage_sel : entity common_lib.common_bit_delay
-  generic map (
-    g_depth => c_feedback_zdly * (2**g_nof_chan)
-  )
-  port map (
-    clk     => clk,
-    rst     => rst,
-    in_clr  => '0',
-    in_val  => bf_val,
-    in_bit  => bf_sel,
-    out_bit => stage_sel
-  );
+    generic map (
+      g_depth => c_feedback_zdly * (2**g_nof_chan)
+    )
+    port map (
+      clk     => clk,
+      rst     => rst,
+      in_clr  => '0',
+      in_val  => bf_val,
+      in_bit  => bf_sel,
+      out_bit => stage_sel
+    );
 
   -- compensate for feedback fifo
   u_stage_val : entity common_lib.common_bit_delay
-  generic map (
-    g_depth => c_feedback_zdly * (2**g_nof_chan)
-  )
-  port map (
-    clk     => clk,
-    rst     => rst,
-    in_clr  => '0',
-    in_val  => bf_val,
-    in_bit  => bf_val,
-    out_bit => bf_val_dly
-  );
+    generic map (
+      g_depth => c_feedback_zdly * (2**g_nof_chan)
+    )
+    port map (
+      clk     => clk,
+      rst     => rst,
+      in_clr  => '0',
+      in_val  => bf_val,
+      in_bit  => bf_val,
+      out_bit => bf_val_dly
+    );
 
   -- after the z^(-1) stage delay the bf_val_dly goes high and remains high and acts as an enable for in_val to out_val
   stage_val <= in_val and bf_val_dly;
@@ -179,38 +179,38 @@ begin
   stage_complex <= stage_im & stage_re;
 
   u_pipeline_out : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_bf_lat,
-    g_in_dat_w  => stage_complex'length,
-    g_out_dat_w => stage_complex'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => stage_complex,
-    out_dat => stage_complex_dly
-  );
+    generic map (
+      g_pipeline  => g_bf_lat,
+      g_in_dat_w  => stage_complex'length,
+      g_out_dat_w => stage_complex'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => stage_complex,
+      out_dat => stage_complex_dly
+    );
 
   out_re <= stage_complex_dly(  c_out_dat_w - 1 downto 0);
   out_im <= stage_complex_dly(2 * c_out_dat_w - 1 downto c_out_dat_w);
 
   u_out_sel : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_bf_lat
-  )
-  port map (
-    clk     => clk,
-    in_dat  => stage_sel,
-    out_dat => out_sel
-  );
+    generic map (
+      g_pipeline => g_bf_lat
+    )
+    port map (
+      clk     => clk,
+      in_dat  => stage_sel,
+      out_dat => out_sel
+    );
 
   u_out_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_bf_lat
-  )
-  port map (
-    clk     => clk,
-    in_dat  => stage_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => g_bf_lat
+    )
+    port map (
+      clk     => clk,
+      in_dat  => stage_val,
+      out_dat => out_val
+    );
 
 end str;
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoOrder.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoOrder.vhd
index a0a4b64cbe..4ce0f92924 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoOrder.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoOrder.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity rTwoOrder is
   generic   (
@@ -107,17 +107,17 @@ begin
   rd_adr <= adr_tot_cnt;
 
   u_adr_point_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => ceil_log2(g_nof_points)
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_en  => cnt_ena,
-    count   => adr_points_cnt
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => ceil_log2(g_nof_points)
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_en  => cnt_ena,
+      count   => adr_points_cnt
+    );
 
   -- Generate on c_nof_channels to avoid simulation warnings on TO_UINT(adr_chan_cnt) when adr_chan_cnt is a NULL array
   one_chan : if c_nof_channels = 1 generate
@@ -128,40 +128,40 @@ begin
   end generate;
 
   u_adr_chan_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => g_nof_chan
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_en  => in_val,
-    count   => adr_chan_cnt
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => g_nof_chan
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_en  => in_val,
+      count   => adr_chan_cnt
+    );
 
   u_buff : entity common_lib.common_paged_ram_r_w
-  generic map (
-    g_str             => "use_adr",
-    g_data_w          => c_dat_w,
-    g_nof_pages       => 2,
-    g_page_sz         => c_page_size,
-    g_wr_start_page   => 0,
-    g_rd_start_page   => 1,
-    g_rd_latency      => 1
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    wr_next_page => next_page,
-    wr_adr       => wr_adr,
-    wr_en        => wr_en,
-    wr_dat       => wr_dat,
-    rd_next_page => next_page,
-    rd_adr       => rd_adr,
-    rd_en        => rd_en,
-    rd_dat       => rd_dat,
-    rd_val       => rd_val
-  );
+    generic map (
+      g_str             => "use_adr",
+      g_data_w          => c_dat_w,
+      g_nof_pages       => 2,
+      g_page_sz         => c_page_size,
+      g_wr_start_page   => 0,
+      g_rd_start_page   => 1,
+      g_rd_latency      => 1
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      wr_next_page => next_page,
+      wr_adr       => wr_adr,
+      wr_en        => wr_en,
+      wr_dat       => wr_dat,
+      rd_next_page => next_page,
+      rd_adr       => rd_adr,
+      rd_en        => rd_en,
+      rd_dat       => rd_dat,
+      rd_val       => rd_val
+    );
 
 end rtl;
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDF.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDF.vhd
index 34d10e59cd..b09734a37b 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDF.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDF.vhd
@@ -28,10 +28,10 @@
 
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.twiddlesPkg.all;
-use work.rTwoSDFPkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.twiddlesPkg.all;
+  use work.rTwoSDFPkg.all;
 
 entity rTwoSDF is
   generic (
@@ -99,25 +99,25 @@ begin
 
   gen_fft: for stage in c_nof_stages downto 1 generate
     u_stage : entity work.rTwoSDFStage
-    generic map (
-      g_nof_chan       => g_nof_chan,
-      g_stage          => stage,
-      g_stage_offset   => c_stage_offset,
-      g_twiddle_offset => c_twiddle_offset,
-      g_scale_enable   => sel_a_b(stage <= g_guard_w, false, true),  -- On average all stages have a gain factor of 2 therefore each stage needs to round 1 bit except for the last g_guard_w nof stages due to the input c_in_scale_w
-      g_round_even     => g_round_even,
-      g_pipeline       => g_pipeline
-    )
-    port map (
-      clk       => clk,
-      rst       => rst,
-      in_re     => data_re(stage),
-      in_im     => data_im(stage),
-      in_val    => data_val(stage),
-      out_re    => data_re(stage-1),
-      out_im    => data_im(stage-1),
-      out_val   => data_val(stage-1)
-    );
+      generic map (
+        g_nof_chan       => g_nof_chan,
+        g_stage          => stage,
+        g_stage_offset   => c_stage_offset,
+        g_twiddle_offset => c_twiddle_offset,
+        g_scale_enable   => sel_a_b(stage <= g_guard_w, false, true),  -- On average all stages have a gain factor of 2 therefore each stage needs to round 1 bit except for the last g_guard_w nof stages due to the input c_in_scale_w
+        g_round_even     => g_round_even,
+        g_pipeline       => g_pipeline
+      )
+      port map (
+        clk       => clk,
+        rst       => rst,
+        in_re     => data_re(stage),
+        in_im     => data_im(stage),
+        in_val    => data_val(stage),
+        out_re    => data_re(stage-1),
+        out_im    => data_im(stage-1),
+        out_val   => data_val(stage-1)
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -137,66 +137,66 @@ begin
     raw_out_im <= out_cplx(2 * g_stage_dat_w - 1 downto g_stage_dat_w);
 
     u_cplx: entity work.rTwoOrder
-    generic map (
-      g_nof_points => g_nof_points,
-      g_nof_chan   => g_nof_chan
-    )
-    port map (
-      clk     => clk,
-      rst     => rst,
-      in_dat  => raw_out_cplx,
-      in_val  => data_val(0),
-      out_dat => out_cplx,
-      out_val => raw_out_val
-    );
+      generic map (
+        g_nof_points => g_nof_points,
+        g_nof_chan   => g_nof_chan
+      )
+      port map (
+        clk     => clk,
+        rst     => rst,
+        in_dat  => raw_out_cplx,
+        in_val  => data_val(0),
+        out_dat => out_cplx,
+        out_val => raw_out_val
+      );
   end generate;
 
   ------------------------------------------------------------------------------
   -- pipelined FFT output requantization
   ------------------------------------------------------------------------------
   u_requantize_re : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_out_scale_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_lsb_round_even      => g_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => g_stage_dat_w,
-    g_out_dat_w           => g_out_dat_w
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => raw_out_re,
-    out_dat    => out_re,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_out_scale_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_lsb_round_even      => g_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => g_stage_dat_w,
+      g_out_dat_w           => g_out_dat_w
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => raw_out_re,
+      out_dat    => out_re,
+      out_ovr    => open
+    );
 
   u_requantize_im : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_out_scale_w,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_lsb_round_even      => g_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => g_stage_dat_w,
-    g_out_dat_w           => g_out_dat_w
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => raw_out_im,
-    out_dat    => out_im,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_out_scale_w,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_lsb_round_even      => g_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => g_stage_dat_w,
+      g_out_dat_w           => g_out_dat_w
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => raw_out_im,
+      out_dat    => out_im,
+      out_ovr    => open
+    );
 
   -- Valid Output
   out_val <= raw_out_val;
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFPkg.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFPkg.vhd
index 44eec4ed58..552718f8f7 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFPkg.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFPkg.vhd
@@ -20,7 +20,7 @@
 --------------------------------------------------------------------------------
 
 library ieee;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package rTwoSDFPkg is
 
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFStage.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFStage.vhd
index b2719e3b2c..b3040da5f9 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFStage.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoSDFStage.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.twiddlesPkg.all;
-use work.rTwoSDFPkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.twiddlesPkg.all;
+  use work.rTwoSDFPkg.all;
 
 entity rTwoSDFStage is
   generic (
@@ -83,18 +83,18 @@ begin
   -- stage counter
   ------------------------------------------------------------------------------
   u_control : entity common_lib.common_counter
-  generic map (
-    g_latency   => c_cnt_lat,
-    g_init      => c_cnt_init,
-    g_width     => g_stage + g_nof_chan,
-    g_step_size => 1
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    cnt_en      => in_val,
-    count       => ctrl_sel
-  );
+    generic map (
+      g_latency   => c_cnt_lat,
+      g_init      => c_cnt_init,
+      g_width     => g_stage + g_nof_chan,
+      g_step_size => 1
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      cnt_en      => in_val,
+      count       => ctrl_sel
+    );
 
   ------------------------------------------------------------------------------
   -- complex butterfly
@@ -102,26 +102,26 @@ begin
   in_sel <= ctrl_sel(g_stage + g_nof_chan);
 
   u_butterfly: entity work.rTwoBFStage
-  generic map (
-    g_nof_chan      => g_nof_chan,
-    g_stage         => g_stage,
-    g_bf_lat        => g_pipeline.bf_lat,
-    g_bf_use_zdly   => g_pipeline.bf_use_zdly,
-    g_bf_in_a_zdly  => g_pipeline.bf_in_a_zdly,
-    g_bf_out_d_zdly => g_pipeline.bf_out_d_zdly
-  )
-  port map (
-    clk       => clk,
-    rst       => rst,
-    in_re     => in_re,
-    in_im     => in_im,
-    in_sel    => in_sel,
-    in_val    => in_val,
-    out_re    => bf_re,
-    out_im    => bf_im,
-    out_sel   => bf_sel,
-    out_val   => bf_val
-  );
+    generic map (
+      g_nof_chan      => g_nof_chan,
+      g_stage         => g_stage,
+      g_bf_lat        => g_pipeline.bf_lat,
+      g_bf_use_zdly   => g_pipeline.bf_use_zdly,
+      g_bf_in_a_zdly  => g_pipeline.bf_in_a_zdly,
+      g_bf_out_d_zdly => g_pipeline.bf_out_d_zdly
+    )
+    port map (
+      clk       => clk,
+      rst       => rst,
+      in_re     => in_re,
+      in_im     => in_im,
+      in_sel    => in_sel,
+      in_val    => in_val,
+      out_re    => bf_re,
+      out_im    => bf_im,
+      out_sel   => bf_sel,
+      out_val   => bf_val
+    );
 
   ------------------------------------------------------------------------------
   -- get twiddles
@@ -129,124 +129,124 @@ begin
   weight_addr <= ctrl_sel(g_stage + g_nof_chan - 1 downto g_nof_chan + 1);
 
   u_weights: entity work.rTwoWeights
-  generic map (
-    g_stage          => g_stage,
-    g_twiddle_offset => g_twiddle_offset,
-    g_stage_offset   => g_stage_offset,
-    g_lat            => g_pipeline.weight_lat
-  )
-  port map (
-    clk       => clk,
-    in_wAdr   => weight_addr,
-    weight_re => weight_re,
-    weight_im => weight_im
-  );
+    generic map (
+      g_stage          => g_stage,
+      g_twiddle_offset => g_twiddle_offset,
+      g_stage_offset   => g_stage_offset,
+      g_lat            => g_pipeline.weight_lat
+    )
+    port map (
+      clk       => clk,
+      in_wAdr   => weight_addr,
+      weight_re => weight_re,
+      weight_im => weight_im
+    );
 
   ------------------------------------------------------------------------------
   -- twiddle multiplication
   ------------------------------------------------------------------------------
   u_TwiddleMult: entity work.rTwoWMul
-  generic map (
-    g_stage      => g_stage,
-    g_round_even => g_round_even,
-    g_lat        => g_pipeline.mul_lat
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-    weight_re   => weight_re,
-    weight_im   => weight_im,
-    in_re       => bf_re,
-    in_im       => bf_im,
-    in_val      => bf_val,
-    in_sel      => bf_sel,
-    out_re      => mul_out_re,
-    out_im      => mul_out_im,
-    out_val     => mul_out_val
-  );
+    generic map (
+      g_stage      => g_stage,
+      g_round_even => g_round_even,
+      g_lat        => g_pipeline.mul_lat
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+      weight_re   => weight_re,
+      weight_im   => weight_im,
+      in_re       => bf_re,
+      in_im       => bf_im,
+      in_val      => bf_val,
+      in_sel      => bf_sel,
+      out_re      => mul_out_re,
+      out_im      => mul_out_im,
+      out_val     => mul_out_val
+    );
 
   ------------------------------------------------------------------------------
   -- stage requantization
   ------------------------------------------------------------------------------
   u_requantize_re : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_r2_stage_bit_growth,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_lsb_round_even      => g_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => mul_out_re'LENGTH,
-    g_out_dat_w           => out_re'length
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => mul_out_re,
-    out_dat    => quant_out_re,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_r2_stage_bit_growth,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_lsb_round_even      => g_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => mul_out_re'LENGTH,
+      g_out_dat_w           => out_re'length
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => mul_out_re,
+      out_dat    => quant_out_re,
+      out_ovr    => open
+    );
 
   u_requantize_im : entity common_lib.common_requantize
-  generic map (
-    g_representation      => "SIGNED",
-    g_lsb_w               => c_r2_stage_bit_growth,
-    g_lsb_round           => true,
-    g_lsb_round_clip      => false,
-    g_lsb_round_even      => g_round_even,
-    g_msb_clip            => false,
-    g_msb_clip_symmetric  => false,
-    g_pipeline_remove_lsb => 0,
-    g_pipeline_remove_msb => 0,
-    g_in_dat_w            => mul_out_im'LENGTH,
-    g_out_dat_w           => out_im'length
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_dat     => mul_out_im,
-    out_dat    => quant_out_im,
-    out_ovr    => open
-  );
+    generic map (
+      g_representation      => "SIGNED",
+      g_lsb_w               => c_r2_stage_bit_growth,
+      g_lsb_round           => true,
+      g_lsb_round_clip      => false,
+      g_lsb_round_even      => g_round_even,
+      g_msb_clip            => false,
+      g_msb_clip_symmetric  => false,
+      g_pipeline_remove_lsb => 0,
+      g_pipeline_remove_msb => 0,
+      g_in_dat_w            => mul_out_im'LENGTH,
+      g_out_dat_w           => out_im'length
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_dat     => mul_out_im,
+      out_dat    => quant_out_im,
+      out_ovr    => open
+    );
 
   ------------------------------------------------------------------------------
   -- output
   ------------------------------------------------------------------------------
   u_re_lat : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline.stage_lat,
-    g_in_dat_w  => out_re'length,
-    g_out_dat_w => out_re'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => quant_out_re,
-    out_dat => out_re
-  );
+    generic map (
+      g_pipeline  => g_pipeline.stage_lat,
+      g_in_dat_w  => out_re'length,
+      g_out_dat_w => out_re'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => quant_out_re,
+      out_dat => out_re
+    );
 
   u_im_lat : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_pipeline.stage_lat,
-    g_in_dat_w  => out_im'length,
-    g_out_dat_w => out_im'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => quant_out_im,
-    out_dat => out_im
-  );
+    generic map (
+      g_pipeline  => g_pipeline.stage_lat,
+      g_in_dat_w  => out_im'length,
+      g_out_dat_w => out_im'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => quant_out_im,
+      out_dat => out_im
+    );
 
   u_val_lat : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_pipeline.stage_lat
-  )
-  port map (
-    clk     => clk,
-    in_dat  => mul_out_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline => g_pipeline.stage_lat
+    )
+    port map (
+      clk     => clk,
+      in_dat  => mul_out_val,
+      out_dat => out_val
+    );
 
 end str;
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoWMul.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoWMul.vhd
index a4741228b2..644ca7da3a 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoWMul.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoWMul.vhd
@@ -20,10 +20,10 @@
 --------------------------------------------------------------------------------
 
 library ieee, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
 
 entity rTwoWMul is
   generic (
@@ -112,58 +112,58 @@ begin
 
   gen_rtl : if g_stage = 1 or c_in_dat_w > c_max_dsp_mult_w or c_weight_w > c_max_dsp_mult_w or c_lat < c_dsp_mult_lat generate
     u_CmplxMul : entity common_mult_lib.common_complex_mult
-    generic map (
-      g_technology       => g_technology,
-      g_variant          => "RTL",
-      g_in_a_w           => c_in_dat_w,
-      g_in_b_w           => c_weight_w,
-      g_out_p_w          => c_prod_w,
-      g_conjugate_b      => false,
-      g_pipeline_input   => c_mult_input_lat,
-      g_pipeline_product => c_mult_product_lat,
-      g_pipeline_adder   => c_mult_adder_lat,
-      g_pipeline_output  => c_mult_output_lat
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      in_ar     => in_re,
-      in_ai     => in_im,
-      in_br     => weight_re,
-      in_bi     => weight_im,
-      in_val    => in_val,
-      out_pr    => product_re,
-      out_pi    => product_im,
-      out_val   => open
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_variant          => "RTL",
+        g_in_a_w           => c_in_dat_w,
+        g_in_b_w           => c_weight_w,
+        g_out_p_w          => c_prod_w,
+        g_conjugate_b      => false,
+        g_pipeline_input   => c_mult_input_lat,
+        g_pipeline_product => c_mult_product_lat,
+        g_pipeline_adder   => c_mult_adder_lat,
+        g_pipeline_output  => c_mult_output_lat
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        in_ar     => in_re,
+        in_ai     => in_im,
+        in_br     => weight_re,
+        in_bi     => weight_im,
+        in_val    => in_val,
+        out_pr    => product_re,
+        out_pi    => product_im,
+        out_val   => open
+      );
   end generate;
 
   gen_ip : if g_stage > 1 and c_in_dat_w <= c_max_dsp_mult_w and c_weight_w <= c_max_dsp_mult_w and c_lat >= c_dsp_mult_lat generate
     u_cmplx_mul : entity common_mult_lib.common_complex_mult
-    generic map (
-      g_technology       => g_technology,
-      g_variant          => "IP",
-      g_in_a_w           => c_in_dat_w,
-      g_in_b_w           => c_weight_w,
-      g_out_p_w          => c_prod_w,
-      g_conjugate_b      => false,
-      g_pipeline_input   => c_mult_input_lat,
-      g_pipeline_product => c_mult_product_lat,
-      g_pipeline_adder   => c_mult_adder_lat,
-      g_pipeline_output  => c_mult_output_lat
-    )
-    port map (
-      rst       => rst,
-      clk       => clk,
-      in_ar     => in_re,
-      in_ai     => in_im,
-      in_br     => weight_re,
-      in_bi     => weight_im,
-      in_val    => in_val,
-      out_pr    => product_re,
-      out_pi    => product_im,
-      out_val   => open
-    );
+      generic map (
+        g_technology       => g_technology,
+        g_variant          => "IP",
+        g_in_a_w           => c_in_dat_w,
+        g_in_b_w           => c_weight_w,
+        g_out_p_w          => c_prod_w,
+        g_conjugate_b      => false,
+        g_pipeline_input   => c_mult_input_lat,
+        g_pipeline_product => c_mult_product_lat,
+        g_pipeline_adder   => c_mult_adder_lat,
+        g_pipeline_output  => c_mult_output_lat
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        in_ar     => in_re,
+        in_ai     => in_im,
+        in_br     => weight_re,
+        in_bi     => weight_im,
+        in_val    => in_val,
+        out_pr    => product_re,
+        out_pi    => product_im,
+        out_val   => open
+      );
   end generate;
 
   ------------------------------------------------------------------------------
@@ -186,46 +186,46 @@ begin
 
   gen_sround : if c_use_truncate = false generate
     u_requantize_re : entity common_lib.common_requantize
-    generic map (
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_round_w,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_lsb_round_even      => g_round_even,
-      g_msb_clip            => false,
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => c_round_lat,
-      g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_prod_w,
-      g_out_dat_w           => c_out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => product_re,
-      out_dat    => round_re,
-      out_ovr    => open
-    );
+      generic map (
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_round_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_lsb_round_even      => g_round_even,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => c_round_lat,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_prod_w,
+        g_out_dat_w           => c_out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => product_re,
+        out_dat    => round_re,
+        out_ovr    => open
+      );
 
     u_requantize_im : entity common_lib.common_requantize
-    generic map (
-      g_representation      => "SIGNED",
-      g_lsb_w               => c_round_w,
-      g_lsb_round           => true,
-      g_lsb_round_clip      => false,
-      g_lsb_round_even      => g_round_even,
-      g_msb_clip            => false,
-      g_msb_clip_symmetric  => false,
-      g_pipeline_remove_lsb => c_round_lat,
-      g_pipeline_remove_msb => 0,
-      g_in_dat_w            => c_prod_w,
-      g_out_dat_w           => c_out_dat_w
-    )
-    port map (
-      clk        => clk,
-      in_dat     => product_im,
-      out_dat    => round_im,
-      out_ovr    => open
-    );
+      generic map (
+        g_representation      => "SIGNED",
+        g_lsb_w               => c_round_w,
+        g_lsb_round           => true,
+        g_lsb_round_clip      => false,
+        g_lsb_round_even      => g_round_even,
+        g_msb_clip            => false,
+        g_msb_clip_symmetric  => false,
+        g_pipeline_remove_lsb => c_round_lat,
+        g_pipeline_remove_msb => 0,
+        g_in_dat_w            => c_prod_w,
+        g_out_dat_w           => c_out_dat_w
+      )
+      port map (
+        clk        => clk,
+        in_dat     => product_im,
+        out_dat    => round_im,
+        out_ovr    => open
+      );
   end generate;
 
 
@@ -235,51 +235,51 @@ begin
 
   -- No need to use rst for data, because initial data value is don't care
   u_re_lat : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_lat,
-    g_in_dat_w  => in_re'length,
-    g_out_dat_w => in_re'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => in_re,
-    out_dat => in_re_dly
-  );
+    generic map (
+      g_pipeline  => g_lat,
+      g_in_dat_w  => in_re'length,
+      g_out_dat_w => in_re'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => in_re,
+      out_dat => in_re_dly
+    );
 
   u_im_lat : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline  => g_lat,
-    g_in_dat_w  => in_im'length,
-    g_out_dat_w => in_im'length
-  )
-  port map (
-    clk     => clk,
-    in_dat  => in_im,
-    out_dat => in_im_dly
-  );
+    generic map (
+      g_pipeline  => g_lat,
+      g_in_dat_w  => in_im'length,
+      g_out_dat_w => in_im'length
+    )
+    port map (
+      clk     => clk,
+      in_dat  => in_im,
+      out_dat => in_im_dly
+    );
 
   -- Use rst for control to ensure initial low
   u_sel_lat : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => g_lat
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sel,
-    out_dat => out_sel
-  );
+    generic map (
+      g_pipeline => g_lat
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sel,
+      out_dat => out_sel
+    );
 
   u_pipeline_out_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline  => g_lat
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => out_val
-  );
+    generic map (
+      g_pipeline  => g_lat
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => out_val
+    );
 
   ------------------------------------------------------------------------------
   -- Output real and imaginary, switch between input and product
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/rTwoWeights.vhd b/libraries/dsp/rTwoSDF/src/vhdl/rTwoWeights.vhd
index 8955d9fc15..3df5221557 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/rTwoWeights.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/rTwoWeights.vhd
@@ -32,9 +32,9 @@
 --   applying the twiddle-offset and the stage-offset.
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.twiddlesPkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.twiddlesPkg.all;
 
 entity rTwoWeights is
   generic (
diff --git a/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoOrder.vhd b/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoOrder.vhd
index a6e3302320..f65f65f499 100644
--- a/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoOrder.vhd
+++ b/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoOrder.vhd
@@ -29,11 +29,11 @@
 -- Use g_bit_flip=false to ease manualy interpretation of out_dat.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_rTwoOrder is
 end tb_rTwoOrder;
@@ -75,8 +75,8 @@ begin
 
     for J in 0 to 7 loop
       -- wait some time
---       in_val <= '0';
---       FOR I IN 0 TO 1 LOOP WAIT UNTIL rising_edge(clk); END LOOP;
+      --       in_val <= '0';
+      --       FOR I IN 0 TO 1 LOOP WAIT UNTIL rising_edge(clk); END LOOP;
 
       -- one block
       in_val <= not in_val;  -- toggling
@@ -96,17 +96,17 @@ begin
 
   -- device under test
   u_dut : entity work.rTwoOrder
-  generic map (
-    g_nof_points  => c_nof_points,
-    g_bit_flip    => false
-  )
-  port map (
-    clk     => clk,
-    rst     => rst,
-    in_dat  => in_dat,
-    in_val  => in_val,
-    out_dat => out_dat,
-    out_val => out_val
-  );
+    generic map (
+      g_nof_points  => c_nof_points,
+      g_bit_flip    => false
+    )
+    port map (
+      clk     => clk,
+      rst     => rst,
+      in_dat  => in_dat,
+      in_val  => in_val,
+      out_dat => out_dat,
+      out_val => out_val
+    );
 
 end tb;
diff --git a/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoSDF.vhd b/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoSDF.vhd
index 8490add97d..a6f86fd76c 100644
--- a/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoSDF.vhd
+++ b/libraries/dsp/rTwoSDF/tb/vhdl/tb_rTwoSDF.vhd
@@ -74,15 +74,15 @@
 --   state. Next time we better use LRM 1076-1993 style for file IO.
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use work.rTwoSDFPkg.all;
-use work.twiddlesPkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use work.rTwoSDFPkg.all;
+  use work.twiddlesPkg.all;
 
 
 entity tb_rTwoSDF is
@@ -261,26 +261,26 @@ begin
 
   -- DUT = Device Under Test
   u_rTwoSDF : entity work.rTwoSDF
-  generic map(
-    -- generics for the FFT
-    g_use_reorder => g_use_reorder,
-    g_in_dat_w    => g_in_dat_w,
-    g_out_dat_w   => g_out_dat_w,
-    g_stage_dat_w => c_stage_dat_w,
-    g_guard_w     => g_guard_w,
-    g_nof_points  => g_nof_points,
-    g_round_even  => false  -- golden results use round half away instead of round half even
-  )
-  port map(
-    clk       => clk,
-    rst       => rst,
-    in_re     => in_re,
-    in_im     => in_im,
-    in_val    => in_val,
-    out_re    => out_re,
-    out_im    => out_im,
-    out_val   => out_val
-  );
+    generic map(
+      -- generics for the FFT
+      g_use_reorder => g_use_reorder,
+      g_in_dat_w    => g_in_dat_w,
+      g_out_dat_w   => g_out_dat_w,
+      g_stage_dat_w => c_stage_dat_w,
+      g_guard_w     => g_guard_w,
+      g_nof_points  => g_nof_points,
+      g_round_even  => false  -- golden results use round half away instead of round half even
+    )
+    port map(
+      clk       => clk,
+      rst       => rst,
+      in_re     => in_re,
+      in_im     => in_im,
+      in_val    => in_val,
+      out_re    => out_re,
+      out_im    => out_im,
+      out_val   => out_val
+    );
 
   -- Read golden file with the expected DUT output
   p_read_golden_file : process
diff --git a/libraries/dsp/rTwoSDF/tb/vhdl/tb_tb_rTwoSDF.vhd b/libraries/dsp/rTwoSDF/tb/vhdl/tb_tb_rTwoSDF.vhd
index 9c3f855972..67cdfe361d 100644
--- a/libraries/dsp/rTwoSDF/tb/vhdl/tb_tb_rTwoSDF.vhd
+++ b/libraries/dsp/rTwoSDF/tb/vhdl/tb_tb_rTwoSDF.vhd
@@ -26,13 +26,13 @@
 --   value of g_nof_points used in this structure.
 
 library ieee, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.std_logic_unsigned.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use work.rTwoSDFPkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_unsigned.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use work.rTwoSDFPkg.all;
 
 
 entity tb_tb_rTwoSDF is
@@ -44,15 +44,15 @@ architecture tb of tb_tb_rTwoSDF is
 
 begin
 
---  -- generics for tb
---  g_use_uniNoise_file : boolean  := true;
---  g_in_en             : natural  := 0;     -- 1 = always active, others = random control
---  -- generics for rTwoSDF
---  g_use_reorder       : boolean  := true;
---  g_nof_points        : natural  := 1024;
---  g_in_dat_w          : natural  := 8;
---  g_out_dat_w         : natural  := 14;
---  g_guard_w           : natural  := 2      -- guard bits are used to avoid overflow in single FFT stage.
+  --  -- generics for tb
+  --  g_use_uniNoise_file : boolean  := true;
+  --  g_in_en             : natural  := 0;     -- 1 = always active, others = random control
+  --  -- generics for rTwoSDF
+  --  g_use_reorder       : boolean  := true;
+  --  g_nof_points        : natural  := 1024;
+  --  g_in_dat_w          : natural  := 8;
+  --  g_out_dat_w         : natural  := 14;
+  --  g_guard_w           : natural  := 2      -- guard bits are used to avoid overflow in single FFT stage.
 
   --u_act_impulse_16p_16i_16o         : entity work.tb_rTwoSDF generic map (false, 1,  true,   16, 16, 16, 2);
   u_act_noise_1024p_8i_14o          : entity work.tb_rTwoSDF generic map (true,  1,  true, 1024,  8, 14, 2);
diff --git a/libraries/dsp/si/src/vhdl/si.vhd b/libraries/dsp/si/src/vhdl/si.vhd
index e815c408c7..91ebb1af70 100755
--- a/libraries/dsp/si/src/vhdl/si.vhd
+++ b/libraries/dsp/si/src/vhdl/si.vhd
@@ -35,9 +35,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity si is
   generic (
@@ -104,14 +104,14 @@ begin
 
   -- Output
   u_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline  => g_pipeline
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    snk_in  => si_sosi,
-    src_out => out_sosi
-  );
+    generic map (
+      g_pipeline  => g_pipeline
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      snk_in  => si_sosi,
+      src_out => out_sosi
+    );
 
 end rtl;
diff --git a/libraries/dsp/si/src/vhdl/si_arr.vhd b/libraries/dsp/si/src/vhdl/si_arr.vhd
index 484843993c..7b2d5fea58 100755
--- a/libraries/dsp/si/src/vhdl/si_arr.vhd
+++ b/libraries/dsp/si/src/vhdl/si_arr.vhd
@@ -29,10 +29,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity si_arr is
   generic (
@@ -74,35 +74,35 @@ architecture str of si_arr is
 begin
 
   u_mms_common_reg : entity common_lib.mms_common_reg
-  generic map (
-    g_mm_reg       => c_si_mem_reg
-  )
-  port map (
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    st_rst         => dp_rst,
-    st_clk         => dp_clk,
+    generic map (
+      g_mm_reg       => c_si_mem_reg
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      st_rst         => dp_rst,
+      st_clk         => dp_clk,
 
-    reg_mosi       => reg_si_mosi,
-    reg_miso       => reg_si_miso,
+      reg_mosi       => reg_si_mosi,
+      reg_miso       => reg_si_miso,
 
-    in_reg         => reg_si_en,
-    out_reg        => reg_si_en
-  );
+      in_reg         => reg_si_en,
+      out_reg        => reg_si_en
+    );
 
   gen_nof_streams : for I in 0 to g_nof_streams - 1 generate
     u_si : entity work.si
-    generic map (
-      g_pipeline => g_pipeline,
-      g_dat_w    => g_dat_w
-    )
-    port map (
-      in_sosi   => in_sosi_arr(I),
-      out_sosi  => out_sosi_arr(I),
-      si_en     => reg_si_en(I),
-      clk       => dp_clk,
-      rst       => dp_rst
-    );
+      generic map (
+        g_pipeline => g_pipeline,
+        g_dat_w    => g_dat_w
+      )
+      port map (
+        in_sosi   => in_sosi_arr(I),
+        out_sosi  => out_sosi_arr(I),
+        si_en     => reg_si_en(I),
+        clk       => dp_clk,
+        rst       => dp_rst
+      );
   end generate;
 
 end str;
diff --git a/libraries/dsp/si/tb/vhdl/tb_si.vhd b/libraries/dsp/si/tb/vhdl/tb_si.vhd
index b08ce68884..8d26bb6aaa 100755
--- a/libraries/dsp/si/tb/vhdl/tb_si.vhd
+++ b/libraries/dsp/si/tb/vhdl/tb_si.vhd
@@ -32,11 +32,11 @@
 --   view out_dat in radix decimal format in Wave window to see + and - data value
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_si is
 end tb_si;
@@ -79,17 +79,17 @@ begin
   clk <= not(clk) or tb_end after c_clk_period / 2;
 
   u_si : entity work.si
-  generic map (
-    g_pipeline  => 0,
-    g_dat_w     => c_dat_w
-  )
-  port map(
-    in_sosi     => in_sosi,
-    out_sosi    => out_sosi,
-    si_en       => si_en,
-    clk         => clk,
-    rst         => rst
-  );
+    generic map (
+      g_pipeline  => 0,
+      g_dat_w     => c_dat_w
+    )
+    port map(
+      in_sosi     => in_sosi,
+      out_sosi    => out_sosi,
+      si_en       => si_en,
+      clk         => clk,
+      rst         => rst
+    );
 
   -- wires
   in_sosi.sync  <= in_sync;
@@ -232,7 +232,7 @@ begin
           if not (v_clip_even = '1') then
             if not (v_clip_odd = '1') then
               report "Wrong negate value at valid (v_even = " & int_to_str(v_even) & " v_odd = " & int_to_str(v_odd) severity ERROR;
-	    end if;
+            end if;
           end if;
         end if;
       end if;
diff --git a/libraries/dsp/st/src/vhdl/mmp_st_histogram.vhd b/libraries/dsp/st/src/vhdl/mmp_st_histogram.vhd
index 343b1af9e1..565aa03252 100644
--- a/libraries/dsp/st/src/vhdl/mmp_st_histogram.vhd
+++ b/libraries/dsp/st/src/vhdl/mmp_st_histogram.vhd
@@ -35,11 +35,11 @@
 
 
 library IEEE, common_lib, mm_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mmp_st_histogram is
   generic (
@@ -78,11 +78,13 @@ architecture str of mmp_st_histogram is
   constant c_ram_adr_w : natural := ceil_log2(g_nof_bins);
   constant c_ram_dat_w : natural := ceil_log2(g_nof_data_per_sync + 1);
 
-  constant c_ram                    : t_c_mem := (latency  => 1,
-                                                  adr_w    => c_ram_adr_w,
-                                                  dat_w    => c_ram_dat_w,
-                                                  nof_dat  => g_nof_bins,
-                                                  init_sl  => '0');
+  constant c_ram                    : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_ram_adr_w,
+    dat_w    => c_ram_dat_w,
+    nof_dat  => g_nof_bins,
+    init_sl  => '0'
+  );
 
   constant c_addr_high : natural := g_nof_bins - 1;
 
@@ -112,21 +114,21 @@ begin
   -------------------------------------------------------------------------------
   gen_st_histogram : for i in 0 to g_nof_instances - 1 generate
     u_st_histogram : entity work.st_histogram
-    generic map(
-      g_data_w            => g_data_w,
-      g_nof_bins          => g_nof_bins,
-      g_nof_data_per_sync => g_nof_data_per_sync,
-      g_nof_data_per_sync_diff => g_nof_data_per_sync_diff
-    )
-    port map (
-      dp_clk       => dp_clk,
-      dp_rst       => dp_rst,
-
-      snk_in       => snk_in_arr(i),
-
-      ram_mosi     => st_histogram_ram_copi_arr(i),
-      ram_miso     => st_histogram_ram_cipo_arr(i)
-    );
+      generic map(
+        g_data_w            => g_data_w,
+        g_nof_bins          => g_nof_bins,
+        g_nof_data_per_sync => g_nof_data_per_sync,
+        g_nof_data_per_sync_diff => g_nof_data_per_sync_diff
+      )
+      port map (
+        dp_clk       => dp_clk,
+        dp_rst       => dp_rst,
+
+        snk_in       => snk_in_arr(i),
+
+        ram_mosi     => st_histogram_ram_copi_arr(i),
+        ram_miso     => st_histogram_ram_cipo_arr(i)
+      );
   end generate;
 
   -------------------------------------------------------------------------------
@@ -136,27 +138,27 @@ begin
   -------------------------------------------------------------------------------
   gen_common_ram_crw_cw : for i in 0 to g_nof_instances - 1 generate
     u_common_ram_crw_cw : entity common_lib.common_ram_crw_cw
-    generic map (
-      g_technology     => c_tech_select_default,
-      g_ram            => c_ram,
-      g_init_file      => "UNUSED"
-    )
-    port map (
-      mm_clk    => mm_clk,
-      mm_rst    => mm_rst,
-      mm_wr_en  => ram_copi_arr(i).wr,
-      mm_wr_dat => ram_copi_arr(i).wrdata(c_ram_dat_w - 1 downto 0),
-      mm_adr    => ram_copi_arr(i).address(c_ram_adr_w - 1 downto 0),
-      mm_rd_en  => ram_copi_arr(i).rd,
-      mm_rd_dat => ram_cipo_arr(i).rddata(c_ram_dat_w - 1 downto 0),
-      mm_rd_val => ram_cipo_arr(i).rdval,
-
-      st_clk    => dp_clk,
-      st_rst    => dp_rst,
-      st_wr_en  => wr_copi_arr(i).wr,
-      st_adr    => wr_copi_arr(i).address(c_ram_adr_w - 1 downto 0),
-      st_wr_dat => wr_copi_arr(i).wrdata(c_ram_dat_w - 1 downto 0)
-     );
+      generic map (
+        g_technology     => c_tech_select_default,
+        g_ram            => c_ram,
+        g_init_file      => "UNUSED"
+      )
+      port map (
+        mm_clk    => mm_clk,
+        mm_rst    => mm_rst,
+        mm_wr_en  => ram_copi_arr(i).wr,
+        mm_wr_dat => ram_copi_arr(i).wrdata(c_ram_dat_w - 1 downto 0),
+        mm_adr    => ram_copi_arr(i).address(c_ram_adr_w - 1 downto 0),
+        mm_rd_en  => ram_copi_arr(i).rd,
+        mm_rd_dat => ram_cipo_arr(i).rddata(c_ram_dat_w - 1 downto 0),
+        mm_rd_val => ram_cipo_arr(i).rdval,
+
+        st_clk    => dp_clk,
+        st_rst    => dp_rst,
+        st_wr_en  => wr_copi_arr(i).wr,
+        st_adr    => wr_copi_arr(i).address(c_ram_adr_w - 1 downto 0),
+        st_wr_dat => wr_copi_arr(i).wrdata(c_ram_dat_w - 1 downto 0)
+      );
   end generate;
 
   -------------------------------------------------------------------------------
@@ -164,15 +166,15 @@ begin
   -- . use pipeline>=st_histogram I/O latency - don't copy too soon (clash with clear)
   -------------------------------------------------------------------------------
   u_common_pipeline_sl : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline => 10
-  )
-  port map (
-    clk     => dp_clk,
-    rst     => dp_rst,
-    in_dat  => snk_in_arr(0).sync,  -- Use only the status signal of st_histogram instance 0
-    out_dat => ram_fill
-  );
+    generic map (
+      g_pipeline => 10
+    )
+    port map (
+      clk     => dp_clk,
+      rst     => dp_rst,
+      in_dat  => snk_in_arr(0).sync,  -- Use only the status signal of st_histogram instance 0
+      out_dat => ram_fill
+    );
 
   -------------------------------------------------------------------------------
   -- Logic to move st_histogram RAM contents into the dual clock RAM above
@@ -214,16 +216,16 @@ begin
   -- MM multiplexing
   -------------------------------------------------------------------------------
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_instances,
-    g_mult_addr_w => c_ram_adr_w
-  )
-  port map (
-    mosi     => ram_copi,
-    miso     => ram_cipo,
-    mosi_arr => ram_copi_arr,
-    miso_arr => ram_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_instances,
+      g_mult_addr_w => c_ram_adr_w
+    )
+    port map (
+      mosi     => ram_copi,
+      miso     => ram_cipo,
+      mosi_arr => ram_copi_arr,
+      miso_arr => ram_cipo_arr
+    );
 
 end str;
 
diff --git a/libraries/dsp/st/src/vhdl/st_acc.vhd b/libraries/dsp/st/src/vhdl/st_acc.vhd
index 4e89132846..b6df895b06 100644
--- a/libraries/dsp/st/src/vhdl/st_acc.vhd
+++ b/libraries/dsp/st/src/vhdl/st_acc.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 -- Purpose:
@@ -137,21 +137,21 @@ begin
   ------------------------------------------------------------------------------
 
   u_adder : entity common_lib.common_add_sub
-  generic map (
-    g_direction       => "ADD",
-    g_representation  => "SIGNED",  -- not relevant because g_out_dat_w = g_in_dat_w
-    g_pipeline_input  => 0,
-    g_pipeline_output => g_pipeline_output,
-    g_in_dat_w        => g_acc_w,
-    g_out_dat_w       => g_acc_w
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_a    => reg_dat,
-    in_b    => reg_acc,
-    result  => out_acc
-  );
+    generic map (
+      g_direction       => "ADD",
+      g_representation  => "SIGNED",  -- not relevant because g_out_dat_w = g_in_dat_w
+      g_pipeline_input  => 0,
+      g_pipeline_output => g_pipeline_output,
+      g_in_dat_w        => g_acc_w,
+      g_out_dat_w       => g_acc_w
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_a    => reg_dat,
+      in_b    => reg_acc,
+      result  => out_acc
+    );
 
 
   ------------------------------------------------------------------------------
@@ -162,18 +162,18 @@ begin
   out_val       <= out_val_slv(0);
 
   u_out_val : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "UNSIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => 1,
-    g_out_dat_w      => 1
-  )
-  port map (
-    clk     => clk,
-    clken   => clken,
-    in_dat  => slv(in_val),
-    out_dat => out_val_slv
-  );
+    generic map (
+      g_representation => "UNSIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => 1,
+      g_out_dat_w      => 1
+    )
+    port map (
+      clk     => clk,
+      clken   => clken,
+      in_dat  => slv(in_val),
+      out_dat => out_val_slv
+    );
 
 end rtl;
diff --git a/libraries/dsp/st/src/vhdl/st_calc.vhd b/libraries/dsp/st/src/vhdl/st_calc.vhd
index 577ebad095..efe951602f 100644
--- a/libraries/dsp/st/src/vhdl/st_calc.vhd
+++ b/libraries/dsp/st/src/vhdl/st_calc.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, common_mult_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 -- Purpose:
 --   Maintain a set of accumulators and output their values at every in_sync.
@@ -162,108 +162,62 @@ begin
 
   -- ctrl block: generates all ctrl signals
   ctrl: entity work.st_ctrl
-  generic map (
-    g_nof_mux    => g_nof_mux,
-    g_nof_stat   => g_nof_stat,
-    g_adr_w      => c_adr_w,
-    g_dly_rd     => c_dly_rd,
-    g_dly_mul    => c_dly_mul,
-    g_dly_acc    => c_dly_acc,
-    g_dly_out    => c_dly_out
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    in_sync      => reg_sync,
-    in_val       => reg_val,
-    rd_en        => rd_en,
-    rd_adr       => rd_adr,
-    rd_val       => OPEN,
-    mult_val     => OPEN,
-    acc_load     => acc_load,
-    wr_en        => wr_en,
-    wr_adr       => wr_adr,
-    out_val      => out_val,
-    out_val_m    => out_val_m,
-    out_adr      => out_adr_m
-  );
+    generic map (
+      g_nof_mux    => g_nof_mux,
+      g_nof_stat   => g_nof_stat,
+      g_adr_w      => c_adr_w,
+      g_dly_rd     => c_dly_rd,
+      g_dly_mul    => c_dly_mul,
+      g_dly_acc    => c_dly_acc,
+      g_dly_out    => c_dly_out
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      in_sync      => reg_sync,
+      in_val       => reg_val,
+      rd_en        => rd_en,
+      rd_adr       => rd_adr,
+      rd_val       => OPEN,
+      mult_val     => OPEN,
+      acc_load     => acc_load,
+      wr_en        => wr_en,
+      wr_adr       => wr_adr,
+      out_val      => out_val,
+      out_val_m    => out_val_m,
+      out_adr      => out_adr_m
+    );
 
   out_adr <= out_adr_m(c_adr_w - 1 downto c_mux_w);
 
   -- complex multiplier: computes a * conj(b)
   --mul: ENTITY common_lib.common_complex_mult(str)
   mul: entity common_mult_lib.common_complex_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => c_complex_mult_variant,
-    g_in_a_w           => in_ar'LENGTH,
-    g_in_b_w           => in_br'LENGTH,
-    g_out_p_w          => mult_re'LENGTH,
-    g_conjugate_b      => true,  -- use conjugate product for cross power
-    g_pipeline_input   => 1,
-    g_pipeline_product => 0,
-    g_pipeline_adder   => 1,
-    g_pipeline_output  => 1  -- 1+0+1+1 = 3 = c_dly_mul
-  )
-  port map (
-    clk        => clk,
-    clken      => clken,
-    in_ar      => reg_ar,
-    in_ai      => reg_ai,
-    in_br      => reg_br,
-    in_bi      => reg_bi,
-    out_pr     => mult_re,
-    out_pi     => mult_im
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => c_complex_mult_variant,
+      g_in_a_w           => in_ar'LENGTH,
+      g_in_b_w           => in_br'LENGTH,
+      g_out_p_w          => mult_re'LENGTH,
+      g_conjugate_b      => true,  -- use conjugate product for cross power
+      g_pipeline_input   => 1,
+      g_pipeline_product => 0,
+      g_pipeline_adder   => 1,
+      g_pipeline_output  => 1  -- 1+0+1+1 = 3 = c_dly_mul
+    )
+    port map (
+      clk        => clk,
+      clken      => clken,
+      in_ar      => reg_ar,
+      in_ai      => reg_ai,
+      in_br      => reg_br,
+      in_bi      => reg_bi,
+      out_pr     => mult_re,
+      out_pi     => mult_im
+    );
 
   -- accumulator for real part
   acc_re: entity work.st_acc
-  generic map (
-    g_dat_w           => c_mult_w,
-    g_acc_w           => c_acc_w,
-    g_hold_load       => c_acc_hold_load,
-    g_pipeline_input  => 1,
-    g_pipeline_output => c_dly_acc - 1
-  )
-  port map (
-    clk         => clk,
-    clken       => clken,
-    in_load     => acc_load,
-    in_dat      => mult_re,
-    in_acc      => rd_re,
-    out_acc     => wr_re
-  );
-
-  -- accumulator memory for real part
-  ram_re: entity common_lib.common_ram_r_w
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_mem_acc,
-    g_init_file  => "UNUSED"
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-    clken     => clken,
-    wr_en     => wr_en,
-    wr_adr    => wr_adr,
-    wr_dat    => wr_re,
-    rd_en     => rd_en,
-    rd_adr    => rd_adr,
-    rd_dat    => rd_re,
-    rd_val    => open
-  );
-
-  out_re <= rd_re;  -- c_dly_out = 0
-
-  -- imaginary part is optional
-  no_im: if g_complex = false generate
-    out_im <= (others => '0');
-  end generate;
-
-  gen_im: if g_complex = true generate
-    -- accumulator
-    acc_im: entity work.st_acc
     generic map (
       g_dat_w           => c_mult_w,
       g_acc_w           => c_acc_w,
@@ -275,13 +229,13 @@ begin
       clk         => clk,
       clken       => clken,
       in_load     => acc_load,
-      in_dat      => mult_im,
-      in_acc      => rd_im,
-      out_acc     => wr_im
+      in_dat      => mult_re,
+      in_acc      => rd_re,
+      out_acc     => wr_re
     );
 
-    -- dual port memory
-    ram_im: entity common_lib.common_ram_r_w
+  -- accumulator memory for real part
+  ram_re: entity common_lib.common_ram_r_w
     generic map (
       g_technology => g_technology,
       g_ram        => c_mem_acc,
@@ -293,13 +247,59 @@ begin
       clken     => clken,
       wr_en     => wr_en,
       wr_adr    => wr_adr,
-      wr_dat    => wr_im,
+      wr_dat    => wr_re,
       rd_en     => rd_en,
       rd_adr    => rd_adr,
-      rd_dat    => rd_im,
+      rd_dat    => rd_re,
       rd_val    => open
     );
 
+  out_re <= rd_re;  -- c_dly_out = 0
+
+  -- imaginary part is optional
+  no_im: if g_complex = false generate
+    out_im <= (others => '0');
+  end generate;
+
+  gen_im: if g_complex = true generate
+    -- accumulator
+    acc_im: entity work.st_acc
+      generic map (
+        g_dat_w           => c_mult_w,
+        g_acc_w           => c_acc_w,
+        g_hold_load       => c_acc_hold_load,
+        g_pipeline_input  => 1,
+        g_pipeline_output => c_dly_acc - 1
+      )
+      port map (
+        clk         => clk,
+        clken       => clken,
+        in_load     => acc_load,
+        in_dat      => mult_im,
+        in_acc      => rd_im,
+        out_acc     => wr_im
+      );
+
+    -- dual port memory
+    ram_im: entity common_lib.common_ram_r_w
+      generic map (
+        g_technology => g_technology,
+        g_ram        => c_mem_acc,
+        g_init_file  => "UNUSED"
+      )
+      port map (
+        rst       => rst,
+        clk       => clk,
+        clken     => clken,
+        wr_en     => wr_en,
+        wr_adr    => wr_adr,
+        wr_dat    => wr_im,
+        rd_en     => rd_en,
+        rd_adr    => rd_adr,
+        rd_dat    => rd_im,
+        rd_val    => open
+      );
+
     out_im <= rd_im;  -- c_dly_out = 0
   end generate;
 
diff --git a/libraries/dsp/st/src/vhdl/st_ctrl.vhd b/libraries/dsp/st/src/vhdl/st_ctrl.vhd
index c15be72bac..dcd6d6b724 100644
--- a/libraries/dsp/st/src/vhdl/st_ctrl.vhd
+++ b/libraries/dsp/st/src/vhdl/st_ctrl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity st_ctrl is
@@ -61,38 +61,38 @@ end;
 
 architecture rtl of st_ctrl is
 
- constant c_mux_w     : natural := true_log2(g_nof_mux);
+  constant c_mux_w     : natural := true_log2(g_nof_mux);
 
- constant c_tin_mul   : natural := 0;
- constant c_tot_mul   : natural := c_tin_mul + g_dly_mul;
+  constant c_tin_mul   : natural := 0;
+  constant c_tot_mul   : natural := c_tin_mul + g_dly_mul;
 
- constant c_tin_acc   : natural := c_tot_mul;
- constant c_tot_acc   : natural := c_tin_acc + g_dly_acc;
+  constant c_tin_acc   : natural := c_tot_mul;
+  constant c_tot_acc   : natural := c_tin_acc + g_dly_acc;
 
- constant c_tin_wr    : natural := c_tot_acc;
+  constant c_tin_wr    : natural := c_tot_acc;
 
- constant c_tin_rd    : natural := c_tin_acc - g_dly_rd;
- constant c_tot_rd    : natural := c_tin_acc;
+  constant c_tin_rd    : natural := c_tin_acc - g_dly_rd;
+  constant c_tot_rd    : natural := c_tin_acc;
 
- constant c_tin_out   : natural := c_tot_rd;
- constant c_tot_out   : natural := c_tin_out + g_dly_out;
+  constant c_tin_out   : natural := c_tot_rd;
+  constant c_tot_out   : natural := c_tin_out + g_dly_out;
 
- signal dly_val       : std_logic_vector(0 to c_tin_wr);
- signal dly_sync      : std_logic_vector(0 to c_tin_wr);
- signal dly_load      : std_logic_vector(c_tin_rd to c_tin_wr);
+  signal dly_val       : std_logic_vector(0 to c_tin_wr);
+  signal dly_sync      : std_logic_vector(0 to c_tin_wr);
+  signal dly_load      : std_logic_vector(c_tin_rd to c_tin_wr);
 
- signal i_rd_adr      : std_logic_vector(rd_adr'range);
- signal nxt_rd_adr    : std_logic_vector(rd_adr'range);
+  signal i_rd_adr      : std_logic_vector(rd_adr'range);
+  signal nxt_rd_adr    : std_logic_vector(rd_adr'range);
 
- signal i_wr_adr      : std_logic_vector(wr_adr'range);
- signal nxt_wr_adr    : std_logic_vector(wr_adr'range);
+  signal i_wr_adr      : std_logic_vector(wr_adr'range);
+  signal nxt_wr_adr    : std_logic_vector(wr_adr'range);
 
- signal i_out_adr     : std_logic_vector(out_adr'range);
- signal nxt_out_adr   : std_logic_vector(out_adr'range);
+  signal i_out_adr     : std_logic_vector(out_adr'range);
+  signal nxt_out_adr   : std_logic_vector(out_adr'range);
 
- signal i_out_val     : std_logic;
+  signal i_out_val     : std_logic;
 
- signal nxt_load      : std_logic;
+  signal nxt_load      : std_logic;
 
 begin
 
diff --git a/libraries/dsp/st/src/vhdl/st_histogram.vhd b/libraries/dsp/st/src/vhdl/st_histogram.vhd
index f078b78e54..8d5763d2cf 100644
--- a/libraries/dsp/st/src/vhdl/st_histogram.vhd
+++ b/libraries/dsp/st/src/vhdl/st_histogram.vhd
@@ -93,11 +93,11 @@
 --     readout.
 
 library IEEE, common_lib, mm_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity st_histogram is
   generic (
@@ -170,11 +170,13 @@ architecture rtl of st_histogram is
   -- 2x RAM (common_ram_r_w) instances
   -------------------------------------------------------------------------------
   constant c_nof_ram_pages     : natural := 2;
-  constant c_ram               : t_c_mem := (latency  => 1,
-                                             adr_w    => c_ram_adr_w,
-                                             dat_w    => c_ram_dat_w,
-                                             nof_dat  => g_nof_bins,
-                                             init_sl  => '0');
+  constant c_ram               : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_ram_adr_w,
+    dat_w    => c_ram_dat_w,
+    nof_dat  => g_nof_bins,
+    init_sl  => '0'
+  );
 
   signal bin_writer_ram_pointer     : std_logic;
   signal bin_reader_ram_pointer     : std_logic;
@@ -268,19 +270,19 @@ begin
       -- Overwrite channel field (=count) when duplicate data is found
       -- . Check all possible matches apart from 0==1: simply wait until 0==1 shifts to 1==2.
       if func_dp_data_match(snk_in_reg_arr(0), snk_in_reg_arr(1), snk_in_reg_arr(2), g_data_w) and (snk_in_reg_arr(0).sync = '0' and snk_in_reg_arr(1).sync = '0') then
-          nxt_snk_in_reg_arr(1).valid   <= '0';
-          nxt_snk_in_reg_arr(1).channel <= TO_DP_CHANNEL(0);
-          nxt_snk_in_reg_arr(2).valid   <= '0';
-          nxt_snk_in_reg_arr(2).channel <= TO_DP_CHANNEL(0);
-          nxt_snk_in_reg_arr(3).channel <= TO_DP_CHANNEL(3);  -- 0,1,2 match: put count=3 here
+        nxt_snk_in_reg_arr(1).valid   <= '0';
+        nxt_snk_in_reg_arr(1).channel <= TO_DP_CHANNEL(0);
+        nxt_snk_in_reg_arr(2).valid   <= '0';
+        nxt_snk_in_reg_arr(2).channel <= TO_DP_CHANNEL(0);
+        nxt_snk_in_reg_arr(3).channel <= TO_DP_CHANNEL(3);  -- 0,1,2 match: put count=3 here
       elsif func_dp_data_match(snk_in_reg_arr(1), snk_in_reg_arr(2), g_data_w) and snk_in_reg_arr(1).sync = '0' then
-          nxt_snk_in_reg_arr(2).valid   <= '0';
-          nxt_snk_in_reg_arr(2).channel <= TO_DP_CHANNEL(0);
-          nxt_snk_in_reg_arr(3).channel <= TO_DP_CHANNEL(2);  -- 1,2 match: put count=2 here
+        nxt_snk_in_reg_arr(2).valid   <= '0';
+        nxt_snk_in_reg_arr(2).channel <= TO_DP_CHANNEL(0);
+        nxt_snk_in_reg_arr(3).channel <= TO_DP_CHANNEL(2);  -- 1,2 match: put count=2 here
       elsif func_dp_data_match(snk_in_reg_arr(0), snk_in_reg_arr(2), g_data_w) and (snk_in_reg_arr(0).sync = '0' and snk_in_reg_arr(1).sync = '0') then
-          nxt_snk_in_reg_arr(1).valid   <= '0';
-          nxt_snk_in_reg_arr(1).channel <= TO_DP_CHANNEL(0);
-          nxt_snk_in_reg_arr(3).channel <= TO_DP_CHANNEL(2);  -- 0,2 match: put count=2 here
+        nxt_snk_in_reg_arr(1).valid   <= '0';
+        nxt_snk_in_reg_arr(1).channel <= TO_DP_CHANNEL(0);
+        nxt_snk_in_reg_arr(3).channel <= TO_DP_CHANNEL(2);  -- 0,2 match: put count=2 here
       end if;
     end if;
   end process;
@@ -411,23 +413,23 @@ begin
 
   gen_common_ram_r_w : for i in 0 to c_nof_ram_pages - 1 generate
     u_common_ram_r_w : entity common_lib.common_ram_r_w
-    generic map (
-      g_technology     => c_tech_select_default,
-      g_ram            => c_ram,
-      g_init_file      => "UNUSED"
-    )
-    port map (
-      rst      => dp_rst,
-      clk      => dp_clk,
-      clken    => '1',
-      wr_en    => common_ram_r_w_wr_mosi_arr(i).wr,
-      wr_adr   => common_ram_r_w_wr_mosi_arr(i).address(c_ram_adr_w - 1 downto 0),
-      wr_dat   => common_ram_r_w_wr_mosi_arr(i).wrdata(c_ram_dat_w - 1 downto 0),
-      rd_en    => common_ram_r_w_rd_mosi_arr(i).rd,
-      rd_adr   => common_ram_r_w_rd_mosi_arr(i).address(c_ram_adr_w - 1 downto 0),
-      rd_dat   => common_ram_r_w_rd_miso_arr(i).rddata(c_ram_dat_w - 1 downto 0),
-      rd_val   => common_ram_r_w_rd_miso_arr(i).rdval
-    );
+      generic map (
+        g_technology     => c_tech_select_default,
+        g_ram            => c_ram,
+        g_init_file      => "UNUSED"
+      )
+      port map (
+        rst      => dp_rst,
+        clk      => dp_clk,
+        clken    => '1',
+        wr_en    => common_ram_r_w_wr_mosi_arr(i).wr,
+        wr_adr   => common_ram_r_w_wr_mosi_arr(i).address(c_ram_adr_w - 1 downto 0),
+        wr_dat   => common_ram_r_w_wr_mosi_arr(i).wrdata(c_ram_dat_w - 1 downto 0),
+        rd_en    => common_ram_r_w_rd_mosi_arr(i).rd,
+        rd_adr   => common_ram_r_w_rd_mosi_arr(i).address(c_ram_adr_w - 1 downto 0),
+        rd_dat   => common_ram_r_w_rd_miso_arr(i).rddata(c_ram_dat_w - 1 downto 0),
+        rd_val   => common_ram_r_w_rd_miso_arr(i).rdval
+      );
   end generate;
 
 
diff --git a/libraries/dsp/st/src/vhdl/st_sst.vhd b/libraries/dsp/st/src/vhdl/st_sst.vhd
index 484830a5b1..505c5ab102 100644
--- a/libraries/dsp/st/src/vhdl/st_sst.vhd
+++ b/libraries/dsp/st/src/vhdl/st_sst.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;  -- for sim only
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;  -- for sim only
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Purpose:
 --   Store the (auto)power statistics of a complex input stream with
@@ -95,16 +95,20 @@ architecture str of st_sst is
   constant zeros          : std_logic_vector(c_nof_stat_w - 1 downto 0) := (others => '0');
 
   -- Statistics register
-  constant c_mm_ram       : t_c_mem := (latency  => 1,
-                                        adr_w    => c_nof_word_w,
-                                        dat_w    => c_word_w,
-                                        nof_dat  => c_nof_word,
-                                        init_sl  => '0');  -- MM side : sla_in, sla_out
-  constant c_stat_ram     : t_c_mem := (latency  => 1,
-                                        adr_w    => c_nof_stat_w,
-                                        dat_w    => g_stat_word_w,
-                                        nof_dat  => g_nof_stat,
-                                        init_sl  => '0');  -- ST side : stat_mosi
+  constant c_mm_ram       : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_nof_word_w,
+    dat_w    => c_word_w,
+    nof_dat  => c_nof_word,
+    init_sl  => '0'
+  );  -- MM side : sla_in, sla_out
+  constant c_stat_ram     : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_nof_stat_w,
+    dat_w    => g_stat_word_w,
+    nof_dat  => g_nof_stat,
+    init_sl  => '0'
+  );  -- ST side : stat_mosi
 
   constant c_field_arr : t_common_field_arr(0 downto 0) := (0 => ( field_name_pad("treshold"), "RW", c_nof_stat_w, field_default(0) ));
 
@@ -143,22 +147,22 @@ begin
   -- Register map for the treshold register
   ------------------------------------------------------------------------------
   register_map : entity mm_lib.mm_fields
-  generic map(
-    g_cross_clock_domain => true,
-    g_field_arr          => c_field_arr
-  )
-  port map (
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
+    generic map(
+      g_cross_clock_domain => true,
+      g_field_arr          => c_field_arr
+    )
+    port map (
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
 
-    mm_mosi => reg_st_sst_mosi,
-    mm_miso => reg_st_sst_miso,
+      mm_mosi => reg_st_sst_mosi,
+      mm_miso => reg_st_sst_miso,
 
-    slv_rst => dp_rst,
-    slv_clk => dp_clk,
+      slv_rst => dp_rst,
+      slv_clk => dp_clk,
 
-    slv_out => mm_fields_out
-  );
+      slv_out => mm_fields_out
+    );
 
   treshold <= mm_fields_out(field_hi(c_field_arr, "treshold") downto field_lo(c_field_arr, "treshold"));
 
@@ -196,49 +200,49 @@ begin
   -- input vlaues for the multiplier.
   ------------------------------------------------------------------------------
   treshold_cnt : entity common_lib.common_counter
-  generic map(
-    g_latency   => 1,
-    g_init      => 0,
-    g_width     => c_nof_stat_w,
-    g_max       => 0,
-    g_step_size => 1
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => in_complex.eop,
-    cnt_en  => in_complex.valid,
-    cnt_max => treshold,
-    count   => treshold_count
-  );
+    generic map(
+      g_latency   => 1,
+      g_init      => 0,
+      g_width     => c_nof_stat_w,
+      g_max       => 0,
+      g_step_size => 1
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => in_complex.eop,
+      cnt_en  => in_complex.valid,
+      cnt_max => treshold,
+      count   => treshold_count
+    );
 
   in_sync <= in_complex.sync;
 
   st_calc : entity work.st_calc
-  generic map (
-    g_technology   => g_technology,
-    g_nof_mux      => 1,
-    g_nof_stat     => g_nof_stat,
-    g_in_dat_w     => g_in_data_w,
-    g_out_dat_w    => g_stat_data_w,
-    g_out_adr_w    => c_nof_stat_w,
-    g_complex      => g_xst_enable
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-    in_ar          => r.in_a_re,
-    in_ai          => r.in_a_im,
-    in_br          => r.in_sosi_reg.re(g_in_data_w - 1 downto 0),
-    in_bi          => r.in_sosi_reg.im(g_in_data_w - 1 downto 0),
-    in_val         => r.in_sosi_reg.valid,
-    in_sync        => in_sync,
-    out_adr        => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
-    out_re         => stat_data_re,
-    out_im         => stat_data_im,
-    out_val        => stat_mosi.wr,
-    out_val_m      => open
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_nof_mux      => 1,
+      g_nof_stat     => g_nof_stat,
+      g_in_dat_w     => g_in_data_w,
+      g_out_dat_w    => g_stat_data_w,
+      g_out_adr_w    => c_nof_stat_w,
+      g_complex      => g_xst_enable
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+      in_ar          => r.in_a_re,
+      in_ai          => r.in_a_im,
+      in_br          => r.in_sosi_reg.re(g_in_data_w - 1 downto 0),
+      in_bi          => r.in_sosi_reg.im(g_in_data_w - 1 downto 0),
+      in_val         => r.in_sosi_reg.valid,
+      in_sync        => in_sync,
+      out_adr        => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
+      out_re         => stat_data_re,
+      out_im         => stat_data_im,
+      out_val        => stat_mosi.wr,
+      out_val_m      => open
+    );
 
   -- Auto correlations are unsigned value, cross correlations are signed values
   wrdata_re <= RESIZE_MEM_UDATA(stat_data_re) when g_xst_enable = false else RESIZE_MEM_SDATA(stat_data_re);
@@ -253,59 +257,6 @@ begin
 
   -- For SST or for real part of XST
   stat_reg_re : entity common_lib.common_ram_crw_crw_ratio
-  generic map (
-    g_technology => g_technology,
-    g_ram_a      => c_mm_ram,
-    g_ram_b      => c_stat_ram,
-    g_init_file  => "UNUSED"
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
-
-    wr_en_a   => ram_st_sst_mosi_arr(0).wr,  -- only for diagnostic purposes, typically statistics are read only
-    wr_dat_a  => ram_st_sst_mosi_arr(0).wrdata(c_mm_ram.dat_w - 1 downto 0),
-    adr_a     => ram_st_sst_mosi_arr(0).address(c_mm_ram.adr_w - 1 downto 0),
-    rd_en_a   => ram_st_sst_mosi_arr(0).rd,
-    rd_dat_a  => ram_st_sst_miso_arr(0).rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_a  => ram_st_sst_miso_arr(0).rdval,
-
-    wr_en_b   => stat_mosi.wr,
-    wr_dat_b  => wrdata_re(c_stat_ram.dat_w - 1 downto 0),
-    adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
-    rd_en_b   => '0',
-    rd_dat_b  => OPEN,
-    rd_val_b  => open
-  );
-
-  gen_sst: if g_xst_enable = false generate
-    ram_st_sst_mosi_arr(0) <= ram_st_sst_mosi;
-    ram_st_sst_miso        <= ram_st_sst_miso_arr(0);
-  end generate;
-
-  gen_xst: if g_xst_enable = true generate
-    ---------------------------------------------------------------
-    -- COMBINE MEMORY MAPPED INTERFACES
-    ---------------------------------------------------------------
-    -- Combine the internal array of mm interfaces for both real
-    -- and imaginary part.
-    u_mem_mux_select : entity common_lib.common_mem_mux
-    generic map (
-      g_nof_mosi    => c_nof_complex,
-      g_mult_addr_w => c_nof_word_w
-    )
-    port map (
-      mosi     => ram_st_sst_mosi,
-      miso     => ram_st_sst_miso,
-      mosi_arr => ram_st_sst_mosi_arr,
-      miso_arr => ram_st_sst_miso_arr
-    );
-
-    -- For imaginary part of XST
-    stat_reg_im : entity common_lib.common_ram_crw_crw_ratio
     generic map (
       g_technology => g_technology,
       g_ram_a      => c_mm_ram,
@@ -319,20 +270,73 @@ begin
       rst_b     => dp_rst,
       clk_b     => dp_clk,
 
-      wr_en_a   => ram_st_sst_mosi_arr(1).wr,  -- only for diagnostic purposes, typically statistics are read only
-      wr_dat_a  => ram_st_sst_mosi_arr(1).wrdata(c_mm_ram.dat_w - 1 downto 0),
-      adr_a     => ram_st_sst_mosi_arr(1).address(c_mm_ram.adr_w - 1 downto 0),
-      rd_en_a   => ram_st_sst_mosi_arr(1).rd,
-      rd_dat_a  => ram_st_sst_miso_arr(1).rddata(c_mm_ram.dat_w - 1 downto 0),
-      rd_val_a  => ram_st_sst_miso_arr(1).rdval,
+      wr_en_a   => ram_st_sst_mosi_arr(0).wr,  -- only for diagnostic purposes, typically statistics are read only
+      wr_dat_a  => ram_st_sst_mosi_arr(0).wrdata(c_mm_ram.dat_w - 1 downto 0),
+      adr_a     => ram_st_sst_mosi_arr(0).address(c_mm_ram.adr_w - 1 downto 0),
+      rd_en_a   => ram_st_sst_mosi_arr(0).rd,
+      rd_dat_a  => ram_st_sst_miso_arr(0).rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_a  => ram_st_sst_miso_arr(0).rdval,
 
       wr_en_b   => stat_mosi.wr,
-      wr_dat_b  => wrdata_im(c_stat_ram.dat_w - 1 downto 0),
+      wr_dat_b  => wrdata_re(c_stat_ram.dat_w - 1 downto 0),
       adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
       rd_en_b   => '0',
       rd_dat_b  => OPEN,
       rd_val_b  => open
     );
+
+  gen_sst: if g_xst_enable = false generate
+    ram_st_sst_mosi_arr(0) <= ram_st_sst_mosi;
+    ram_st_sst_miso        <= ram_st_sst_miso_arr(0);
+  end generate;
+
+  gen_xst: if g_xst_enable = true generate
+    ---------------------------------------------------------------
+    -- COMBINE MEMORY MAPPED INTERFACES
+    ---------------------------------------------------------------
+    -- Combine the internal array of mm interfaces for both real
+    -- and imaginary part.
+    u_mem_mux_select : entity common_lib.common_mem_mux
+      generic map (
+        g_nof_mosi    => c_nof_complex,
+        g_mult_addr_w => c_nof_word_w
+      )
+      port map (
+        mosi     => ram_st_sst_mosi,
+        miso     => ram_st_sst_miso,
+        mosi_arr => ram_st_sst_mosi_arr,
+        miso_arr => ram_st_sst_miso_arr
+      );
+
+    -- For imaginary part of XST
+    stat_reg_im : entity common_lib.common_ram_crw_crw_ratio
+      generic map (
+        g_technology => g_technology,
+        g_ram_a      => c_mm_ram,
+        g_ram_b      => c_stat_ram,
+        g_init_file  => "UNUSED"
+      )
+      port map (
+        rst_a     => mm_rst,
+        clk_a     => mm_clk,
+
+        rst_b     => dp_rst,
+        clk_b     => dp_clk,
+
+        wr_en_a   => ram_st_sst_mosi_arr(1).wr,  -- only for diagnostic purposes, typically statistics are read only
+        wr_dat_a  => ram_st_sst_mosi_arr(1).wrdata(c_mm_ram.dat_w - 1 downto 0),
+        adr_a     => ram_st_sst_mosi_arr(1).address(c_mm_ram.adr_w - 1 downto 0),
+        rd_en_a   => ram_st_sst_mosi_arr(1).rd,
+        rd_dat_a  => ram_st_sst_miso_arr(1).rddata(c_mm_ram.dat_w - 1 downto 0),
+        rd_val_a  => ram_st_sst_miso_arr(1).rdval,
+
+        wr_en_b   => stat_mosi.wr,
+        wr_dat_b  => wrdata_im(c_stat_ram.dat_w - 1 downto 0),
+        adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
+        rd_en_b   => '0',
+        rd_dat_b  => OPEN,
+        rd_val_b  => open
+      );
   end generate;
 
 end str;
diff --git a/libraries/dsp/st/src/vhdl/st_xsq.vhd b/libraries/dsp/st/src/vhdl/st_xsq.vhd
index b7a38d2cf0..51a28a78ca 100644
--- a/libraries/dsp/st/src/vhdl/st_xsq.vhd
+++ b/libraries/dsp/st/src/vhdl/st_xsq.vhd
@@ -45,13 +45,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;  -- for sim only
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;  -- for sim only
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity st_xsq is
   generic (
@@ -88,16 +88,20 @@ architecture str of st_xsq is
   constant c_total_ram_addr_w  : natural := ceil_log2(c_nof_complex) + c_nof_word_w;
 
   -- Statistics register
-  constant c_mm_ram   : t_c_mem := (latency  => 1,
-                                    adr_w    => c_nof_word_w,
-                                    dat_w    => c_word_w,
-                                    nof_dat  => c_nof_word,
-                                    init_sl  => '0');  -- MM side : sla_in, sla_out
-  constant c_stat_ram : t_c_mem := (latency  => 1,
-                                    adr_w    => c_nof_stat_w,
-                                    dat_w    => c_stat_word_w,
-                                    nof_dat  => c_nof_statistics,
-                                    init_sl  => '0');  -- ST side : stat_mosi
+  constant c_mm_ram   : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_nof_word_w,
+    dat_w    => c_word_w,
+    nof_dat  => c_nof_word,
+    init_sl  => '0'
+  );  -- MM side : sla_in, sla_out
+  constant c_stat_ram : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_nof_stat_w,
+    dat_w    => c_stat_word_w,
+    nof_dat  => c_nof_statistics,
+    init_sl  => '0'
+  );  -- ST side : stat_mosi
   signal pipe_in_a    : t_dp_sosi;
   signal pipe_in_b    : t_dp_sosi;
 
@@ -125,58 +129,58 @@ begin
   -- pipeline inputs to increase latency with 1 in comparison to sync for st_calc
   ---------------------------------------------------------------
   u_dp_pipeline_a : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => in_a,
-    -- ST source
-    src_out      => pipe_in_a
-  );
+    generic map (
+      g_pipeline => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => in_a,
+      -- ST source
+      src_out      => pipe_in_a
+    );
 
   u_dp_pipeline_b : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_in       => in_b,
-    -- ST source
-    src_out      => pipe_in_b
-  );
+    generic map (
+      g_pipeline => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_in       => in_b,
+      -- ST source
+      src_out      => pipe_in_b
+    );
 
   ---------------------------------------------------------------
   -- st_calc
   ---------------------------------------------------------------
   st_calc : entity work.st_calc
-  generic map (
-    g_nof_mux      => 1,
-    g_nof_stat     => c_nof_statistics,
-    g_in_dat_w     => g_in_data_w,
-    g_out_dat_w    => g_stat_data_w,
-    g_out_adr_w    => c_nof_stat_w,
-    g_complex      => true
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-    in_ar          => pipe_in_a.re(g_in_data_w - 1 downto 0),
-    in_ai          => pipe_in_a.im(g_in_data_w - 1 downto 0),
-    in_br          => pipe_in_b.re(g_in_data_w - 1 downto 0),
-    in_bi          => pipe_in_b.im(g_in_data_w - 1 downto 0),
-    in_val         => pipe_in_a.valid,
-    in_sync        => in_a.sync,
-    out_adr        => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
-    out_re         => stat_data_re,
-    out_im         => stat_data_im,
-    out_val        => stat_mosi.wr,
-    out_val_m      => open
-  );
+    generic map (
+      g_nof_mux      => 1,
+      g_nof_stat     => c_nof_statistics,
+      g_in_dat_w     => g_in_data_w,
+      g_out_dat_w    => g_stat_data_w,
+      g_out_adr_w    => c_nof_stat_w,
+      g_complex      => true
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+      in_ar          => pipe_in_a.re(g_in_data_w - 1 downto 0),
+      in_ai          => pipe_in_a.im(g_in_data_w - 1 downto 0),
+      in_br          => pipe_in_b.re(g_in_data_w - 1 downto 0),
+      in_bi          => pipe_in_b.im(g_in_data_w - 1 downto 0),
+      in_val         => pipe_in_a.valid,
+      in_sync        => in_a.sync,
+      out_adr        => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
+      out_re         => stat_data_re,
+      out_im         => stat_data_im,
+      out_val        => stat_mosi.wr,
+      out_val_m      => open
+    );
 
   -- Cross correlations are signed values
   wrdata_re <= RESIZE_MEM_SDATA(stat_data_re);
@@ -207,75 +211,75 @@ begin
   -- Combine the internal array of mm interfaces for both real
   -- and imaginary part.
   u_mem_mux_select : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => c_nof_complex,
-    g_mult_addr_w => c_nof_word_w,
-    g_rd_latency  => 1
-  )
-  port map (
-    clk      => mm_clk,
-    mosi     => remapped_ram_st_xsq_mosi,
-    miso     => ram_st_xsq_miso,
-    mosi_arr => ram_st_xsq_mosi_arr,
-    miso_arr => ram_st_xsq_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => c_nof_complex,
+      g_mult_addr_w => c_nof_word_w,
+      g_rd_latency  => 1
+    )
+    port map (
+      clk      => mm_clk,
+      mosi     => remapped_ram_st_xsq_mosi,
+      miso     => ram_st_xsq_miso,
+      mosi_arr => ram_st_xsq_mosi_arr,
+      miso_arr => ram_st_xsq_miso_arr
+    );
 
   -- ram for real values
   stat_reg_re : entity common_lib.common_ram_crw_crw_ratio
-  generic map (
-    g_ram_a      => c_mm_ram,
-    g_ram_b      => c_stat_ram,
-    g_init_file  => "UNUSED"
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
+    generic map (
+      g_ram_a      => c_mm_ram,
+      g_ram_b      => c_stat_ram,
+      g_init_file  => "UNUSED"
+    )
+    port map (
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
 
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
+      rst_b     => dp_rst,
+      clk_b     => dp_clk,
 
-    wr_en_a   => ram_st_xsq_mosi_arr(0).wr,  -- only for diagnostic purposes, typically statistics are read only
-    wr_dat_a  => ram_st_xsq_mosi_arr(0).wrdata(c_mm_ram.dat_w - 1 downto 0),
-    adr_a     => ram_st_xsq_mosi_arr(0).address(c_mm_ram.adr_w - 1 downto 0),
-    rd_en_a   => ram_st_xsq_mosi_arr(0).rd,
-    rd_dat_a  => ram_st_xsq_miso_arr(0).rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_a  => ram_st_xsq_miso_arr(0).rdval,
+      wr_en_a   => ram_st_xsq_mosi_arr(0).wr,  -- only for diagnostic purposes, typically statistics are read only
+      wr_dat_a  => ram_st_xsq_mosi_arr(0).wrdata(c_mm_ram.dat_w - 1 downto 0),
+      adr_a     => ram_st_xsq_mosi_arr(0).address(c_mm_ram.adr_w - 1 downto 0),
+      rd_en_a   => ram_st_xsq_mosi_arr(0).rd,
+      rd_dat_a  => ram_st_xsq_miso_arr(0).rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_a  => ram_st_xsq_miso_arr(0).rdval,
 
-    wr_en_b   => stat_mosi.wr,
-    wr_dat_b  => wrdata_re(c_stat_ram.dat_w - 1 downto 0),
-    adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
-    rd_en_b   => '0',
-    rd_dat_b  => OPEN,
-    rd_val_b  => open
-  );
+      wr_en_b   => stat_mosi.wr,
+      wr_dat_b  => wrdata_re(c_stat_ram.dat_w - 1 downto 0),
+      adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
+      rd_en_b   => '0',
+      rd_dat_b  => OPEN,
+      rd_val_b  => open
+    );
 
   -- ram for imaginary values
   stat_reg_im : entity common_lib.common_ram_crw_crw_ratio
-  generic map (
-    g_ram_a      => c_mm_ram,
-    g_ram_b      => c_stat_ram,
-    g_init_file  => "UNUSED"
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
+    generic map (
+      g_ram_a      => c_mm_ram,
+      g_ram_b      => c_stat_ram,
+      g_init_file  => "UNUSED"
+    )
+    port map (
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
 
-    rst_b     => dp_rst,
-    clk_b     => dp_clk,
+      rst_b     => dp_rst,
+      clk_b     => dp_clk,
 
-    wr_en_a   => ram_st_xsq_mosi_arr(1).wr,  -- only for diagnostic purposes, typically statistics are read only
-    wr_dat_a  => ram_st_xsq_mosi_arr(1).wrdata(c_mm_ram.dat_w - 1 downto 0),
-    adr_a     => ram_st_xsq_mosi_arr(1).address(c_mm_ram.adr_w - 1 downto 0),
-    rd_en_a   => ram_st_xsq_mosi_arr(1).rd,
-    rd_dat_a  => ram_st_xsq_miso_arr(1).rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_a  => ram_st_xsq_miso_arr(1).rdval,
+      wr_en_a   => ram_st_xsq_mosi_arr(1).wr,  -- only for diagnostic purposes, typically statistics are read only
+      wr_dat_a  => ram_st_xsq_mosi_arr(1).wrdata(c_mm_ram.dat_w - 1 downto 0),
+      adr_a     => ram_st_xsq_mosi_arr(1).address(c_mm_ram.adr_w - 1 downto 0),
+      rd_en_a   => ram_st_xsq_mosi_arr(1).rd,
+      rd_dat_a  => ram_st_xsq_miso_arr(1).rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_a  => ram_st_xsq_miso_arr(1).rdval,
 
-    wr_en_b   => stat_mosi.wr,
-    wr_dat_b  => wrdata_im(c_stat_ram.dat_w - 1 downto 0),
-    adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
-    rd_en_b   => '0',
-    rd_dat_b  => OPEN,
-    rd_val_b  => open
-  );
+      wr_en_b   => stat_mosi.wr,
+      wr_dat_b  => wrdata_im(c_stat_ram.dat_w - 1 downto 0),
+      adr_b     => stat_mosi.address(c_stat_ram.adr_w - 1 downto 0),
+      rd_en_b   => '0',
+      rd_dat_b  => OPEN,
+      rd_val_b  => open
+    );
 
 end str;
diff --git a/libraries/dsp/st/src/vhdl/st_xsq_arr.vhd b/libraries/dsp/st/src/vhdl/st_xsq_arr.vhd
index 80d350293f..1449f3bf94 100644
--- a/libraries/dsp/st/src/vhdl/st_xsq_arr.vhd
+++ b/libraries/dsp/st/src/vhdl/st_xsq_arr.vhd
@@ -26,11 +26,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 entity st_xsq_arr is
   generic (
     g_nof_streams       : natural := 1;
@@ -70,28 +70,28 @@ begin
   -- st_xsq instances
   gen_xsq : for I in 0 to g_nof_streams - 1 generate
     st_xsq : entity work.st_xsq
-    generic map (
-      g_nof_signal_inputs => g_nof_signal_inputs,
-      g_nof_crosslets     => g_nof_crosslets,
-      g_in_data_w         => g_in_data_w,
-      g_stat_data_w       => g_stat_data_w,
-      g_stat_data_sz      => g_stat_data_sz
-    )
-    port map (
+      generic map (
+        g_nof_signal_inputs => g_nof_signal_inputs,
+        g_nof_crosslets     => g_nof_crosslets,
+        g_in_data_w         => g_in_data_w,
+        g_stat_data_w       => g_stat_data_w,
+        g_stat_data_sz      => g_stat_data_sz
+      )
+      port map (
 
-      mm_rst => mm_rst,
-      mm_clk => mm_clk,
-      dp_rst => dp_rst,
-      dp_clk => dp_clk,
+        mm_rst => mm_rst,
+        mm_clk => mm_clk,
+        dp_rst => dp_rst,
+        dp_clk => dp_clk,
 
-      -- Streaming
-      in_a => in_a_arr(I),
-      in_b => in_b_arr(I),
+        -- Streaming
+        in_a => in_a_arr(I),
+        in_b => in_b_arr(I),
 
-      -- Memory Mapped
-      ram_st_xsq_mosi => ram_st_xsq_mosi_arr(I),
-      ram_st_xsq_miso => ram_st_xsq_miso_arr(I)
-    );
+        -- Memory Mapped
+        ram_st_xsq_mosi => ram_st_xsq_mosi_arr(I),
+        ram_st_xsq_miso => ram_st_xsq_miso_arr(I)
+      );
   end generate;
 
   ---------------------------------------------------------------
@@ -99,16 +99,16 @@ begin
   ---------------------------------------------------------------
   -- Combine the internal array of mm interfaces.
   u_mem_mux_select : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_nof_word_w
-  )
-  port map (
-    mosi     => ram_st_xsq_mosi,
-    miso     => ram_st_xsq_miso,
-    mosi_arr => ram_st_xsq_mosi_arr,
-    miso_arr => ram_st_xsq_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_nof_word_w
+    )
+    port map (
+      mosi     => ram_st_xsq_mosi,
+      miso     => ram_st_xsq_miso,
+      mosi_arr => ram_st_xsq_mosi_arr,
+      miso_arr => ram_st_xsq_miso_arr
+    );
 
 
 end str;
diff --git a/libraries/dsp/st/src/vhdl/st_xsq_dp_to_mm.vhd b/libraries/dsp/st/src/vhdl/st_xsq_dp_to_mm.vhd
index 606e676668..2a53a9c852 100644
--- a/libraries/dsp/st/src/vhdl/st_xsq_dp_to_mm.vhd
+++ b/libraries/dsp/st/src/vhdl/st_xsq_dp_to_mm.vhd
@@ -27,11 +27,11 @@
 -- --------------------------------------------------------------------------
 
 library IEEE,common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity st_xsq_dp_to_mm is
   generic (
@@ -64,39 +64,39 @@ architecture rtl of st_xsq_dp_to_mm is
 begin
 
   u_dp_block_to_mm : entity dp_lib.dp_block_to_mm
-  generic map(
-    g_data_size => 1,
-    g_step_size => 1,
-    g_nof_data => c_nof_data
-  )
-  port map (
-    rst => rst,
-    clk => clk,
-    start_address => 0,
-    mm_mosi => ram_wr_mosi,
-    in_sosi => in_sosi
-  );
+    generic map(
+      g_data_size => 1,
+      g_step_size => 1,
+      g_nof_data => c_nof_data
+    )
+    port map (
+      rst => rst,
+      clk => clk,
+      start_address => 0,
+      mm_mosi => ram_wr_mosi,
+      in_sosi => in_sosi
+    );
 
   u_common_paged_ram_r_w : entity common_lib.common_paged_ram_r_w
-  generic map(
-    g_data_w        => c_mm_ram_dat_w,
-    g_page_sz       => c_nof_data,
-    g_wr_start_page => 0,
-    g_rd_start_page => 1
-  )
-  port map (
-    rst => rst,
-    clk => clk,
-    wr_next_page => in_sosi.eop,
-    wr_adr       => ram_wr_mosi.address(c_mm_ram_adr_w - 1 downto 0),
-    wr_en        => ram_wr_mosi.wr,
-    wr_dat       => ram_wr_mosi.wrdata(c_mm_ram_dat_w - 1 downto 0),
-    rd_next_page => in_sosi.eop,
-    rd_adr       => mm_mosi.address(c_mm_ram_adr_w - 1 downto 0),
-    rd_en        => mm_mosi.rd,
-    rd_dat       => mm_miso.rddata(c_mm_ram_dat_w - 1 downto 0),
-    rd_val       => mm_miso.rdval
-  );
+    generic map(
+      g_data_w        => c_mm_ram_dat_w,
+      g_page_sz       => c_nof_data,
+      g_wr_start_page => 0,
+      g_rd_start_page => 1
+    )
+    port map (
+      rst => rst,
+      clk => clk,
+      wr_next_page => in_sosi.eop,
+      wr_adr       => ram_wr_mosi.address(c_mm_ram_adr_w - 1 downto 0),
+      wr_en        => ram_wr_mosi.wr,
+      wr_dat       => ram_wr_mosi.wrdata(c_mm_ram_dat_w - 1 downto 0),
+      rd_next_page => in_sosi.eop,
+      rd_adr       => mm_mosi.address(c_mm_ram_adr_w - 1 downto 0),
+      rd_en        => mm_mosi.rd,
+      rd_dat       => mm_miso.rddata(c_mm_ram_dat_w - 1 downto 0),
+      rd_val       => mm_miso.rdval
+    );
 
 
   p_control : process(rst, clk)
diff --git a/libraries/dsp/st/src/vhdl/st_xsq_mm_to_dp.vhd b/libraries/dsp/st/src/vhdl/st_xsq_mm_to_dp.vhd
index 63c6ee33f3..71807cfbf7 100644
--- a/libraries/dsp/st/src/vhdl/st_xsq_mm_to_dp.vhd
+++ b/libraries/dsp/st/src/vhdl/st_xsq_mm_to_dp.vhd
@@ -29,11 +29,11 @@
 -- --------------------------------------------------------------------------
 
 library IEEE,common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity st_xsq_mm_to_dp is
   generic (
diff --git a/libraries/dsp/st/src/vhdl/st_xst.vhd b/libraries/dsp/st/src/vhdl/st_xst.vhd
index 9783589ba4..1ee2af8f7f 100644
--- a/libraries/dsp/st/src/vhdl/st_xst.vhd
+++ b/libraries/dsp/st/src/vhdl/st_xst.vhd
@@ -30,11 +30,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 entity st_xst is
   generic (
     g_nof_streams       : natural := 1;
@@ -87,20 +87,20 @@ begin
 
   -- MM -> DP
   st_xsq_mm_to_dp : entity work.st_xsq_mm_to_dp
-  generic map(
-    g_nof_streams       => g_nof_streams,
-    g_nof_crosslets     => g_nof_crosslets,
-    g_nof_signal_inputs => g_nof_signal_inputs,
-    g_dsp_data_w        => g_in_data_w
-  )
-  port map(
-    rst          => dp_rst,
-    clk          => dp_clk,
-    in_sosi      => in_sosi,
-    mm_mosi      => mm_mosi,
-    mm_miso_arr  => mm_miso_arr,
-    out_sosi_arr => x_sosi_arr
-  );
+    generic map(
+      g_nof_streams       => g_nof_streams,
+      g_nof_crosslets     => g_nof_crosslets,
+      g_nof_signal_inputs => g_nof_signal_inputs,
+      g_dsp_data_w        => g_in_data_w
+    )
+    port map(
+      rst          => dp_rst,
+      clk          => dp_clk,
+      in_sosi      => in_sosi,
+      mm_mosi      => mm_mosi,
+      mm_miso_arr  => mm_miso_arr,
+      out_sosi_arr => x_sosi_arr
+    );
 
   -- in_b_sosi_arr = x_sosi_arr
   in_b_sosi_arr <= x_sosi_arr;
@@ -174,29 +174,29 @@ begin
 
   -- st_xsq instances
   st_xsq_arr : entity work.st_xsq_arr
-  generic map (
-    g_nof_streams       => g_nof_streams,
-    g_nof_crosslets     => g_nof_crosslets,
-    g_nof_signal_inputs => g_nof_signal_inputs,
-    g_in_data_w         => g_in_data_w,
-    g_stat_data_w       => g_stat_data_w,
-    g_stat_data_sz      => g_stat_data_sz
-  )
-  port map (
-
-    mm_rst => mm_rst,
-    mm_clk => mm_clk,
-    dp_rst => dp_rst,
-    dp_clk => dp_clk,
-
-    -- Streaming
-    in_a_arr => in_a_sosi_arr,
-    in_b_arr => in_b_sosi_arr,
-
-    -- Memory Mapped
-    ram_st_xsq_mosi => ram_st_xsq_mosi,
-    ram_st_xsq_miso => ram_st_xsq_miso
-  );
+    generic map (
+      g_nof_streams       => g_nof_streams,
+      g_nof_crosslets     => g_nof_crosslets,
+      g_nof_signal_inputs => g_nof_signal_inputs,
+      g_in_data_w         => g_in_data_w,
+      g_stat_data_w       => g_stat_data_w,
+      g_stat_data_sz      => g_stat_data_sz
+    )
+    port map (
+
+      mm_rst => mm_rst,
+      mm_clk => mm_clk,
+      dp_rst => dp_rst,
+      dp_clk => dp_clk,
+
+      -- Streaming
+      in_a_arr => in_a_sosi_arr,
+      in_b_arr => in_b_sosi_arr,
+
+      -- Memory Mapped
+      ram_st_xsq_mosi => ram_st_xsq_mosi,
+      ram_st_xsq_miso => ram_st_xsq_miso
+    );
 
 
 end str;
diff --git a/libraries/dsp/st/tb/vhdl/tb_mmf_st_sst.vhd b/libraries/dsp/st/tb/vhdl/tb_mmf_st_sst.vhd
index 3fe4ef64d4..1e9891463d 100644
--- a/libraries/dsp/st/tb/vhdl/tb_mmf_st_sst.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_mmf_st_sst.vhd
@@ -33,17 +33,17 @@
 --   > Evalute the WAVE window.
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tb_mmf_st_sst is
   generic(
@@ -130,7 +130,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -140,97 +140,97 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_ST_SST")
-                                           port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
+    port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
 
   u_mm_file_reg_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_ST_SST")
-                                           port map(mm_rst, mm_clk, reg_st_sst_mosi, reg_st_sst_miso);
+    port map(mm_rst, mm_clk, reg_st_sst_mosi, reg_st_sst_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   -- Combine the internal array of mm interfaces for the beamlet statistics to one array that is connected to the port of bf
   u_mem_mux_ram_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_instances,
-    g_mult_addr_w => c_ram_addr_w
-  )
-  port map (
-    mosi     => ram_st_sst_mosi,
-    miso     => ram_st_sst_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_instances,
+      g_mult_addr_w => c_ram_addr_w
+    )
+    port map (
+      mosi     => ram_st_sst_mosi,
+      miso     => ram_st_sst_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   u_mem_mux_reg_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_instances,
-    g_mult_addr_w => 1
-  )
-  port map (
-    mosi     => reg_st_sst_mosi,
-    miso     => reg_st_sst_miso,
-    mosi_arr => reg_st_sst_mosi_arr,
-    miso_arr => reg_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_instances,
+      g_mult_addr_w => 1
+    )
+    port map (
+      mosi     => reg_st_sst_mosi,
+      miso     => reg_st_sst_miso,
+      mosi_arr => reg_st_sst_mosi_arr,
+      miso_arr => reg_st_sst_miso_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   gen_duts : for I in 0 to g_nof_instances - 1 generate
     u_dut : entity work.st_sst
-    generic map(
-      g_nof_stat       => g_nof_stat,
-      g_xst_enable     => g_xst_enable,
-      g_in_data_w      => g_in_data_w,
-      g_stat_data_w    => g_stat_data_w,
-      g_stat_data_sz   => g_stat_data_sz
-    )
-    port map(
-      mm_rst           =>  mm_rst,
-      mm_clk           =>  mm_clk,
-      dp_rst           =>  dp_rst,
-      dp_clk           =>  dp_clk,
-
-      -- Streaming
-      in_complex       => bg_sosi_arr(I),
-
-      -- Memory Mapped
-      ram_st_sst_mosi  => ram_st_sst_mosi_arr(I),
-      ram_st_sst_miso  => ram_st_sst_miso_arr(I),
-      reg_st_sst_mosi  => reg_st_sst_mosi_arr(I),
-      reg_st_sst_miso  => reg_st_sst_miso_arr(I)
-    );
+      generic map(
+        g_nof_stat       => g_nof_stat,
+        g_xst_enable     => g_xst_enable,
+        g_in_data_w      => g_in_data_w,
+        g_stat_data_w    => g_stat_data_w,
+        g_stat_data_sz   => g_stat_data_sz
+      )
+      port map(
+        mm_rst           =>  mm_rst,
+        mm_clk           =>  mm_clk,
+        dp_rst           =>  dp_rst,
+        dp_clk           =>  dp_clk,
+
+        -- Streaming
+        in_complex       => bg_sosi_arr(I),
+
+        -- Memory Mapped
+        ram_st_sst_mosi  => ram_st_sst_mosi_arr(I),
+        ram_st_sst_miso  => ram_st_sst_miso_arr(I),
+        reg_st_sst_mosi  => reg_st_sst_mosi_arr(I),
+        reg_st_sst_miso  => reg_st_sst_miso_arr(I)
+      );
   end generate;
 
 end tb;
diff --git a/libraries/dsp/st/tb/vhdl/tb_mmp_st_histogram.vhd b/libraries/dsp/st/tb/vhdl/tb_mmp_st_histogram.vhd
index e4b4f4da51..a1d244f4ad 100644
--- a/libraries/dsp/st/tb/vhdl/tb_mmp_st_histogram.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_mmp_st_histogram.vhd
@@ -37,14 +37,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_mmp_st_histogram is
   generic(
@@ -169,25 +169,25 @@ begin
   end generate;
 
   u_mmp_st_histogram : entity work.mmp_st_histogram
-  generic map(
-    g_nof_instances     => g_nof_instances,
-    g_data_w            => g_data_w,
-    g_nof_bins          => g_nof_bins,
-    g_nof_data_per_sync => g_nof_data_per_sync,
-    g_nof_data_per_sync_diff => g_nof_data_per_sync_diff
-  )
-  port map (
-    dp_clk       => dp_clk,
-    dp_rst       => dp_rst,
+    generic map(
+      g_nof_instances     => g_nof_instances,
+      g_data_w            => g_data_w,
+      g_nof_bins          => g_nof_bins,
+      g_nof_data_per_sync => g_nof_data_per_sync,
+      g_nof_data_per_sync_diff => g_nof_data_per_sync_diff
+    )
+    port map (
+      dp_clk       => dp_clk,
+      dp_rst       => dp_rst,
 
-    mm_clk       => mm_clk,
-    mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+      mm_rst       => mm_rst,
 
-    snk_in_arr   => st_histogram_snk_in_arr,
+      snk_in_arr   => st_histogram_snk_in_arr,
 
-    ram_copi     => st_histogram_ram_copi,
-    ram_cipo     => st_histogram_ram_cipo
-  );
+      ram_copi     => st_histogram_ram_copi,
+      ram_cipo     => st_histogram_ram_cipo
+    );
 
 
   ----------------------------------------------------------------------------
@@ -247,14 +247,14 @@ begin
         if i = 0 then  -- Sync period 0: we expect RAM to contain zeros
           assert histogram_data = 0 report "RAM contains wrong bin count (expected 0, actual " & integer'image(histogram_data) & ")" severity ERROR;
         else  -- Sync period 1 onwards
-         v_expected_ram_content_counter := c_expected_ram_content_counter;
-         if ver_long_sync_interval and j = 0 then
-          -- Long sync interval: more counter values (counter wraps) so lowest bin has double the amount
-           v_expected_ram_content_counter := 2 * c_expected_ram_content_counter;
-         elsif ver_long_sync_interval = false and j = g_nof_bins - 1 then
-          -- Short sync interval: less counter values (counter does not reach max) so highest bin remains zero
-           v_expected_ram_content_counter := 0;
-         end if;
+          v_expected_ram_content_counter := c_expected_ram_content_counter;
+          if ver_long_sync_interval and j = 0 then
+            -- Long sync interval: more counter values (counter wraps) so lowest bin has double the amount
+            v_expected_ram_content_counter := 2 * c_expected_ram_content_counter;
+          elsif ver_long_sync_interval = false and j = g_nof_bins - 1 then
+            -- Short sync interval: less counter values (counter does not reach max) so highest bin remains zero
+            v_expected_ram_content_counter := 0;
+          end if;
           -- Check counter data: bin values remain the same every sync
           assert histogram_data = v_expected_ram_content_counter report "RAM contains wrong bin count (expected " & integer'image(v_expected_ram_content_counter) & ", actual " & integer'image(histogram_data) & ")" severity ERROR;
         end if;
@@ -269,7 +269,7 @@ begin
         if ver_long_sync_interval then
           v_sum_of_bins := g_nof_data_per_sync + g_nof_data_per_sync_diff;
         end if;
-      assert sum_of_bins = v_sum_of_bins report "Sum of bins not equal to g_nof_data_per_sync (expected " & integer'image(v_sum_of_bins) & ", actual " & integer'image(sum_of_bins) & ")" severity ERROR;
+        assert sum_of_bins = v_sum_of_bins report "Sum of bins not equal to g_nof_data_per_sync (expected " & integer'image(v_sum_of_bins) & ", actual " & integer'image(sum_of_bins) & ")" severity ERROR;
       end if;
       ver_long_sync_interval <= not ver_long_sync_interval;
     end loop;
diff --git a/libraries/dsp/st/tb/vhdl/tb_st_acc.vhd b/libraries/dsp/st/tb/vhdl/tb_st_acc.vhd
index 62ea4b66f4..52acdf2111 100644
--- a/libraries/dsp/st/tb/vhdl/tb_st_acc.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_st_acc.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_st_acc is
@@ -42,8 +42,9 @@ architecture tb of tb_st_acc is
 
   constant c_pipeline    : natural := g_pipeline_input + g_pipeline_output;
 
-  function func_acc(in_dat, in_acc  : std_logic_vector;
-                    in_val, in_load : std_logic) return std_logic_vector is
+  function func_acc (
+    in_dat, in_acc  : std_logic_vector;
+    in_val, in_load : std_logic) return std_logic_vector is
     variable v_dat, v_acc, v_result : integer;
   begin
     -- Calculate expected result
@@ -56,7 +57,7 @@ architecture tb of tb_st_acc is
     end if;
     -- Wrap to avoid warning: NUMERIC_STD.TO_SIGNED: vector truncated
     if v_result >  2**(g_acc_w - 1) - 1 then v_result := v_result - 2**g_acc_w; end if;
-    if v_result < - 2**(g_acc_w - 1)   then v_result := v_result + 2**g_acc_w; end if;
+    if v_result < - 2**(g_acc_w - 1) then v_result := v_result + 2**g_acc_w; end if;
     return TO_SVEC(v_result, g_acc_w);
   end;
 
@@ -100,10 +101,10 @@ begin
         wait until rising_edge(clk);
         -- keep in_load low during rest of period
         in_load <= '0';
---         -- keep in_val low during rest of st_acc latency, to ease manual interpretation of out_acc as in_acc
---         in_val <= '0';
---         FOR J IN 1 TO c_pipeline-1 LOOP WAIT UNTIL rising_edge(clk); END LOOP;
---         in_val <= '1';
+      --         -- keep in_val low during rest of st_acc latency, to ease manual interpretation of out_acc as in_acc
+      --         in_val <= '0';
+      --         FOR J IN 1 TO c_pipeline-1 LOOP WAIT UNTIL rising_edge(clk); END LOOP;
+      --         in_val <= '1';
       end loop;
     end loop;
     in_load <= '1';  -- keep '1' to avoid further toggling of out_acc (in a real design this would safe power)
@@ -119,23 +120,23 @@ begin
   ------------------------------------------------------------------------------
 
   dut : entity work.st_acc
-  generic map (
-    g_dat_w            => g_dat_w,
-    g_acc_w            => g_acc_w,
-    g_hold_load        => g_hold_load,
-    g_pipeline_input   => g_pipeline_input,
-    g_pipeline_output  => g_pipeline_output
-  )
-  port map (
-    clk        => clk,
-    clken      => '1',
-    in_load    => in_load,  -- start of accumulate period
-    in_dat     => in_dat,
-    in_acc     => in_acc,  -- use only one accumulator
-    in_val     => in_val,
-    out_acc    => out_acc,
-    out_val    => out_val
-  );
+    generic map (
+      g_dat_w            => g_dat_w,
+      g_acc_w            => g_acc_w,
+      g_hold_load        => g_hold_load,
+      g_pipeline_input   => g_pipeline_input,
+      g_pipeline_output  => g_pipeline_output
+    )
+    port map (
+      clk        => clk,
+      clken      => '1',
+      in_load    => in_load,  -- start of accumulate period
+      in_dat     => in_dat,
+      in_acc     => in_acc,  -- use only one accumulator
+      in_val     => in_val,
+      out_acc    => out_acc,
+      out_val    => out_val
+    );
 
   in_acc <= out_acc when c_pipeline > 0 else
             out_acc when rising_edge(clk);  -- if DUT has no pipeline, then register feedback to avoid combinatorial loop
@@ -148,19 +149,19 @@ begin
   expected_acc <= func_acc(in_dat, in_acc, in_val, in_load);
 
   u_result : entity common_lib.common_pipeline
-  generic map (
-    g_representation => "SIGNED",
-    g_pipeline       => c_pipeline,
-    g_reset_value    => 0,
-    g_in_dat_w       => g_acc_w,
-    g_out_dat_w      => g_acc_w
-  )
-  port map (
-    clk     => clk,
-    clken   => '1',
-    in_dat  => expected_acc,
-    out_dat => expected_acc_p
-  );
+    generic map (
+      g_representation => "SIGNED",
+      g_pipeline       => c_pipeline,
+      g_reset_value    => 0,
+      g_in_dat_w       => g_acc_w,
+      g_out_dat_w      => g_acc_w
+    )
+    port map (
+      clk     => clk,
+      clken   => '1',
+      in_dat  => expected_acc,
+      out_dat => expected_acc_p
+    );
 
   p_verify : process(clk)
   begin
diff --git a/libraries/dsp/st/tb/vhdl/tb_st_calc.vhd b/libraries/dsp/st/tb/vhdl/tb_st_calc.vhd
index 23f4035cfe..1e0302fbad 100644
--- a/libraries/dsp/st/tb/vhdl/tb_st_calc.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_st_calc.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_st_calc is
@@ -102,29 +102,29 @@ begin
   end process;
 
   u_dut : entity work.st_calc
-  generic map (
-    g_nof_mux       => 1,
-    g_nof_stat      => c_nof_stat,
-    g_in_dat_w      => g_in_dat_w,
-    g_out_dat_w     => g_out_dat_w,
-    g_out_adr_w     => c_out_adr_w,
-    g_complex       => false
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    clken      => '1',
-    in_ar      => in_a_re,
-    in_ai      => in_a_im,
-    in_br      => in_b_re,
-    in_bi      => in_b_im,
-    in_val     => in_val,
-    in_sync    => in_sync,
-    out_adr    => out_adr,
-    out_re     => out_re,
-    out_im     => out_im,
-    out_val    => out_val,
-    out_val_m  => open
-  );
+    generic map (
+      g_nof_mux       => 1,
+      g_nof_stat      => c_nof_stat,
+      g_in_dat_w      => g_in_dat_w,
+      g_out_dat_w     => g_out_dat_w,
+      g_out_adr_w     => c_out_adr_w,
+      g_complex       => false
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      clken      => '1',
+      in_ar      => in_a_re,
+      in_ai      => in_a_im,
+      in_br      => in_b_re,
+      in_bi      => in_b_im,
+      in_val     => in_val,
+      in_sync    => in_sync,
+      out_adr    => out_adr,
+      out_re     => out_re,
+      out_im     => out_im,
+      out_val    => out_val,
+      out_val_m  => open
+    );
 
 end tb;
diff --git a/libraries/dsp/st/tb/vhdl/tb_st_histogram.vhd b/libraries/dsp/st/tb/vhdl/tb_st_histogram.vhd
index 09206c5117..363ff3e613 100644
--- a/libraries/dsp/st/tb/vhdl/tb_st_histogram.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_st_histogram.vhd
@@ -58,17 +58,17 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, mm_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_st_histogram is
   generic(
@@ -79,7 +79,7 @@ entity tb_st_histogram is
     g_stimuli_mode      : string  := "sine";  -- "counter", "dc", "sine" or "random"
     g_data_type         : string  := "signed";  -- use "signed" if g_stimuli_mode="sine"
     g_lock_sine         : boolean := true  -- TRUE to lock the sine wave to Sync - produces sparse histogram with low number of non-zero samples (occuring 2*c_sine_nof_periods)
-    );  -- FALSE produces a dense histogram as the drifting sine wave hits more levels.
+  );  -- FALSE produces a dense histogram as the drifting sine wave hits more levels.
 end tb_st_histogram;
 
 
@@ -246,21 +246,21 @@ begin
   st_histogram_snk_in <= stimuli_src_out;
 
   u_st_histogram : entity work.st_histogram
-  generic map(
-    g_data_w            => g_data_w,
-    g_nof_bins          => g_nof_bins,
-    g_nof_data_per_sync => g_nof_data_per_sync,
-    g_data_type         => g_data_type
-  )
-  port map (
-    dp_clk       => dp_clk,
-    dp_rst       => dp_rst,
-
-    snk_in       => st_histogram_snk_in,
-
-    ram_mosi     => st_histogram_ram_mosi,
-    ram_miso     => st_histogram_ram_miso
-  );
+    generic map(
+      g_data_w            => g_data_w,
+      g_nof_bins          => g_nof_bins,
+      g_nof_data_per_sync => g_nof_data_per_sync,
+      g_data_type         => g_data_type
+    )
+    port map (
+      dp_clk       => dp_clk,
+      dp_rst       => dp_rst,
+
+      snk_in       => st_histogram_snk_in,
+
+      ram_mosi     => st_histogram_ram_mosi,
+      ram_miso     => st_histogram_ram_miso
+    );
 
   ----------------------------------------------------------------------------
   -- Readout and verification of RAM contents
diff --git a/libraries/dsp/st/tb/vhdl/tb_st_pkg.vhd b/libraries/dsp/st/tb/vhdl/tb_st_pkg.vhd
index 21f2335396..96e149f430 100644
--- a/libraries/dsp/st/tb/vhdl/tb_st_pkg.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_st_pkg.vhd
@@ -21,19 +21,19 @@
 -- Purpose:
 -- Functions used in st_lib
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package tb_st_pkg is
 
-  function func_st_calculate_expected_xsq(a_re, a_im, b_re, b_im : t_integer_arr; N_crosslets, N_int : natural) return t_integer_arr;
+  function func_st_calculate_expected_xsq (a_re, a_im, b_re, b_im : t_integer_arr; N_crosslets, N_int : natural) return t_integer_arr;
 
 end tb_st_pkg;
 
 package body tb_st_pkg is
 
-  function func_st_calculate_expected_xsq(a_re, a_im, b_re, b_im : t_integer_arr; N_crosslets, N_int : natural) return t_integer_arr is
+  function func_st_calculate_expected_xsq (a_re, a_im, b_re, b_im : t_integer_arr; N_crosslets, N_int : natural) return t_integer_arr is
     constant c_N_s : natural := a_re'length / N_crosslets;
     constant c_xsq : natural := c_N_s * c_N_s;
     constant c_N_stat : natural := N_crosslets * c_xsq;
diff --git a/libraries/dsp/st/tb/vhdl/tb_st_xsq.vhd b/libraries/dsp/st/tb/vhdl/tb_st_xsq.vhd
index 009bbdba04..059f81a55f 100644
--- a/libraries/dsp/st/tb/vhdl/tb_st_xsq.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_st_xsq.vhd
@@ -33,21 +33,21 @@
 --   https://support.astron.nl/confluence/display/L2M/L5+SDPFW+Design+Document%3A+Subband+Correlator
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_math_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.tb_st_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_math_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.tb_st_pkg.all;
 
 entity tb_st_xsq is
   generic(
@@ -207,26 +207,26 @@ begin
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.st_xsq
-  generic map(
-    g_nof_signal_inputs  => g_nof_signal_inputs,
-    g_nof_crosslets      => g_nof_crosslets,
-    g_in_data_w          => g_in_data_w,
-    g_stat_data_w        => g_stat_data_w,
-    g_stat_data_sz       => g_stat_data_sz
-  )
-  port map(
-    mm_rst           =>  mm_rst,
-    mm_clk           =>  mm_clk,
-    dp_rst           =>  dp_rst,
-    dp_clk           =>  dp_clk,
-
-    -- Streaming
-    in_a             => in_sosi_a,
-    in_b             => in_sosi_b,
-
-    -- Memory Mapped
-    ram_st_xsq_mosi  => ram_st_xsq_mosi,
-    ram_st_xsq_miso  => ram_st_xsq_miso
-  );
+    generic map(
+      g_nof_signal_inputs  => g_nof_signal_inputs,
+      g_nof_crosslets      => g_nof_crosslets,
+      g_in_data_w          => g_in_data_w,
+      g_stat_data_w        => g_stat_data_w,
+      g_stat_data_sz       => g_stat_data_sz
+    )
+    port map(
+      mm_rst           =>  mm_rst,
+      mm_clk           =>  mm_clk,
+      dp_rst           =>  dp_rst,
+      dp_clk           =>  dp_clk,
+
+      -- Streaming
+      in_a             => in_sosi_a,
+      in_b             => in_sosi_b,
+
+      -- Memory Mapped
+      ram_st_xsq_mosi  => ram_st_xsq_mosi,
+      ram_st_xsq_miso  => ram_st_xsq_miso
+    );
 
 end tb;
diff --git a/libraries/dsp/st/tb/vhdl/tb_st_xst.vhd b/libraries/dsp/st/tb/vhdl/tb_st_xst.vhd
index 3df7a07f58..a9244c90c5 100644
--- a/libraries/dsp/st/tb/vhdl/tb_st_xst.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_st_xst.vhd
@@ -33,21 +33,21 @@
 --   https://support.astron.nl/confluence/display/L2M/L5+SDPFW+Design+Document%3A+Subband+Correlator
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_math_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.tb_st_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_math_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.tb_st_pkg.all;
 
 entity tb_st_xst is
   generic(
@@ -77,11 +77,13 @@ architecture tb of tb_st_xst is
   constant c_total_mem_size          : natural := g_nof_streams * c_nof_statistics_mem_size * g_stat_data_sz;
   constant c_random_seed             : natural := 100;
 
-  constant c_mm_ram   : t_c_mem := (latency  => 1,
-                                    adr_w    => ceil_log2(c_block_size),
-                                    dat_w    => c_nof_complex * g_in_data_w,
-                                    nof_dat  => c_block_size,
-                                    init_sl  => '0');  -- MM side : sla_in, sla_out
+  constant c_mm_ram   : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_block_size),
+    dat_w    => c_nof_complex * g_in_data_w,
+    nof_dat  => c_block_size,
+    init_sl  => '0'
+  );  -- MM side : sla_in, sla_out
 
   type t_random_in_2arr is array (integer range <>) of t_integer_arr(0 to c_block_size-1);
   type t_xsq_2arr       is array (integer range <>) of t_integer_arr(0 to c_nof_statistics * c_nof_complex - 1);
@@ -228,53 +230,53 @@ begin
   ----------------------------------------------------------------------------
   gen_ram : for I in 0 to g_nof_streams - 1 generate
     u_ram : entity common_lib.common_ram_cr_cw
-    generic map(
-      g_ram => c_mm_ram
-    )
-    port map(
-      wr_rst => mm_rst,
-      wr_clk => mm_clk,
-      wr_en  => in_mosi_arr(I).wr,
-      wr_adr => in_mosi_arr(I).address(c_mm_ram.adr_w - 1 downto 0),
-      wr_dat => in_mosi_arr(I).wrdata(c_mm_ram.dat_w - 1 downto 0),
-
-      rd_rst => dp_rst,
-      rd_clk => dp_clk,
-      rd_en  => st_xst_mm_mosi.rd,
-      rd_adr  => st_xst_mm_mosi.address(c_mm_ram.adr_w - 1 downto 0),
-      rd_dat  => st_xst_mm_miso_arr(I).rddata(c_mm_ram.dat_w - 1 downto 0),
-      rd_val  => st_xst_mm_miso_arr(I).rdval
-    );
+      generic map(
+        g_ram => c_mm_ram
+      )
+      port map(
+        wr_rst => mm_rst,
+        wr_clk => mm_clk,
+        wr_en  => in_mosi_arr(I).wr,
+        wr_adr => in_mosi_arr(I).address(c_mm_ram.adr_w - 1 downto 0),
+        wr_dat => in_mosi_arr(I).wrdata(c_mm_ram.dat_w - 1 downto 0),
+
+        rd_rst => dp_rst,
+        rd_clk => dp_clk,
+        rd_en  => st_xst_mm_mosi.rd,
+        rd_adr  => st_xst_mm_mosi.address(c_mm_ram.adr_w - 1 downto 0),
+        rd_dat  => st_xst_mm_miso_arr(I).rddata(c_mm_ram.dat_w - 1 downto 0),
+        rd_val  => st_xst_mm_miso_arr(I).rdval
+      );
   end generate;
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.st_xst
-  generic map(
-    g_nof_streams        => g_nof_streams,
-    g_nof_signal_inputs  => g_nof_signal_inputs,
-    g_nof_crosslets      => g_nof_crosslets,
-    g_in_data_w          => g_in_data_w,
-    g_stat_data_w        => g_stat_data_w,
-    g_stat_data_sz       => g_stat_data_sz
-  )
-  port map(
-    mm_rst           =>  mm_rst,
-    mm_clk           =>  mm_clk,
-    dp_rst           =>  dp_rst,
-    dp_clk           =>  dp_clk,
-
-    -- Streaming
-    in_sosi          => in_sosi,
-
-    -- DP Memory Mapped
-    mm_mosi          => st_xst_mm_mosi,
-    mm_miso_arr      => st_xst_mm_miso_arr,
-
-    -- Memory Mapped
-    ram_st_xsq_mosi  => ram_st_xsq_mosi,
-    ram_st_xsq_miso  => ram_st_xsq_miso
-  );
+    generic map(
+      g_nof_streams        => g_nof_streams,
+      g_nof_signal_inputs  => g_nof_signal_inputs,
+      g_nof_crosslets      => g_nof_crosslets,
+      g_in_data_w          => g_in_data_w,
+      g_stat_data_w        => g_stat_data_w,
+      g_stat_data_sz       => g_stat_data_sz
+    )
+    port map(
+      mm_rst           =>  mm_rst,
+      mm_clk           =>  mm_clk,
+      dp_rst           =>  dp_rst,
+      dp_clk           =>  dp_clk,
+
+      -- Streaming
+      in_sosi          => in_sosi,
+
+      -- DP Memory Mapped
+      mm_mosi          => st_xst_mm_mosi,
+      mm_miso_arr      => st_xst_mm_miso_arr,
+
+      -- Memory Mapped
+      ram_st_xsq_mosi  => ram_st_xsq_mosi,
+      ram_st_xsq_miso  => ram_st_xsq_miso
+    );
 
 end tb;
diff --git a/libraries/dsp/st/tb/vhdl/tb_tb_st_histogram.vhd b/libraries/dsp/st/tb/vhdl/tb_tb_st_histogram.vhd
index b8d227c8b0..664d9386d1 100644
--- a/libraries/dsp/st/tb/vhdl/tb_tb_st_histogram.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_tb_st_histogram.vhd
@@ -30,7 +30,7 @@
 --   . tb_st_histogram uses a sine wave as input by default
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_st_histogram is
 end tb_tb_st_histogram;
@@ -39,47 +39,47 @@ architecture tb of tb_tb_st_histogram is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 begin
 
---  g_nof_sync     : NATURAL := 4;
---  g_data_w       : NATURAL := 8;
---  g_nof_bins     : NATURAL := 256;
---  g_nof_data     : NATURAL := 1024;
---  g_stimuli_mode : STRING  := "dc";
---  g_data_type    : STRING  := "unsigned";
---  g_lock_sine    : BOOLEAN := TRUE
+  --  g_nof_sync     : NATURAL := 4;
+  --  g_data_w       : NATURAL := 8;
+  --  g_nof_bins     : NATURAL := 256;
+  --  g_nof_data     : NATURAL := 1024;
+  --  g_stimuli_mode : STRING  := "dc";
+  --  g_data_type    : STRING  := "unsigned";
+  --  g_lock_sine    : BOOLEAN := TRUE
 
--- Counter data
-u_tb_st_histogram_0 : entity work.tb_st_histogram generic map ( 7,  8,  256, 1024, "counter", "unsigned");  -- Incoming data repeats 1024/ 256= 4 times: Bin count =  4
-u_tb_st_histogram_1 : entity work.tb_st_histogram generic map ( 6, 10,  256, 4096, "counter", "unsigned");  -- Incoming data repeats 4096/ 256=16 times: Bin count = 16
-u_tb_st_histogram_2 : entity work.tb_st_histogram generic map ( 5, 12,  512, 4096, "counter", "unsigned");  -- Incoming data repeats 4096/ 512= 8 times: Bin count =  8
-u_tb_st_histogram_3 : entity work.tb_st_histogram generic map ( 4, 13, 1024, 8192, "counter", "unsigned");  -- Incoming data repeats 8192/1024= 8 times: Bin count =  8
-u_tb_st_histogram_4 : entity work.tb_st_histogram generic map (20,  6,   64,  128, "counter", "unsigned");  -- Incoming data repeats  128/  64= 2 times: Bin count =  2
+  -- Counter data
+  u_tb_st_histogram_0 : entity work.tb_st_histogram generic map ( 7,  8,  256, 1024, "counter", "unsigned");  -- Incoming data repeats 1024/ 256= 4 times: Bin count =  4
+  u_tb_st_histogram_1 : entity work.tb_st_histogram generic map ( 6, 10,  256, 4096, "counter", "unsigned");  -- Incoming data repeats 4096/ 256=16 times: Bin count = 16
+  u_tb_st_histogram_2 : entity work.tb_st_histogram generic map ( 5, 12,  512, 4096, "counter", "unsigned");  -- Incoming data repeats 4096/ 512= 8 times: Bin count =  8
+  u_tb_st_histogram_3 : entity work.tb_st_histogram generic map ( 4, 13, 1024, 8192, "counter", "unsigned");  -- Incoming data repeats 8192/1024= 8 times: Bin count =  8
+  u_tb_st_histogram_4 : entity work.tb_st_histogram generic map (20,  6,   64,  128, "counter", "unsigned");  -- Incoming data repeats  128/  64= 2 times: Bin count =  2
 
--- DC signal
-u_tb_st_histogram_5 : entity work.tb_st_histogram generic map ( 2,  8,  256, 1000, "dc", "unsigned");
-u_tb_st_histogram_6 : entity work.tb_st_histogram generic map ( 6, 10,  256, 4000, "dc", "unsigned");
-u_tb_st_histogram_7 : entity work.tb_st_histogram generic map ( 5, 12,  512, 4000, "dc", "unsigned");
-u_tb_st_histogram_8 : entity work.tb_st_histogram generic map ( 4, 13, 1024, 8000, "dc", "unsigned");
-u_tb_st_histogram_9 : entity work.tb_st_histogram generic map (11,  6,   64,  100, "dc", "unsigned");
+  -- DC signal
+  u_tb_st_histogram_5 : entity work.tb_st_histogram generic map ( 2,  8,  256, 1000, "dc", "unsigned");
+  u_tb_st_histogram_6 : entity work.tb_st_histogram generic map ( 6, 10,  256, 4000, "dc", "unsigned");
+  u_tb_st_histogram_7 : entity work.tb_st_histogram generic map ( 5, 12,  512, 4000, "dc", "unsigned");
+  u_tb_st_histogram_8 : entity work.tb_st_histogram generic map ( 4, 13, 1024, 8000, "dc", "unsigned");
+  u_tb_st_histogram_9 : entity work.tb_st_histogram generic map (11,  6,   64,  100, "dc", "unsigned");
 
--- Locked Sine wave
-u_tb_st_histogram_10: entity work.tb_st_histogram generic map ( 4,  3,    8,   20, "sine", "signed");
-u_tb_st_histogram_11: entity work.tb_st_histogram generic map ( 8,  6,   64,  200, "sine", "signed");
-u_tb_st_histogram_12: entity work.tb_st_histogram generic map (12,  8,  256, 2000, "sine", "signed");
-u_tb_st_histogram_13: entity work.tb_st_histogram generic map (17, 10,  256, 3455, "sine", "signed");
-u_tb_st_histogram_14: entity work.tb_st_histogram generic map (21, 14, 1024, 8111, "sine", "signed");
+  -- Locked Sine wave
+  u_tb_st_histogram_10: entity work.tb_st_histogram generic map ( 4,  3,    8,   20, "sine", "signed");
+  u_tb_st_histogram_11: entity work.tb_st_histogram generic map ( 8,  6,   64,  200, "sine", "signed");
+  u_tb_st_histogram_12: entity work.tb_st_histogram generic map (12,  8,  256, 2000, "sine", "signed");
+  u_tb_st_histogram_13: entity work.tb_st_histogram generic map (17, 10,  256, 3455, "sine", "signed");
+  u_tb_st_histogram_14: entity work.tb_st_histogram generic map (21, 14, 1024, 8111, "sine", "signed");
 
--- Drifting Sine wave
-u_tb_st_histogram_15: entity work.tb_st_histogram generic map ( 4,  3,    8,   20, "sine", "signed", false);
-u_tb_st_histogram_16: entity work.tb_st_histogram generic map ( 8,  6,   64,  200, "sine", "signed", false);
-u_tb_st_histogram_17: entity work.tb_st_histogram generic map (12,  8,  256, 2000, "sine", "signed", false);
-u_tb_st_histogram_18: entity work.tb_st_histogram generic map (17, 10,  256, 3455, "sine", "signed", false);
-u_tb_st_histogram_19: entity work.tb_st_histogram generic map (21, 14, 1024, 8111, "sine", "signed", false);
+  -- Drifting Sine wave
+  u_tb_st_histogram_15: entity work.tb_st_histogram generic map ( 4,  3,    8,   20, "sine", "signed", false);
+  u_tb_st_histogram_16: entity work.tb_st_histogram generic map ( 8,  6,   64,  200, "sine", "signed", false);
+  u_tb_st_histogram_17: entity work.tb_st_histogram generic map (12,  8,  256, 2000, "sine", "signed", false);
+  u_tb_st_histogram_18: entity work.tb_st_histogram generic map (17, 10,  256, 3455, "sine", "signed", false);
+  u_tb_st_histogram_19: entity work.tb_st_histogram generic map (21, 14, 1024, 8111, "sine", "signed", false);
 
--- Random
-u_tb_st_histogram_20: entity work.tb_st_histogram generic map ( 4,  3,    8,   20, "random", "signed");
-u_tb_st_histogram_21: entity work.tb_st_histogram generic map ( 6,  6,   64,  200, "random", "signed");
-u_tb_st_histogram_22: entity work.tb_st_histogram generic map ( 9,  8,  256, 2000, "random", "signed");
-u_tb_st_histogram_23: entity work.tb_st_histogram generic map (17, 10,  256, 3455, "random", "signed");
-u_tb_st_histogram_24: entity work.tb_st_histogram generic map (13, 14, 1024, 8111, "random", "signed");
+  -- Random
+  u_tb_st_histogram_20: entity work.tb_st_histogram generic map ( 4,  3,    8,   20, "random", "signed");
+  u_tb_st_histogram_21: entity work.tb_st_histogram generic map ( 6,  6,   64,  200, "random", "signed");
+  u_tb_st_histogram_22: entity work.tb_st_histogram generic map ( 9,  8,  256, 2000, "random", "signed");
+  u_tb_st_histogram_23: entity work.tb_st_histogram generic map (17, 10,  256, 3455, "random", "signed");
+  u_tb_st_histogram_24: entity work.tb_st_histogram generic map (13, 14, 1024, 8111, "random", "signed");
 
 end tb;
diff --git a/libraries/dsp/st/tb/vhdl/tb_tb_st_xsq.vhd b/libraries/dsp/st/tb/vhdl/tb_tb_st_xsq.vhd
index d585106bba..eb38622c11 100644
--- a/libraries/dsp/st/tb/vhdl/tb_tb_st_xsq.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_tb_st_xsq.vhd
@@ -28,7 +28,7 @@
 -- Description: See tb_st_xsq
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_st_xsq is
 end tb_tb_st_xsq;
@@ -40,15 +40,15 @@ architecture tb of tb_tb_st_xsq is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 
 begin
---  GENERICS:
---    g_nof_crosslets      : NATURAL := 2;
---    g_nof_signal_inputs  : NATURAL := 12;
---    g_in_data_w          : NATURAL := 16;
---    g_nof_sync           : NATURAL := 3;
---    g_stat_data_w        : NATURAL := 64;  -- statistics accumulator width
---    g_stat_data_sz       : NATURAL := 2;   -- statistics word width >= statistics accumulator width and fit in a power of 2 multiple 32b MM words
---    g_nof_block_per_sync : NATURAL := 5;
---    g_nof_clk_per_blk    : NATURAL := 1024
+  --  GENERICS:
+  --    g_nof_crosslets      : NATURAL := 2;
+  --    g_nof_signal_inputs  : NATURAL := 12;
+  --    g_in_data_w          : NATURAL := 16;
+  --    g_nof_sync           : NATURAL := 3;
+  --    g_stat_data_w        : NATURAL := 64;  -- statistics accumulator width
+  --    g_stat_data_sz       : NATURAL := 2;   -- statistics word width >= statistics accumulator width and fit in a power of 2 multiple 32b MM words
+  --    g_nof_block_per_sync : NATURAL := 5;
+  --    g_nof_clk_per_blk    : NATURAL := 1024
 
   u_sdp : entity work.tb_st_xsq generic map (1, 12, c_dsp_data_w, c_nof_sync, 64, 2, 5, 1024);
   u_max : entity work.tb_st_xsq generic map (16, 8, c_dsp_data_w, c_nof_sync, 64, 2, 5, 1024);  -- g_nof_crosslets * g_nof_signal_inputs**2 = 16 * 8 * 8 = 1024 = g_nof_clk_per_blk
diff --git a/libraries/dsp/st/tb/vhdl/tb_tb_st_xst.vhd b/libraries/dsp/st/tb/vhdl/tb_tb_st_xst.vhd
index 4fe3fbc639..ca4910dc90 100644
--- a/libraries/dsp/st/tb/vhdl/tb_tb_st_xst.vhd
+++ b/libraries/dsp/st/tb/vhdl/tb_tb_st_xst.vhd
@@ -28,7 +28,7 @@
 -- Description: See tb_st_xst
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_st_xst is
 end tb_tb_st_xst;
@@ -40,16 +40,16 @@ architecture tb of tb_tb_st_xst is
   signal tb_end : std_logic := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 
 begin
---  GENERICS:
---    g_nof_streams        : NATURAL := 9;
---    g_nof_crosslets      : NATURAL := 2;
---    g_nof_signal_inputs  : NATURAL := 12;
---    g_in_data_w          : NATURAL := 16;
---    g_nof_sync           : NATURAL := 3;
---    g_stat_data_w        : NATURAL := 64;  -- statistics accumulator width
---    g_stat_data_sz       : NATURAL := 2;   -- statistics word width >= statistics accumulator width and fit in a power of 2 multiple 32b MM words
---    g_nof_block_per_sync : NATURAL := 5;
---    g_nof_clk_per_blk    : NATURAL := 1024
+  --  GENERICS:
+  --    g_nof_streams        : NATURAL := 9;
+  --    g_nof_crosslets      : NATURAL := 2;
+  --    g_nof_signal_inputs  : NATURAL := 12;
+  --    g_in_data_w          : NATURAL := 16;
+  --    g_nof_sync           : NATURAL := 3;
+  --    g_stat_data_w        : NATURAL := 64;  -- statistics accumulator width
+  --    g_stat_data_sz       : NATURAL := 2;   -- statistics word width >= statistics accumulator width and fit in a power of 2 multiple 32b MM words
+  --    g_nof_block_per_sync : NATURAL := 5;
+  --    g_nof_clk_per_blk    : NATURAL := 1024
 
   u_sdp                : entity work.tb_st_xst generic map (9, 1, 12, c_dsp_data_w, c_nof_sync, 64, 2, 5, 1024);
   u_sdp_one            : entity work.tb_st_xst generic map (1, 1, 12, c_dsp_data_w, c_nof_sync, 64, 2, 5, 1024);
diff --git a/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd b/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd
index 4da75e9be2..896b531fdd 100644
--- a/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd
+++ b/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd
@@ -50,7 +50,7 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_verify_pfb_wg is
 end entity tb_tb_verify_pfb_wg;
@@ -93,7 +93,7 @@ architecture tb of tb_tb_verify_pfb_wg is
   constant c_fil_kaiser_20b  : string := "data/Coefficient_16KKaiser_20b_1wb";
 
   signal tb_end : std_logic := '0';  -- tb_end is used to end a tb if it cannot end itself, but is not needed for tb_verify_pfb_wg
-                                     -- however, do declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
+  -- however, do declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 
   constant c_gen_ref                     : boolean := true;
   constant c_gen_g_fil_backoff_w_1       : boolean := false;
@@ -112,56 +112,56 @@ architecture tb of tb_tb_verify_pfb_wg is
 
 begin
 
--- generics of tb_verify_pfb_wg
---   g_tb_index        : NATURAL := 0;   -- use g_tb_index to identify and separate print_str() loggings from multi tb
---   g_sel_pfb         : STRING := "WPFB";  -- "WPFB" for APERTIF PFB, "PFB2" for LOFAR1 PBF
---
---   -- WG
---   g_subband_index_a : REAL := 61.0;   -- 0:511
---   g_subband_index_b : REAL := 61.0;   -- 0:511
---   g_amplitude_a     : REAL := 1.0;    -- 1.0 is full scale
---   g_amplitude_b     : REAL := 0.0;    -- 1.0 is full scale
---   g_phase_a         : REAL := 10.0;    -- 0:360 degrees
---   g_phase_b         : REAL := 0.0;    -- 0:360 degrees
---
---   -- WPFB fields in c_wpfb
---   -- . c_sdp_wpfb_subbands from sdp_pkg.vhd:
---   --   . g_fil_backoff_w   = 1
---   --   . g_fil_in_dat_w    = 14 = W_adc
---   --   . g_internal_dat_w  = 16 = number of bits between fil and fft
---   --   . g_fft_out_dat_w   = 18 = W_subband
---   --   . g_fft_out_gain_w  = 1
---   --   . g_fft_stage_dat_w = 18 = c_dsp_mult_w
---   --   . g_fft_guard_w     = 2
---   -- . c_wb1_two_real_1024 from tb_wpfb_unit_wide.vhd:
---   --   . g_fil_backoff_w   = 1
---   --   . g_fil_in_dat_w    = 8
---   --   . g_internal_dat_w  = 16 = number of bits between fil and fft
---   --   . g_fft_out_dat_w   = 16
---   --   . g_fft_out_gain_w  = 1
---   --   . g_fft_stage_dat_w = 18
---   --   . g_fft_guard_w     = 2
---
---   -- FIR filter
---   g_fil_coefs_file_prefix : STRING := "data/Coeffs16384Kaiser-quant_1wb";  -- PFIR coefficients file access
---   g_fil_coefs_file_prefix : STRING := "data/run_pfir_coeff_m_bypass_16taps_1024points_16b_1wb";   -- bypass PFIR
---   g_fil_coefs_file_prefix : STRING := "data/run_pfir_coeff_m_fircls1_16taps_1024points_16b_1wb";
---   g_fil_coef_dat_w        : NATURAL := 16;   -- = 16, data width of the FIR coefficients
---
---   g_fil_coefs_file_prefix : STRING := "data/run_pfir_coeff_m_fircls1_16taps_1024points_18b_1wb";
---   g_fil_coef_dat_w        : NATURAL := 18;   -- = 16, data width of the FIR coefficients
---
---   g_fil_backoff_w         : NATURAL := 0;    -- = 0, number of bits for input backoff to avoid output overflow
---   g_fil_in_dat_w          : NATURAL :=  8;   -- = W_adc, number of input bits
---
---   g_internal_dat_w        : NATURAL := 17;   -- = number of bits between fil and fft, g_internal_dat_w <= g_fft_stage_dat_w - g_fft_guard_w in fft_r2_pipe
---
---   -- FFT
---   g_fft_out_dat_w         : NATURAL := 16;   -- = W_subband, number of output bits
---   g_fft_out_gain_w        : NATURAL := 1;    -- = 1, output gain factor applied after the last stage output, before requantization to out_dat_w
---   g_fft_stage_dat_w       : NATURAL := 18;   -- = c_dsp_mult_w = 18, number of bits that are used inter-stage
---   g_fft_guard_w           : NATURAL := 1     -- = 2
---   g_switch_en             : STD_LOGIC := '0';  -- two real input decorrelation option in PFB2
+  -- generics of tb_verify_pfb_wg
+  --   g_tb_index        : NATURAL := 0;   -- use g_tb_index to identify and separate print_str() loggings from multi tb
+  --   g_sel_pfb         : STRING := "WPFB";  -- "WPFB" for APERTIF PFB, "PFB2" for LOFAR1 PBF
+  --
+  --   -- WG
+  --   g_subband_index_a : REAL := 61.0;   -- 0:511
+  --   g_subband_index_b : REAL := 61.0;   -- 0:511
+  --   g_amplitude_a     : REAL := 1.0;    -- 1.0 is full scale
+  --   g_amplitude_b     : REAL := 0.0;    -- 1.0 is full scale
+  --   g_phase_a         : REAL := 10.0;    -- 0:360 degrees
+  --   g_phase_b         : REAL := 0.0;    -- 0:360 degrees
+  --
+  --   -- WPFB fields in c_wpfb
+  --   -- . c_sdp_wpfb_subbands from sdp_pkg.vhd:
+  --   --   . g_fil_backoff_w   = 1
+  --   --   . g_fil_in_dat_w    = 14 = W_adc
+  --   --   . g_internal_dat_w  = 16 = number of bits between fil and fft
+  --   --   . g_fft_out_dat_w   = 18 = W_subband
+  --   --   . g_fft_out_gain_w  = 1
+  --   --   . g_fft_stage_dat_w = 18 = c_dsp_mult_w
+  --   --   . g_fft_guard_w     = 2
+  --   -- . c_wb1_two_real_1024 from tb_wpfb_unit_wide.vhd:
+  --   --   . g_fil_backoff_w   = 1
+  --   --   . g_fil_in_dat_w    = 8
+  --   --   . g_internal_dat_w  = 16 = number of bits between fil and fft
+  --   --   . g_fft_out_dat_w   = 16
+  --   --   . g_fft_out_gain_w  = 1
+  --   --   . g_fft_stage_dat_w = 18
+  --   --   . g_fft_guard_w     = 2
+  --
+  --   -- FIR filter
+  --   g_fil_coefs_file_prefix : STRING := "data/Coeffs16384Kaiser-quant_1wb";  -- PFIR coefficients file access
+  --   g_fil_coefs_file_prefix : STRING := "data/run_pfir_coeff_m_bypass_16taps_1024points_16b_1wb";   -- bypass PFIR
+  --   g_fil_coefs_file_prefix : STRING := "data/run_pfir_coeff_m_fircls1_16taps_1024points_16b_1wb";
+  --   g_fil_coef_dat_w        : NATURAL := 16;   -- = 16, data width of the FIR coefficients
+  --
+  --   g_fil_coefs_file_prefix : STRING := "data/run_pfir_coeff_m_fircls1_16taps_1024points_18b_1wb";
+  --   g_fil_coef_dat_w        : NATURAL := 18;   -- = 16, data width of the FIR coefficients
+  --
+  --   g_fil_backoff_w         : NATURAL := 0;    -- = 0, number of bits for input backoff to avoid output overflow
+  --   g_fil_in_dat_w          : NATURAL :=  8;   -- = W_adc, number of input bits
+  --
+  --   g_internal_dat_w        : NATURAL := 17;   -- = number of bits between fil and fft, g_internal_dat_w <= g_fft_stage_dat_w - g_fft_guard_w in fft_r2_pipe
+  --
+  --   -- FFT
+  --   g_fft_out_dat_w         : NATURAL := 16;   -- = W_subband, number of output bits
+  --   g_fft_out_gain_w        : NATURAL := 1;    -- = 1, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --   g_fft_stage_dat_w       : NATURAL := 18;   -- = c_dsp_mult_w = 18, number of bits that are used inter-stage
+  --   g_fft_guard_w           : NATURAL := 1     -- = 2
+  --   g_switch_en             : STD_LOGIC := '0';  -- two real input decorrelation option in PFB2
 
 
   --                                                                 g_tb_index
@@ -183,479 +183,479 @@ begin
   --                                                                 .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  g_fft_guard_w
   --                                                                 .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    g_switch_en
   --                                                                 .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
-gen_ref : if c_gen_ref generate  -- .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
-  -- WPFB                                                            .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
-  --u_apertif           : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1,  8, 16, 18, 1, 18, 2, '0');
-  --u_lts_2020_11_23    : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');
-  ---- PFB2
-  u_lofar1_12b        : entity work.tb_verify_pfb_wg generic map (1003, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 18, 18, 0, 20, 0, '0');
-  --u_lofar1_14b        : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1004, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');
-  --u_lofar1_14b_22     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1005, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
-  --u_lofar1_14b_24     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1006, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 24, 0, '0');
-  ---- WPFB
-  --u_wpfb_stage18      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1007, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');
-  --u_wpfb_stage20      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1008, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');
-  --u_wpfb_stage22      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1009, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');
-  --u_wpfb_stage23      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1010, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 23, 1, '0');
-  --u_wpfb_stage24      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1011, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 24, 1, '0');
-  -- c_twiddle_w = 18
-  u_wpfb_lofar2_subbands_lts_2021 : entity work.tb_verify_pfb_wg generic map (1012, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
-  -- c_twiddle_w = 20
-  u_wpfb_lofar2_subbands_dts_18b  : entity work.tb_verify_pfb_wg generic map (1013, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 24, 1, '0');  -- = u_2000
-  u_wpfb_lofar2_subbands_dts_19b  : entity work.tb_verify_pfb_wg generic map (1014, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- = u_2001
-
--- Results:
---                                           Coeffs16384Kaiser-quant
---                                            .           Coeffs16384Kaiser-quant-nodc
--- WPFB                                       .            .
---tb-1001 . wpfb_measured_proc_gain_a_dB =   25.54 [dB]   25.65 [dB]
---tb-1002 . wpfb_measured_proc_gain_a_dB =   -0.80 [dB]    2.67 [dB]
--- PFB2
---tb-1003 . wpfb_measured_proc_gain_a_dB =   23.18 [dB]             , = u_lofar1_12b
---tb-1004 . wpfb_measured_proc_gain_a_dB =   15.24 [dB]             , = u_lofar1_14b
---tb-1005 . wpfb_measured_proc_gain_a_dB =   17.03 [dB]             , = u_lofar1_14b_22, improvement is < 3 dB
---tb-1006 . wpfb_measured_proc_gain_a_dB =   17.00 [dB]             , = u_lofar1_14b_24
--- WPFB
---tb-1007 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]    9.94 [dB], = u_wpfb_stage18
---tb-1008 . wpfb_measured_proc_gain_a_dB =   12.38 [dB]   16.48 [dB], = u_wpfb_stage20 : ~3.1 dB per extra g_fft_stage_dat_w bit
---tb-1009 . wpfb_measured_proc_gain_a_dB =   18.79 [dB]   24.29 [dB], = u_wpfb_stage22 : ~3.2 dB per extra g_fft_stage_dat_w bit
---tb-1010 . wpfb_measured_proc_gain_a_dB =   19.86 [dB]   26.58 [dB], = u_wpfb_stage23 : ~1.1 dB per extra g_fft_stage_dat_w bit
---tb-1011 . wpfb_measured_proc_gain_a_dB =   20.08 [dB]   28.17 [dB], = u_wpfb_stage24 : ~0.2 dB per extra g_fft_stage_dat_w bit
-
---tb-1012 . wpfb_measured_proc_gain_a_dB = 19.26 [dB]  > 18.79 [dB] from u_wpfb_stage22 in 2021, due to now c_twiddle_w = 20 (?)
---tb-1013 . wpfb_measured_proc_gain_a_dB = 20.12 [dB]  = u_2000
---tb-1014 . wpfb_measured_proc_gain_a_dB = 18.50 [dB]  = u_2001
-
---Conclusion:
---* For g_fft_stage_dat_w <= 22 the processing gain increases ~3 dB per extra g_fft_stage_dat_w bit, therefore choose 22, 23 or 24, more than 24 bit has not benefit.
-end generate;
-
-
-gen_g_fil_backoff_w_1 : if c_gen_g_fil_backoff_w_1 generate
-  -- g_subband_index_a = 60.4, to check that with g_fil_backoff_w = 1 there is no FIR filter overflow
-  u_149 : entity work.tb_verify_pfb_wg generic map (149, "WPFB", 60.4, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 18, 1, '0');
-  -- g_subband_index_a = 60, WG at center subband frequency to determine PFB processing gain
-  -- g_fft_guard_w = 1, check that no extra FFT backoff guard at first stage is needed when g_fil_backoff_w = 1
-  u_150 : entity work.tb_verify_pfb_wg generic map (150, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 18, 1, '0');
-  u_151 : entity work.tb_verify_pfb_wg generic map (151, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 20, 1, '0');
-  u_152 : entity work.tb_verify_pfb_wg generic map (152, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 22, 1, '0');
-  u_153 : entity work.tb_verify_pfb_wg generic map (153, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 23, 1, '0');
-  u_154 : entity work.tb_verify_pfb_wg generic map (154, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 24, 1, '0');
-  u_155 : entity work.tb_verify_pfb_wg generic map (155, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 25, 1, '0');
-  -- g_fft_guard_w = 2, use extra FFT backoff guard at first FFT stage, which is compensated by no guard at last FFT stage, intermediate stages have backoff guard 1 to compensate for stage gain of factor 2
-  u_156 : entity work.tb_verify_pfb_wg generic map (156, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 18, 2, '0');
-  u_157 : entity work.tb_verify_pfb_wg generic map (157, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 20, 2, '0');
-  u_158 : entity work.tb_verify_pfb_wg generic map (158, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 22, 2, '0');
-  u_159 : entity work.tb_verify_pfb_wg generic map (159, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 23, 2, '0');
-  u_160 : entity work.tb_verify_pfb_wg generic map (160, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 24, 2, '0');
-  u_161 : entity work.tb_verify_pfb_wg generic map (161, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 25, 2, '0');
---Results:
---g_fil_backoff_w = 1
---             g_fft_stage_dat_w
---               .                               g_fft_guard_w = 1    g_fft_guard_w = 2
---tb-149        18 . wpfb_measured_proc_gain_a_dB =   25.56 [dB]                           -- so OK, because no overflow
---tb-150, 156   18 . wpfb_measured_proc_gain_a_dB =    1.05 [dB]           -0.80 [dB]      --  6.11 [dB] for u_wpfb_stage18
---tb-151, 157   20 . wpfb_measured_proc_gain_a_dB =    9.05 [dB]            6.38 [dB]      -- 12.38 [dB] for u_wpfb_stage20
---tb-152, 158   22 . wpfb_measured_proc_gain_a_dB =   16.13 [dB]           15.90 [dB]      -- 18.79 [dB] for u_wpfb_stage22
---                                                    16.52                                -- g_r2_mul_extra_w = 2
---                                                    16.13                                -- g_sepa_extra_w = 2
---tb-153, 159   23 . wpfb_measured_proc_gain_a_dB =   17.22 [dB]           16.78 [dB]      -- 19.86 [dB] for u_wpfb_stage23
---                                                    17.22 [dB]           16.64 [dB]      -- g_internal_dat_w = 16, 15 instead of 17, 16
---                                                    17.22 [dB]           16.78 [dB]      -- g_internal_dat_w = 18, 17 instead of 17, 16
---                                                    17.22 [dB]           16.51 [dB]      -- g_internal_dat_w = 20, 19 instead of 17, 16
---tb-154, 160   24 . wpfb_measured_proc_gain_a_dB =   17.38 [dB]           17.22 [dB]      -- 20.08 [dB] for u_wpfb_stage24
---tb-155, 161   25 . wpfb_measured_proc_gain_a_dB =   17.38 [dB]           17.55 [dB]      -- 20.39 [dB] for u_307
---Conclusion:
---* Using g_fil_backoff_w = 1 decreases the processing gain by ~3 dB (u_wpfb_stage24 - u_154 = 20.08 - 17.38 = 2.70 dB)
---* Using g_fft_guard_w = 2 for the first stage does not decrease the processing gain when g_fft_stage_dat_w ~=> 22. However
---  when g_fil_backoff_w = 1 then it is not necessary to use g_fft_guard_w > 1, because then the input to the FFT is already
---  scaled down by the factor 2 of g_fil_backoff_w = 1.
-end generate;
-
-
-gen_vary_g_fil_backoff_w : if c_gen_vary_g_fil_backoff_w generate
-  u_1000 : entity work.tb_verify_pfb_wg generic map (1000, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
-  u_1001 : entity work.tb_verify_pfb_wg generic map (1001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 22, 0, '0');
-  u_1002 : entity work.tb_verify_pfb_wg generic map (1002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 18, 19, 0, 22, 0, '0');
-  u_1003 : entity work.tb_verify_pfb_wg generic map (1003, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 18, 19, 1, 22, 0, '0');
---Results:
--- . wpfb_measured_proc_gain_a_dB =   18.79 [dB]
--- . wpfb_measured_proc_gain_a_dB =   16.64 [dB]
--- . wpfb_measured_proc_gain_a_dB =   16.89 [dB]
--- . wpfb_measured_proc_gain_a_dB =   15.89 [dB]
-end generate;
-
-
-gen_vary_g_fft_out_dat_w : if c_gen_vary_g_fft_out_dat_w generate
-  -- WPFB
-  u_100 : entity work.tb_verify_pfb_wg generic map (100, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
-  u_101 : entity work.tb_verify_pfb_wg generic map (101, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 19, 0, 22, 1, '0');
-  u_102 : entity work.tb_verify_pfb_wg generic map (102, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 20, 0, 22, 1, '0');
-  -- PFB2
-  u_103 : entity work.tb_verify_pfb_wg generic map (103, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
-  u_104 : entity work.tb_verify_pfb_wg generic map (104, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 19, 0, 22, 0, '0');
-  u_105 : entity work.tb_verify_pfb_wg generic map (105, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 20, 0, 22, 0, '0');
--- Results:
---       g_fft_out_dat_w
--- WPFB    .
---tb-100  18 . wpfb_measured_proc_gain_a_dB =   18.79 [dB]
---tb-101  19 . wpfb_measured_proc_gain_a_dB =   17.38 [dB]
---tb-102  20 . wpfb_measured_proc_gain_a_dB =   17.42 [dB]
--- PFB2
---tb-103  18 . wpfb_measured_proc_gain_a_dB =   17.03 [dB]
---tb-104  19 . wpfb_measured_proc_gain_a_dB =   15.70 [dB]
---tb-105  20 . wpfb_measured_proc_gain_a_dB =   16.36 [dB]
-end generate;
-
-gen_2020_jan_18 : if c_gen_2020_jan_18 generate
-  u_200  : entity work.tb_verify_pfb_wg generic map (200, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');  -- = u_lts_2020_11_23
-  u_201  : entity work.tb_verify_pfb_wg generic map (201, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_204  : entity work.tb_verify_pfb_wg generic map (204, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');  -- = u_wpfb_stage20
-
--- Results:
--- Table C: PFB processing gain for APERTIF WPFB quick improvements
---
--- tb-200 . wpfb_measured_proc_gain_a_dB =   -0.80 [dB]  current lofar2_unb2b_filterbank settings 2020-11-23
--- tb-201 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]  + g_fil_backoff_w = 0 instead of 1,
---                                                       + g_fft_guard_w = 1 instead of 2,
---                                                       + g_internal_dat_w = 17 instead of 16
--- tb-202 . wpfb_measured_proc_gain_a_dB =    6.53 [dB]  + g_r2_mul_extra_w = 2 instead of 0
--- tb-203 . wpfb_measured_proc_gain_a_dB =    6.53 [dB]  + g_sepa_extra_w = 2 instead of 0
--- tb-204 . wpfb_measured_proc_gain_a_dB =   12.38 [dB]  + g_fft_stage_dat_w = 20 instead of 18
--- tb-205 . wpfb_measured_proc_gain_a_dB =   12.35 [dB]  + g_fft_stage_dat_w = 20 instead of 18, g_r2_mul_extra_w = 2
--- tb-206 . wpfb_measured_proc_gain_a_dB =   14.62 [dB]  + g_fft_stage_dat_w = 20 instead of 18, g_r2_mul_extra_w = 2, g_sepa_extra_w = 2
-end generate;
-
-
-gen_vary_wg_integer_freq : if c_gen_vary_wg_integer_freq generate
-  u_2001 : entity work.tb_verify_pfb_wg generic map (2001, "WPFB",  1.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2002 : entity work.tb_verify_pfb_wg generic map (2002, "WPFB",  2.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2003 : entity work.tb_verify_pfb_wg generic map (2003, "WPFB",  3.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2004 : entity work.tb_verify_pfb_wg generic map (2004, "WPFB",  4.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2008 : entity work.tb_verify_pfb_wg generic map (2008, "WPFB",  8.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2016 : entity work.tb_verify_pfb_wg generic map (2016, "WPFB", 16.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2032 : entity work.tb_verify_pfb_wg generic map (2032, "WPFB", 32.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2037 : entity work.tb_verify_pfb_wg generic map (2037, "WPFB", 37.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2061 : entity work.tb_verify_pfb_wg generic map (2061, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2064 : entity work.tb_verify_pfb_wg generic map (2064, "WPFB", 64.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2117 : entity work.tb_verify_pfb_wg generic map (2117, "WPFB",117.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2128 : entity work.tb_verify_pfb_wg generic map (2128, "WPFB",128.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2256 : entity work.tb_verify_pfb_wg generic map (2256, "WPFB",256.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2257 : entity work.tb_verify_pfb_wg generic map (2257, "WPFB",257.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2373 : entity work.tb_verify_pfb_wg generic map (2373, "WPFB",373.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_2503 : entity work.tb_verify_pfb_wg generic map (2503, "WPFB",503.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-
--- Results:
--- g_subband_index_a
---   1 . wpfb_measured_proc_gain_a_dB =    6.95 [dB]
---   2 . wpfb_measured_proc_gain_a_dB =    6.90 [dB]
---   3 . wpfb_measured_proc_gain_a_dB =    6.89 [dB]
---   4 . wpfb_measured_proc_gain_a_dB =    6.81 [dB]
---   8 . wpfb_measured_proc_gain_a_dB =    7.79 [dB]
---  16 . wpfb_measured_proc_gain_a_dB =    7.97 [dB]
---  32 . wpfb_measured_proc_gain_a_dB =    8.29 [dB]
---  37 . wpfb_measured_proc_gain_a_dB =    6.03 [dB]
---  61 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]
---  64 . wpfb_measured_proc_gain_a_dB =    9.06 [dB]
--- 117 . wpfb_measured_proc_gain_a_dB =    6.32 [dB]
--- 128 . wpfb_measured_proc_gain_a_dB =   11.69 [dB], due to wrong wg_measured_snr_a_dB =  80.54 [dB], using c_wg_snr_a_dB = 86.05 [dB] and sst_measured_snr_a_dB = 92.24 [dB], yields 6.20 dB.
--- 256 . wpfb_measured_proc_gain_a_dB = -153.35 [dB], due to wrong wg_measured_snr_a_dB = 246.87 [dB], using c_wg_snr_a_dB = 86.05 [dB] and sst_measured_snr_a_dB = 93.52 [dB], yields 7.47 dB.
--- 257 . wpfb_measured_proc_gain_a_dB =    6.74 [dB]
--- 373 . wpfb_measured_proc_gain_a_dB =    6.37 [dB]
--- 503 . wpfb_measured_proc_gain_a_dB =    6.67 [dB]
-end generate;
-
-
-gen_vary_wg_fractional_freq : if c_gen_vary_wg_fractional_freq generate
-  -- Use fractions that fit integer number of periods in sync interval c_N_blk = c_wpfb.nof_blk_per_sync = 10, so c_N_blk*fraction must be integer, to have stable SST value
-  -- Need to use g_amplitude_a = 0.9 ~< 0.95 to avoid overflow in PFS output, that occurs for some fractional g_subband_index_a
-  -- WG freq 60.0
-  u_600 : entity work.tb_verify_pfb_wg generic map (600, "WPFB", 60.0, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_601 : entity work.tb_verify_pfb_wg generic map (601, "WPFB", 60.1, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_602 : entity work.tb_verify_pfb_wg generic map (602, "WPFB", 60.2, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_603 : entity work.tb_verify_pfb_wg generic map (603, "WPFB", 60.3, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_604 : entity work.tb_verify_pfb_wg generic map (604, "WPFB", 60.4, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_605 : entity work.tb_verify_pfb_wg generic map (605, "WPFB", 60.5, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_606 : entity work.tb_verify_pfb_wg generic map (606, "WPFB", 60.6, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_607 : entity work.tb_verify_pfb_wg generic map (607, "WPFB", 60.7, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_608 : entity work.tb_verify_pfb_wg generic map (608, "WPFB", 60.8, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_609 : entity work.tb_verify_pfb_wg generic map (609, "WPFB", 60.9, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  -- WG freq 61.0
-  u_610 : entity work.tb_verify_pfb_wg generic map (610, "WPFB", 61.0, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18 freq 61
-  u_611 : entity work.tb_verify_pfb_wg generic map (611, "WPFB", 61.1, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_612 : entity work.tb_verify_pfb_wg generic map (612, "WPFB", 61.2, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_613 : entity work.tb_verify_pfb_wg generic map (613, "WPFB", 61.3, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_614 : entity work.tb_verify_pfb_wg generic map (614, "WPFB", 61.4, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_615 : entity work.tb_verify_pfb_wg generic map (615, "WPFB", 61.5, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_616 : entity work.tb_verify_pfb_wg generic map (616, "WPFB", 61.6, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_617 : entity work.tb_verify_pfb_wg generic map (617, "WPFB", 61.7, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_618 : entity work.tb_verify_pfb_wg generic map (618, "WPFB", 61.8, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_619 : entity work.tb_verify_pfb_wg generic map (619, "WPFB", 61.9, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  -- WG freq 62.0
-  u_620 : entity work.tb_verify_pfb_wg generic map (620, "WPFB", 62.0, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-
--- Note>:
--- . For fractional subband frequencies the WG can only generate the average frequency, due to limited period accuracy of WG. This causes
---   the WG SNR to be about 55.1 +- 0.1 dB for fractional subband frequencies, instead of 85.0 dB, so about 30 dB less. The WG quantization
---   noise is not white noise, as can be seen by cw_noise_a in analogue format in the Modelsim Wave Window
--- Results:
--- g_subband_index_a
--- 60.0 . wpfb_measured_proc_gain_a_dB =    6.09 [dB]
--- 60.1 . wpfb_measured_proc_gain_a_dB =   26.57 [dB], the processing gain is higher due to that the WG input SNR is much lower for fractional subband frequencies
--- 60.2 . wpfb_measured_proc_gain_a_dB =   25.58 [dB]
--- 60.3 . wpfb_measured_proc_gain_a_dB =   26.55 [dB]
--- 60.4 . wpfb_measured_proc_gain_a_dB =   26.52 [dB]
--- 60.5 . wpfb_measured_proc_gain_a_dB =   25.73 [dB]
--- 60.6 . wpfb_measured_proc_gain_a_dB =   26.51 [dB]
--- 60.7 . wpfb_measured_proc_gain_a_dB =   26.66 [dB]
--- 60.8 . wpfb_measured_proc_gain_a_dB =   25.70 [dB]
--- 60.9 . wpfb_measured_proc_gain_a_dB =   26.67 [dB]
--- 61.0 . wpfb_measured_proc_gain_a_dB =    6.43 [dB]
--- 61.1 . wpfb_measured_proc_gain_a_dB =   26.64 [dB]
--- 61.2 . wpfb_measured_proc_gain_a_dB =   25.65 [dB]
--- 61.3 . wpfb_measured_proc_gain_a_dB =   26.59 [dB]
--- 61.4 . wpfb_measured_proc_gain_a_dB =   26.45 [dB]
--- 61.5 . wpfb_measured_proc_gain_a_dB =   25.73 [dB]
--- 61.6 . wpfb_measured_proc_gain_a_dB =   26.47 [dB]
--- 61.7 . wpfb_measured_proc_gain_a_dB =   26.56 [dB]
--- 61.8 . wpfb_measured_proc_gain_a_dB =   25.59 [dB]
--- 61.9 . wpfb_measured_proc_gain_a_dB =   26.57 [dB]
--- 62.0 . wpfb_measured_proc_gain_a_dB =    6.06 [dB]
-end generate;
-
-
-gen_vary_g_fft_stage_dat_w : if c_gen_vary_g_fft_stage_dat_w generate
-  -- g_internal_dat_w = constant
-  -- WPFB
-  u_300 : entity work.tb_verify_pfb_wg generic map (300, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_301 : entity work.tb_verify_pfb_wg generic map (301, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 19, 1, '0');
-  u_302 : entity work.tb_verify_pfb_wg generic map (302, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');  -- = u_wpfb_stage20
-  u_303 : entity work.tb_verify_pfb_wg generic map (303, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 21, 1, '0');
-  u_304 : entity work.tb_verify_pfb_wg generic map (304, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
-  u_305 : entity work.tb_verify_pfb_wg generic map (305, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 23, 1, '0');  -- = u_wpfb_stage23
-  u_306 : entity work.tb_verify_pfb_wg generic map (306, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 24, 1, '0');  -- = u_wpfb_stage24
-  u_307 : entity work.tb_verify_pfb_wg generic map (307, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 25, 1, '0');
-
+  gen_ref : if c_gen_ref generate  -- .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
+    -- WPFB                                                            .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
+    --u_apertif           : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1,  8, 16, 18, 1, 18, 2, '0');
+    --u_lts_2020_11_23    : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');
+    ---- PFB2
+    u_lofar1_12b        : entity work.tb_verify_pfb_wg generic map (1003, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 18, 18, 0, 20, 0, '0');
+    --u_lofar1_14b        : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1004, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');
+    --u_lofar1_14b_22     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1005, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
+    --u_lofar1_14b_24     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1006, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 24, 0, '0');
+    ---- WPFB
+    --u_wpfb_stage18      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1007, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');
+    --u_wpfb_stage20      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1008, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');
+    --u_wpfb_stage22      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1009, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');
+    --u_wpfb_stage23      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1010, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 23, 1, '0');
+    --u_wpfb_stage24      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1011, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 24, 1, '0');
+    -- c_twiddle_w = 18
+    u_wpfb_lofar2_subbands_lts_2021 : entity work.tb_verify_pfb_wg generic map (1012, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
+    -- c_twiddle_w = 20
+    u_wpfb_lofar2_subbands_dts_18b  : entity work.tb_verify_pfb_wg generic map (1013, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 24, 1, '0');  -- = u_2000
+    u_wpfb_lofar2_subbands_dts_19b  : entity work.tb_verify_pfb_wg generic map (1014, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- = u_2001
+
+  -- Results:
+  --                                           Coeffs16384Kaiser-quant
+  --                                            .           Coeffs16384Kaiser-quant-nodc
+  -- WPFB                                       .            .
+  --tb-1001 . wpfb_measured_proc_gain_a_dB =   25.54 [dB]   25.65 [dB]
+  --tb-1002 . wpfb_measured_proc_gain_a_dB =   -0.80 [dB]    2.67 [dB]
   -- PFB2
-  u_310 : entity work.tb_verify_pfb_wg generic map (310, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 18, 0, '0');
-  u_311 : entity work.tb_verify_pfb_wg generic map (311, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 19, 0, '0');
-  u_312 : entity work.tb_verify_pfb_wg generic map (312, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');  -- = u_lofar1_14b
-  u_313 : entity work.tb_verify_pfb_wg generic map (313, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 21, 0, '0');
-  u_314 : entity work.tb_verify_pfb_wg generic map (314, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
-  u_315 : entity work.tb_verify_pfb_wg generic map (315, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 23, 0, '0');
-  u_316 : entity work.tb_verify_pfb_wg generic map (316, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 24, 0, '0');
-  u_317 : entity work.tb_verify_pfb_wg generic map (317, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 25, 0, '0');
-
-  -- WPFB only FFT
-  u_320 : entity work.tb_verify_pfb_wg generic map (320, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_321 : entity work.tb_verify_pfb_wg generic map (321, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 19, 1, '0');
-  u_322 : entity work.tb_verify_pfb_wg generic map (322, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 20, 1, '0');
-  u_323 : entity work.tb_verify_pfb_wg generic map (323, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 21, 1, '0');
-  u_324 : entity work.tb_verify_pfb_wg generic map (324, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 22, 1, '0');
-  u_325 : entity work.tb_verify_pfb_wg generic map (325, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 23, 1, '0');
-  u_326 : entity work.tb_verify_pfb_wg generic map (326, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 24, 1, '0');
-  u_327 : entity work.tb_verify_pfb_wg generic map (327, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 25, 1, '0');
-
-  -- PFB2 only FFT
-  u_330 : entity work.tb_verify_pfb_wg generic map (330, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 18, 0, '0');
-  u_331 : entity work.tb_verify_pfb_wg generic map (331, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 19, 0, '0');
-  u_332 : entity work.tb_verify_pfb_wg generic map (332, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 20, 0, '0');
-  u_333 : entity work.tb_verify_pfb_wg generic map (333, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 21, 0, '0');
-  u_334 : entity work.tb_verify_pfb_wg generic map (334, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 22, 0, '0');
-  u_335 : entity work.tb_verify_pfb_wg generic map (335, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 23, 0, '0');
-  u_336 : entity work.tb_verify_pfb_wg generic map (336, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 24, 0, '0');
-  u_337 : entity work.tb_verify_pfb_wg generic map (337, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 25, 0, '0');
-
-  -- g_internal_dat_w = incrementing with g_fft_stage_dat_w
+  --tb-1003 . wpfb_measured_proc_gain_a_dB =   23.18 [dB]             , = u_lofar1_12b
+  --tb-1004 . wpfb_measured_proc_gain_a_dB =   15.24 [dB]             , = u_lofar1_14b
+  --tb-1005 . wpfb_measured_proc_gain_a_dB =   17.03 [dB]             , = u_lofar1_14b_22, improvement is < 3 dB
+  --tb-1006 . wpfb_measured_proc_gain_a_dB =   17.00 [dB]             , = u_lofar1_14b_24
   -- WPFB
-  u_340 : entity work.tb_verify_pfb_wg generic map (340, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_341 : entity work.tb_verify_pfb_wg generic map (341, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 19, 1, '0');
-  u_342 : entity work.tb_verify_pfb_wg generic map (342, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 18, 0, 20, 1, '0');
-  u_343 : entity work.tb_verify_pfb_wg generic map (343, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 18, 0, 21, 1, '0');
-  u_344 : entity work.tb_verify_pfb_wg generic map (344, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 18, 0, 22, 1, '0');
-  u_345 : entity work.tb_verify_pfb_wg generic map (345, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 18, 0, 23, 1, '0');
-  u_346 : entity work.tb_verify_pfb_wg generic map (346, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 18, 0, 24, 1, '0');
-  u_347 : entity work.tb_verify_pfb_wg generic map (347, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 18, 0, 25, 1, '0');
-
+  --tb-1007 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]    9.94 [dB], = u_wpfb_stage18
+  --tb-1008 . wpfb_measured_proc_gain_a_dB =   12.38 [dB]   16.48 [dB], = u_wpfb_stage20 : ~3.1 dB per extra g_fft_stage_dat_w bit
+  --tb-1009 . wpfb_measured_proc_gain_a_dB =   18.79 [dB]   24.29 [dB], = u_wpfb_stage22 : ~3.2 dB per extra g_fft_stage_dat_w bit
+  --tb-1010 . wpfb_measured_proc_gain_a_dB =   19.86 [dB]   26.58 [dB], = u_wpfb_stage23 : ~1.1 dB per extra g_fft_stage_dat_w bit
+  --tb-1011 . wpfb_measured_proc_gain_a_dB =   20.08 [dB]   28.17 [dB], = u_wpfb_stage24 : ~0.2 dB per extra g_fft_stage_dat_w bit
+
+  --tb-1012 . wpfb_measured_proc_gain_a_dB = 19.26 [dB]  > 18.79 [dB] from u_wpfb_stage22 in 2021, due to now c_twiddle_w = 20 (?)
+  --tb-1013 . wpfb_measured_proc_gain_a_dB = 20.12 [dB]  = u_2000
+  --tb-1014 . wpfb_measured_proc_gain_a_dB = 18.50 [dB]  = u_2001
+
+  --Conclusion:
+  --* For g_fft_stage_dat_w <= 22 the processing gain increases ~3 dB per extra g_fft_stage_dat_w bit, therefore choose 22, 23 or 24, more than 24 bit has not benefit.
+  end generate;
+
+
+  gen_g_fil_backoff_w_1 : if c_gen_g_fil_backoff_w_1 generate
+    -- g_subband_index_a = 60.4, to check that with g_fil_backoff_w = 1 there is no FIR filter overflow
+    u_149 : entity work.tb_verify_pfb_wg generic map (149, "WPFB", 60.4, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 18, 1, '0');
+    -- g_subband_index_a = 60, WG at center subband frequency to determine PFB processing gain
+    -- g_fft_guard_w = 1, check that no extra FFT backoff guard at first stage is needed when g_fil_backoff_w = 1
+    u_150 : entity work.tb_verify_pfb_wg generic map (150, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 18, 1, '0');
+    u_151 : entity work.tb_verify_pfb_wg generic map (151, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 20, 1, '0');
+    u_152 : entity work.tb_verify_pfb_wg generic map (152, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 22, 1, '0');
+    u_153 : entity work.tb_verify_pfb_wg generic map (153, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 23, 1, '0');
+    u_154 : entity work.tb_verify_pfb_wg generic map (154, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 24, 1, '0');
+    u_155 : entity work.tb_verify_pfb_wg generic map (155, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 25, 1, '0');
+    -- g_fft_guard_w = 2, use extra FFT backoff guard at first FFT stage, which is compensated by no guard at last FFT stage, intermediate stages have backoff guard 1 to compensate for stage gain of factor 2
+    u_156 : entity work.tb_verify_pfb_wg generic map (156, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 18, 2, '0');
+    u_157 : entity work.tb_verify_pfb_wg generic map (157, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 20, 2, '0');
+    u_158 : entity work.tb_verify_pfb_wg generic map (158, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 22, 2, '0');
+    u_159 : entity work.tb_verify_pfb_wg generic map (159, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 23, 2, '0');
+    u_160 : entity work.tb_verify_pfb_wg generic map (160, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 24, 2, '0');
+    u_161 : entity work.tb_verify_pfb_wg generic map (161, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 0, 25, 2, '0');
+  --Results:
+  --g_fil_backoff_w = 1
+  --             g_fft_stage_dat_w
+  --               .                               g_fft_guard_w = 1    g_fft_guard_w = 2
+  --tb-149        18 . wpfb_measured_proc_gain_a_dB =   25.56 [dB]                           -- so OK, because no overflow
+  --tb-150, 156   18 . wpfb_measured_proc_gain_a_dB =    1.05 [dB]           -0.80 [dB]      --  6.11 [dB] for u_wpfb_stage18
+  --tb-151, 157   20 . wpfb_measured_proc_gain_a_dB =    9.05 [dB]            6.38 [dB]      -- 12.38 [dB] for u_wpfb_stage20
+  --tb-152, 158   22 . wpfb_measured_proc_gain_a_dB =   16.13 [dB]           15.90 [dB]      -- 18.79 [dB] for u_wpfb_stage22
+  --                                                    16.52                                -- g_r2_mul_extra_w = 2
+  --                                                    16.13                                -- g_sepa_extra_w = 2
+  --tb-153, 159   23 . wpfb_measured_proc_gain_a_dB =   17.22 [dB]           16.78 [dB]      -- 19.86 [dB] for u_wpfb_stage23
+  --                                                    17.22 [dB]           16.64 [dB]      -- g_internal_dat_w = 16, 15 instead of 17, 16
+  --                                                    17.22 [dB]           16.78 [dB]      -- g_internal_dat_w = 18, 17 instead of 17, 16
+  --                                                    17.22 [dB]           16.51 [dB]      -- g_internal_dat_w = 20, 19 instead of 17, 16
+  --tb-154, 160   24 . wpfb_measured_proc_gain_a_dB =   17.38 [dB]           17.22 [dB]      -- 20.08 [dB] for u_wpfb_stage24
+  --tb-155, 161   25 . wpfb_measured_proc_gain_a_dB =   17.38 [dB]           17.55 [dB]      -- 20.39 [dB] for u_307
+  --Conclusion:
+  --* Using g_fil_backoff_w = 1 decreases the processing gain by ~3 dB (u_wpfb_stage24 - u_154 = 20.08 - 17.38 = 2.70 dB)
+  --* Using g_fft_guard_w = 2 for the first stage does not decrease the processing gain when g_fft_stage_dat_w ~=> 22. However
+  --  when g_fil_backoff_w = 1 then it is not necessary to use g_fft_guard_w > 1, because then the input to the FFT is already
+  --  scaled down by the factor 2 of g_fil_backoff_w = 1.
+  end generate;
+
+
+  gen_vary_g_fil_backoff_w : if c_gen_vary_g_fil_backoff_w generate
+    u_1000 : entity work.tb_verify_pfb_wg generic map (1000, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
+    u_1001 : entity work.tb_verify_pfb_wg generic map (1001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 17, 18, 0, 22, 0, '0');
+    u_1002 : entity work.tb_verify_pfb_wg generic map (1002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 18, 19, 0, 22, 0, '0');
+    u_1003 : entity work.tb_verify_pfb_wg generic map (1003, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 18, 19, 1, 22, 0, '0');
+  --Results:
+  -- . wpfb_measured_proc_gain_a_dB =   18.79 [dB]
+  -- . wpfb_measured_proc_gain_a_dB =   16.64 [dB]
+  -- . wpfb_measured_proc_gain_a_dB =   16.89 [dB]
+  -- . wpfb_measured_proc_gain_a_dB =   15.89 [dB]
+  end generate;
+
+
+  gen_vary_g_fft_out_dat_w : if c_gen_vary_g_fft_out_dat_w generate
+    -- WPFB
+    u_100 : entity work.tb_verify_pfb_wg generic map (100, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
+    u_101 : entity work.tb_verify_pfb_wg generic map (101, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 19, 0, 22, 1, '0');
+    u_102 : entity work.tb_verify_pfb_wg generic map (102, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 20, 0, 22, 1, '0');
+    -- PFB2
+    u_103 : entity work.tb_verify_pfb_wg generic map (103, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
+    u_104 : entity work.tb_verify_pfb_wg generic map (104, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 19, 0, 22, 0, '0');
+    u_105 : entity work.tb_verify_pfb_wg generic map (105, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 20, 0, 22, 0, '0');
+  -- Results:
+  --       g_fft_out_dat_w
+  -- WPFB    .
+  --tb-100  18 . wpfb_measured_proc_gain_a_dB =   18.79 [dB]
+  --tb-101  19 . wpfb_measured_proc_gain_a_dB =   17.38 [dB]
+  --tb-102  20 . wpfb_measured_proc_gain_a_dB =   17.42 [dB]
   -- PFB2
-  u_350 : entity work.tb_verify_pfb_wg generic map (350, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 18, 0, '0');
-  u_351 : entity work.tb_verify_pfb_wg generic map (351, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 18, 0, 19, 0, '0');
-  u_352 : entity work.tb_verify_pfb_wg generic map (352, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 18, 0, 20, 0, '0');
-  u_353 : entity work.tb_verify_pfb_wg generic map (353, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 18, 0, 21, 0, '0');
-  u_354 : entity work.tb_verify_pfb_wg generic map (354, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 18, 0, 22, 0, '0');
-  u_355 : entity work.tb_verify_pfb_wg generic map (355, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 18, 0, 23, 0, '0');
-  u_356 : entity work.tb_verify_pfb_wg generic map (356, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 18, 0, 24, 0, '0');
-  u_357 : entity work.tb_verify_pfb_wg generic map (357, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 18, 0, 25, 0, '0');
-
--- Results:
--- Table A: PFB processing gain for increasing internal data width
---
--- g_fil_in_dat_w = 14 ADC data (full scale sinus)
---   g_fft_stage_dat_w
---    .                                   Coeffs16384Kaiser-quant                                 Only FFT (bypass FIR)
---    .               g_internal_dat_w :  Constant     Incrementing  Constant     Incrementing    Constant     Constant
---    .                                   APERTIF                    LOFAR1                       APERTIF      LOFAR1
---    .                                   WPFB                       PBF2                         WPFB         PBF2
---   18. wpfb_measured_proc_gain_a_dB =    6.11 [dB]    6.11 [dB]    12.07 [dB]   12.07  [dB]      9.97 [dB]   19.56 [dB]
---   19. wpfb_measured_proc_gain_a_dB =    7.49 [dB]    7.61 [dB]    14.27 [dB]   14.81  [dB]     11.17 [dB]   21.43 [dB]
---   20. wpfb_measured_proc_gain_a_dB =   12.38 [dB]   12.58 [dB]    15.24 [dB]   15.95  [dB]     16.36 [dB]   22.11 [dB]
---   21. wpfb_measured_proc_gain_a_dB =   15.84 [dB]   15.93 [dB]    16.82 [dB]   16.92  [dB]     20.69 [dB]   23.17 [dB]
---   22. wpfb_measured_proc_gain_a_dB =   18.79 [dB]   18.79 [dB]    17.03 [dB]   16.92  [dB]     23.96 [dB]   24.05 [dB]
---   23. wpfb_measured_proc_gain_a_dB =   19.86 [dB]   19.93 [dB]    17.03 [dB]   17.18  [dB]     26.00 [dB]   24.00 [dB]
---   24. wpfb_measured_proc_gain_a_dB =   20.08 [dB]   20.16 [dB]    17.00 [dB]   17.00  [dB]     28.22 [dB]   23.96 [dB]
---   25. wpfb_measured_proc_gain_a_dB =   20.39 [dB]   20.23 [dB]    17.00 [dB]   17.07  [dB]     28.22 [dB]   24.19 [dB]
---
---   . c_twiddle_w                    =   18           18            16           16              18           16
-end generate;
-
-
-gen_vary_g_fil_in_dat_w : if c_gen_vary_g_fil_in_dat_w generate
-  u_400 : entity work.tb_verify_pfb_wg generic map (400, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  8, 17, 18, 0, 20, 1, '0');
-  u_401 : entity work.tb_verify_pfb_wg generic map (401, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  9, 17, 18, 0, 20, 1, '0');
-  u_402 : entity work.tb_verify_pfb_wg generic map (402, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 10, 17, 18, 0, 20, 1, '0');
-  u_403 : entity work.tb_verify_pfb_wg generic map (403, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 11, 17, 18, 0, 20, 1, '0');
-  u_404 : entity work.tb_verify_pfb_wg generic map (404, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 17, 18, 0, 20, 1, '0');
-  u_405 : entity work.tb_verify_pfb_wg generic map (405, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 13, 17, 18, 0, 20, 1, '0');
-  u_406 : entity work.tb_verify_pfb_wg generic map (406, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');  -- u_wpfb_stage20
-
-  u_410 : entity work.tb_verify_pfb_wg generic map (410, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  8, 18, 18, 0, 20, 0, '0');
-  u_411 : entity work.tb_verify_pfb_wg generic map (411, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  9, 18, 18, 0, 20, 0, '0');
-  u_412 : entity work.tb_verify_pfb_wg generic map (412, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 10, 18, 18, 0, 20, 0, '0');
-  u_413 : entity work.tb_verify_pfb_wg generic map (413, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 11, 18, 18, 0, 20, 0, '0');
-  u_414 : entity work.tb_verify_pfb_wg generic map (414, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 18, 18, 0, 20, 0, '0');
-  u_415 : entity work.tb_verify_pfb_wg generic map (415, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 13, 18, 18, 0, 20, 0, '0');
-  u_416 : entity work.tb_verify_pfb_wg generic map (416, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');  -- u_lofar1_14b
-
-  u_420 : entity work.tb_verify_pfb_wg generic map (420, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  8, 17, 18, 0, 20, 1, '0');
-  u_421 : entity work.tb_verify_pfb_wg generic map (421, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  9, 17, 18, 0, 20, 1, '0');
-  u_422 : entity work.tb_verify_pfb_wg generic map (422, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 10, 17, 18, 0, 20, 1, '0');
-  u_423 : entity work.tb_verify_pfb_wg generic map (423, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 11, 17, 18, 0, 20, 1, '0');
-  u_424 : entity work.tb_verify_pfb_wg generic map (424, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 12, 17, 18, 0, 20, 1, '0');
-  u_425 : entity work.tb_verify_pfb_wg generic map (425, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 13, 17, 18, 0, 20, 1, '0');
-  u_426 : entity work.tb_verify_pfb_wg generic map (426, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 20, 1, '0');
-
-  u_430 : entity work.tb_verify_pfb_wg generic map (430, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  8, 18, 18, 0, 20, 0, '0');
-  u_431 : entity work.tb_verify_pfb_wg generic map (431, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  9, 18, 18, 0, 20, 0, '0');
-  u_432 : entity work.tb_verify_pfb_wg generic map (432, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 10, 18, 18, 0, 20, 0, '0');
-  u_433 : entity work.tb_verify_pfb_wg generic map (433, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 11, 18, 18, 0, 20, 0, '0');
-  u_434 : entity work.tb_verify_pfb_wg generic map (434, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 12, 18, 18, 0, 20, 0, '0');
-  u_435 : entity work.tb_verify_pfb_wg generic map (435, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 13, 18, 18, 0, 20, 0, '0');
-  u_436 : entity work.tb_verify_pfb_wg generic map (436, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 20, 0, '0');
-
--- Results:
--- The table B) shows the processing gain for different internal ADC input width between 8b and 14b. Conclusions:
---
--- g_fft_stage_dat_w = 20
---   g_fil_in_dat_w ADC data (full scale sinus)
---    .                                   Coeffs16384Kaiser-quant    Only FFT (bypass FIR)
---    .                                   APERTIF      LOFAR1        APERTIF      LOFAR1
---    .                                   WPFB         PBF2          WPFB         PBF2
---    8. wpfb_measured_proc_gain_a_dB =   26.90 [dB]   26.96 [dB]    26.90 [dB]   27.01 [dB]
---    9. wpfb_measured_proc_gain_a_dB =   26.59 [dB]   26.76 [dB]    26.69 [dB]   26.96 [dB]
---   10. wpfb_measured_proc_gain_a_dB =   26.10 [dB]   26.50 [dB]    26.29 [dB]   26.87 [dB]
---   11. wpfb_measured_proc_gain_a_dB =   24.63 [dB]   25.38 [dB]    25.44 [dB]   26.57 [dB]
---   12. wpfb_measured_proc_gain_a_dB =   22.12 [dB]   23.18 [dB]    24.01 [dB]   25.65 [dB]
---   13. wpfb_measured_proc_gain_a_dB =   17.66 [dB]   19.51 [dB]    20.82 [dB]   23.52 [dB]
---   14. wpfb_measured_proc_gain_a_dB =   12.38 [dB]   15.24 [dB]    16.36 [dB]   22.11 [dB]
-end generate;
-
-
--- 2021_jan_11
-gen_vary_g_amplitude_a : if c_gen_vary_g_amplitude_a generate
-  u_760 : entity work.tb_verify_pfb_wg generic map (760, "WPFB", 61.0, 61.0, 1.0     , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18, 1.0
-  u_761 : entity work.tb_verify_pfb_wg generic map (761, "WPFB", 61.0, 61.0, 0.5     , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_762 : entity work.tb_verify_pfb_wg generic map (762, "WPFB", 61.0, 61.0, 0.25    , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_763 : entity work.tb_verify_pfb_wg generic map (763, "WPFB", 61.0, 61.0, 0.125   , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_764 : entity work.tb_verify_pfb_wg generic map (764, "WPFB", 61.0, 61.0, 0.0625  , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_765 : entity work.tb_verify_pfb_wg generic map (765, "WPFB", 61.0, 61.0, 0.03125 , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
-  u_766 : entity work.tb_verify_pfb_wg generic map (766, "WPFB", 61.0, 61.0, 0.015625, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
---Results:
---tb-761 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]
---tb-762 . wpfb_measured_proc_gain_a_dB =    6.15 [dB]
---tb-763 . wpfb_measured_proc_gain_a_dB =    7.05 [dB]
---tb-760 . wpfb_measured_proc_gain_a_dB =    6.73 [dB]
---tb-764 . wpfb_measured_proc_gain_a_dB =    7.18 [dB]
---tb-765 . wpfb_measured_proc_gain_a_dB =    6.79 [dB]
---tb-766 . wpfb_measured_proc_gain_a_dB =    7.03 [dB]
-end generate;
-
-
-gen_vary_c_twiddle_w : if c_gen_vary_c_twiddle_w generate
-  -- WPFB only FFT
-  u_0 : entity work.tb_verify_pfb_wg generic map (0, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_324
-  u_1 : entity work.tb_verify_pfb_wg generic map (1, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 24, 1, '0');  -- = u_326
-
--- Rerun the simulation per c_twiddle_w setting ## by first manually doing:
--- > cp libraries/dsp/rTwoSDF/src/vhdl/pkg/twiddlesPkg_w##.vhd libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg.vhd
--- > mk all
--- and then collect the results from the transcript window:
---
--- Results:
--- c_twiddle_w                            g_stage_dat_w
---   .                                           22            24
---  13 : wpfb_measured_proc_gain_a_dB =    8.49 [dB]     8.76 [dB]
---  14 : wpfb_measured_proc_gain_a_dB =   13.15 [dB]    13.83 [dB]
---  15 : wpfb_measured_proc_gain_a_dB =   18.08 [dB]    19.19 [dB]
---  16 : wpfb_measured_proc_gain_a_dB =   21.53 [dB]    22.85 [dB]
---  17 : wpfb_measured_proc_gain_a_dB =   23.29 [dB]    26.62 [dB]
---  18 : wpfb_measured_proc_gain_a_dB =   23.96 [dB]    28.22 [dB]  -- = u_324, u_326  ==> Choose c_twiddle_w >= g_fft_out_dat_w
---  19 : wpfb_measured_proc_gain_a_dB =   24.54 [dB]    27.76 [dB]
---  20 : wpfb_measured_proc_gain_a_dB =   24.97 [dB]    28.22 [dB]
---  21 : wpfb_measured_proc_gain_a_dB =   24.75 [dB]    28.22 [dB]
-end generate;
-
-
-gen_vary_extra_w : if c_gen_vary_extra_w generate
---Conclusion:
---* If g_fft_stage_dat_w is large enough (~=> 24), then using extra_w has no benefit (as expected)
---* Combination of using both g_r2_mul_extra_w and g_sepa_extra_w has most benefit, for
---  g_fft_stage_dat_w ~=> 22 it is sufficient to use 1, 1.
---* Using g_fft_stage_dat_w = 22 with extra_w 1, 1 yields 19.59 [dB],
---  using g_fft_stage_dat_w = 24 with extra_w 0, 0 yields 20.08 [dB] so ~= 0.49 dB better
---  using g_fft_stage_dat_w = 24 with extra_w 1, 1 yields 20.31 [dB] so ~= 0.72 dB better, but with 2, 2 it is even slightly less.
-end generate;
-
-
-gen_2020_dec : if c_gen_2020_dec generate
-  -- g_internal_dat_w = g_fft_stage_dat_w - g_fft_guard_w
-  -- g_fft_out_dat_w = 18
-  u_800 : entity work.tb_verify_pfb_wg generic map (800, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');
-  u_801 : entity work.tb_verify_pfb_wg generic map (801, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 19, 1, '0');
-  u_802 : entity work.tb_verify_pfb_wg generic map (802, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 18, 0, 20, 1, '0');
-  u_803 : entity work.tb_verify_pfb_wg generic map (803, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 18, 0, 21, 1, '0');
-  u_804 : entity work.tb_verify_pfb_wg generic map (804, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 18, 0, 22, 1, '0');
-  u_805 : entity work.tb_verify_pfb_wg generic map (805, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 18, 0, 23, 1, '0');
-  u_806 : entity work.tb_verify_pfb_wg generic map (806, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 18, 0, 24, 1, '0');
-  u_807 : entity work.tb_verify_pfb_wg generic map (807, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 18, 0, 25, 1, '0');
-  u_808 : entity work.tb_verify_pfb_wg generic map (808, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 18, 0, 26, 1, '0');
-  u_809 : entity work.tb_verify_pfb_wg generic map (809, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 18, 0, 27, 1, '0');
-
-  -- g_fft_out_dat_w = 19
-  u_810 : entity work.tb_verify_pfb_wg generic map (810, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 19, 0, 18, 1, '0');
-  u_811 : entity work.tb_verify_pfb_wg generic map (811, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 19, 0, 19, 1, '0');
-  u_812 : entity work.tb_verify_pfb_wg generic map (812, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 19, 0, 20, 1, '0');
-  u_813 : entity work.tb_verify_pfb_wg generic map (813, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 19, 0, 21, 1, '0');
-  u_814 : entity work.tb_verify_pfb_wg generic map (814, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 19, 0, 22, 1, '0');
-  u_815 : entity work.tb_verify_pfb_wg generic map (815, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 19, 0, 23, 1, '0');
-  u_816 : entity work.tb_verify_pfb_wg generic map (816, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 19, 0, 24, 1, '0');
-  u_817 : entity work.tb_verify_pfb_wg generic map (817, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 19, 0, 25, 1, '0');
-  u_818 : entity work.tb_verify_pfb_wg generic map (818, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 19, 0, 26, 1, '0');
-  u_819 : entity work.tb_verify_pfb_wg generic map (819, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 19, 0, 27, 1, '0');
-
-  -- g_fft_out_dat_w = 20
-  u_820 : entity work.tb_verify_pfb_wg generic map (820, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 20, 0, 18, 1, '0');
-  u_821 : entity work.tb_verify_pfb_wg generic map (821, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 20, 0, 19, 1, '0');
-  u_822 : entity work.tb_verify_pfb_wg generic map (822, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 20, 0, 20, 1, '0');
-  u_823 : entity work.tb_verify_pfb_wg generic map (823, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 20, 0, 21, 1, '0');
-  u_824 : entity work.tb_verify_pfb_wg generic map (824, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 20, 0, 22, 1, '0');
-  u_825 : entity work.tb_verify_pfb_wg generic map (825, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 20, 0, 23, 1, '0');
-  u_826 : entity work.tb_verify_pfb_wg generic map (826, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 20, 0, 24, 1, '0');
-  u_827 : entity work.tb_verify_pfb_wg generic map (827, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 20, 0, 25, 1, '0');
-  u_828 : entity work.tb_verify_pfb_wg generic map (828, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 20, 0, 26, 1, '0');
-  u_829 : entity work.tb_verify_pfb_wg generic map (829, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 20, 0, 27, 1, '0');
-end generate;
-
-gen_2022_mar_21 : if c_gen_2022_mar generate
+  --tb-103  18 . wpfb_measured_proc_gain_a_dB =   17.03 [dB]
+  --tb-104  19 . wpfb_measured_proc_gain_a_dB =   15.70 [dB]
+  --tb-105  20 . wpfb_measured_proc_gain_a_dB =   16.36 [dB]
+  end generate;
+
+  gen_2020_jan_18 : if c_gen_2020_jan_18 generate
+    u_200  : entity work.tb_verify_pfb_wg generic map (200, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');  -- = u_lts_2020_11_23
+    u_201  : entity work.tb_verify_pfb_wg generic map (201, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_204  : entity work.tb_verify_pfb_wg generic map (204, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');  -- = u_wpfb_stage20
+
+  -- Results:
+  -- Table C: PFB processing gain for APERTIF WPFB quick improvements
+  --
+  -- tb-200 . wpfb_measured_proc_gain_a_dB =   -0.80 [dB]  current lofar2_unb2b_filterbank settings 2020-11-23
+  -- tb-201 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]  + g_fil_backoff_w = 0 instead of 1,
+  --                                                       + g_fft_guard_w = 1 instead of 2,
+  --                                                       + g_internal_dat_w = 17 instead of 16
+  -- tb-202 . wpfb_measured_proc_gain_a_dB =    6.53 [dB]  + g_r2_mul_extra_w = 2 instead of 0
+  -- tb-203 . wpfb_measured_proc_gain_a_dB =    6.53 [dB]  + g_sepa_extra_w = 2 instead of 0
+  -- tb-204 . wpfb_measured_proc_gain_a_dB =   12.38 [dB]  + g_fft_stage_dat_w = 20 instead of 18
+  -- tb-205 . wpfb_measured_proc_gain_a_dB =   12.35 [dB]  + g_fft_stage_dat_w = 20 instead of 18, g_r2_mul_extra_w = 2
+  -- tb-206 . wpfb_measured_proc_gain_a_dB =   14.62 [dB]  + g_fft_stage_dat_w = 20 instead of 18, g_r2_mul_extra_w = 2, g_sepa_extra_w = 2
+  end generate;
+
+
+  gen_vary_wg_integer_freq : if c_gen_vary_wg_integer_freq generate
+    u_2001 : entity work.tb_verify_pfb_wg generic map (2001, "WPFB",  1.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2002 : entity work.tb_verify_pfb_wg generic map (2002, "WPFB",  2.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2003 : entity work.tb_verify_pfb_wg generic map (2003, "WPFB",  3.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2004 : entity work.tb_verify_pfb_wg generic map (2004, "WPFB",  4.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2008 : entity work.tb_verify_pfb_wg generic map (2008, "WPFB",  8.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2016 : entity work.tb_verify_pfb_wg generic map (2016, "WPFB", 16.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2032 : entity work.tb_verify_pfb_wg generic map (2032, "WPFB", 32.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2037 : entity work.tb_verify_pfb_wg generic map (2037, "WPFB", 37.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2061 : entity work.tb_verify_pfb_wg generic map (2061, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2064 : entity work.tb_verify_pfb_wg generic map (2064, "WPFB", 64.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2117 : entity work.tb_verify_pfb_wg generic map (2117, "WPFB",117.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2128 : entity work.tb_verify_pfb_wg generic map (2128, "WPFB",128.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2256 : entity work.tb_verify_pfb_wg generic map (2256, "WPFB",256.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2257 : entity work.tb_verify_pfb_wg generic map (2257, "WPFB",257.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2373 : entity work.tb_verify_pfb_wg generic map (2373, "WPFB",373.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_2503 : entity work.tb_verify_pfb_wg generic map (2503, "WPFB",503.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+
+  -- Results:
+  -- g_subband_index_a
+  --   1 . wpfb_measured_proc_gain_a_dB =    6.95 [dB]
+  --   2 . wpfb_measured_proc_gain_a_dB =    6.90 [dB]
+  --   3 . wpfb_measured_proc_gain_a_dB =    6.89 [dB]
+  --   4 . wpfb_measured_proc_gain_a_dB =    6.81 [dB]
+  --   8 . wpfb_measured_proc_gain_a_dB =    7.79 [dB]
+  --  16 . wpfb_measured_proc_gain_a_dB =    7.97 [dB]
+  --  32 . wpfb_measured_proc_gain_a_dB =    8.29 [dB]
+  --  37 . wpfb_measured_proc_gain_a_dB =    6.03 [dB]
+  --  61 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]
+  --  64 . wpfb_measured_proc_gain_a_dB =    9.06 [dB]
+  -- 117 . wpfb_measured_proc_gain_a_dB =    6.32 [dB]
+  -- 128 . wpfb_measured_proc_gain_a_dB =   11.69 [dB], due to wrong wg_measured_snr_a_dB =  80.54 [dB], using c_wg_snr_a_dB = 86.05 [dB] and sst_measured_snr_a_dB = 92.24 [dB], yields 6.20 dB.
+  -- 256 . wpfb_measured_proc_gain_a_dB = -153.35 [dB], due to wrong wg_measured_snr_a_dB = 246.87 [dB], using c_wg_snr_a_dB = 86.05 [dB] and sst_measured_snr_a_dB = 93.52 [dB], yields 7.47 dB.
+  -- 257 . wpfb_measured_proc_gain_a_dB =    6.74 [dB]
+  -- 373 . wpfb_measured_proc_gain_a_dB =    6.37 [dB]
+  -- 503 . wpfb_measured_proc_gain_a_dB =    6.67 [dB]
+  end generate;
+
+
+  gen_vary_wg_fractional_freq : if c_gen_vary_wg_fractional_freq generate
+    -- Use fractions that fit integer number of periods in sync interval c_N_blk = c_wpfb.nof_blk_per_sync = 10, so c_N_blk*fraction must be integer, to have stable SST value
+    -- Need to use g_amplitude_a = 0.9 ~< 0.95 to avoid overflow in PFS output, that occurs for some fractional g_subband_index_a
+    -- WG freq 60.0
+    u_600 : entity work.tb_verify_pfb_wg generic map (600, "WPFB", 60.0, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_601 : entity work.tb_verify_pfb_wg generic map (601, "WPFB", 60.1, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_602 : entity work.tb_verify_pfb_wg generic map (602, "WPFB", 60.2, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_603 : entity work.tb_verify_pfb_wg generic map (603, "WPFB", 60.3, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_604 : entity work.tb_verify_pfb_wg generic map (604, "WPFB", 60.4, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_605 : entity work.tb_verify_pfb_wg generic map (605, "WPFB", 60.5, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_606 : entity work.tb_verify_pfb_wg generic map (606, "WPFB", 60.6, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_607 : entity work.tb_verify_pfb_wg generic map (607, "WPFB", 60.7, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_608 : entity work.tb_verify_pfb_wg generic map (608, "WPFB", 60.8, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_609 : entity work.tb_verify_pfb_wg generic map (609, "WPFB", 60.9, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    -- WG freq 61.0
+    u_610 : entity work.tb_verify_pfb_wg generic map (610, "WPFB", 61.0, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18 freq 61
+    u_611 : entity work.tb_verify_pfb_wg generic map (611, "WPFB", 61.1, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_612 : entity work.tb_verify_pfb_wg generic map (612, "WPFB", 61.2, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_613 : entity work.tb_verify_pfb_wg generic map (613, "WPFB", 61.3, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_614 : entity work.tb_verify_pfb_wg generic map (614, "WPFB", 61.4, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_615 : entity work.tb_verify_pfb_wg generic map (615, "WPFB", 61.5, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_616 : entity work.tb_verify_pfb_wg generic map (616, "WPFB", 61.6, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_617 : entity work.tb_verify_pfb_wg generic map (617, "WPFB", 61.7, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_618 : entity work.tb_verify_pfb_wg generic map (618, "WPFB", 61.8, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_619 : entity work.tb_verify_pfb_wg generic map (619, "WPFB", 61.9, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    -- WG freq 62.0
+    u_620 : entity work.tb_verify_pfb_wg generic map (620, "WPFB", 62.0, 61.0, 0.9, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+
+  -- Note>:
+  -- . For fractional subband frequencies the WG can only generate the average frequency, due to limited period accuracy of WG. This causes
+  --   the WG SNR to be about 55.1 +- 0.1 dB for fractional subband frequencies, instead of 85.0 dB, so about 30 dB less. The WG quantization
+  --   noise is not white noise, as can be seen by cw_noise_a in analogue format in the Modelsim Wave Window
+  -- Results:
+  -- g_subband_index_a
+  -- 60.0 . wpfb_measured_proc_gain_a_dB =    6.09 [dB]
+  -- 60.1 . wpfb_measured_proc_gain_a_dB =   26.57 [dB], the processing gain is higher due to that the WG input SNR is much lower for fractional subband frequencies
+  -- 60.2 . wpfb_measured_proc_gain_a_dB =   25.58 [dB]
+  -- 60.3 . wpfb_measured_proc_gain_a_dB =   26.55 [dB]
+  -- 60.4 . wpfb_measured_proc_gain_a_dB =   26.52 [dB]
+  -- 60.5 . wpfb_measured_proc_gain_a_dB =   25.73 [dB]
+  -- 60.6 . wpfb_measured_proc_gain_a_dB =   26.51 [dB]
+  -- 60.7 . wpfb_measured_proc_gain_a_dB =   26.66 [dB]
+  -- 60.8 . wpfb_measured_proc_gain_a_dB =   25.70 [dB]
+  -- 60.9 . wpfb_measured_proc_gain_a_dB =   26.67 [dB]
+  -- 61.0 . wpfb_measured_proc_gain_a_dB =    6.43 [dB]
+  -- 61.1 . wpfb_measured_proc_gain_a_dB =   26.64 [dB]
+  -- 61.2 . wpfb_measured_proc_gain_a_dB =   25.65 [dB]
+  -- 61.3 . wpfb_measured_proc_gain_a_dB =   26.59 [dB]
+  -- 61.4 . wpfb_measured_proc_gain_a_dB =   26.45 [dB]
+  -- 61.5 . wpfb_measured_proc_gain_a_dB =   25.73 [dB]
+  -- 61.6 . wpfb_measured_proc_gain_a_dB =   26.47 [dB]
+  -- 61.7 . wpfb_measured_proc_gain_a_dB =   26.56 [dB]
+  -- 61.8 . wpfb_measured_proc_gain_a_dB =   25.59 [dB]
+  -- 61.9 . wpfb_measured_proc_gain_a_dB =   26.57 [dB]
+  -- 62.0 . wpfb_measured_proc_gain_a_dB =    6.06 [dB]
+  end generate;
+
+
+  gen_vary_g_fft_stage_dat_w : if c_gen_vary_g_fft_stage_dat_w generate
+    -- g_internal_dat_w = constant
+    -- WPFB
+    u_300 : entity work.tb_verify_pfb_wg generic map (300, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_301 : entity work.tb_verify_pfb_wg generic map (301, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 19, 1, '0');
+    u_302 : entity work.tb_verify_pfb_wg generic map (302, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');  -- = u_wpfb_stage20
+    u_303 : entity work.tb_verify_pfb_wg generic map (303, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 21, 1, '0');
+    u_304 : entity work.tb_verify_pfb_wg generic map (304, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
+    u_305 : entity work.tb_verify_pfb_wg generic map (305, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 23, 1, '0');  -- = u_wpfb_stage23
+    u_306 : entity work.tb_verify_pfb_wg generic map (306, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 24, 1, '0');  -- = u_wpfb_stage24
+    u_307 : entity work.tb_verify_pfb_wg generic map (307, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 25, 1, '0');
+
+    -- PFB2
+    u_310 : entity work.tb_verify_pfb_wg generic map (310, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 18, 0, '0');
+    u_311 : entity work.tb_verify_pfb_wg generic map (311, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 19, 0, '0');
+    u_312 : entity work.tb_verify_pfb_wg generic map (312, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');  -- = u_lofar1_14b
+    u_313 : entity work.tb_verify_pfb_wg generic map (313, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 21, 0, '0');
+    u_314 : entity work.tb_verify_pfb_wg generic map (314, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
+    u_315 : entity work.tb_verify_pfb_wg generic map (315, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 23, 0, '0');
+    u_316 : entity work.tb_verify_pfb_wg generic map (316, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 24, 0, '0');
+    u_317 : entity work.tb_verify_pfb_wg generic map (317, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 25, 0, '0');
+
+    -- WPFB only FFT
+    u_320 : entity work.tb_verify_pfb_wg generic map (320, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_321 : entity work.tb_verify_pfb_wg generic map (321, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 19, 1, '0');
+    u_322 : entity work.tb_verify_pfb_wg generic map (322, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 20, 1, '0');
+    u_323 : entity work.tb_verify_pfb_wg generic map (323, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 21, 1, '0');
+    u_324 : entity work.tb_verify_pfb_wg generic map (324, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 22, 1, '0');
+    u_325 : entity work.tb_verify_pfb_wg generic map (325, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 23, 1, '0');
+    u_326 : entity work.tb_verify_pfb_wg generic map (326, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 24, 1, '0');
+    u_327 : entity work.tb_verify_pfb_wg generic map (327, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 25, 1, '0');
+
+    -- PFB2 only FFT
+    u_330 : entity work.tb_verify_pfb_wg generic map (330, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 18, 0, '0');
+    u_331 : entity work.tb_verify_pfb_wg generic map (331, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 19, 0, '0');
+    u_332 : entity work.tb_verify_pfb_wg generic map (332, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 20, 0, '0');
+    u_333 : entity work.tb_verify_pfb_wg generic map (333, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 21, 0, '0');
+    u_334 : entity work.tb_verify_pfb_wg generic map (334, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 22, 0, '0');
+    u_335 : entity work.tb_verify_pfb_wg generic map (335, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 23, 0, '0');
+    u_336 : entity work.tb_verify_pfb_wg generic map (336, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 24, 0, '0');
+    u_337 : entity work.tb_verify_pfb_wg generic map (337, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 25, 0, '0');
+
+    -- g_internal_dat_w = incrementing with g_fft_stage_dat_w
+    -- WPFB
+    u_340 : entity work.tb_verify_pfb_wg generic map (340, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_341 : entity work.tb_verify_pfb_wg generic map (341, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 19, 1, '0');
+    u_342 : entity work.tb_verify_pfb_wg generic map (342, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 18, 0, 20, 1, '0');
+    u_343 : entity work.tb_verify_pfb_wg generic map (343, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 18, 0, 21, 1, '0');
+    u_344 : entity work.tb_verify_pfb_wg generic map (344, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 18, 0, 22, 1, '0');
+    u_345 : entity work.tb_verify_pfb_wg generic map (345, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 18, 0, 23, 1, '0');
+    u_346 : entity work.tb_verify_pfb_wg generic map (346, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 18, 0, 24, 1, '0');
+    u_347 : entity work.tb_verify_pfb_wg generic map (347, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 18, 0, 25, 1, '0');
+
+    -- PFB2
+    u_350 : entity work.tb_verify_pfb_wg generic map (350, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 18, 0, '0');
+    u_351 : entity work.tb_verify_pfb_wg generic map (351, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 18, 0, 19, 0, '0');
+    u_352 : entity work.tb_verify_pfb_wg generic map (352, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 18, 0, 20, 0, '0');
+    u_353 : entity work.tb_verify_pfb_wg generic map (353, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 18, 0, 21, 0, '0');
+    u_354 : entity work.tb_verify_pfb_wg generic map (354, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 18, 0, 22, 0, '0');
+    u_355 : entity work.tb_verify_pfb_wg generic map (355, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 18, 0, 23, 0, '0');
+    u_356 : entity work.tb_verify_pfb_wg generic map (356, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 18, 0, 24, 0, '0');
+    u_357 : entity work.tb_verify_pfb_wg generic map (357, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 18, 0, 25, 0, '0');
+
+  -- Results:
+  -- Table A: PFB processing gain for increasing internal data width
+  --
+  -- g_fil_in_dat_w = 14 ADC data (full scale sinus)
+  --   g_fft_stage_dat_w
+  --    .                                   Coeffs16384Kaiser-quant                                 Only FFT (bypass FIR)
+  --    .               g_internal_dat_w :  Constant     Incrementing  Constant     Incrementing    Constant     Constant
+  --    .                                   APERTIF                    LOFAR1                       APERTIF      LOFAR1
+  --    .                                   WPFB                       PBF2                         WPFB         PBF2
+  --   18. wpfb_measured_proc_gain_a_dB =    6.11 [dB]    6.11 [dB]    12.07 [dB]   12.07  [dB]      9.97 [dB]   19.56 [dB]
+  --   19. wpfb_measured_proc_gain_a_dB =    7.49 [dB]    7.61 [dB]    14.27 [dB]   14.81  [dB]     11.17 [dB]   21.43 [dB]
+  --   20. wpfb_measured_proc_gain_a_dB =   12.38 [dB]   12.58 [dB]    15.24 [dB]   15.95  [dB]     16.36 [dB]   22.11 [dB]
+  --   21. wpfb_measured_proc_gain_a_dB =   15.84 [dB]   15.93 [dB]    16.82 [dB]   16.92  [dB]     20.69 [dB]   23.17 [dB]
+  --   22. wpfb_measured_proc_gain_a_dB =   18.79 [dB]   18.79 [dB]    17.03 [dB]   16.92  [dB]     23.96 [dB]   24.05 [dB]
+  --   23. wpfb_measured_proc_gain_a_dB =   19.86 [dB]   19.93 [dB]    17.03 [dB]   17.18  [dB]     26.00 [dB]   24.00 [dB]
+  --   24. wpfb_measured_proc_gain_a_dB =   20.08 [dB]   20.16 [dB]    17.00 [dB]   17.00  [dB]     28.22 [dB]   23.96 [dB]
+  --   25. wpfb_measured_proc_gain_a_dB =   20.39 [dB]   20.23 [dB]    17.00 [dB]   17.07  [dB]     28.22 [dB]   24.19 [dB]
+  --
+  --   . c_twiddle_w                    =   18           18            16           16              18           16
+  end generate;
+
+
+  gen_vary_g_fil_in_dat_w : if c_gen_vary_g_fil_in_dat_w generate
+    u_400 : entity work.tb_verify_pfb_wg generic map (400, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  8, 17, 18, 0, 20, 1, '0');
+    u_401 : entity work.tb_verify_pfb_wg generic map (401, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  9, 17, 18, 0, 20, 1, '0');
+    u_402 : entity work.tb_verify_pfb_wg generic map (402, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 10, 17, 18, 0, 20, 1, '0');
+    u_403 : entity work.tb_verify_pfb_wg generic map (403, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 11, 17, 18, 0, 20, 1, '0');
+    u_404 : entity work.tb_verify_pfb_wg generic map (404, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 17, 18, 0, 20, 1, '0');
+    u_405 : entity work.tb_verify_pfb_wg generic map (405, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 13, 17, 18, 0, 20, 1, '0');
+    u_406 : entity work.tb_verify_pfb_wg generic map (406, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');  -- u_wpfb_stage20
+
+    u_410 : entity work.tb_verify_pfb_wg generic map (410, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  8, 18, 18, 0, 20, 0, '0');
+    u_411 : entity work.tb_verify_pfb_wg generic map (411, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0,  9, 18, 18, 0, 20, 0, '0');
+    u_412 : entity work.tb_verify_pfb_wg generic map (412, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 10, 18, 18, 0, 20, 0, '0');
+    u_413 : entity work.tb_verify_pfb_wg generic map (413, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 11, 18, 18, 0, 20, 0, '0');
+    u_414 : entity work.tb_verify_pfb_wg generic map (414, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 18, 18, 0, 20, 0, '0');
+    u_415 : entity work.tb_verify_pfb_wg generic map (415, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 13, 18, 18, 0, 20, 0, '0');
+    u_416 : entity work.tb_verify_pfb_wg generic map (416, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');  -- u_lofar1_14b
+
+    u_420 : entity work.tb_verify_pfb_wg generic map (420, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  8, 17, 18, 0, 20, 1, '0');
+    u_421 : entity work.tb_verify_pfb_wg generic map (421, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  9, 17, 18, 0, 20, 1, '0');
+    u_422 : entity work.tb_verify_pfb_wg generic map (422, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 10, 17, 18, 0, 20, 1, '0');
+    u_423 : entity work.tb_verify_pfb_wg generic map (423, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 11, 17, 18, 0, 20, 1, '0');
+    u_424 : entity work.tb_verify_pfb_wg generic map (424, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 12, 17, 18, 0, 20, 1, '0');
+    u_425 : entity work.tb_verify_pfb_wg generic map (425, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 13, 17, 18, 0, 20, 1, '0');
+    u_426 : entity work.tb_verify_pfb_wg generic map (426, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 20, 1, '0');
+
+    u_430 : entity work.tb_verify_pfb_wg generic map (430, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  8, 18, 18, 0, 20, 0, '0');
+    u_431 : entity work.tb_verify_pfb_wg generic map (431, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0,  9, 18, 18, 0, 20, 0, '0');
+    u_432 : entity work.tb_verify_pfb_wg generic map (432, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 10, 18, 18, 0, 20, 0, '0');
+    u_433 : entity work.tb_verify_pfb_wg generic map (433, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 11, 18, 18, 0, 20, 0, '0');
+    u_434 : entity work.tb_verify_pfb_wg generic map (434, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 12, 18, 18, 0, 20, 0, '0');
+    u_435 : entity work.tb_verify_pfb_wg generic map (435, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 13, 18, 18, 0, 20, 0, '0');
+    u_436 : entity work.tb_verify_pfb_wg generic map (436, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 18, 18, 0, 20, 0, '0');
+
+  -- Results:
+  -- The table B) shows the processing gain for different internal ADC input width between 8b and 14b. Conclusions:
+  --
+  -- g_fft_stage_dat_w = 20
+  --   g_fil_in_dat_w ADC data (full scale sinus)
+  --    .                                   Coeffs16384Kaiser-quant    Only FFT (bypass FIR)
+  --    .                                   APERTIF      LOFAR1        APERTIF      LOFAR1
+  --    .                                   WPFB         PBF2          WPFB         PBF2
+  --    8. wpfb_measured_proc_gain_a_dB =   26.90 [dB]   26.96 [dB]    26.90 [dB]   27.01 [dB]
+  --    9. wpfb_measured_proc_gain_a_dB =   26.59 [dB]   26.76 [dB]    26.69 [dB]   26.96 [dB]
+  --   10. wpfb_measured_proc_gain_a_dB =   26.10 [dB]   26.50 [dB]    26.29 [dB]   26.87 [dB]
+  --   11. wpfb_measured_proc_gain_a_dB =   24.63 [dB]   25.38 [dB]    25.44 [dB]   26.57 [dB]
+  --   12. wpfb_measured_proc_gain_a_dB =   22.12 [dB]   23.18 [dB]    24.01 [dB]   25.65 [dB]
+  --   13. wpfb_measured_proc_gain_a_dB =   17.66 [dB]   19.51 [dB]    20.82 [dB]   23.52 [dB]
+  --   14. wpfb_measured_proc_gain_a_dB =   12.38 [dB]   15.24 [dB]    16.36 [dB]   22.11 [dB]
+  end generate;
+
+
+  -- 2021_jan_11
+  gen_vary_g_amplitude_a : if c_gen_vary_g_amplitude_a generate
+    u_760 : entity work.tb_verify_pfb_wg generic map (760, "WPFB", 61.0, 61.0, 1.0     , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18, 1.0
+    u_761 : entity work.tb_verify_pfb_wg generic map (761, "WPFB", 61.0, 61.0, 0.5     , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_762 : entity work.tb_verify_pfb_wg generic map (762, "WPFB", 61.0, 61.0, 0.25    , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_763 : entity work.tb_verify_pfb_wg generic map (763, "WPFB", 61.0, 61.0, 0.125   , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_764 : entity work.tb_verify_pfb_wg generic map (764, "WPFB", 61.0, 61.0, 0.0625  , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_765 : entity work.tb_verify_pfb_wg generic map (765, "WPFB", 61.0, 61.0, 0.03125 , 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+    u_766 : entity work.tb_verify_pfb_wg generic map (766, "WPFB", 61.0, 61.0, 0.015625, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
+  --Results:
+  --tb-761 . wpfb_measured_proc_gain_a_dB =    6.11 [dB]
+  --tb-762 . wpfb_measured_proc_gain_a_dB =    6.15 [dB]
+  --tb-763 . wpfb_measured_proc_gain_a_dB =    7.05 [dB]
+  --tb-760 . wpfb_measured_proc_gain_a_dB =    6.73 [dB]
+  --tb-764 . wpfb_measured_proc_gain_a_dB =    7.18 [dB]
+  --tb-765 . wpfb_measured_proc_gain_a_dB =    6.79 [dB]
+  --tb-766 . wpfb_measured_proc_gain_a_dB =    7.03 [dB]
+  end generate;
+
+
+  gen_vary_c_twiddle_w : if c_gen_vary_c_twiddle_w generate
+    -- WPFB only FFT
+    u_0 : entity work.tb_verify_pfb_wg generic map (0, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_324
+    u_1 : entity work.tb_verify_pfb_wg generic map (1, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0, c_fil_bypass, 16, 0, 14, 17, 18, 0, 24, 1, '0');  -- = u_326
+
+  -- Rerun the simulation per c_twiddle_w setting ## by first manually doing:
+  -- > cp libraries/dsp/rTwoSDF/src/vhdl/pkg/twiddlesPkg_w##.vhd libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg.vhd
+  -- > mk all
+  -- and then collect the results from the transcript window:
+  --
+  -- Results:
+  -- c_twiddle_w                            g_stage_dat_w
+  --   .                                           22            24
+  --  13 : wpfb_measured_proc_gain_a_dB =    8.49 [dB]     8.76 [dB]
+  --  14 : wpfb_measured_proc_gain_a_dB =   13.15 [dB]    13.83 [dB]
+  --  15 : wpfb_measured_proc_gain_a_dB =   18.08 [dB]    19.19 [dB]
+  --  16 : wpfb_measured_proc_gain_a_dB =   21.53 [dB]    22.85 [dB]
+  --  17 : wpfb_measured_proc_gain_a_dB =   23.29 [dB]    26.62 [dB]
+  --  18 : wpfb_measured_proc_gain_a_dB =   23.96 [dB]    28.22 [dB]  -- = u_324, u_326  ==> Choose c_twiddle_w >= g_fft_out_dat_w
+  --  19 : wpfb_measured_proc_gain_a_dB =   24.54 [dB]    27.76 [dB]
+  --  20 : wpfb_measured_proc_gain_a_dB =   24.97 [dB]    28.22 [dB]
+  --  21 : wpfb_measured_proc_gain_a_dB =   24.75 [dB]    28.22 [dB]
+  end generate;
+
+
+  gen_vary_extra_w : if c_gen_vary_extra_w generate
+  --Conclusion:
+  --* If g_fft_stage_dat_w is large enough (~=> 24), then using extra_w has no benefit (as expected)
+  --* Combination of using both g_r2_mul_extra_w and g_sepa_extra_w has most benefit, for
+  --  g_fft_stage_dat_w ~=> 22 it is sufficient to use 1, 1.
+  --* Using g_fft_stage_dat_w = 22 with extra_w 1, 1 yields 19.59 [dB],
+  --  using g_fft_stage_dat_w = 24 with extra_w 0, 0 yields 20.08 [dB] so ~= 0.49 dB better
+  --  using g_fft_stage_dat_w = 24 with extra_w 1, 1 yields 20.31 [dB] so ~= 0.72 dB better, but with 2, 2 it is even slightly less.
+  end generate;
+
+
+  gen_2020_dec : if c_gen_2020_dec generate
+    -- g_internal_dat_w = g_fft_stage_dat_w - g_fft_guard_w
+    -- g_fft_out_dat_w = 18
+    u_800 : entity work.tb_verify_pfb_wg generic map (800, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');
+    u_801 : entity work.tb_verify_pfb_wg generic map (801, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 19, 1, '0');
+    u_802 : entity work.tb_verify_pfb_wg generic map (802, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 18, 0, 20, 1, '0');
+    u_803 : entity work.tb_verify_pfb_wg generic map (803, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 18, 0, 21, 1, '0');
+    u_804 : entity work.tb_verify_pfb_wg generic map (804, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 18, 0, 22, 1, '0');
+    u_805 : entity work.tb_verify_pfb_wg generic map (805, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 18, 0, 23, 1, '0');
+    u_806 : entity work.tb_verify_pfb_wg generic map (806, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 18, 0, 24, 1, '0');
+    u_807 : entity work.tb_verify_pfb_wg generic map (807, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 18, 0, 25, 1, '0');
+    u_808 : entity work.tb_verify_pfb_wg generic map (808, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 18, 0, 26, 1, '0');
+    u_809 : entity work.tb_verify_pfb_wg generic map (809, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 18, 0, 27, 1, '0');
+
+    -- g_fft_out_dat_w = 19
+    u_810 : entity work.tb_verify_pfb_wg generic map (810, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 19, 0, 18, 1, '0');
+    u_811 : entity work.tb_verify_pfb_wg generic map (811, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 19, 0, 19, 1, '0');
+    u_812 : entity work.tb_verify_pfb_wg generic map (812, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 19, 0, 20, 1, '0');
+    u_813 : entity work.tb_verify_pfb_wg generic map (813, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 19, 0, 21, 1, '0');
+    u_814 : entity work.tb_verify_pfb_wg generic map (814, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 19, 0, 22, 1, '0');
+    u_815 : entity work.tb_verify_pfb_wg generic map (815, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 19, 0, 23, 1, '0');
+    u_816 : entity work.tb_verify_pfb_wg generic map (816, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 19, 0, 24, 1, '0');
+    u_817 : entity work.tb_verify_pfb_wg generic map (817, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 19, 0, 25, 1, '0');
+    u_818 : entity work.tb_verify_pfb_wg generic map (818, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 19, 0, 26, 1, '0');
+    u_819 : entity work.tb_verify_pfb_wg generic map (819, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 19, 0, 27, 1, '0');
+
+    -- g_fft_out_dat_w = 20
+    u_820 : entity work.tb_verify_pfb_wg generic map (820, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 20, 0, 18, 1, '0');
+    u_821 : entity work.tb_verify_pfb_wg generic map (821, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 20, 0, 19, 1, '0');
+    u_822 : entity work.tb_verify_pfb_wg generic map (822, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 19, 20, 0, 20, 1, '0');
+    u_823 : entity work.tb_verify_pfb_wg generic map (823, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 20, 20, 0, 21, 1, '0');
+    u_824 : entity work.tb_verify_pfb_wg generic map (824, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 21, 20, 0, 22, 1, '0');
+    u_825 : entity work.tb_verify_pfb_wg generic map (825, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 22, 20, 0, 23, 1, '0');
+    u_826 : entity work.tb_verify_pfb_wg generic map (826, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 23, 20, 0, 24, 1, '0');
+    u_827 : entity work.tb_verify_pfb_wg generic map (827, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 24, 20, 0, 25, 1, '0');
+    u_828 : entity work.tb_verify_pfb_wg generic map (828, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 20, 0, 26, 1, '0');
+    u_829 : entity work.tb_verify_pfb_wg generic map (829, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 20, 0, 27, 1, '0');
+  end generate;
+
+  gen_2022_mar_21 : if c_gen_2022_mar generate
   -- WPFB
   --                                                                                                            g_fil_coefs_file_prefix
   --                                                                                                            .   g_fil_coef_dat_w
@@ -760,152 +760,152 @@ gen_2022_mar_21 : if c_gen_2022_mar generate
   --u_2027 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2027, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 15,  0, 19, 1, 24, 1, '0');
 
 
--- Results:
--- c_twiddle_w = 18
--- g_fil_backoff_w = 1
--- g_fft_out_dat_w                        g_fft_out_gain_w
---  . g_stage_dat_w                           1            0
---  .  .                                      .            .
--- 18 24 : wpfb_measured_proc_gain_a_dB = 20.04 [dB]   17.38 [dB]
--- 19 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   20.04 [dB]
--- 20 24 : wpfb_measured_proc_gain_a_dB = 18.79 [dB]   18.53 [dB]
---
--- c_twiddle_w = 18
--- g_fil_backoff_w = g_fft_out_gain_w = 0
--- g_fft_out_dat_w
---  . g_stage_dat_w
---  .  .
--- 18 24 : wpfb_measured_proc_gain_a_dB = 20.16 [dB]
--- 19 24 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]
--- 19 25 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]
--- 19 26 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]
--- 20 24 : wpfb_measured_proc_gain_a_dB = 19.26 [dB]
---
--- c_fil_coefs
--- c_twiddle_w = 18
--- g_fil_backoff_w = g_fft_out_gain_w = 1
--- g_fft_out_dat_w = 19
---                                     c_fil_coefs  c_fil_nodc   c_fil_coefs
--- g_stage_dat_w           c_twiddle_w:   18           18           20
---  .                                      .            .            .
--- 22 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]   22.20 [dB]   16.66 [dB]
--- 23 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]   23.87 [dB]   18.01 [dB]
--- 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   24.76 [dB]   18.50 [dB]
--- 25 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]   25.22 [dB]   19.02 [dB]
--- 26 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]   25.64 [dB]   19.12 [dB]
--- 27 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]   25.78 [dB]   19.28 [dB]
---
--- c_fil_coefs
--- c_twiddle_w = 20
--- g_fil_backoff_w = g_fft_out_gain_w = 1
--- g_stage_dat_w     g_fft_out_dat_w =    18           19           20
---  .
--- 22 : wpfb_measured_proc_gain_a_dB = 17.09 [dB]   16.66 [dB]   16.73 [dB]
--- 23 : wpfb_measured_proc_gain_a_dB = 19.39 [dB]   18.01 [dB]   18.06 [dB]
--- 24 : wpfb_measured_proc_gain_a_dB = 20.12 [dB]   18.50 [dB]   18.77 [dB]
--- 25 : wpfb_measured_proc_gain_a_dB = 20.23 [dB]   19.02 [dB]   19.25 [dB]
--- 26 : wpfb_measured_proc_gain_a_dB = 20.23 [dB]   19.12 [dB]   19.38 [dB]
--- 27 : wpfb_measured_proc_gain_a_dB = 20.31 [dB]   19.28 [dB]   19.43 [dB]
---
--- c_fil_coefs
--- c_twiddle_w = 20
--- g_fil_backoff_w = g_fft_out_gain_w = 1
--- g_stage_dat_w = 24
--- g_fft_out_dat_w = 19
--- g_fil_in_dat_w
---  .
--- 12 . wpfb_measured_proc_gain_a_dB =   24.74 [dB]
--- 13 . wpfb_measured_proc_gain_a_dB =   22.09 [dB]
--- 14 . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
--- 15 . wpfb_measured_proc_gain_a_dB =   13.36 [dB]  +6.02 = 19.38
--- 16 . wpfb_measured_proc_gain_a_dB =    7.55 [dB] +12.04 = 19.59
--- 17 . wpfb_measured_proc_gain_a_dB =    3.00 [dB] +18.06 = 21.06
---
--- c_twiddle_w =18                                  c_fil_       c_fil_       c_fil_       c_fil_      c_fil_
--- g_stage_dat_w                       c_fil_coefs  hanning_16b  hanning_18b  hanning_20b  kaiser_16b  kaiser_18b
---  .                                      .            .            .            .            .           .
--- 22 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]   19.72 [dB]   21.57 [dB]   21.99 [dB]   16.40 [dB]  17.17 [dB]
--- 23 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]   22.23 [dB]   23.72 [dB]   23.85 [dB]   17.47 [dB]  17.91 [dB]
--- 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   23.61 [dB]   24.56 [dB]   24.77 [dB]   18.01 [dB]  18.30 [dB]
--- 25 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]   24.58 [dB]   25.18 [dB]   25.34 [dB]   18.51 [dB]  18.56 [dB]
--- 26 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]   24.75 [dB]   25.37 [dB]   25.70 [dB]   18.91 [dB]  18.66 [dB]
--- 27 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]   24.86 [dB]   25.37 [dB]   25.77 [dB]   18.89 [dB]  18.81 [dB]
---
--- c_twiddle_w = 18
--- g_stage_dat_w
---  .                g_fft_out_dat_w =    19          18
--- 23 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]  17.17 [dB]
--- 24 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]  19.33 [dB]
--- 25 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]  20.04 [dB]
--- 26 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]  20.16 [dB]
--- 27 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]  20.27 [dB]
--- 22 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]  20.39 [dB]
---
--- c_twiddle_w = 18
--- g_fil_backoff_w = 1
--- g_fft_out_gain_w = 1
--- g_fft_out_dat_w = 19
--- g_stage_dat_w = 24
--- g_fil_in_dat_w
---  .
---  8 : wpfb_measured_proc_gain_a_dB = 27.08 [dB]  -- theoretical 20log10(sqrt(512)) = 27.1 dB
---  9 : wpfb_measured_proc_gain_a_dB = 27.00 [dB]
--- 10 : wpfb_measured_proc_gain_a_dB = 26.93 [dB]
--- 11 : wpfb_measured_proc_gain_a_dB = 26.65 [dB]
--- 12 : wpfb_measured_proc_gain_a_dB = 25.77 [dB]
--- 13 : wpfb_measured_proc_gain_a_dB = 22.73 [dB]
--- 14 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]  -- 61.0
--- 14 : wpfb_measured_proc_gain_a_dB = 18.70 [dB]  -- 59.0
--- 15 : wpfb_measured_proc_gain_a_dB = 12.70 [dB]
---
--- Conclusion:
--- . Choose c_twiddle_w = 20 b >= g_fft_out_dat_w = 18 or 19 b (see
---   c_gen_vary_c_twiddle_w): The M20K is 20b and multipliers have 27b.
---   Synthesis shows that using c_twiddle_w = 20b costs no extra M20K or
---   multipliers.
--- . g_fil_coef_dat_w = 16b: The LOFAR1 FIR coefficients are fixed 16b, which
---   is sufficient for the required stop band attenuation of 89 dB, because 16
---   6.02 dB/bit = 96 dB.
--- . Use g_fil_backoff_w = 1 to fit temporary overshoot of FIR filter of about
---   10 %.
--- . Use g_fft_out_gain_w = 1 to compensate for g_fil_backoff_w = 1.
--- . Use g_fft_guard_w to compensate for FFT first stage gain > 2 (I think 1 +
---   sqrt(2) ~= 2.41). Default g_fft_guard_w = 2 would be needed and the FFT
---   then does not scale in its last 2 stages to ensure that the total reponse
---   of the FFT remains unit. With g_fil_backoff_w = 1 and an FIR filter
---   overshoot of about 10 % (is factor 1.1) using g_fft_guard_w = 1 is
---   sufficient, because 1.1 * 2.41 < 2**2 = 4.
--- . wpfb_measured_proc_gain_a_dB is limited by:
---   . stop band attenuation
---   . FIR quantisation noise floor level
---   . DC response not exactly the same for each of the N_fft polyphases, this
---     shows as a ripple in fil_noise_a, which is the difference between the
---     FIR filter output and a matching sine wave. This variation in FIR filter
---     output during a FFT block then cause leakage into other bins and thus a
---     reduction in PFB processing gain compared to FFT processing gain.
---     However the PFB does provide the required stop band attenuation, so the
---     limited processing gain is probably due to the allowed stop band ripple
---     of the FIR filter. Therefore I think the limited processing gain is not
---     an issue or bug.
--- . g_fft_out_dat_w = 19b is needed to accomodate g_fil_in_dat_w = 14 b +
---   log2(sqrt(N_sub)) = 4.5 bit processing gain.
---   . It is strange that wpfb_measured_proc_gain_a_dB is 20.12 [dB] for 18b
---     and only 18.50 [dB] for 19b, but this may be due to the WG stimuli and
---     related quantisation noise. Instead it would have been better to use a
---     REAL SIN generator and REAL gaussian noise as signal input, to avoid
---     WG artefacts.
--- . g_stage_dat_w:
---   . 27b is maximum for DSP multipliers, but does require extra logic and
---     some BRAM
---   . in LOFAR1 g_stage_dat_w = 20b with W_adc = 12b, so for LOFAR2.0 with
---     W_adc = 14b the g_stage_dat_w >= 22b
---   . 24b or 25b seems a good compromise for wpfb_measured_proc_gain_a_dB.
--- . Given a WG amplitude of A_wg the expected subband phasor amplitude will be:
---     A_sub = A_wg * func_wpfb_subband_gain(c_wpfb, fir_filter_dc_gain)
---   The expected SST level for an integration interval of N_int subband blocks
---   is then:
---     SST = func_wpfb_sst_level(A_sub, N_blk)
-
-end generate;
+  -- Results:
+  -- c_twiddle_w = 18
+  -- g_fil_backoff_w = 1
+  -- g_fft_out_dat_w                        g_fft_out_gain_w
+  --  . g_stage_dat_w                           1            0
+  --  .  .                                      .            .
+  -- 18 24 : wpfb_measured_proc_gain_a_dB = 20.04 [dB]   17.38 [dB]
+  -- 19 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   20.04 [dB]
+  -- 20 24 : wpfb_measured_proc_gain_a_dB = 18.79 [dB]   18.53 [dB]
+  --
+  -- c_twiddle_w = 18
+  -- g_fil_backoff_w = g_fft_out_gain_w = 0
+  -- g_fft_out_dat_w
+  --  . g_stage_dat_w
+  --  .  .
+  -- 18 24 : wpfb_measured_proc_gain_a_dB = 20.16 [dB]
+  -- 19 24 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]
+  -- 19 25 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]
+  -- 19 26 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]
+  -- 20 24 : wpfb_measured_proc_gain_a_dB = 19.26 [dB]
+  --
+  -- c_fil_coefs
+  -- c_twiddle_w = 18
+  -- g_fil_backoff_w = g_fft_out_gain_w = 1
+  -- g_fft_out_dat_w = 19
+  --                                     c_fil_coefs  c_fil_nodc   c_fil_coefs
+  -- g_stage_dat_w           c_twiddle_w:   18           18           20
+  --  .                                      .            .            .
+  -- 22 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]   22.20 [dB]   16.66 [dB]
+  -- 23 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]   23.87 [dB]   18.01 [dB]
+  -- 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   24.76 [dB]   18.50 [dB]
+  -- 25 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]   25.22 [dB]   19.02 [dB]
+  -- 26 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]   25.64 [dB]   19.12 [dB]
+  -- 27 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]   25.78 [dB]   19.28 [dB]
+  --
+  -- c_fil_coefs
+  -- c_twiddle_w = 20
+  -- g_fil_backoff_w = g_fft_out_gain_w = 1
+  -- g_stage_dat_w     g_fft_out_dat_w =    18           19           20
+  --  .
+  -- 22 : wpfb_measured_proc_gain_a_dB = 17.09 [dB]   16.66 [dB]   16.73 [dB]
+  -- 23 : wpfb_measured_proc_gain_a_dB = 19.39 [dB]   18.01 [dB]   18.06 [dB]
+  -- 24 : wpfb_measured_proc_gain_a_dB = 20.12 [dB]   18.50 [dB]   18.77 [dB]
+  -- 25 : wpfb_measured_proc_gain_a_dB = 20.23 [dB]   19.02 [dB]   19.25 [dB]
+  -- 26 : wpfb_measured_proc_gain_a_dB = 20.23 [dB]   19.12 [dB]   19.38 [dB]
+  -- 27 : wpfb_measured_proc_gain_a_dB = 20.31 [dB]   19.28 [dB]   19.43 [dB]
+  --
+  -- c_fil_coefs
+  -- c_twiddle_w = 20
+  -- g_fil_backoff_w = g_fft_out_gain_w = 1
+  -- g_stage_dat_w = 24
+  -- g_fft_out_dat_w = 19
+  -- g_fil_in_dat_w
+  --  .
+  -- 12 . wpfb_measured_proc_gain_a_dB =   24.74 [dB]
+  -- 13 . wpfb_measured_proc_gain_a_dB =   22.09 [dB]
+  -- 14 . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+  -- 15 . wpfb_measured_proc_gain_a_dB =   13.36 [dB]  +6.02 = 19.38
+  -- 16 . wpfb_measured_proc_gain_a_dB =    7.55 [dB] +12.04 = 19.59
+  -- 17 . wpfb_measured_proc_gain_a_dB =    3.00 [dB] +18.06 = 21.06
+  --
+  -- c_twiddle_w =18                                  c_fil_       c_fil_       c_fil_       c_fil_      c_fil_
+  -- g_stage_dat_w                       c_fil_coefs  hanning_16b  hanning_18b  hanning_20b  kaiser_16b  kaiser_18b
+  --  .                                      .            .            .            .            .           .
+  -- 22 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]   19.72 [dB]   21.57 [dB]   21.99 [dB]   16.40 [dB]  17.17 [dB]
+  -- 23 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]   22.23 [dB]   23.72 [dB]   23.85 [dB]   17.47 [dB]  17.91 [dB]
+  -- 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   23.61 [dB]   24.56 [dB]   24.77 [dB]   18.01 [dB]  18.30 [dB]
+  -- 25 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]   24.58 [dB]   25.18 [dB]   25.34 [dB]   18.51 [dB]  18.56 [dB]
+  -- 26 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]   24.75 [dB]   25.37 [dB]   25.70 [dB]   18.91 [dB]  18.66 [dB]
+  -- 27 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]   24.86 [dB]   25.37 [dB]   25.77 [dB]   18.89 [dB]  18.81 [dB]
+  --
+  -- c_twiddle_w = 18
+  -- g_stage_dat_w
+  --  .                g_fft_out_dat_w =    19          18
+  -- 23 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]  17.17 [dB]
+  -- 24 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]  19.33 [dB]
+  -- 25 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]  20.04 [dB]
+  -- 26 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]  20.16 [dB]
+  -- 27 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]  20.27 [dB]
+  -- 22 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]  20.39 [dB]
+  --
+  -- c_twiddle_w = 18
+  -- g_fil_backoff_w = 1
+  -- g_fft_out_gain_w = 1
+  -- g_fft_out_dat_w = 19
+  -- g_stage_dat_w = 24
+  -- g_fil_in_dat_w
+  --  .
+  --  8 : wpfb_measured_proc_gain_a_dB = 27.08 [dB]  -- theoretical 20log10(sqrt(512)) = 27.1 dB
+  --  9 : wpfb_measured_proc_gain_a_dB = 27.00 [dB]
+  -- 10 : wpfb_measured_proc_gain_a_dB = 26.93 [dB]
+  -- 11 : wpfb_measured_proc_gain_a_dB = 26.65 [dB]
+  -- 12 : wpfb_measured_proc_gain_a_dB = 25.77 [dB]
+  -- 13 : wpfb_measured_proc_gain_a_dB = 22.73 [dB]
+  -- 14 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]  -- 61.0
+  -- 14 : wpfb_measured_proc_gain_a_dB = 18.70 [dB]  -- 59.0
+  -- 15 : wpfb_measured_proc_gain_a_dB = 12.70 [dB]
+  --
+  -- Conclusion:
+  -- . Choose c_twiddle_w = 20 b >= g_fft_out_dat_w = 18 or 19 b (see
+  --   c_gen_vary_c_twiddle_w): The M20K is 20b and multipliers have 27b.
+  --   Synthesis shows that using c_twiddle_w = 20b costs no extra M20K or
+  --   multipliers.
+  -- . g_fil_coef_dat_w = 16b: The LOFAR1 FIR coefficients are fixed 16b, which
+  --   is sufficient for the required stop band attenuation of 89 dB, because 16
+  --   6.02 dB/bit = 96 dB.
+  -- . Use g_fil_backoff_w = 1 to fit temporary overshoot of FIR filter of about
+  --   10 %.
+  -- . Use g_fft_out_gain_w = 1 to compensate for g_fil_backoff_w = 1.
+  -- . Use g_fft_guard_w to compensate for FFT first stage gain > 2 (I think 1 +
+  --   sqrt(2) ~= 2.41). Default g_fft_guard_w = 2 would be needed and the FFT
+  --   then does not scale in its last 2 stages to ensure that the total reponse
+  --   of the FFT remains unit. With g_fil_backoff_w = 1 and an FIR filter
+  --   overshoot of about 10 % (is factor 1.1) using g_fft_guard_w = 1 is
+  --   sufficient, because 1.1 * 2.41 < 2**2 = 4.
+  -- . wpfb_measured_proc_gain_a_dB is limited by:
+  --   . stop band attenuation
+  --   . FIR quantisation noise floor level
+  --   . DC response not exactly the same for each of the N_fft polyphases, this
+  --     shows as a ripple in fil_noise_a, which is the difference between the
+  --     FIR filter output and a matching sine wave. This variation in FIR filter
+  --     output during a FFT block then cause leakage into other bins and thus a
+  --     reduction in PFB processing gain compared to FFT processing gain.
+  --     However the PFB does provide the required stop band attenuation, so the
+  --     limited processing gain is probably due to the allowed stop band ripple
+  --     of the FIR filter. Therefore I think the limited processing gain is not
+  --     an issue or bug.
+  -- . g_fft_out_dat_w = 19b is needed to accomodate g_fil_in_dat_w = 14 b +
+  --   log2(sqrt(N_sub)) = 4.5 bit processing gain.
+  --   . It is strange that wpfb_measured_proc_gain_a_dB is 20.12 [dB] for 18b
+  --     and only 18.50 [dB] for 19b, but this may be due to the WG stimuli and
+  --     related quantisation noise. Instead it would have been better to use a
+  --     REAL SIN generator and REAL gaussian noise as signal input, to avoid
+  --     WG artefacts.
+  -- . g_stage_dat_w:
+  --   . 27b is maximum for DSP multipliers, but does require extra logic and
+  --     some BRAM
+  --   . in LOFAR1 g_stage_dat_w = 20b with W_adc = 12b, so for LOFAR2.0 with
+  --     W_adc = 14b the g_stage_dat_w >= 22b
+  --   . 24b or 25b seems a good compromise for wpfb_measured_proc_gain_a_dB.
+  -- . Given a WG amplitude of A_wg the expected subband phasor amplitude will be:
+  --     A_sub = A_wg * func_wpfb_subband_gain(c_wpfb, fir_filter_dc_gain)
+  --   The expected SST level for an integration interval of N_int subband blocks
+  --   is then:
+  --     SST = func_wpfb_sst_level(A_sub, N_blk)
+
+  end generate;
 
 end tb;
diff --git a/libraries/dsp/verify_pfb/tb_verify_pfb_response.vhd b/libraries/dsp/verify_pfb/tb_verify_pfb_response.vhd
index 642d59ac01..571d92693a 100644
--- a/libraries/dsp/verify_pfb/tb_verify_pfb_response.vhd
+++ b/libraries/dsp/verify_pfb/tb_verify_pfb_response.vhd
@@ -40,25 +40,25 @@
 
 library ieee, common_lib, dp_lib, filter_lib, rTwoSDF_lib, fft_lib, wpfb_lib;
 library pfs_lib, pft2_lib, pfb2_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use IEEE.math_real.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
--- APERTIF WPFB:
-use filter_lib.fil_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.fft_pkg.all;
-use fft_lib.tb_fft_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
--- LOFAR1 PFB2:
-use pfs_lib.pfs_pkg.all;
-use pft2_lib.pft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use IEEE.math_real.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  -- APERTIF WPFB:
+  use filter_lib.fil_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.fft_pkg.all;
+  use fft_lib.tb_fft_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  -- LOFAR1 PFB2:
+  use pfs_lib.pfs_pkg.all;
+  use pft2_lib.pft_pkg.all;
 
 entity tb_verify_pfb_response is
   generic (
@@ -130,10 +130,32 @@ architecture tb of tb_verify_pfb_response is
   --                                                     16, 1, 14, 23, 16,
   --                                                     true, false, true, 23, 18, 1, 24, 1, true, 54, 2, 195313,
   --                                                     c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wpfb : t_wpfb := (1, 1024, 0, c_nof_wb_streams,
-                               16, 1, 14, 23, 16,
-                               true, false, true, 23, 18, 1, 24, 1, true, 54, 2, c_nof_blk_per_sync,
-                               c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb : t_wpfb := (
+    1,
+    1024,
+    0,
+    c_nof_wb_streams,
+    16,
+    1,
+    14,
+    23,
+    16,
+    true,
+    false,
+    true,
+    23,
+    18,
+    1,
+    24,
+    1,
+    true,
+    54,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   constant c_N_fft              : natural := c_wpfb.nof_points;
   constant c_N_blk              : natural := c_wpfb.nof_blk_per_sync;  -- nof FFT blocks per sync interval
@@ -360,58 +382,58 @@ begin
   -- DUT = APERTIF WFPB
   dut_wpfb_unit_dev : if g_sel_pfb = "WPFB" generate
     u_wpfb_unit_dev : entity wpfb_lib.wpfb_unit_dev
-    generic map (
-      g_wpfb              => c_wpfb,
-      g_coefs_file_prefix => g_fil_coefs_file_prefix
-    )
-    port map (
-      dp_rst             => dp_rst,
-      dp_clk             => dp_clk,
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-      ram_fil_coefs_miso => ram_fil_coefs_miso,
-      in_sosi_arr        => in_sosi_arr,
-      fil_sosi_arr       => fil_sosi_arr,
-      out_quant_sosi_arr => out_sosi_arr,
-      out_raw_sosi_arr   => raw_sosi_arr
-    );
+      generic map (
+        g_wpfb              => c_wpfb,
+        g_coefs_file_prefix => g_fil_coefs_file_prefix
+      )
+      port map (
+        dp_rst             => dp_rst,
+        dp_clk             => dp_clk,
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+        ram_fil_coefs_miso => ram_fil_coefs_miso,
+        in_sosi_arr        => in_sosi_arr,
+        fil_sosi_arr       => fil_sosi_arr,
+        out_quant_sosi_arr => out_sosi_arr,
+        out_raw_sosi_arr   => raw_sosi_arr
+      );
   end generate;
 
   -- DUT = LOFAR1 WFPB
   dut_pfb2_unit : if g_sel_pfb = "PFB2" generate
     u_pfb2_unit : entity pfb2_lib.pfb2_unit
-    generic map (
-      g_nof_streams     => 1,  -- number of pfb2 instances, 1 pfb2 per stream
-      g_nof_points      => c_wpfb.nof_points,
-
-      -- pfs
-      g_pfs_bypass      => c_pfs_bypass,
-      g_pfs_nof_taps    => c_wpfb.nof_taps,
-      g_pfs_in_dat_w    => c_wpfb.fil_in_dat_w,
-      g_pfs_out_dat_w   => c_wpfb.stage_dat_w,
-      g_pfs_coef_dat_w  => c_wpfb.coef_dat_w,
-      g_pfs_coefs_file  => g_pfir_coefs_file,
-
-      -- pft2
-      g_pft_mode        => PFT_MODE_REAL2,
-      g_pft_switch_en   => '0',
-      g_pft_out_dat_w   => c_wpfb.fft_out_dat_w,
-      g_pft_stage_dat_w => c_wpfb.stage_dat_w,
-
-      -- sst
-      g_sst_data_w      => c_wpfb.stat_data_w,
-      g_sst_data_sz     => c_wpfb.stat_data_sz
-    )
-    port map (
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      in_sosi_arr       => in_sosi_arr,
-      fil_sosi_arr      => fil_sosi_arr,
-      out_sosi_arr      => out_sosi_arr
-    );
+      generic map (
+        g_nof_streams     => 1,  -- number of pfb2 instances, 1 pfb2 per stream
+        g_nof_points      => c_wpfb.nof_points,
+
+        -- pfs
+        g_pfs_bypass      => c_pfs_bypass,
+        g_pfs_nof_taps    => c_wpfb.nof_taps,
+        g_pfs_in_dat_w    => c_wpfb.fil_in_dat_w,
+        g_pfs_out_dat_w   => c_wpfb.stage_dat_w,
+        g_pfs_coef_dat_w  => c_wpfb.coef_dat_w,
+        g_pfs_coefs_file  => g_pfir_coefs_file,
+
+        -- pft2
+        g_pft_mode        => PFT_MODE_REAL2,
+        g_pft_switch_en   => '0',
+        g_pft_out_dat_w   => c_wpfb.fft_out_dat_w,
+        g_pft_stage_dat_w => c_wpfb.stage_dat_w,
+
+        -- sst
+        g_sst_data_w      => c_wpfb.stat_data_w,
+        g_sst_data_sz     => c_wpfb.stat_data_sz
+      )
+      port map (
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        in_sosi_arr       => in_sosi_arr,
+        fil_sosi_arr      => fil_sosi_arr,
+        out_sosi_arr      => out_sosi_arr
+      );
   end generate;
 
   out_sosi <= out_sosi_arr(0);
diff --git a/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd b/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd
index 22b445f338..8b1e8c6c0f 100644
--- a/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd
+++ b/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd
@@ -83,29 +83,29 @@
 
 library ieee, common_lib, dp_lib, diag_lib, filter_lib, rTwoSDF_lib, fft_lib, wpfb_lib;
 library pfs_lib, pft2_lib, pfb2_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use IEEE.math_real.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
-use diag_lib.tb_diag_pkg.all;
-use dp_lib.dp_stream_pkg.all;
--- APERTIF WPFB:
-use filter_lib.fil_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use fft_lib.fft_pkg.all;
-use fft_lib.tb_fft_pkg.all;
-use wpfb_lib.wpfb_pkg.all;
--- LOFAR1 PFB2:
-use pfs_lib.pfs_pkg.all;
-use pft2_lib.pft_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use IEEE.math_real.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use diag_lib.tb_diag_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  -- APERTIF WPFB:
+  use filter_lib.fil_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use fft_lib.fft_pkg.all;
+  use fft_lib.tb_fft_pkg.all;
+  use wpfb_lib.wpfb_pkg.all;
+  -- LOFAR1 PFB2:
+  use pfs_lib.pfs_pkg.all;
+  use pft2_lib.pft_pkg.all;
 
 entity tb_verify_pfb_wg is
   generic (
@@ -150,8 +150,8 @@ entity tb_verify_pfb_wg is
     g_fil_in_dat_w          : natural := 14;  -- = W_adc, number of input bits
 
     g_internal_dat_w        : natural := 0;  -- = number of bits between fil and fft, use 0 to use maximum default:
-                                               -- . WPFB : g_internal_dat_w <= g_fft_stage_dat_w - g_fft_guard_w in fft_r2_pipe
-                                               -- . PFB2 : g_internal_dat_w <= g_fft_stage_dat_w
+    -- . WPFB : g_internal_dat_w <= g_fft_stage_dat_w - g_fft_guard_w in fft_r2_pipe
+    -- . PFB2 : g_internal_dat_w <= g_fft_stage_dat_w
 
     -- FFT
     g_fft_out_dat_w         : natural := 18;  -- = W_subband, number of output bits
@@ -228,10 +228,32 @@ architecture tb of tb_verify_pfb_wg is
   --   fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
   --   fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
   -- end record;
-  constant c_wpfb : t_wpfb := (1, 1024, 0, 1,
-                               16, g_fil_backoff_w, g_fil_in_dat_w, c_internal_dat_w, c_pfir_coef_w,
-                               true, false, true, c_internal_dat_w, g_fft_out_dat_w, g_fft_out_gain_w, g_fft_stage_dat_w, g_fft_guard_w, true, 54, 2, 10,
-                               c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb : t_wpfb := (
+    1,
+    1024,
+    0,
+    1,
+    16,
+    g_fil_backoff_w,
+    g_fil_in_dat_w,
+    c_internal_dat_w,
+    c_pfir_coef_w,
+    true,
+    false,
+    true,
+    c_internal_dat_w,
+    g_fft_out_dat_w,
+    g_fft_out_gain_w,
+    g_fft_stage_dat_w,
+    g_fft_guard_w,
+    true,
+    54,
+    2,
+    10,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   constant c_N_fft                   : natural := c_wpfb.nof_points;
   constant c_N_sub                   : natural := c_N_fft / c_nof_complex;
@@ -272,7 +294,7 @@ architecture tb of tb_verify_pfb_wg is
   constant c_wg_calc_gain_w          : natural := 1;  -- Normalized range [0 1>  maps to fixed point range [0:2**(c_W_adc-1)>
   constant c_wg_calc_dat_w           : natural := c_W_adc;
   constant c_wg_subband_freq_unit    : real := c_diag_wg_freq_unit / real(c_N_fft);  -- freq = Fs/16 = 200 MSps/16 = 12.5 MHz sinus,
-                                                                                     -- subband index / c_N_fft = 64 / 1024 = 1/16
+  -- subband index / c_N_fft = 64 / 1024 = 1/16
 
   -- SST
   -- Expected subband amplitude gain relative to input WG amplitude -1 for divide by 2 in two real input separate (Ampl --> Ampl/2)
@@ -602,72 +624,72 @@ begin
   end process;
 
   u_wg_a : entity diag_lib.mms_diag_wg_wideband_arr
-  generic map (
-    g_nof_streams        => 1,
-    g_cross_clock_domain => true,
-    g_buf_dir            => c_wg_buf_directory,
-
-    -- Wideband parameters
-    g_wideband_factor    => 1,
-
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w          => c_wg_buf_dat_w,
-    g_buf_addr_w         => c_wg_buf_addr_w,
-    g_calc_support       => true,
-    g_calc_gain_w        => c_wg_calc_gain_w,
-    g_calc_dat_w         => c_wg_calc_dat_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-
-    reg_mosi            => reg_wg_mosi_a,
-    reg_miso            => reg_wg_miso_a,
-
-    buf_mosi            => c_mem_mosi_rst,
-
-    -- Streaming clock domain
-    st_rst              => dp_rst,
-    st_clk              => dp_clk,
-    st_restart          => trigger_wg,
-
-    out_sosi_arr        => wg_sosi_a_arr
-  );
+    generic map (
+      g_nof_streams        => 1,
+      g_cross_clock_domain => true,
+      g_buf_dir            => c_wg_buf_directory,
+
+      -- Wideband parameters
+      g_wideband_factor    => 1,
+
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w          => c_wg_buf_dat_w,
+      g_buf_addr_w         => c_wg_buf_addr_w,
+      g_calc_support       => true,
+      g_calc_gain_w        => c_wg_calc_gain_w,
+      g_calc_dat_w         => c_wg_calc_dat_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+
+      reg_mosi            => reg_wg_mosi_a,
+      reg_miso            => reg_wg_miso_a,
+
+      buf_mosi            => c_mem_mosi_rst,
+
+      -- Streaming clock domain
+      st_rst              => dp_rst,
+      st_clk              => dp_clk,
+      st_restart          => trigger_wg,
+
+      out_sosi_arr        => wg_sosi_a_arr
+    );
 
   u_wg_b : entity diag_lib.mms_diag_wg_wideband_arr
-  generic map (
-    g_nof_streams        => 1,
-    g_cross_clock_domain => true,
-    g_buf_dir            => c_wg_buf_directory,
-
-    -- Wideband parameters
-    g_wideband_factor    => 1,
-
-    -- Basic WG parameters, see diag_wg.vhd for their meaning
-    g_buf_dat_w          => c_wg_buf_dat_w,
-    g_buf_addr_w         => c_wg_buf_addr_w,
-    g_calc_support       => true,
-    g_calc_gain_w        => c_wg_calc_gain_w,
-    g_calc_dat_w         => c_wg_calc_dat_w
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-
-    reg_mosi            => reg_wg_mosi_b,
-    reg_miso            => reg_wg_miso_b,
-
-    buf_mosi            => c_mem_mosi_rst,
-
-    -- Streaming clock domain
-    st_rst              => dp_rst,
-    st_clk              => dp_clk,
-    st_restart          => trigger_wg,
-
-    out_sosi_arr        => wg_sosi_b_arr
-  );
+    generic map (
+      g_nof_streams        => 1,
+      g_cross_clock_domain => true,
+      g_buf_dir            => c_wg_buf_directory,
+
+      -- Wideband parameters
+      g_wideband_factor    => 1,
+
+      -- Basic WG parameters, see diag_wg.vhd for their meaning
+      g_buf_dat_w          => c_wg_buf_dat_w,
+      g_buf_addr_w         => c_wg_buf_addr_w,
+      g_calc_support       => true,
+      g_calc_gain_w        => c_wg_calc_gain_w,
+      g_calc_dat_w         => c_wg_calc_dat_w
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+
+      reg_mosi            => reg_wg_mosi_b,
+      reg_miso            => reg_wg_miso_b,
+
+      buf_mosi            => c_mem_mosi_rst,
+
+      -- Streaming clock domain
+      st_rst              => dp_rst,
+      st_clk              => dp_clk,
+      st_restart          => trigger_wg,
+
+      out_sosi_arr        => wg_sosi_b_arr
+    );
 
   wg_val <= wg_sosi_a_arr(0).valid;
 
@@ -841,25 +863,25 @@ begin
   -- Measure FIR filter output amplitude and phase using local I = sin and Q = cos
   ---------------------------------------------------------------------------
   --gen_measure_fil_iq_a : IF NOT c_bin_a_frac_en GENERATE
-    proc_diag_measure_cw_ampl_and_phase(c_N_samples, c_N_fft, g_subband_index_a, dp_clk, fil_sosi.re, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ref_I_a, fil_ref_Q_a, fil_accum_I_a, fil_accum_Q_a, fil_ampl_a, fil_phase_a, fil_phase_Ts_a);
+  proc_diag_measure_cw_ampl_and_phase(c_N_samples, c_N_fft, g_subband_index_a, dp_clk, fil_sosi.re, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ref_I_a, fil_ref_Q_a, fil_accum_I_a, fil_accum_Q_a, fil_ampl_a, fil_phase_a, fil_phase_Ts_a);
 
-    fil_power_a <= (fil_ampl_a**2.0) / 2.0;
+  fil_power_a <= (fil_ampl_a**2.0) / 2.0;
 
-    proc_diag_measure_cw_noise_power(c_N_samples, c_N_fft, g_subband_index_a, dp_clk, fil_sosi.re, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ampl_a, fil_phase_a, fil_dat_a, fil_noise_a, fil_accum_noise_a, fil_noise_power_a);
+  proc_diag_measure_cw_noise_power(c_N_samples, c_N_fft, g_subband_index_a, dp_clk, fil_sosi.re, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ampl_a, fil_phase_a, fil_dat_a, fil_noise_a, fil_accum_noise_a, fil_noise_power_a);
 
-    fil_measured_snr_a    <= fil_power_a / (fil_noise_power_a + c_eps);
-    fil_measured_snr_a_dB <= 10.0 * LOG10(fil_measured_snr_a + c_eps);
+  fil_measured_snr_a    <= fil_power_a / (fil_noise_power_a + c_eps);
+  fil_measured_snr_a_dB <= 10.0 * LOG10(fil_measured_snr_a + c_eps);
   --END GENERATE;
 
   --gen_measure_fil_iq_b : IF NOT c_bin_b_frac_en GENERATE
-    proc_diag_measure_cw_ampl_and_phase(c_N_samples, c_N_fft, g_subband_index_b, dp_clk, fil_sosi.im, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ref_I_b, fil_ref_Q_b, fil_accum_I_b, fil_accum_Q_b, fil_ampl_b, fil_phase_b, fil_phase_Ts_b);
+  proc_diag_measure_cw_ampl_and_phase(c_N_samples, c_N_fft, g_subband_index_b, dp_clk, fil_sosi.im, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ref_I_b, fil_ref_Q_b, fil_accum_I_b, fil_accum_Q_b, fil_ampl_b, fil_phase_b, fil_phase_Ts_b);
 
-    fil_power_b <= (fil_ampl_b**2.0) / 2.0;
+  fil_power_b <= (fil_ampl_b**2.0) / 2.0;
 
-    proc_diag_measure_cw_noise_power(c_N_samples, c_N_fft, g_subband_index_b, dp_clk, fil_sosi.im, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ampl_b, fil_phase_b, fil_dat_b, fil_noise_b, fil_accum_noise_b, fil_noise_power_b);
+  proc_diag_measure_cw_noise_power(c_N_samples, c_N_fft, g_subband_index_b, dp_clk, fil_sosi.im, fil_sosi.sync, fil_sosi.valid, fil_val_cnt_per_sync, fil_ampl_b, fil_phase_b, fil_dat_b, fil_noise_b, fil_accum_noise_b, fil_noise_power_b);
 
-    fil_measured_snr_b    <= fil_power_b / (fil_noise_power_b + c_eps);
-    fil_measured_snr_b_dB <= 10.0 * LOG10(fil_measured_snr_b + c_eps);
+  fil_measured_snr_b    <= fil_power_b / (fil_noise_power_b + c_eps);
+  fil_measured_snr_b_dB <= 10.0 * LOG10(fil_measured_snr_b + c_eps);
   --END GENERATE;
 
   ---------------------------------------------------------------------------
@@ -1105,9 +1127,9 @@ begin
     if not c_bin_a_frac_en then
       assert almost_equal(fir_ampl_a, fil_ampl_a, 10.0)   report "Wrong estimated amplitude for FIR filter output a, " & real_to_str(fir_ampl_a, 7, 0) & " /~= " & real_to_str(fil_ampl_a, 7, 0) severity ERROR;
       assert almost_equal(sub_a_ampl / cw_ampl_a / c_pfb_sub_scaling, 1.0, 0.01)
-                                                          report "Wrong measured scaling for PFB subband output a, " & real_to_str(sub_a_ampl / cw_ampl_a, 7, 0) & " /~= " & real_to_str(c_pfb_sub_scaling, 7, 0) severity ERROR;
+        report "Wrong measured scaling for PFB subband output a, " & real_to_str(sub_a_ampl / cw_ampl_a, 7, 0) & " /~= " & real_to_str(c_pfb_sub_scaling, 7, 0) severity ERROR;
       assert almost_equal(sst_wg_power_a / c_exp_sst_a, 1.0, 0.01)
-                                                          report "Wrong measured scaling for PFB SST output a, " & real_to_str(sst_wg_power_a / c_exp_sst_a, 7, 0) & " /~= 1.0" severity ERROR;
+        report "Wrong measured scaling for PFB SST output a, " & real_to_str(sst_wg_power_a / c_exp_sst_a, 7, 0) & " /~= 1.0" severity ERROR;
     end if;
     tb_end <= '1';
     wait;
@@ -1122,62 +1144,62 @@ begin
   -- DUT = APERTIF WFPB
   dut_wpfb_unit_dev : if g_sel_pfb = "WPFB" generate
     u_wpfb_unit_dev : entity wpfb_lib.wpfb_unit_dev
-    generic map (
-      g_wpfb              => c_wpfb,
-      g_coefs_file_prefix => g_fil_coefs_file_prefix
-    )
-    port map (
-      dp_rst             => dp_rst,
-      dp_clk             => dp_clk,
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      ram_fil_coefs_mosi => c_mem_mosi_rst,
-      ram_fil_coefs_miso => open,
-      ram_st_sst_mosi    => ram_st_sst_mosi,
-      ram_st_sst_miso    => ram_st_sst_miso,
-      in_sosi_arr        => in_sosi_arr,
-      fil_sosi_arr       => fil_sosi_arr,
-      out_quant_sosi_arr => out_sosi_arr,
-      out_raw_sosi_arr   => raw_sosi_arr
-    );
+      generic map (
+        g_wpfb              => c_wpfb,
+        g_coefs_file_prefix => g_fil_coefs_file_prefix
+      )
+      port map (
+        dp_rst             => dp_rst,
+        dp_clk             => dp_clk,
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        ram_fil_coefs_mosi => c_mem_mosi_rst,
+        ram_fil_coefs_miso => open,
+        ram_st_sst_mosi    => ram_st_sst_mosi,
+        ram_st_sst_miso    => ram_st_sst_miso,
+        in_sosi_arr        => in_sosi_arr,
+        fil_sosi_arr       => fil_sosi_arr,
+        out_quant_sosi_arr => out_sosi_arr,
+        out_raw_sosi_arr   => raw_sosi_arr
+      );
   end generate;
 
   -- DUT = LOFAR1 WFPB
   dut_pfb2_unit : if g_sel_pfb = "PFB2" generate
     u_pfb2_unit : entity pfb2_lib.pfb2_unit
-    generic map (
-      g_nof_streams     => 1,  -- number of pfb2 instances, 1 pfb2 per stream
-      g_nof_points      => c_wpfb.nof_points,
-
-      -- pfs
-      g_pfs_bypass      => c_pfs_bypass,
-      g_pfs_nof_taps    => c_wpfb.nof_taps,
-      g_pfs_in_dat_w    => c_wpfb.fil_in_dat_w,
-      g_pfs_out_dat_w   => c_internal_dat_w,
-      g_pfs_coef_dat_w  => c_pfir_coef_w,
-      g_pfs_coefs_file  => c_pfir_coefs_file,
-
-      -- pft2
-      g_pft_mode        => PFT_MODE_REAL2,
-      g_pft_switch_en   => c_switch_en,
-      g_pft_out_dat_w   => c_wpfb.fft_out_dat_w,
-      g_pft_stage_dat_w => g_fft_stage_dat_w,
-
-      -- sst
-      g_sst_data_w      => c_wpfb.stat_data_w,
-      g_sst_data_sz     => c_wpfb.stat_data_sz
-    )
-    port map (
-      dp_rst            => dp_rst,
-      dp_clk            => dp_clk,
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      ram_st_sst_mosi   => ram_st_sst_mosi,
-      ram_st_sst_miso   => ram_st_sst_miso,
-      in_sosi_arr       => in_sosi_arr,
-      fil_sosi_arr      => fil_sosi_arr,
-      out_sosi_arr      => out_sosi_arr
-    );
+      generic map (
+        g_nof_streams     => 1,  -- number of pfb2 instances, 1 pfb2 per stream
+        g_nof_points      => c_wpfb.nof_points,
+
+        -- pfs
+        g_pfs_bypass      => c_pfs_bypass,
+        g_pfs_nof_taps    => c_wpfb.nof_taps,
+        g_pfs_in_dat_w    => c_wpfb.fil_in_dat_w,
+        g_pfs_out_dat_w   => c_internal_dat_w,
+        g_pfs_coef_dat_w  => c_pfir_coef_w,
+        g_pfs_coefs_file  => c_pfir_coefs_file,
+
+        -- pft2
+        g_pft_mode        => PFT_MODE_REAL2,
+        g_pft_switch_en   => c_switch_en,
+        g_pft_out_dat_w   => c_wpfb.fft_out_dat_w,
+        g_pft_stage_dat_w => g_fft_stage_dat_w,
+
+        -- sst
+        g_sst_data_w      => c_wpfb.stat_data_w,
+        g_sst_data_sz     => c_wpfb.stat_data_sz
+      )
+      port map (
+        dp_rst            => dp_rst,
+        dp_clk            => dp_clk,
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        ram_st_sst_mosi   => ram_st_sst_mosi,
+        ram_st_sst_miso   => ram_st_sst_miso,
+        in_sosi_arr       => in_sosi_arr,
+        fil_sosi_arr      => fil_sosi_arr,
+        out_sosi_arr      => out_sosi_arr
+      );
   end generate;
 
 
diff --git a/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd b/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd
index a0e0773787..5e3198737a 100644
--- a/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd
+++ b/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library ieee, common_lib, rTwoSDF_lib, fft_lib, filter_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.fft_pkg.all;
-use filter_lib.fil_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.fft_pkg.all;
+  use filter_lib.fil_pkg.all;
 
 package wpfb_pkg is
 
@@ -53,11 +53,11 @@ package wpfb_pkg is
     fft_out_gain_w    : natural;  -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
     stage_dat_w       : natural;  -- = 18, number of bits that are used inter-stage
     guard_w           : natural;  -- = 2, guard used to avoid overflow in first FFT stage, compensated in last guard_w nof FFT stages.
-                                  --   on average the gain per stage is 2 so guard_w = 1, but the gain can be 1+sqrt(2) [Lyons section
-                                  --   12.3.2], therefore use input guard_w = 2.
+    --   on average the gain per stage is 2 so guard_w = 1, but the gain can be 1+sqrt(2) [Lyons section
+    --   12.3.2], therefore use input guard_w = 2.
     guard_enable      : boolean;  -- = true when input needs guarding, false when input requires no guarding but scaling must be
-                                  --   skipped at the last stage(s) compensate for input guard (used in wb fft with pipe fft section
-                                  --   doing the input guard and par fft section doing the output compensation)
+    --   skipped at the last stage(s) compensate for input guard (used in wb fft with pipe fft section
+    --   doing the input guard and par fft section doing the output compensation)
 
     -- Parameters for the statistics
     stat_data_w       : positive;  -- = 56
@@ -73,10 +73,10 @@ package wpfb_pkg is
   -----------------------------------------------------------------------------
   -- Map WPFB parameters
   -----------------------------------------------------------------------------
-  function func_wpfb_map_wpfb_parameters_to_fil_ppf(g_wpfb : t_wpfb) return t_fil_ppf;
-  function func_wpfb_map_wpfb_parameters_to_fft(g_wpfb : t_wpfb) return t_fft;
+  function func_wpfb_map_wpfb_parameters_to_fil_ppf (g_wpfb : t_wpfb) return t_fil_ppf;
+  function func_wpfb_map_wpfb_parameters_to_fft (g_wpfb : t_wpfb) return t_fft;
 
-  function func_wpfb_map_real_input_wpfb_parameters_to_complex_input(g_wpfb : t_wpfb) return t_wpfb;
+  function func_wpfb_map_real_input_wpfb_parameters_to_complex_input (g_wpfb : t_wpfb) return t_wpfb;
 
   -----------------------------------------------------------------------------
   -- LOFAR2 subband filter
@@ -87,32 +87,120 @@ package wpfb_pkg is
 
   -- Fsub settings:
   -- . Settings used on LTS and DTS until at least March 2022
-  constant c_wpfb_lofar2_subbands_lts_2021 : t_wpfb := (1, 1024, 0, 6,
-                                                        16, 0, 14, 17, 16,
-                                                        true, false, true, 17, 18, 0, 22, 1, true, 54, 2, 195313,
-                                                        c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_lofar2_subbands_lts_2021 : t_wpfb := (
+    1,
+    1024,
+    0,
+    6,
+    16,
+    0,
+    14,
+    17,
+    16,
+    true,
+    false,
+    true,
+    17,
+    18,
+    0,
+    22,
+    1,
+    true,
+    54,
+    2,
+    195313,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- . Settings used on DTS in 2022 with fft_out_dat_w = 18b, to have same levels as with c_wpfb_lofar2_subbands_lts
   --   - use fil_backoff_w to avoid overshoot and fft_out_gain_w = 1 to compensate to keep output level
   --   - use stage_dat_w = 24 --> fil_out_dat_w = fft_in_dat_w = 23
-  constant c_wpfb_lofar2_subbands_dts_18b : t_wpfb := (1, 1024, 0, 6,
-                                                       16, 1, 14, 23, 16,
-                                                       true, false, true, 23, 18, 1, 24, 1, true, 54, 2, 195313,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_lofar2_subbands_dts_18b : t_wpfb := (
+    1,
+    1024,
+    0,
+    6,
+    16,
+    1,
+    14,
+    23,
+    16,
+    true,
+    false,
+    true,
+    23,
+    18,
+    1,
+    24,
+    1,
+    true,
+    54,
+    2,
+    195313,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- . Settings used in tb_tb_verify_pfb_wg with fft_out_dat_w = 19b, to preserve FFT processing gain of 5 bits
   --   - use stage_dat_w = 25 --> fil_out_dat_w = fft_in_dat_w = 24
   --   - with fft_out_dat_w = 19 --> stat_data_w = 2*19 + 18 = 56 b
-  constant c_wpfb_lofar2_subbands_dts_19b : t_wpfb := (1, 1024, 0, 6,
-                                                       16, 1, 14, 24, 16,
-                                                       true, false, true, 24, 19, 1, 25, 1, true, 56, 2, 195313,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_lofar2_subbands_dts_19b : t_wpfb := (
+    1,
+    1024,
+    0,
+    6,
+    16,
+    1,
+    14,
+    24,
+    16,
+    true,
+    false,
+    true,
+    24,
+    19,
+    1,
+    25,
+    1,
+    true,
+    56,
+    2,
+    195313,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- . Settings for L2TS with fft_out_gain_w = 2b, to have W_fsub_gain = W_fft_proc = 5b
-  constant c_wpfb_lofar2_subbands_l2ts_18b : t_wpfb := (1, 1024, 0, 6,
-                                                       16, 1, 14, 23, 16,
-                                                       true, false, true, 23, 18, 2, 24, 1, true, 54, 2, 195313,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_lofar2_subbands_l2ts_18b : t_wpfb := (
+    1,
+    1024,
+    0,
+    6,
+    16,
+    1,
+    14,
+    23,
+    16,
+    true,
+    false,
+    true,
+    23,
+    18,
+    2,
+    24,
+    1,
+    true,
+    54,
+    2,
+    195313,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   constant c_wpfb_lofar2_subbands : t_wpfb := c_wpfb_lofar2_subbands_dts_18b;
 
@@ -128,7 +216,7 @@ package wpfb_pkg is
   -- (fft_out_dat_w + fft_out_gain_w) - (fil_in_dat_w + fil_backoff_w) =
   -- (18 + 2) - (14 + 1) = 5 bits = W_fft_proc, to preserve the subband
   -- sensitivity.
-  function func_wpfb_subband_scale_w(wpfb : t_wpfb) return natural;
+  function func_wpfb_subband_scale_w (wpfb : t_wpfb) return natural;
 
   -- The WPFB subband gain is the expected factor between subband amplitude
   -- A_sub and real signal input amplitude A_sp, so:
@@ -143,11 +231,11 @@ package wpfb_pkg is
   --                                  for c_wpfb_lofar2_subbands_dts_18b
   -- . func_wpfb_subband_gain() ~= 16 for c_wpfb_lofar2_subbands_dts_19b
   --                                  for c_wpfb_lofar2_subbands_l2ts_18b
-  function func_wpfb_subband_gain(wpfb : t_wpfb; fir_filter_dc_gain : real) return real;
+  function func_wpfb_subband_gain (wpfb : t_wpfb; fir_filter_dc_gain : real) return real;
 
   -- The expected WPFB SST level for subband amplitude A_sub and an integration
   -- interval of N_int subband blocks (periods).
-  function func_wpfb_sst_level(A_sub : real; N_int : natural) return real;
+  function func_wpfb_sst_level (A_sub : real; N_int : natural) return real;
 
   -----------------------------------------------------------------------------
   -- Apertif application specfic settings
@@ -185,10 +273,32 @@ package wpfb_pkg is
   --   see svn -r 18800 log of node_apertif_unb1_bn_filterbank
   -- . fft_out_dat_w = 16 by internal dp_requantize will not overflow, so no need to use external dp_requantize with clipping
   -- . fft_out_gain_w = 1 instead of 0 to compensate for 1/2 in separate.
-  constant c_wpfb_apertif_subbands : t_wpfb := (4, 1024, 0, 1,
-                                                16, 1, 8, 16, 16,
-                                                true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, 800000,
-                                                c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_apertif_subbands : t_wpfb := (
+    4,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    800000,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- For reference Fchan_x, actual setting is done in the apertif_unb1_correlator design:
   -- * wb_factor        = 1      : wideband factor
@@ -223,10 +333,32 @@ package wpfb_pkg is
   --   apertif_unb1_correlator_vis_offload
   -- . fft_out_dat_w = 18, because in there is a separate dp_requantize to get from 18b --> 9b in
   --   node_apertif_unb1_correlator_processing, this dp_requantize uses symmertical clipping.
-  constant c_wpfb_apertif_channels : t_wpfb := (1, 64, 1, 12,
-                                                8, 0, 8, 16, 9,
-                                                false, false, false, 16, 18, 0, c_dsp_mult_w, 2, true, 56, 2, 12500,
-                                                c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_apertif_channels : t_wpfb := (
+    1,
+    64,
+    1,
+    12,
+    8,
+    0,
+    8,
+    16,
+    9,
+    false,
+    false,
+    false,
+    16,
+    18,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    12500,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- Fchan_sc3 settings:
   -- . Arts SC3 uses the Fchan fine channels from Apertif X. Therefore to allow commensal Arts SC3 the Apertif X
@@ -234,10 +366,32 @@ package wpfb_pkg is
   -- . Arts SC4 at half Stokes rate, so with nof_blk_per_sync = 12500 and nof_points = 64, has same rate as
   --   Arts SC3. At full rate Arts SC4 would have nof_blk_per_sync = 25000 and nof_points = 32.
   -- . fft_out_dat_w = 9, because Arts SC3 uses the fine channels from Apertif X.
-  constant c_wpfb_arts_channels_sc3 : t_wpfb := (1, 64, 1, 12,
-                                                 8, 0, 8, 16, 9,
-                                                 true, true, false, 16, 9, 0, c_dsp_mult_w, 2, true, 56, 2, 12500,
-                                                 c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_arts_channels_sc3 : t_wpfb := (
+    1,
+    64,
+    1,
+    12,
+    8,
+    0,
+    8,
+    16,
+    9,
+    true,
+    true,
+    false,
+    16,
+    9,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    12500,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- Fchan_sc4 settings in arts_unb1_sc4_processing.vhd svn -r 19337:
   -- . fft_out_dat_w = 9 for Arts SC3, but can be 12 to preserve more LSbit for SC4. However this is not necessary,
@@ -248,10 +402,32 @@ package wpfb_pkg is
   -- . Using fft_out_dat_w = 12 instead of 9 and fft_out_gain_w = 2 instead of 0 created 12 - 9 - 2 = 1 bit more
   --   dynamic range. Therefore it may not be necessary to use fine channel symmetrical clipping using an external
   --   dp_requantize, like in Apertif X.
-  constant c_wpfb_arts_channels_sc4 : t_wpfb  := (1, 64, 1, 12,
-                                                  8, 0, 8, 16, 9,
-                                                  true, true, false, 16, 12, 2, c_dsp_mult_w, 2, true, 56, 2, 12500,
-                                                  c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wpfb_arts_channels_sc4 : t_wpfb  := (
+    1,
+    64,
+    1,
+    12,
+    8,
+    0,
+    8,
+    16,
+    9,
+    true,
+    true,
+    false,
+    16,
+    12,
+    2,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    12500,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- Conclusion:
   -- . To support fine channel offload to Arts SC3 the Apertif X settings will have to use use_reorder = true
@@ -263,49 +439,53 @@ package wpfb_pkg is
   --   be shifted by fft_out_gain_w compared to how it is connected in Arts SC4.
 
   -- Estimate maximum number of blocks of latency between WPFB input and output
-  function func_wpfb_maximum_sop_latency(wpfb : t_wpfb) return natural;
-  function func_wpfb_set_nof_block_per_sync(wpfb : t_wpfb; nof_block_per_sync : natural) return t_wpfb;
+  function func_wpfb_maximum_sop_latency (wpfb : t_wpfb) return natural;
+  function func_wpfb_set_nof_block_per_sync (wpfb : t_wpfb; nof_block_per_sync : natural) return t_wpfb;
 
 end package wpfb_pkg;
 
 package body wpfb_pkg is
 
-  function func_wpfb_map_wpfb_parameters_to_fil_ppf(g_wpfb : t_wpfb) return t_fil_ppf is
-    constant c_fil_ppf : t_fil_ppf := (g_wpfb.wb_factor,
-                                       g_wpfb.nof_chan,
-                                       g_wpfb.nof_points,
-                                       g_wpfb.nof_taps,
-                                       c_nof_complex * g_wpfb.nof_wb_streams,  -- Complex FFT always requires 2 filter streams: real and imaginary
-                                       g_wpfb.fil_backoff_w,
-                                       g_wpfb.fil_in_dat_w,
-                                       g_wpfb.fil_out_dat_w,
-                                       g_wpfb.coef_dat_w);
+  function func_wpfb_map_wpfb_parameters_to_fil_ppf (g_wpfb : t_wpfb) return t_fil_ppf is
+    constant c_fil_ppf : t_fil_ppf := (
+      g_wpfb.wb_factor,
+      g_wpfb.nof_chan,
+      g_wpfb.nof_points,
+      g_wpfb.nof_taps,
+      c_nof_complex * g_wpfb.nof_wb_streams,  -- Complex FFT always requires 2 filter streams: real and imaginary
+      g_wpfb.fil_backoff_w,
+      g_wpfb.fil_in_dat_w,
+      g_wpfb.fil_out_dat_w,
+      g_wpfb.coef_dat_w
+    );
   begin
     return c_fil_ppf;
   end func_wpfb_map_wpfb_parameters_to_fil_ppf;
 
-  function func_wpfb_map_wpfb_parameters_to_fft(g_wpfb : t_wpfb) return t_fft is
-    constant c_fft : t_fft := (g_wpfb.use_reorder,
-                               g_wpfb.use_fft_shift,
-                               g_wpfb.use_separate,
-                               g_wpfb.nof_chan,
-                               g_wpfb.wb_factor,
-                               0,
-                               g_wpfb.nof_points,
-                               g_wpfb.fft_in_dat_w,
-                               g_wpfb.fft_out_dat_w,
-                               g_wpfb.fft_out_gain_w,
-                               g_wpfb.stage_dat_w,
-                               g_wpfb.guard_w,
-                               g_wpfb.guard_enable,
-                               g_wpfb.stat_data_w,
-                               g_wpfb.stat_data_sz);
+  function func_wpfb_map_wpfb_parameters_to_fft (g_wpfb : t_wpfb) return t_fft is
+    constant c_fft : t_fft := (
+      g_wpfb.use_reorder,
+      g_wpfb.use_fft_shift,
+      g_wpfb.use_separate,
+      g_wpfb.nof_chan,
+      g_wpfb.wb_factor,
+      0,
+      g_wpfb.nof_points,
+      g_wpfb.fft_in_dat_w,
+      g_wpfb.fft_out_dat_w,
+      g_wpfb.fft_out_gain_w,
+      g_wpfb.stage_dat_w,
+      g_wpfb.guard_w,
+      g_wpfb.guard_enable,
+      g_wpfb.stat_data_w,
+      g_wpfb.stat_data_sz
+    );
   begin
     return c_fft;
   end func_wpfb_map_wpfb_parameters_to_fft;
 
 
-  function func_wpfb_map_real_input_wpfb_parameters_to_complex_input(g_wpfb : t_wpfb) return t_wpfb is
+  function func_wpfb_map_real_input_wpfb_parameters_to_complex_input (g_wpfb : t_wpfb) return t_wpfb is
     variable v_wpfb : t_wpfb := g_wpfb;
   begin
     v_wpfb.nof_wb_streams := c_nof_complex * v_wpfb.nof_wb_streams;
@@ -314,22 +494,22 @@ package body wpfb_pkg is
   end func_wpfb_map_real_input_wpfb_parameters_to_complex_input;
 
 
-  function func_wpfb_subband_scale_w(wpfb : t_wpfb) return natural is
+  function func_wpfb_subband_scale_w (wpfb : t_wpfb) return natural is
   begin
     return wpfb.fft_out_dat_w + wpfb.fft_out_gain_w - (wpfb.fil_in_dat_w + wpfb.fil_backoff_w);
   end;
 
-  function func_wpfb_subband_gain(wpfb : t_wpfb; fir_filter_dc_gain : real) return real is
+  function func_wpfb_subband_gain (wpfb : t_wpfb; fir_filter_dc_gain : real) return real is
   begin
     return fir_filter_dc_gain * c_fft_real_input_gain_sine * 2.0**real(func_wpfb_subband_scale_w(wpfb));
   end;
 
-  function func_wpfb_sst_level(A_sub : real; N_int : natural) return real is
+  function func_wpfb_sst_level (A_sub : real; N_int : natural) return real is
   begin
     return A_sub**2.0 * real(N_int);
   end;
 
-  function func_wpfb_maximum_sop_latency(wpfb : t_wpfb) return natural is
+  function func_wpfb_maximum_sop_latency (wpfb : t_wpfb) return natural is
     constant c_nof_channels : natural := 2**wpfb.nof_chan;
     constant c_block_size   : natural := c_nof_channels * wpfb.nof_points / wpfb.wb_factor;
     constant c_block_dly    : natural := 10;
@@ -347,7 +527,7 @@ package body wpfb_pkg is
   end func_wpfb_maximum_sop_latency;
 
   -- Overwrite nof_block_per_sync field in wpfb (typically for faster simulation)
-  function func_wpfb_set_nof_block_per_sync(wpfb : t_wpfb; nof_block_per_sync : natural) return t_wpfb is
+  function func_wpfb_set_nof_block_per_sync (wpfb : t_wpfb; nof_block_per_sync : natural) return t_wpfb is
     variable v_wpfb : t_wpfb;
   begin
     v_wpfb := wpfb;
diff --git a/libraries/dsp/wpfb/src/vhdl/wpfb_unit.vhd b/libraries/dsp/wpfb/src/vhdl/wpfb_unit.vhd
index 4c5f7f4fa1..463154a8e9 100644
--- a/libraries/dsp/wpfb/src/vhdl/wpfb_unit.vhd
+++ b/libraries/dsp/wpfb/src/vhdl/wpfb_unit.vhd
@@ -47,18 +47,18 @@
 --              used at the same time.
 
 library ieee, common_lib, dp_lib, rTwoSDF_lib, st_lib, filter_lib, fft_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use st_lib.all;
-use filter_lib.all;
-use filter_lib.fil_pkg.all;
-use fft_lib.all;
-use fft_lib.fft_pkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use st_lib.all;
+  use filter_lib.all;
+  use filter_lib.fil_pkg.all;
+  use fft_lib.all;
+  use fft_lib.fft_pkg.all;
+  use work.wpfb_pkg.all;
 
 entity wpfb_unit is
   generic (
@@ -67,7 +67,7 @@ entity wpfb_unit is
     g_stats_ena         : boolean           := true;  -- Enables the statistics unit
     g_use_bg            : boolean           := false;
     g_coefs_file_prefix : string            := "../../../../filter/build/data/coefs_wide"  -- File prefix for the coefficients files.
-   );
+  );
   port (
     dp_rst             : in  std_logic := '0';
     dp_clk             : in  std_logic;
@@ -156,16 +156,16 @@ begin
   -- statistics to one array that is connected to the port of the
   -- fft_wide_unit.
   u_mem_mux_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
-    g_mult_addr_w => ceil_log2(g_wpfb.stat_data_sz * c_nof_stats)
-  )
-  port map (
-    mosi     => ram_st_sst_mosi,
-    miso     => ram_st_sst_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
+      g_mult_addr_w => ceil_log2(g_wpfb.stat_data_sz * c_nof_stats)
+    )
+    port map (
+      mosi     => ram_st_sst_mosi,
+      miso     => ram_st_sst_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   gen_pfb : if g_use_bg = false generate
     ---------------------------------------------------------------
@@ -210,23 +210,23 @@ begin
     ---------------------------------------------------------------
     gen_prefilter : if g_use_prefilter = true generate
       u_filter : entity filter_lib.fil_ppf_wide
-      generic map (
-        g_fil_ppf           => c_fil_ppf,
-        g_fil_ppf_pipeline  => g_wpfb.fil_pipeline,
-        g_coefs_file_prefix => g_coefs_file_prefix
-      )
-      port map (
-        dp_clk         => dp_clk,
-        dp_rst         => dp_rst,
-        mm_clk         => mm_clk,
-        mm_rst         => mm_rst,
-        ram_coefs_mosi => ram_fil_coefs_mosi,
-        ram_coefs_miso => ram_fil_coefs_miso,
-        in_dat_arr     => fil_in_arr,
-        in_val         => r.in_sosi_arr(0).valid,
-        out_dat_arr    => fil_out_arr,
-        out_val        => fil_out_val
-      );
+        generic map (
+          g_fil_ppf           => c_fil_ppf,
+          g_fil_ppf_pipeline  => g_wpfb.fil_pipeline,
+          g_coefs_file_prefix => g_coefs_file_prefix
+        )
+        port map (
+          dp_clk         => dp_clk,
+          dp_rst         => dp_rst,
+          mm_clk         => mm_clk,
+          mm_rst         => mm_rst,
+          ram_coefs_mosi => ram_fil_coefs_mosi,
+          ram_coefs_miso => ram_fil_coefs_miso,
+          in_dat_arr     => fil_in_arr,
+          in_val         => r.in_sosi_arr(0).valid,
+          out_dat_arr    => fil_out_arr,
+          out_val        => fil_out_val
+        );
     end generate;
 
     -- Bypass filter
@@ -254,25 +254,25 @@ begin
       -----------------------------------------------------------------------------------------------------
       gen_prep_wide_fft_streams: for I in 0 to g_wpfb.nof_wb_streams - 1 generate
         u_fft_wide : entity fft_lib.fft_r2_wide
-        generic map(
-          g_fft          => c_fft,  -- generics for the WFFT
-          g_pft_pipeline => g_wpfb.pft_pipeline,
-          g_fft_pipeline => g_wpfb.fft_pipeline
-        )
-        port map(
-          clk        => dp_clk,
-          rst        => dp_rst,
-          in_re_arr  => fft_in_re_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
-          in_im_arr  => fft_in_im_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
-          in_val     => fft_in_val,
-          out_re_arr => fft_out_re_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
-          out_im_arr => fft_out_im_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
-          out_val    => fft_out_val(I)
-        );
+          generic map(
+            g_fft          => c_fft,  -- generics for the WFFT
+            g_pft_pipeline => g_wpfb.pft_pipeline,
+            g_fft_pipeline => g_wpfb.fft_pipeline
+          )
+          port map(
+            clk        => dp_clk,
+            rst        => dp_rst,
+            in_re_arr  => fft_in_re_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
+            in_im_arr  => fft_in_im_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
+            in_val     => fft_in_val,
+            out_re_arr => fft_out_re_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
+            out_im_arr => fft_out_im_arr((I + 1) * g_wpfb.wb_factor - 1 downto I * g_wpfb.wb_factor),
+            out_val    => fft_out_val(I)
+          );
       end generate;
     end generate;
 
-   ---------------------------------------------------------------
+    ---------------------------------------------------------------
     -- THE PIPELINED FFT
     ---------------------------------------------------------------
     gen_pipeline_fft: if g_wpfb.wb_factor = 1  generate
@@ -286,20 +286,20 @@ begin
 
       gen_prep_pipe_fft_streams: for I in 0 to g_wpfb.nof_wb_streams - 1 generate
         u_fft_pipe : entity fft_lib.fft_r2_pipe
-        generic map(
-          g_fft      => c_fft,
-          g_pipeline => g_wpfb.fft_pipeline
-        )
-        port map(
-          clk           => dp_clk,
-          rst           => dp_rst,
-          in_re         => fft_in_re_arr(I)(c_fft.in_dat_w - 1 downto 0),
-          in_im         => fft_in_im_arr(I)(c_fft.in_dat_w - 1 downto 0),
-          in_val        => fft_in_val,
-          out_quant_re  => fft_out_re_arr_i(I)(c_fft.out_dat_w - 1 downto 0),
-          out_quant_im  => fft_out_im_arr_i(I)(c_fft.out_dat_w - 1 downto 0),
-          out_val       => fft_out_val(I)
-        );
+          generic map(
+            g_fft      => c_fft,
+            g_pipeline => g_wpfb.fft_pipeline
+          )
+          port map(
+            clk           => dp_clk,
+            rst           => dp_rst,
+            in_re         => fft_in_re_arr(I)(c_fft.in_dat_w - 1 downto 0),
+            in_im         => fft_in_im_arr(I)(c_fft.in_dat_w - 1 downto 0),
+            in_val        => fft_in_val,
+            out_quant_re  => fft_out_re_arr_i(I)(c_fft.out_dat_w - 1 downto 0),
+            out_quant_im  => fft_out_im_arr_i(I)(c_fft.out_dat_w - 1 downto 0),
+            out_val       => fft_out_val(I)
+          );
         fft_out_re_arr(I) <= RESIZE_SVEC(fft_out_re_arr_i(I)(c_fft.out_dat_w - 1 downto 0), fft_out_re_arr(I)'length);
         fft_out_im_arr(I) <= RESIZE_SVEC(fft_out_im_arr_i(I)(c_fft.out_dat_w - 1 downto 0), fft_out_im_arr(I)'length);
       end generate;
@@ -311,19 +311,19 @@ begin
     -- The fft control unit composes the output array in the dp-
     -- streaming format.
     u_fft_control : entity fft_lib.fft_wide_unit_control
-    generic map (
-      g_fft        => c_fft,
-      g_nof_ffts   => g_wpfb.nof_wb_streams
-    )
-    port map(
-      rst          => dp_rst,
-      clk          => dp_clk,
-      in_re_arr    => fft_out_re_arr,
-      in_im_arr    => fft_out_im_arr,
-      in_val       => fft_out_val(0),
-      ctrl_sosi    => r.in_sosi_arr(0),
-      out_sosi_arr => fft_out_sosi_arr
-    );
+      generic map (
+        g_fft        => c_fft,
+        g_nof_ffts   => g_wpfb.nof_wb_streams
+      )
+      port map(
+        rst          => dp_rst,
+        clk          => dp_clk,
+        in_re_arr    => fft_out_re_arr,
+        in_im_arr    => fft_out_im_arr,
+        in_val       => fft_out_val(0),
+        ctrl_sosi    => r.in_sosi_arr(0),
+        out_sosi_arr => fft_out_sosi_arr
+      );
 
   end generate;
 
@@ -332,32 +332,32 @@ begin
   ----------------------------------------------------------------------------
   gen_bg : if g_use_bg = true generate
     u_bg : entity diag_lib.mms_diag_block_gen
-    generic map(
-      g_nof_streams      => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
-      g_buf_dat_w        => c_nof_complex * g_wpfb.fft_out_dat_w,
-      g_buf_addr_w       => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-      g_file_index_arr   => c_bg_data_file_index_arr,
-      g_file_name_prefix => c_bg_data_file_prefix
-    )
-    port map(
-      -- System
-      mm_rst           => mm_rst,
-      mm_clk           => mm_clk,
-      dp_rst           => dp_rst,
-      dp_clk           => dp_clk,
-      en_sync          => '0',
-      -- MM interface
-      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-      reg_bg_ctrl_miso => reg_bg_ctrl_miso,
-      ram_bg_data_mosi => ram_bg_data_mosi,
-      ram_bg_data_miso => ram_bg_data_miso,
-      -- ST interface
-      out_siso_arr     => bg_siso_arr,
-      out_sosi_arr     => fft_out_sosi_arr
-    );
+      generic map(
+        g_nof_streams      => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
+        g_buf_dat_w        => c_nof_complex * g_wpfb.fft_out_dat_w,
+        g_buf_addr_w       => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+        g_file_index_arr   => c_bg_data_file_index_arr,
+        g_file_name_prefix => c_bg_data_file_prefix
+      )
+      port map(
+        -- System
+        mm_rst           => mm_rst,
+        mm_clk           => mm_clk,
+        dp_rst           => dp_rst,
+        dp_clk           => dp_clk,
+        en_sync          => '0',
+        -- MM interface
+        reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+        reg_bg_ctrl_miso => reg_bg_ctrl_miso,
+        ram_bg_data_mosi => ram_bg_data_mosi,
+        ram_bg_data_miso => ram_bg_data_miso,
+        -- ST interface
+        out_siso_arr     => bg_siso_arr,
+        out_sosi_arr     => fft_out_sosi_arr
+      );
   end generate;
 
- ---------------------------------------------------------------
+  ---------------------------------------------------------------
   -- SUBBAND STATISTICS
   ---------------------------------------------------------------
   -- For all "wb_factor"x"nof_wb_streams" output streams of the
@@ -370,21 +370,21 @@ begin
     gen_stats_streams: for I in 0 to g_wpfb.nof_wb_streams - 1 generate
       gen_stats_wb_factor: for J in 0 to g_wpfb.wb_factor - 1 generate
         u_subband_stats : entity st_lib.st_sst
-        generic map(
-          g_nof_stat      => c_nof_stats,
-          g_in_data_w     => g_wpfb.fft_out_dat_w,
-          g_stat_data_w   => g_wpfb.stat_data_w,
-          g_stat_data_sz  => g_wpfb.stat_data_sz
-        )
-        port map (
-          mm_rst          => mm_rst,
-          mm_clk          => mm_clk,
-          dp_rst          => dp_rst,
-          dp_clk          => dp_clk,
-          in_complex      => fft_out_sosi_arr(I * g_wpfb.wb_factor + J),
-          ram_st_sst_mosi => ram_st_sst_mosi_arr(I * g_wpfb.wb_factor + J),
-          ram_st_sst_miso => ram_st_sst_miso_arr(I * g_wpfb.wb_factor + J)
-        );
+          generic map(
+            g_nof_stat      => c_nof_stats,
+            g_in_data_w     => g_wpfb.fft_out_dat_w,
+            g_stat_data_w   => g_wpfb.stat_data_w,
+            g_stat_data_sz  => g_wpfb.stat_data_sz
+          )
+          port map (
+            mm_rst          => mm_rst,
+            mm_clk          => mm_clk,
+            dp_rst          => dp_rst,
+            dp_clk          => dp_clk,
+            in_complex      => fft_out_sosi_arr(I * g_wpfb.wb_factor + J),
+            ram_st_sst_mosi => ram_st_sst_mosi_arr(I * g_wpfb.wb_factor + J),
+            ram_st_sst_miso => ram_st_sst_miso_arr(I * g_wpfb.wb_factor + J)
+          );
       end generate;
     end generate;
   end generate;
diff --git a/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd b/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd
index 52be878067..346f74a52b 100644
--- a/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd
+++ b/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd
@@ -354,18 +354,18 @@
 --
 
 library ieee, common_lib, dp_lib, rTwoSDF_lib, st_lib, filter_lib, fft_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use st_lib.all;
-use filter_lib.all;
-use filter_lib.fil_pkg.all;
-use fft_lib.all;
-use fft_lib.fft_pkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use st_lib.all;
+  use filter_lib.all;
+  use filter_lib.fil_pkg.all;
+  use fft_lib.all;
+  use fft_lib.fft_pkg.all;
+  use work.wpfb_pkg.all;
 
 entity wpfb_unit_dev is
   generic (
@@ -377,7 +377,7 @@ entity wpfb_unit_dev is
     g_use_bg            : boolean           := false;
     g_coefs_file_prefix : string            := "data/coefs_wide";  -- File prefix for the coefficients files.
     g_restart_on_valid  : boolean           := true
-   );
+  );
   port (
     dp_rst                : in  std_logic := '0';
     dp_clk                : in  std_logic;
@@ -487,16 +487,16 @@ begin
   -- statistics to one array that is connected to the port of the
   -- fft_wide_unit.
   u_mem_mux_sst : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
-    g_mult_addr_w => ceil_log2(g_wpfb.stat_data_sz * c_nof_stats)
-  )
-  port map (
-    mosi     => ram_st_sst_mosi,
-    miso     => ram_st_sst_miso,
-    mosi_arr => ram_st_sst_mosi_arr,
-    miso_arr => ram_st_sst_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
+      g_mult_addr_w => ceil_log2(g_wpfb.stat_data_sz * c_nof_stats)
+    )
+    port map (
+      mosi     => ram_st_sst_mosi,
+      miso     => ram_st_sst_miso,
+      mosi_arr => ram_st_sst_mosi_arr,
+      miso_arr => ram_st_sst_miso_arr
+    );
 
   gen_pfb : if g_use_bg = false generate
     ---------------------------------------------------------------
@@ -534,25 +534,25 @@ begin
     ---------------------------------------------------------------
     gen_prefilter : if g_use_prefilter = true generate
       u_filter : entity filter_lib.fil_ppf_wide
-      generic map (
-        g_big_endian_wb_in  => g_big_endian_wb_in,
-        g_big_endian_wb_out => false,  -- reverse wideband order from big-endian [3:0] = [t0,t1,t2,t3] in fil_ppf_wide to little-endian [3:0] = [t3,t2,t1,t0] in fft_r2_wide
-        g_fil_ppf           => c_fil_ppf,
-        g_fil_ppf_pipeline  => g_wpfb.fil_pipeline,
-        g_coefs_file_prefix => g_coefs_file_prefix
-      )
-      port map (
-        dp_clk         => dp_clk,
-        dp_rst         => dp_rst,
-        mm_clk         => mm_clk,
-        mm_rst         => mm_rst,
-        ram_coefs_mosi => ram_fil_coefs_mosi,
-        ram_coefs_miso => ram_fil_coefs_miso,
-        in_dat_arr     => fil_in_arr,
-        in_val         => fil_in_val,
-        out_dat_arr    => fil_out_arr,
-        out_val        => fil_out_val
-      );
+        generic map (
+          g_big_endian_wb_in  => g_big_endian_wb_in,
+          g_big_endian_wb_out => false,  -- reverse wideband order from big-endian [3:0] = [t0,t1,t2,t3] in fil_ppf_wide to little-endian [3:0] = [t3,t2,t1,t0] in fft_r2_wide
+          g_fil_ppf           => c_fil_ppf,
+          g_fil_ppf_pipeline  => g_wpfb.fil_pipeline,
+          g_coefs_file_prefix => g_coefs_file_prefix
+        )
+        port map (
+          dp_clk         => dp_clk,
+          dp_rst         => dp_rst,
+          mm_clk         => mm_clk,
+          mm_rst         => mm_rst,
+          ram_coefs_mosi => ram_fil_coefs_mosi,
+          ram_coefs_miso => ram_fil_coefs_miso,
+          in_dat_arr     => fil_in_arr,
+          in_val         => fil_in_val,
+          out_dat_arr    => fil_out_arr,
+          out_val        => fil_out_val
+        );
     end generate;
 
     -- Bypass filter
@@ -569,21 +569,21 @@ begin
     gen_wideband_fft: if g_wpfb.wb_factor > 1  generate
       gen_fft_r2_wide_streams: for S in 0 to g_wpfb.nof_wb_streams - 1 generate
         u_fft_r2_wide : entity fft_lib.fft_r2_wide
-        generic map(
-          g_fft            => c_fft,  -- generics for the WFFT
-          g_pft_pipeline   => g_wpfb.pft_pipeline,
-          g_fft_pipeline   => g_wpfb.fft_pipeline
-        )
-        port map(
-          clk        => dp_clk,
-          rst        => dp_rst,
-          in_re_arr  => fft_in_re_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
-          in_im_arr  => fft_in_im_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
-          in_val     => fft_in_val,
-          out_re_arr => fft_out_quant_re_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
-          out_im_arr => fft_out_quant_im_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
-          out_val    => fft_out_val_arr(S)
-        );
+          generic map(
+            g_fft            => c_fft,  -- generics for the WFFT
+            g_pft_pipeline   => g_wpfb.pft_pipeline,
+            g_fft_pipeline   => g_wpfb.fft_pipeline
+          )
+          port map(
+            clk        => dp_clk,
+            rst        => dp_rst,
+            in_re_arr  => fft_in_re_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
+            in_im_arr  => fft_in_im_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
+            in_val     => fft_in_val,
+            out_re_arr => fft_out_quant_re_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
+            out_im_arr => fft_out_quant_im_arr((S + 1) * g_wpfb.wb_factor - 1 downto S * g_wpfb.wb_factor),
+            out_val    => fft_out_val_arr(S)
+          );
       end generate;
     end generate;
 
@@ -593,24 +593,24 @@ begin
     gen_pipeline_fft: if g_wpfb.wb_factor = 1  generate
       gen_fft_r2_pipe_streams: for S in 0 to g_wpfb.nof_wb_streams - 1 generate
         u_fft_r2_pipe : entity fft_lib.fft_r2_pipe
-        generic map(
-          g_instance_index     => S,
-          g_fft                => c_fft,
-          g_pipeline           => g_wpfb.fft_pipeline,
-          g_dont_flip_channels => g_dont_flip_channels
-        )
-        port map(
-          clk           => dp_clk,
-          rst           => dp_rst,
-          in_re         => fft_in_re_arr(S)(c_fft.in_dat_w - 1 downto 0),
-          in_im         => fft_in_im_arr(S)(c_fft.in_dat_w - 1 downto 0),
-          in_val        => fft_in_val,
-          out_quant_re  => fft_out_quant_re_arr_i(S)(c_fft.out_dat_w - 1 downto 0),
-          out_quant_im  => fft_out_quant_im_arr_i(S)(c_fft.out_dat_w - 1 downto 0),
-          out_raw_re    => fft_out_raw_re_arr(S),
-          out_raw_im    => fft_out_raw_im_arr(S),
-          out_val       => fft_out_val_arr(S)
-        );
+          generic map(
+            g_instance_index     => S,
+            g_fft                => c_fft,
+            g_pipeline           => g_wpfb.fft_pipeline,
+            g_dont_flip_channels => g_dont_flip_channels
+          )
+          port map(
+            clk           => dp_clk,
+            rst           => dp_rst,
+            in_re         => fft_in_re_arr(S)(c_fft.in_dat_w - 1 downto 0),
+            in_im         => fft_in_im_arr(S)(c_fft.in_dat_w - 1 downto 0),
+            in_val        => fft_in_val,
+            out_quant_re  => fft_out_quant_re_arr_i(S)(c_fft.out_dat_w - 1 downto 0),
+            out_quant_im  => fft_out_quant_im_arr_i(S)(c_fft.out_dat_w - 1 downto 0),
+            out_raw_re    => fft_out_raw_re_arr(S),
+            out_raw_im    => fft_out_raw_im_arr(S),
+            out_val       => fft_out_val_arr(S)
+          );
 
         fft_out_quant_re_arr(S) <= RESIZE_SVEC_32(fft_out_quant_re_arr_i(S)(c_fft.out_dat_w - 1 downto 0));
         fft_out_quant_im_arr(S) <= RESIZE_SVEC_32(fft_out_quant_im_arr_i(S)(c_fft.out_dat_w - 1 downto 0));
@@ -625,18 +625,18 @@ begin
     -- The FFT output valid defines PFB output sync, sop, eop.
 
     u_dp_sync_recover : entity dp_lib.dp_sync_recover
-    generic map(
-      g_nof_data_per_block => c_nof_valid_per_block
-    )
-    port map (
-      dp_rst => dp_rst,
-      dp_clk => dp_clk,
+      generic map(
+        g_nof_data_per_block => c_nof_valid_per_block
+      )
+      port map (
+        dp_rst => dp_rst,
+        dp_clk => dp_clk,
 
-      in_sosi     => r.in_sosi_arr(0),
-      recover_val => fft_out_val_arr(0),
-      restart     => r.bsn_source_restart,
-      out_sosi    => ctrl_pfb_out_sosi
-    );
+        in_sosi     => r.in_sosi_arr(0),
+        recover_val => fft_out_val_arr(0),
+        restart     => r.bsn_source_restart,
+        out_sosi    => ctrl_pfb_out_sosi
+      );
 
     -- wire pfb_out_quant_sosi_arr that is used for SST and for out_quant_sosi_arr
     wire_pfb_out_quant_sosi_arr : for I in 0 to g_wpfb.nof_wb_streams * g_wpfb.wb_factor - 1 generate
@@ -666,31 +666,31 @@ begin
   ----------------------------------------------------------------------------
   gen_bg : if g_use_bg = true generate
     u_bg : entity diag_lib.mms_diag_block_gen
-    generic map(
-      g_nof_streams      => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
-      g_buf_dat_w        => c_nof_complex * g_wpfb.fft_out_dat_w,
-      g_buf_addr_w       => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-      g_file_index_arr   => c_bg_data_file_index_arr,
-      g_file_name_prefix => c_bg_data_file_prefix
-    )
-    port map(
-      -- System
-      mm_rst           => mm_rst,
-      mm_clk           => mm_clk,
-      dp_rst           => dp_rst,
-      dp_clk           => dp_clk,
-      en_sync          => '0',
-      -- MM interface
-      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-      reg_bg_ctrl_miso => reg_bg_ctrl_miso,
-      ram_bg_data_mosi => ram_bg_data_mosi,
-      ram_bg_data_miso => ram_bg_data_miso,
-      -- ST interface
-      out_sosi_arr     => pfb_out_quant_sosi_arr
-    );
+      generic map(
+        g_nof_streams      => g_wpfb.nof_wb_streams * g_wpfb.wb_factor,
+        g_buf_dat_w        => c_nof_complex * g_wpfb.fft_out_dat_w,
+        g_buf_addr_w       => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+        g_file_index_arr   => c_bg_data_file_index_arr,
+        g_file_name_prefix => c_bg_data_file_prefix
+      )
+      port map(
+        -- System
+        mm_rst           => mm_rst,
+        mm_clk           => mm_clk,
+        dp_rst           => dp_rst,
+        dp_clk           => dp_clk,
+        en_sync          => '0',
+        -- MM interface
+        reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+        reg_bg_ctrl_miso => reg_bg_ctrl_miso,
+        ram_bg_data_mosi => ram_bg_data_mosi,
+        ram_bg_data_miso => ram_bg_data_miso,
+        -- ST interface
+        out_sosi_arr     => pfb_out_quant_sosi_arr
+      );
   end generate;
 
- ---------------------------------------------------------------
+  ---------------------------------------------------------------
   -- SUBBAND STATISTICS
   ---------------------------------------------------------------
   -- For all "wb_factor"x"nof_wb_streams" output streams of the
@@ -703,21 +703,21 @@ begin
     gen_stats_streams: for S in 0 to g_wpfb.nof_wb_streams - 1 generate
       gen_stats_wideband: for P in 0 to g_wpfb.wb_factor - 1 generate
         u_subband_stats : entity st_lib.st_sst
-        generic map(
-          g_nof_stat      => c_nof_stats,
-          g_in_data_w     => g_wpfb.fft_out_dat_w,
-          g_stat_data_w   => g_wpfb.stat_data_w,
-          g_stat_data_sz  => g_wpfb.stat_data_sz
-        )
-        port map (
-          mm_rst          => mm_rst,
-          mm_clk          => mm_clk,
-          dp_rst          => dp_rst,
-          dp_clk          => dp_clk,
-          in_complex      => pfb_out_quant_sosi_arr(S * g_wpfb.wb_factor + P),
-          ram_st_sst_mosi => ram_st_sst_mosi_arr(S * g_wpfb.wb_factor + P),
-          ram_st_sst_miso => ram_st_sst_miso_arr(S * g_wpfb.wb_factor + P)
-        );
+          generic map(
+            g_nof_stat      => c_nof_stats,
+            g_in_data_w     => g_wpfb.fft_out_dat_w,
+            g_stat_data_w   => g_wpfb.stat_data_w,
+            g_stat_data_sz  => g_wpfb.stat_data_sz
+          )
+          port map (
+            mm_rst          => mm_rst,
+            mm_clk          => mm_clk,
+            dp_rst          => dp_rst,
+            dp_clk          => dp_clk,
+            in_complex      => pfb_out_quant_sosi_arr(S * g_wpfb.wb_factor + P),
+            ram_st_sst_mosi => ram_st_sst_mosi_arr(S * g_wpfb.wb_factor + P),
+            ram_st_sst_miso => ram_st_sst_miso_arr(S * g_wpfb.wb_factor + P)
+          );
       end generate;
     end generate;
   end generate;
diff --git a/libraries/dsp/wpfb/tb/vhdl/tb_mmf_wpfb_unit.vhd b/libraries/dsp/wpfb/tb/vhdl/tb_mmf_wpfb_unit.vhd
index 05d7493da2..2a3687452e 100644
--- a/libraries/dsp/wpfb/tb/vhdl/tb_mmf_wpfb_unit.vhd
+++ b/libraries/dsp/wpfb/tb/vhdl/tb_mmf_wpfb_unit.vhd
@@ -50,24 +50,24 @@
 
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib, rTwoSDF_lib, fft_lib, filter_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.tb_fft_pkg.all;
-use fft_lib.fft_pkg.all;
-use filter_lib.fil_pkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.tb_fft_pkg.all;
+  use fft_lib.fft_pkg.all;
+  use filter_lib.fil_pkg.all;
+  use work.wpfb_pkg.all;
 
 
 entity tb_mmf_wpfb_unit is
@@ -88,50 +88,72 @@ end tb_mmf_wpfb_unit;
 
 architecture tb of tb_mmf_wpfb_unit is
 
-    constant c_in_backoff_w     : natural := 0;  -- = 0, number of bits for input backoff to avoid FIR output overflow
-    constant c_nof_blk_per_sync : natural := 20;
-
-    constant c_wpfb : t_wpfb  := (g_wb_factor, g_nof_points, g_nof_chan, g_nof_wb_streams,
-                                  g_nof_taps, c_in_backoff_w, g_in_dat_w, 16, 16,
-                                  true, false, g_use_separate, 16, g_out_dat_w, 0, 18, 2, true, 56, 2, c_nof_blk_per_sync,
-                                  c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-
-    --  type t_wpfb is record
-    --  -- General parameters for the wideband poly phase filter
-    --  wb_factor         : natural;        -- = default 4, wideband factor
-    --  nof_points        : natural;        -- = 1024, N point FFT (Also the number of subbands for the filetr part)
-    --  nof_chan          : natural;        -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --  nof_wb_streams    : natural;        -- = 1, the number of parallel wideband streams. The filter coefficients are shared on every stream.
-    --
-    --  -- Parameters for the poly phase filter
-    --  nof_taps          : natural;        -- = 16, the number of FIR taps per subband
-    --  fil_backoff_w     : natural;        -- = 0, number of bits for input backoff to avoid output overflow
-    --  fil_in_dat_w      : natural;        -- = 8, number of input bits
-    --  fil_out_dat_w     : natural;        -- = 16, number of output bits
-    --  coef_dat_w        : natural;        -- = 16, data width of the FIR coefficients
-    --
-    --  -- Parameters for the FFT
-    --  use_reorder       : boolean;        -- = false for bit-reversed output, true for normal output
-    --  use_fft_shift     : boolean;        -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --  use_separate      : boolean;        -- = false for complex input, true for two real inputs
-    --  fft_in_dat_w      : natural;        -- = 16, number of input bits
-    --  fft_out_dat_w     : natural;        -- = 13, number of output bits
-    --  fft_out_gain_w    : natural;        -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --  stage_dat_w       : natural;        -- = 18, number of bits that are used inter-stage
-    --  guard_w           : natural;        -- = 2
-    --  guard_enable      : boolean;        -- = true
-    --
-    --  -- Parameters for the statistics
-    --  stat_data_w       : positive;       -- = 56
-    --  stat_data_sz      : positive;       -- = 2
-    --  nof_blk_per_sync  : natural;        -- = 800000, number of FFT output blocks per sync interval
-    --
-    --  -- Pipeline parameters for both poly phase filter and FFT. These are heritaged from the filter and fft libraries.
-    --  pft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the pipelined FFT
-    --  fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
-    --  fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
-    --
-    --  end record;
+  constant c_in_backoff_w     : natural := 0;  -- = 0, number of bits for input backoff to avoid FIR output overflow
+  constant c_nof_blk_per_sync : natural := 20;
+
+  constant c_wpfb : t_wpfb  := (
+    g_wb_factor,
+    g_nof_points,
+    g_nof_chan,
+    g_nof_wb_streams,
+    g_nof_taps,
+    c_in_backoff_w,
+    g_in_dat_w,
+    16,
+    16,
+    true,
+    false,
+    g_use_separate,
+    16,
+    g_out_dat_w,
+    0,
+    18,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+
+  --  type t_wpfb is record
+  --  -- General parameters for the wideband poly phase filter
+  --  wb_factor         : natural;        -- = default 4, wideband factor
+  --  nof_points        : natural;        -- = 1024, N point FFT (Also the number of subbands for the filetr part)
+  --  nof_chan          : natural;        -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --  nof_wb_streams    : natural;        -- = 1, the number of parallel wideband streams. The filter coefficients are shared on every stream.
+  --
+  --  -- Parameters for the poly phase filter
+  --  nof_taps          : natural;        -- = 16, the number of FIR taps per subband
+  --  fil_backoff_w     : natural;        -- = 0, number of bits for input backoff to avoid output overflow
+  --  fil_in_dat_w      : natural;        -- = 8, number of input bits
+  --  fil_out_dat_w     : natural;        -- = 16, number of output bits
+  --  coef_dat_w        : natural;        -- = 16, data width of the FIR coefficients
+  --
+  --  -- Parameters for the FFT
+  --  use_reorder       : boolean;        -- = false for bit-reversed output, true for normal output
+  --  use_fft_shift     : boolean;        -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --  use_separate      : boolean;        -- = false for complex input, true for two real inputs
+  --  fft_in_dat_w      : natural;        -- = 16, number of input bits
+  --  fft_out_dat_w     : natural;        -- = 13, number of output bits
+  --  fft_out_gain_w    : natural;        -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --  stage_dat_w       : natural;        -- = 18, number of bits that are used inter-stage
+  --  guard_w           : natural;        -- = 2
+  --  guard_enable      : boolean;        -- = true
+  --
+  --  -- Parameters for the statistics
+  --  stat_data_w       : positive;       -- = 56
+  --  stat_data_sz      : positive;       -- = 2
+  --  nof_blk_per_sync  : natural;        -- = 800000, number of FFT output blocks per sync interval
+  --
+  --  -- Pipeline parameters for both poly phase filter and FFT. These are heritaged from the filter and fft libraries.
+  --  pft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the pipelined FFT
+  --  fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
+  --  fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
+  --
+  --  end record;
 
   ----------------------------------------------------------------------------
   -- Clocks and resets
@@ -226,7 +248,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -236,105 +258,105 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_fil_coefs        : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_FIL_COEFS")
-                                           port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso);
+    port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso);
 
   u_mm_file_ram_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_ST_SST")
-                                           port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
+    port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
 
   u_mm_file_reg_diag_pfb_bg      : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG_PFB")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_pfb_mosi, reg_diag_bg_pfb_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_pfb_mosi, reg_diag_bg_pfb_miso);
 
   u_mm_file_ram_diag_pfb_bg      : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG_PFB")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_pfb_mosi, ram_diag_bg_pfb_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_pfb_mosi, ram_diag_bg_pfb_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * c_wpfb.fil_in_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * c_wpfb.fil_in_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Source: DUT input scope
   ----------------------------------------------------------------------------
   gen_input_scopes : for I in 0 to c_wpfb.nof_wb_streams - 1 generate
     u_in_scope : entity dp_lib.dp_wideband_wb_arr_scope
-    generic map (
-      g_sim                 => true,
-      g_wideband_factor     => c_wpfb.wb_factor,
-      g_wideband_big_endian => false,
-      g_dat_w               => c_wpfb.fil_in_dat_w
-    )
-    port map (
-      SCLK         => SCLK,
-      wb_sosi_arr  => bg_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
-      scope_sosi   => scope_in_sosi(I)
-    );
+      generic map (
+        g_sim                 => true,
+        g_wideband_factor     => c_wpfb.wb_factor,
+        g_wideband_big_endian => false,
+        g_dat_w               => c_wpfb.fil_in_dat_w
+      )
+      port map (
+        SCLK         => SCLK,
+        wb_sosi_arr  => bg_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
+        scope_sosi   => scope_in_sosi(I)
+      );
   end generate;
   ----------------------------------------------------------------------------
   -- DUT = Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.wpfb_unit
-  generic map(
-    g_wpfb              => c_wpfb,
-    g_use_bg            => g_use_bg,
-    g_coefs_file_prefix => c_coefs_file_prefix
-  )
-  port map(
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso => ram_fil_coefs_miso,
-    ram_st_sst_mosi    => ram_st_sst_mosi,
-    ram_st_sst_miso    => ram_st_sst_miso,
-    reg_bg_ctrl_mosi   => reg_diag_bg_pfb_mosi,
-    reg_bg_ctrl_miso   => reg_diag_bg_pfb_miso,
-    ram_bg_data_mosi   => ram_diag_bg_pfb_mosi,
-    ram_bg_data_miso   => ram_diag_bg_pfb_miso,
-    in_sosi_arr        => bg_sosi_arr,
-    out_sosi_arr       => out_sosi_arr
-  );
+    generic map(
+      g_wpfb              => c_wpfb,
+      g_use_bg            => g_use_bg,
+      g_coefs_file_prefix => c_coefs_file_prefix
+    )
+    port map(
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso => ram_fil_coefs_miso,
+      ram_st_sst_mosi    => ram_st_sst_mosi,
+      ram_st_sst_miso    => ram_st_sst_miso,
+      reg_bg_ctrl_mosi   => reg_diag_bg_pfb_mosi,
+      reg_bg_ctrl_miso   => reg_diag_bg_pfb_miso,
+      ram_bg_data_mosi   => ram_diag_bg_pfb_mosi,
+      ram_bg_data_miso   => ram_diag_bg_pfb_miso,
+      in_sosi_arr        => bg_sosi_arr,
+      out_sosi_arr       => out_sosi_arr
+    );
 
   time_map : process is
     variable sim_time_str_v : string(1 to 30);  -- 30 chars should be enough
@@ -353,17 +375,17 @@ begin
   ----------------------------------------------------------------------------
   gen_output_scopes : for I in 0 to c_wpfb.nof_wb_streams - 1 generate
     u_out_scope : entity dp_lib.dp_wideband_wb_arr_scope
-    generic map (
-      g_sim                 => true,
-      g_wideband_factor     => c_wpfb.wb_factor,
-      g_wideband_big_endian => false,
-      g_dat_w               => c_wpfb.fft_out_dat_w
-    )
-    port map (
-      SCLK         => SCLK,
-      wb_sosi_arr  => out_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
-      scope_sosi   => scope_out_sosi(I)
-    );
+      generic map (
+        g_sim                 => true,
+        g_wideband_factor     => c_wpfb.wb_factor,
+        g_wideband_big_endian => false,
+        g_dat_w               => c_wpfb.fft_out_dat_w
+      )
+      port map (
+        SCLK         => SCLK,
+        wb_sosi_arr  => out_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
+        scope_sosi   => scope_out_sosi(I)
+      );
   end generate;
 
   p_scope_out_index : process(SCLK)
@@ -389,60 +411,60 @@ begin
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_type    => e_real,
-    g_data_w       => c_wpfb.fft_out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => true
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => out_sosi_arr(0).sync,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_type    => e_real,
+      g_data_w       => c_wpfb.fft_out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => true
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => out_sosi_arr(0).sync,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_type    => e_imag,
-    g_data_w       => c_wpfb.fft_out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => true
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => out_sosi_arr(0).sync,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_type    => e_imag,
+      g_data_w       => c_wpfb.fft_out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => true
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => out_sosi_arr(0).sync,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/wpfb/tb/vhdl/tb_tb_wpfb_unit_wide.vhd b/libraries/dsp/wpfb/tb/vhdl/tb_tb_wpfb_unit_wide.vhd
index 1662a447a6..7f7f121f02 100644
--- a/libraries/dsp/wpfb/tb/vhdl/tb_tb_wpfb_unit_wide.vhd
+++ b/libraries/dsp/wpfb/tb/vhdl/tb_tb_wpfb_unit_wide.vhd
@@ -31,11 +31,11 @@
 --   > run -all
 
 library IEEE, common_lib, filter_lib, rTwoSDF_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use filter_lib.fil_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use filter_lib.fil_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use work.wpfb_pkg.all;
 
 entity tb_tb_wpfb_unit_wide is
 end tb_tb_wpfb_unit_wide;
@@ -46,135 +46,729 @@ architecture tb of tb_tb_wpfb_unit_wide is
   constant c_nof_blk_per_sync          : natural := 20;
 
   -- wb 1, two real
-  constant c_wb1_two_real_1024        : t_wpfb := (1, 1024, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb1_two_real             : t_wpfb := (1, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_two_real_1024        : t_wpfb := (
+    1,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb1_two_real             : t_wpfb := (
+    1,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb1_two_real_4streams    : t_wpfb := (1, 32, 0, 4,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_two_real_4streams    : t_wpfb := (
+    1,
+    32,
+    0,
+    4,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb1_two_real_4channels   : t_wpfb := (1, 32, 2, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_two_real_4channels   : t_wpfb := (
+    1,
+    32,
+    2,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 4, two real
-  constant c_wb4_two_real_1024        : t_wpfb := (4, 1024, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_stage_dat_extra_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb4_two_real             : t_wpfb := (4, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_two_real_1024        : t_wpfb := (
+    4,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_stage_dat_extra_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb4_two_real             : t_wpfb := (
+    4,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb4_two_real_4streams     : t_wpfb := (4, 32, 0, 4,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_two_real_4streams     : t_wpfb := (
+    4,
+    32,
+    0,
+    4,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb4_two_real_4channels   : t_wpfb := (4, 32, 2, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, true, 16, 16, 1, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_two_real_4channels   : t_wpfb := (
+    4,
+    32,
+    2,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    true,
+    16,
+    16,
+    1,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 1, complex reordered
-  constant c_wb1_complex_1024         : t_wpfb := (1, 1024, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb1_complex_64           : t_wpfb := (1, 64, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb1_complex              : t_wpfb := (1, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb1_complex_4streams     : t_wpfb := (1, 32, 0, 4,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_complex_1024         : t_wpfb := (
+    1,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb1_complex_64           : t_wpfb := (
+    1,
+    64,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb1_complex              : t_wpfb := (
+    1,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb1_complex_4streams     : t_wpfb := (
+    1,
+    32,
+    0,
+    4,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb1_complex_4channels    : t_wpfb := (1, 32, 2, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_complex_4channels    : t_wpfb := (
+    1,
+    32,
+    2,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 1, complex fft_shift
-  constant c_wb1_complex_fft_shift    : t_wpfb := (1, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true,  true, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_complex_fft_shift    : t_wpfb := (
+    1,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    true,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 1, complex without reorder
-  constant c_wb1_complex_flipped_1024 : t_wpfb := (1, 1024, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb1_complex_flipped_64   : t_wpfb := (1, 64, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb1_complex_flipped      : t_wpfb := (1, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb1_complex_flipped_1024 : t_wpfb := (
+    1,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb1_complex_flipped_64   : t_wpfb := (
+    1,
+    64,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb1_complex_flipped      : t_wpfb := (
+    1,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 4, complex reordered
-  constant c_wb4_complex_1024         : t_wpfb := (4, 1024, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb4_complex_64           : t_wpfb := (4, 64, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb4_complex              : t_wpfb := (4, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb4_complex_4streams     : t_wpfb := (4, 32, 0, 4,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_complex_1024         : t_wpfb := (
+    4,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb4_complex_64           : t_wpfb := (
+    4,
+    64,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb4_complex              : t_wpfb := (
+    4,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb4_complex_4streams     : t_wpfb := (
+    4,
+    32,
+    0,
+    4,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb4_complex_4channels    : t_wpfb := (4, 32, 2, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_complex_4channels    : t_wpfb := (
+    4,
+    32,
+    2,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 4, complex fft_shift
-  constant c_wb4_complex_fft_shift    : t_wpfb := (4, 32, 0, 1,
-                                                   16, 1, 8, 16, 16,
-                                                   true,  true, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_complex_fft_shift    : t_wpfb := (
+    4,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    true,
+    true,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   -- wb 4, complex without reorder
-  constant c_wb4_complex_flipped_1024     : t_wpfb := (4, 1024, 0, 1,
-                                                       16, 1, 8, 16, 16,
-                                                       false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb4_complex_flipped_64       : t_wpfb := (4, 64, 0, 1,
-                                                       16, 1, 8, 16, 16,
-                                                       false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-  constant c_wb4_complex_flipped          : t_wpfb := (4, 32, 0, 1,
-                                                       16, 1, 8, 16, 16,
-                                                       false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_complex_flipped_1024     : t_wpfb := (
+    4,
+    1024,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb4_complex_flipped_64       : t_wpfb := (
+    4,
+    64,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+  constant c_wb4_complex_flipped          : t_wpfb := (
+    4,
+    32,
+    0,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
-  constant c_wb4_complex_flipped_channels : t_wpfb := (4, 32, 2, 1,
-                                                       16, 1, 8, 16, 16,
-                                                       false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, c_nof_blk_per_sync,
-                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  constant c_wb4_complex_flipped_channels : t_wpfb := (
+    4,
+    32,
+    2,
+    1,
+    16,
+    1,
+    8,
+    16,
+    16,
+    false,
+    false,
+    false,
+    16,
+    16,
+    0,
+    c_dsp_mult_w,
+    2,
+    true,
+    56,
+    2,
+    c_nof_blk_per_sync,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
 
   constant c_dm_1                : natural := 1;  -- diff margin (for stage_dat_w >> c_dsp_mult_w)
   constant c_dm_3                : natural := 3;  -- diff margin (for 32 point dm=2 appears sufficient, for 1024 point dm=3 is sufficient)
@@ -212,93 +806,93 @@ architecture tb of tb_tb_wpfb_unit_wide is
 
 begin
 
--- -- DUT generics
--- g_wpfb : t_wpfb := (4, 32, 0, 1,
---                     16, 1, 8, 16, 16,
---                     false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, 800000,
---                     c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
--- --  type t_wpfb is record
--- --    -- General parameters for the wideband poly phase filter
--- --    wb_factor         : natural;        -- = default 4, wideband factor
--- --    nof_points        : natural;        -- = 1024, N point FFT (Also the number of subbands for the filter part)
--- --    nof_chan          : natural;        -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
--- --    nof_wb_streams    : natural;        -- = 1, the number of parallel wideband streams. The filter coefficients are shared on every wb-stream.
--- --
--- --    -- Parameters for the poly phase filter
--- --    nof_taps          : natural;        -- = 16, the number of FIR taps per subband
--- --    fil_backoff_w     : natural;        -- = 0, number of bits for input backoff to avoid output overflow
--- --    fil_in_dat_w      : natural;        -- = 8, number of input bits
--- --    fil_out_dat_w     : natural;        -- = 16, number of output bits
--- --    coef_dat_w        : natural;        -- = 16, data width of the FIR coefficients
--- --
--- --    -- Parameters for the FFT
--- --    use_reorder       : boolean;        -- = false for bit-reversed output, true for normal output
--- --    use_fft_shift     : boolean;        -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
--- --    use_separate      : boolean;        -- = false for complex input, true for two real inputs
--- --    fft_in_dat_w      : natural;        -- = 16, number of input bits
--- --    fft_out_dat_w     : natural;        -- = 13, number of output bits
--- --    fft_out_gain_w    : natural;        -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
--- --    stage_dat_w       : natural;        -- = 18, number of bits that are used inter-stage
--- --    guard_w           : natural;        -- = 2
--- --    guard_enable      : boolean;        -- = true
--- --
--- --    -- Parameters for the statistics
--- --    stat_data_w       : positive;       -- = 56
--- --    stat_data_sz      : positive;       -- = 2
--- --    nof_blk_per_sync  : natural;        -- = 800000, number of FFT output blocks per sync interval
--- --
--- --    -- Pipeline parameters for both poly phase filter and FFT. These are heritaged from the filter and fft libraries.
--- --    pft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the pipelined FFT
--- --    fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
--- --    fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
--- --  end record;
---
--- -- TB generics
--- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
---
--- -- PFIR coefficients
--- g_coefs_file_prefix_ab    : string := "data/run_pfb_m_pfir_coeff_fircls1";
--- g_coefs_file_prefix_c     : string := "data/run_pfb_complex_m_pfir_coeff_fircls1";
---
--- -- Two real input data files A and B used when g_fft.use_separate = true
--- -- * 1024 points = 512 subbands
--- --g_data_file_a           : string := "data/run_pfb_m_sinusoid_chirp_8b_16taps_1024points_16b_16b.dat";
--- --g_data_file_a_nof_lines : natural := 204800;
--- --g_data_file_b           : string := "data/run_pfb_m_noise_8b_16taps_1024points_16b_16b.dat";
--- --g_data_file_b_nof_lines : natural := 51200;
--- --g_data_file_b           : string := "UNUSED";
--- --g_data_file_b_nof_lines : natural := 0;
---
--- -- * 32 points = 16 subbands
--- g_data_file_a           : string := "data/run_pfb_m_sinusoid_chirp_8b_16taps_32points_16b_16b.dat";
--- g_data_file_a_nof_lines : natural := 6400;
--- --g_data_file_a           : string := "data/run_pfb_m_sinusoid_8b_16taps_32points_16b_16b.dat";
--- --g_data_file_a_nof_lines : natural := 160;
---
--- --g_data_file_b           : string := "data/run_pfb_m_impulse_chirp_8b_16taps_32points_16b_16b.dat";
--- --g_data_file_b_nof_lines : natural := 6400;
--- g_data_file_b           : string := "UNUSED";
--- g_data_file_b_nof_lines : natural := 0;
---
--- -- One complex input data file C used when g_fft.use_separate = false
--- -- * 64 points = 64 channels
--- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_chirp_8b_16taps_64points_16b_16b.dat";
--- --g_data_file_c_nof_lines : natural := 12800;
--- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_8b_16taps_64points_16b_16b.dat";
--- --g_data_file_c_nof_lines : natural := 320;
--- --g_data_file_c           : string := "data/run_pfb_complex_m_noise_8b_16taps_64points_16b_16b.dat";
--- --g_data_file_c_nof_lines : natural := 640;
---
--- -- * 32 points = 32 channels
--- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_chirp_8b_16taps_32points_16b_16b.dat";
--- --g_data_file_c_nof_lines : natural := 6400;
--- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_8b_16taps_32points_16b_16b.dat";
--- --g_data_file_c_nof_lines : natural := 1600;
--- g_data_file_c           : string := "data/run_pfb_complex_m_noise_complex_8b_16taps_32points_16b_16b.dat";
--- g_data_file_c_nof_lines : natural := 1600;
---
--- g_data_file_nof_lines   : natural := 1600;   -- actual number of lines with input data to simulate from the data files, must be <= g_data_file_*_nof_lines
--- g_enable_in_val_gaps    : boolean := FALSE   -- when false then in_val flow control active continuously, else with random inactive gaps
+  -- -- DUT generics
+  -- g_wpfb : t_wpfb := (4, 32, 0, 1,
+  --                     16, 1, 8, 16, 16,
+  --                     false, false, false, 16, 16, 0, c_dsp_mult_w, 2, true, 56, 2, 800000,
+  --                     c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+  -- --  type t_wpfb is record
+  -- --    -- General parameters for the wideband poly phase filter
+  -- --    wb_factor         : natural;        -- = default 4, wideband factor
+  -- --    nof_points        : natural;        -- = 1024, N point FFT (Also the number of subbands for the filter part)
+  -- --    nof_chan          : natural;        -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  -- --    nof_wb_streams    : natural;        -- = 1, the number of parallel wideband streams. The filter coefficients are shared on every wb-stream.
+  -- --
+  -- --    -- Parameters for the poly phase filter
+  -- --    nof_taps          : natural;        -- = 16, the number of FIR taps per subband
+  -- --    fil_backoff_w     : natural;        -- = 0, number of bits for input backoff to avoid output overflow
+  -- --    fil_in_dat_w      : natural;        -- = 8, number of input bits
+  -- --    fil_out_dat_w     : natural;        -- = 16, number of output bits
+  -- --    coef_dat_w        : natural;        -- = 16, data width of the FIR coefficients
+  -- --
+  -- --    -- Parameters for the FFT
+  -- --    use_reorder       : boolean;        -- = false for bit-reversed output, true for normal output
+  -- --    use_fft_shift     : boolean;        -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  -- --    use_separate      : boolean;        -- = false for complex input, true for two real inputs
+  -- --    fft_in_dat_w      : natural;        -- = 16, number of input bits
+  -- --    fft_out_dat_w     : natural;        -- = 13, number of output bits
+  -- --    fft_out_gain_w    : natural;        -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  -- --    stage_dat_w       : natural;        -- = 18, number of bits that are used inter-stage
+  -- --    guard_w           : natural;        -- = 2
+  -- --    guard_enable      : boolean;        -- = true
+  -- --
+  -- --    -- Parameters for the statistics
+  -- --    stat_data_w       : positive;       -- = 56
+  -- --    stat_data_sz      : positive;       -- = 2
+  -- --    nof_blk_per_sync  : natural;        -- = 800000, number of FFT output blocks per sync interval
+  -- --
+  -- --    -- Pipeline parameters for both poly phase filter and FFT. These are heritaged from the filter and fft libraries.
+  -- --    pft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the pipelined FFT
+  -- --    fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
+  -- --    fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
+  -- --  end record;
+  --
+  -- -- TB generics
+  -- g_diff_margin           : integer := 2;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
+  --
+  -- -- PFIR coefficients
+  -- g_coefs_file_prefix_ab    : string := "data/run_pfb_m_pfir_coeff_fircls1";
+  -- g_coefs_file_prefix_c     : string := "data/run_pfb_complex_m_pfir_coeff_fircls1";
+  --
+  -- -- Two real input data files A and B used when g_fft.use_separate = true
+  -- -- * 1024 points = 512 subbands
+  -- --g_data_file_a           : string := "data/run_pfb_m_sinusoid_chirp_8b_16taps_1024points_16b_16b.dat";
+  -- --g_data_file_a_nof_lines : natural := 204800;
+  -- --g_data_file_b           : string := "data/run_pfb_m_noise_8b_16taps_1024points_16b_16b.dat";
+  -- --g_data_file_b_nof_lines : natural := 51200;
+  -- --g_data_file_b           : string := "UNUSED";
+  -- --g_data_file_b_nof_lines : natural := 0;
+  --
+  -- -- * 32 points = 16 subbands
+  -- g_data_file_a           : string := "data/run_pfb_m_sinusoid_chirp_8b_16taps_32points_16b_16b.dat";
+  -- g_data_file_a_nof_lines : natural := 6400;
+  -- --g_data_file_a           : string := "data/run_pfb_m_sinusoid_8b_16taps_32points_16b_16b.dat";
+  -- --g_data_file_a_nof_lines : natural := 160;
+  --
+  -- --g_data_file_b           : string := "data/run_pfb_m_impulse_chirp_8b_16taps_32points_16b_16b.dat";
+  -- --g_data_file_b_nof_lines : natural := 6400;
+  -- g_data_file_b           : string := "UNUSED";
+  -- g_data_file_b_nof_lines : natural := 0;
+  --
+  -- -- One complex input data file C used when g_fft.use_separate = false
+  -- -- * 64 points = 64 channels
+  -- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_chirp_8b_16taps_64points_16b_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 12800;
+  -- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_8b_16taps_64points_16b_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 320;
+  -- --g_data_file_c           : string := "data/run_pfb_complex_m_noise_8b_16taps_64points_16b_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 640;
+  --
+  -- -- * 32 points = 32 channels
+  -- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_chirp_8b_16taps_32points_16b_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 6400;
+  -- --g_data_file_c           : string := "data/run_pfb_complex_m_phasor_8b_16taps_32points_16b_16b.dat";
+  -- --g_data_file_c_nof_lines : natural := 1600;
+  -- g_data_file_c           : string := "data/run_pfb_complex_m_noise_complex_8b_16taps_32points_16b_16b.dat";
+  -- g_data_file_c_nof_lines : natural := 1600;
+  --
+  -- g_data_file_nof_lines   : natural := 1600;   -- actual number of lines with input data to simulate from the data files, must be <= g_data_file_*_nof_lines
+  -- g_enable_in_val_gaps    : boolean := FALSE   -- when false then in_val flow control active continuously, else with random inactive gaps
 
   -- Two real input data A and B
   -- * 1024 point (as in Apertif subband filterbank)
diff --git a/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit.vhd b/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit.vhd
index a57255cc42..de1d38d9cc 100644
--- a/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit.vhd
+++ b/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit.vhd
@@ -43,22 +43,22 @@
 --
 
 library ieee, common_lib, dp_lib, diag_lib, rTwoSDF_lib, fft_lib, filter_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use STD.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.tb_fft_pkg.all;
-use fft_lib.fft_pkg.all;
-use filter_lib.fil_pkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use STD.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.tb_fft_pkg.all;
+  use fft_lib.fft_pkg.all;
+  use filter_lib.fil_pkg.all;
+  use work.wpfb_pkg.all;
 
 entity tb_wpfb_unit is
   generic(
@@ -120,21 +120,23 @@ architecture tb of tb_wpfb_unit is
 
   constant c_clk_period : time    := 100 ns;
 
-  constant c_fft        : t_fft   := (g_wpfb.use_reorder,
-                                      g_wpfb.use_fft_shift,
-                                      g_wpfb.use_separate,
-                                      0,
-                                      g_wpfb.wb_factor,
-                                      0,
-                                      g_wpfb.nof_points,
-                                      g_wpfb.fft_in_dat_w,
-                                      g_wpfb.fft_out_dat_w,
-                                      g_wpfb.fft_out_gain_w,
-                                      g_wpfb.stage_dat_w,
-                                      g_wpfb.guard_w,
-                                      g_wpfb.guard_enable,
-                                      g_wpfb.stat_data_w,
-                                      g_wpfb.stat_data_sz);
+  constant c_fft        : t_fft   := (
+    g_wpfb.use_reorder,
+    g_wpfb.use_fft_shift,
+    g_wpfb.use_separate,
+    0,
+    g_wpfb.wb_factor,
+    0,
+    g_wpfb.nof_points,
+    g_wpfb.fft_in_dat_w,
+    g_wpfb.fft_out_dat_w,
+    g_wpfb.fft_out_gain_w,
+    g_wpfb.stage_dat_w,
+    g_wpfb.guard_w,
+    g_wpfb.guard_enable,
+    g_wpfb.stat_data_w,
+    g_wpfb.stat_data_sz
+  );
 
   -- input/output data width
   constant c_in_dat_w   : natural := g_wpfb.fil_in_dat_w;
@@ -353,26 +355,26 @@ begin
   ---------------------------------------------------------------
   gen_block_gen : for I in 0 to g_wpfb.wb_factor - 1 generate
     u_block_generator : entity diag_lib.mms_diag_block_gen
-    generic map(
-      g_nof_streams        => 1,
-      g_buf_dat_w          => c_nof_complex * g_wpfb.fil_in_dat_w,
-      g_buf_addr_w         => c_bg_addr_w,
-      g_file_name_prefix   => c_bg_prefix
-    )
-    port map(
-     -- Clocks and Reset
-      mm_rst           => rst,
-      mm_clk           => clk,
-      dp_rst           => rst,
-      dp_clk           => clk,
-      en_sync          => '1',
-      ram_bg_data_mosi => ram_bg_data_mosi_arr(I),
-      ram_bg_data_miso => open,
-      reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
-      reg_bg_ctrl_miso => open,
-      out_siso_arr     => in_siso_matrix(I),
-      out_sosi_arr     => in_sosi_matrix(I)
-    );
+      generic map(
+        g_nof_streams        => 1,
+        g_buf_dat_w          => c_nof_complex * g_wpfb.fil_in_dat_w,
+        g_buf_addr_w         => c_bg_addr_w,
+        g_file_name_prefix   => c_bg_prefix
+      )
+      port map(
+        -- Clocks and Reset
+        mm_rst           => rst,
+        mm_clk           => clk,
+        dp_rst           => rst,
+        dp_clk           => clk,
+        en_sync          => '1',
+        ram_bg_data_mosi => ram_bg_data_mosi_arr(I),
+        ram_bg_data_miso => open,
+        reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
+        reg_bg_ctrl_miso => open,
+        out_siso_arr     => in_siso_matrix(I),
+        out_sosi_arr     => in_sosi_matrix(I)
+      );
     in_sosi_arr(I)       <= in_sosi_matrix(I)(0);
     in_siso_matrix(I)(0) <= c_dp_siso_rdy;
   end generate;
@@ -413,27 +415,27 @@ begin
   -- DUT = Device Under Test
   ---------------------------------------------------------------
   u_dut : entity work.wpfb_unit
-  generic map (
-    g_wpfb              => g_wpfb,
-    g_use_bg            => false,
-    g_coefs_file_prefix => c_coefs_file_prefix
-  )
-  port map (
-    dp_rst             => rst,
-    dp_clk             => clk,
-    mm_rst             => rst,
-    mm_clk             => clk,
-    ram_fil_coefs_mosi => ram_coefs_mosi,
-    ram_fil_coefs_miso => ram_coefs_miso,
-    ram_st_sst_mosi    => ram_sst_mosi,
-    ram_st_sst_miso    => ram_sst_miso,
-    reg_bg_ctrl_mosi   => reg_diag_bg_dut_mosi,
-    reg_bg_ctrl_miso   => reg_diag_bg_dut_miso,
-    ram_bg_data_mosi   => ram_diag_bg_dut_mosi,
-    ram_bg_data_miso   => ram_diag_bg_dut_miso,
-    in_sosi_arr        => in_sosi_arr,
-    out_sosi_arr       => result_sosi_arr
-  );
+    generic map (
+      g_wpfb              => g_wpfb,
+      g_use_bg            => false,
+      g_coefs_file_prefix => c_coefs_file_prefix
+    )
+    port map (
+      dp_rst             => rst,
+      dp_clk             => clk,
+      mm_rst             => rst,
+      mm_clk             => clk,
+      ram_fil_coefs_mosi => ram_coefs_mosi,
+      ram_fil_coefs_miso => ram_coefs_miso,
+      ram_st_sst_mosi    => ram_sst_mosi,
+      ram_st_sst_miso    => ram_sst_miso,
+      reg_bg_ctrl_mosi   => reg_diag_bg_dut_mosi,
+      reg_bg_ctrl_miso   => reg_diag_bg_dut_miso,
+      ram_bg_data_mosi   => ram_diag_bg_dut_mosi,
+      ram_bg_data_miso   => ram_diag_bg_dut_miso,
+      in_sosi_arr        => in_sosi_arr,
+      out_sosi_arr       => result_sosi_arr
+    );
 
   ---------------------------------------------------------------
   -- REARRANGE THE OUTPUT-DATA FOR VERIFICATION
diff --git a/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_dev.vhd b/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_dev.vhd
index 304a6c6a5c..df52830996 100644
--- a/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_dev.vhd
+++ b/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_dev.vhd
@@ -50,24 +50,24 @@
 
 
 library IEEE, common_lib, mm_lib, diag_lib, dp_lib, rTwoSDF_lib, fft_lib, filter_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.math_real.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use rTwoSDF_lib.twiddlesPkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.tb_fft_pkg.all;
-use fft_lib.fft_pkg.all;
-use filter_lib.fil_pkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.math_real.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use rTwoSDF_lib.twiddlesPkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.tb_fft_pkg.all;
+  use fft_lib.fft_pkg.all;
+  use filter_lib.fil_pkg.all;
+  use work.wpfb_pkg.all;
 
 
 entity tb_wpfb_unit_dev is
@@ -89,45 +89,67 @@ end tb_wpfb_unit_dev;
 
 architecture tb of tb_wpfb_unit_dev is
 
-    constant c_wpfb : t_wpfb  := (g_wb_factor, g_nof_points, g_nof_chan, g_nof_wb_streams,
-                                  g_nof_taps, 0, g_in_dat_w, 16, 16,
-                                  true, false, g_use_separate, 16, g_out_dat_w, 0, 18, 2, true, 56, 2, 20,
-                                  c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
-
-    --  type t_wpfb is record
-    --  -- General parameters for the wideband poly phase filter
-    --  wb_factor         : natural;        -- = default 4, wideband factor
-    --  nof_points        : natural;        -- = 1024, N point FFT (Also the number of subbands for the filetr part)
-    --  nof_chan          : natural;        -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
-    --  nof_wb_streams    : natural;        -- = 1, the number of parallel wideband streams. The filter coefficients are shared on every stream.
-    --
-    --  -- Parameters for the poly phase filter
-    --  nof_taps          : natural;        -- = 16, the number of FIR taps per subband
-    --  fil_backoff_w     : natural;        -- = 0, number of bits for input backoff to avoid output overflow
-    --  fil_in_dat_w      : natural;        -- = 8, number of input bits
-    --  fil_out_dat_w     : natural;        -- = 16, number of output bits
-    --  coef_dat_w        : natural;        -- = 16, data width of the FIR coefficients
-    --
-    --  -- Parameters for the FFT
-    --  use_reorder       : boolean;        -- = false for bit-reversed output, true for normal output
-    --  use_fft_shift     : boolean;        -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
-    --  use_separate      : boolean;        -- = false for complex input, true for two real inputs
-    --  fft_in_dat_w      : natural;        -- = 16, number of input bits
-    --  fft_out_dat_w     : natural;        -- = 13, number of output bits
-    --  fft_out_gain_w    : natural;        -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
-    --  stage_dat_w       : natural;        -- = 18, number of bits that are used inter-stage
-    --
-    --  -- Parameters for the statistics
-    --  stat_data_w       : positive;       -- = 56
-    --  stat_data_sz      : positive;       -- = 2
-    --  nof_blk_per_sync  : natural;        -- = 800000, number of FFT output blocks per sync interval
-    --
-    --  -- Pipeline parameters for both poly phase filter and FFT. These are heritaged from the filter and fft libraries.
-    --  pft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the pipelined FFT
-    --  fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
-    --  fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
-    --
-    --  end record;
+  constant c_wpfb : t_wpfb  := (
+    g_wb_factor,
+    g_nof_points,
+    g_nof_chan,
+    g_nof_wb_streams,
+    g_nof_taps,
+    0,
+    g_in_dat_w,
+    16,
+    16,
+    true,
+    false,
+    g_use_separate,
+    16,
+    g_out_dat_w,
+    0,
+    18,
+    2,
+    true,
+    56,
+    2,
+    20,
+    c_fft_pipeline,
+    c_fft_pipeline,
+    c_fil_ppf_pipeline
+  );
+
+  --  type t_wpfb is record
+  --  -- General parameters for the wideband poly phase filter
+  --  wb_factor         : natural;        -- = default 4, wideband factor
+  --  nof_points        : natural;        -- = 1024, N point FFT (Also the number of subbands for the filetr part)
+  --  nof_chan          : natural;        -- = default 0, defines the number of channels (=time-multiplexed input signals): nof channels = 2**nof_chan
+  --  nof_wb_streams    : natural;        -- = 1, the number of parallel wideband streams. The filter coefficients are shared on every stream.
+  --
+  --  -- Parameters for the poly phase filter
+  --  nof_taps          : natural;        -- = 16, the number of FIR taps per subband
+  --  fil_backoff_w     : natural;        -- = 0, number of bits for input backoff to avoid output overflow
+  --  fil_in_dat_w      : natural;        -- = 8, number of input bits
+  --  fil_out_dat_w     : natural;        -- = 16, number of output bits
+  --  coef_dat_w        : natural;        -- = 16, data width of the FIR coefficients
+  --
+  --  -- Parameters for the FFT
+  --  use_reorder       : boolean;        -- = false for bit-reversed output, true for normal output
+  --  use_fft_shift     : boolean;        -- = false for [0, pos, neg] bin frequencies order, true for [neg, 0, pos] bin frequencies order in case of complex input
+  --  use_separate      : boolean;        -- = false for complex input, true for two real inputs
+  --  fft_in_dat_w      : natural;        -- = 16, number of input bits
+  --  fft_out_dat_w     : natural;        -- = 13, number of output bits
+  --  fft_out_gain_w    : natural;        -- = 0, output gain factor applied after the last stage output, before requantization to out_dat_w
+  --  stage_dat_w       : natural;        -- = 18, number of bits that are used inter-stage
+  --
+  --  -- Parameters for the statistics
+  --  stat_data_w       : positive;       -- = 56
+  --  stat_data_sz      : positive;       -- = 2
+  --  nof_blk_per_sync  : natural;        -- = 800000, number of FFT output blocks per sync interval
+  --
+  --  -- Pipeline parameters for both poly phase filter and FFT. These are heritaged from the filter and fft libraries.
+  --  pft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the pipelined FFT
+  --  fft_pipeline      : t_fft_pipeline;     -- Pipeline settings for the parallel FFT
+  --  fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units
+  --
+  --  end record;
 
   ----------------------------------------------------------------------------
   -- Clocks and resets
@@ -222,7 +244,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -232,107 +254,107 @@ begin
   -- MM buses
   ----------------------------------------------------------------------------
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_fil_coefs        : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_FIL_COEFS")
-                                           port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso);
+    port map(mm_rst, mm_clk, ram_fil_coefs_mosi, ram_fil_coefs_miso);
 
   u_mm_file_ram_st_sst           : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_ST_SST")
-                                           port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
+    port map(mm_rst, mm_clk, ram_st_sst_mosi, ram_st_sst_miso);
 
   u_mm_file_reg_diag_pfb_bg      : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "REG_DIAG_BG_PFB")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_pfb_mosi, reg_diag_bg_pfb_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_pfb_mosi, reg_diag_bg_pfb_miso);
 
   u_mm_file_ram_diag_pfb_bg      : mm_file generic map(mmf_unb_file_prefix(0, 0, "BN") & "RAM_DIAG_BG_PFB")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_pfb_mosi, ram_diag_bg_pfb_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_pfb_mosi, ram_diag_bg_pfb_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_nof_complex * c_wpfb.fil_in_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    en_sync          => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_nof_complex * c_wpfb.fil_in_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,  -- Waveform buffer size 2**g_buf_addr_w nof samples
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      en_sync          => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Source: DUT input scope
   ----------------------------------------------------------------------------
   gen_input_scopes : for I in 0 to c_wpfb.nof_wb_streams - 1 generate
     u_in_scope : entity dp_lib.dp_wideband_wb_arr_scope
-    generic map (
-      g_sim                 => true,
-      g_wideband_factor     => c_wpfb.wb_factor,
-      g_wideband_big_endian => false,
-      g_dat_w               => c_wpfb.fil_in_dat_w
-    )
-    port map (
-      SCLK         => SCLK,
-      wb_sosi_arr  => bg_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
-      scope_sosi   => scope_in_sosi(I)
-    );
+      generic map (
+        g_sim                 => true,
+        g_wideband_factor     => c_wpfb.wb_factor,
+        g_wideband_big_endian => false,
+        g_dat_w               => c_wpfb.fil_in_dat_w
+      )
+      port map (
+        SCLK         => SCLK,
+        wb_sosi_arr  => bg_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
+        scope_sosi   => scope_in_sosi(I)
+      );
   end generate;
   ----------------------------------------------------------------------------
   -- DUT = Device Under Test
   ----------------------------------------------------------------------------
   u_dut : entity work.wpfb_unit_dev
-  generic map(
-    g_wpfb              => c_wpfb,
-    g_use_bg            => g_use_bg,
-    g_use_prefilter     => g_use_prefilter,
-    g_coefs_file_prefix => c_coefs_file_prefix
-  )
-  port map(
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    ram_fil_coefs_mosi => ram_fil_coefs_mosi,
-    ram_fil_coefs_miso => ram_fil_coefs_miso,
-    ram_st_sst_mosi    => ram_st_sst_mosi,
-    ram_st_sst_miso    => ram_st_sst_miso,
-    reg_bg_ctrl_mosi   => reg_diag_bg_pfb_mosi,
-    reg_bg_ctrl_miso   => reg_diag_bg_pfb_miso,
-    ram_bg_data_mosi   => ram_diag_bg_pfb_mosi,
-    ram_bg_data_miso   => ram_diag_bg_pfb_miso,
-    in_sosi_arr        => bg_sosi_arr,
-    out_quant_sosi_arr => out_sosi_arr,
-    out_raw_sosi_arr   => open
-  );
+    generic map(
+      g_wpfb              => c_wpfb,
+      g_use_bg            => g_use_bg,
+      g_use_prefilter     => g_use_prefilter,
+      g_coefs_file_prefix => c_coefs_file_prefix
+    )
+    port map(
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      ram_fil_coefs_mosi => ram_fil_coefs_mosi,
+      ram_fil_coefs_miso => ram_fil_coefs_miso,
+      ram_st_sst_mosi    => ram_st_sst_mosi,
+      ram_st_sst_miso    => ram_st_sst_miso,
+      reg_bg_ctrl_mosi   => reg_diag_bg_pfb_mosi,
+      reg_bg_ctrl_miso   => reg_diag_bg_pfb_miso,
+      ram_bg_data_mosi   => ram_diag_bg_pfb_mosi,
+      ram_bg_data_miso   => ram_diag_bg_pfb_miso,
+      in_sosi_arr        => bg_sosi_arr,
+      out_quant_sosi_arr => out_sosi_arr,
+      out_raw_sosi_arr   => open
+    );
 
   time_map : process is
     variable sim_time_str_v : string(1 to 30);  -- 30 chars should be enough
@@ -351,17 +373,17 @@ begin
   ----------------------------------------------------------------------------
   gen_output_scopes : for I in 0 to c_wpfb.nof_wb_streams - 1 generate
     u_out_scope : entity dp_lib.dp_wideband_wb_arr_scope
-    generic map (
-      g_sim                 => true,
-      g_wideband_factor     => c_wpfb.wb_factor,
-      g_wideband_big_endian => false,
-      g_dat_w               => c_wpfb.fft_out_dat_w
-    )
-    port map (
-      SCLK         => SCLK,
-      wb_sosi_arr  => out_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
-      scope_sosi   => scope_out_sosi(I)
-    );
+      generic map (
+        g_sim                 => true,
+        g_wideband_factor     => c_wpfb.wb_factor,
+        g_wideband_big_endian => false,
+        g_dat_w               => c_wpfb.fft_out_dat_w
+      )
+      port map (
+        SCLK         => SCLK,
+        wb_sosi_arr  => out_sosi_arr((I + 1) * c_wpfb.wb_factor - 1 downto I * c_wpfb.wb_factor),
+        scope_sosi   => scope_out_sosi(I)
+      );
   end generate;
 
   p_scope_out_index : process(SCLK)
@@ -387,60 +409,60 @@ begin
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_type    => e_real,
-    g_data_w       => c_wpfb.fft_out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => true
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-
-    -- ST interface
-    in_sync           => out_sosi_arr(0).sync,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_type    => e_real,
+      g_data_w       => c_wpfb.fft_out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => true
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+
+      -- ST interface
+      in_sync           => out_sosi_arr(0).sync,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_type    => e_imag,
-    g_data_w       => c_wpfb.fft_out_dat_w,
-    g_buf_nof_data => c_bg_block_len,
-    g_buf_use_sync => true
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-
-    -- ST interface
-    in_sync           => out_sosi_arr(0).sync,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_type    => e_imag,
+      g_data_w       => c_wpfb.fft_out_dat_w,
+      g_buf_nof_data => c_bg_block_len,
+      g_buf_use_sync => true
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+
+      -- ST interface
+      in_sync           => out_sosi_arr(0).sync,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_wide.vhd b/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_wide.vhd
index ec8565ad40..f2d73a4794 100644
--- a/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_wide.vhd
+++ b/libraries/dsp/wpfb/tb/vhdl/tb_wpfb_unit_wide.vhd
@@ -47,21 +47,21 @@
 --     signals in the Wave window
 --
 library ieee, common_lib, dp_lib, filter_lib, rTwoSDF_lib, fft_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use IEEE.std_logic_textio.all;
-use std.textio.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use filter_lib.fil_pkg.all;
-use rTwoSDF_lib.rTwoSDFPkg.all;
-use fft_lib.fft_pkg.all;
-use fft_lib.tb_fft_pkg.all;
-use work.wpfb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use IEEE.std_logic_textio.all;
+  use std.textio.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use filter_lib.fil_pkg.all;
+  use rTwoSDF_lib.rTwoSDFPkg.all;
+  use fft_lib.fft_pkg.all;
+  use fft_lib.tb_fft_pkg.all;
+  use work.wpfb_pkg.all;
 
 entity tb_wpfb_unit_wide is
   generic(
@@ -108,9 +108,9 @@ entity tb_wpfb_unit_wide is
 
     -- TB generics
     g_diff_margin           : integer := 5;  -- maximum difference between HDL output and expected output (> 0 to allow minor rounding differences)
-                                             -- for complex  diff margin = 3 appears sufficient
-                                             -- for two_real diff margin = 5 appears sufficient
-                                             -- if stage_dat_w >> 18 >= fft_out_dat_w then g_diff_margin = 1 is sufficient
+    -- for complex  diff margin = 3 appears sufficient
+    -- for two_real diff margin = 5 appears sufficient
+    -- if stage_dat_w >> 18 >= fft_out_dat_w then g_diff_margin = 1 is sufficient
 
     -- PFIR coefficients
     g_coefs_file_prefix_ab    : string := "data/run_pfb_m_pfir_coeff_fircls1";
@@ -177,9 +177,9 @@ architecture tb of tb_wpfb_unit_wide is
 
   -- PFIR coefficients file access
   constant c_coefs_dat_file_prefix    : string  := sel_a_b(c_in_complex, g_coefs_file_prefix_c, g_coefs_file_prefix_ab) &
-                                                                         "_" & integer'image(g_wpfb.nof_taps) & "taps" &
-                                                                         "_" & integer'image(g_wpfb.nof_points) & "points" &
-                                                                         "_" & integer'image(g_wpfb.coef_dat_w) & "b";
+                                                   "_" & integer'image(g_wpfb.nof_taps) & "taps" &
+                                                   "_" & integer'image(g_wpfb.nof_points) & "points" &
+                                                   "_" & integer'image(g_wpfb.coef_dat_w) & "b";
   constant c_coefs_mif_file_prefix    : string  := c_coefs_dat_file_prefix & "_" & integer'image(g_wpfb.wb_factor) & "wb";
 
   -- input/output data width
@@ -423,28 +423,28 @@ begin
   in_sosi_val.valid <= in_val;
 
   u_ref_sosi_ctrl : entity dp_lib.dp_block_gen
-  generic map (
-    g_use_src_in         => false,  -- when true use src_in.ready else use snk_in.valid for flow control
-    g_nof_data           => c_nof_valid_per_block,  -- nof data per block
-    g_nof_blk_per_sync   => g_wpfb.nof_blk_per_sync,
-    g_empty              => 0,
-    g_channel            => 0,
-    g_error              => 0,
-    g_bsn                => 12,
-    g_preserve_sync      => false,
-    g_preserve_bsn       => false
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    -- Streaming sink
-    snk_in     => in_sosi_val,
-    -- Streaming source
-    src_in     => c_dp_siso_rdy,
-    src_out    => ref_sosi_ctrl,
-    -- MM control
-    en         => '1'
-  );
+    generic map (
+      g_use_src_in         => false,  -- when true use src_in.ready else use snk_in.valid for flow control
+      g_nof_data           => c_nof_valid_per_block,  -- nof data per block
+      g_nof_blk_per_sync   => g_wpfb.nof_blk_per_sync,
+      g_empty              => 0,
+      g_channel            => 0,
+      g_error              => 0,
+      g_bsn                => 12,
+      g_preserve_sync      => false,
+      g_preserve_bsn       => false
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      -- Streaming sink
+      snk_in     => in_sosi_val,
+      -- Streaming source
+      src_in     => c_dp_siso_rdy,
+      src_out    => ref_sosi_ctrl,
+      -- MM control
+      en         => '1'
+    );
 
   ref_re_arr <= in_re_arr when rising_edge(clk);
   ref_im_arr <= in_im_arr when rising_edge(clk);
@@ -463,32 +463,32 @@ begin
   end process;
 
   u_dut : entity work.wpfb_unit_dev
-  generic map (
-    g_big_endian_wb_in  => c_big_endian_wb_in,
-    g_wpfb              => g_wpfb,
-    g_use_prefilter     => true,
-    g_stats_ena         => true,
-    g_use_bg            => false,
-    g_coefs_file_prefix => c_coefs_mif_file_prefix
-  )
-  port map (
-    dp_rst             => rst,
-    dp_clk             => clk,
-    mm_rst             => rst,
-    mm_clk             => clk,
-    ram_fil_coefs_mosi => c_mem_mosi_rst,
-    ram_fil_coefs_miso => open,
-    ram_st_sst_mosi    => c_mem_mosi_rst,
-    ram_st_sst_miso    => open,
-    reg_bg_ctrl_mosi   => c_mem_mosi_rst,
-    reg_bg_ctrl_miso   => open,
-    ram_bg_data_mosi   => c_mem_mosi_rst,
-    ram_bg_data_miso   => open,
-    in_sosi_arr        => in_sosi_arr,
-    fil_sosi_arr       => fil_sosi_arr,
-    out_quant_sosi_arr => out_sosi_arr,
-    out_raw_sosi_arr   => open
-  );
+    generic map (
+      g_big_endian_wb_in  => c_big_endian_wb_in,
+      g_wpfb              => g_wpfb,
+      g_use_prefilter     => true,
+      g_stats_ena         => true,
+      g_use_bg            => false,
+      g_coefs_file_prefix => c_coefs_mif_file_prefix
+    )
+    port map (
+      dp_rst             => rst,
+      dp_clk             => clk,
+      mm_rst             => rst,
+      mm_clk             => clk,
+      ram_fil_coefs_mosi => c_mem_mosi_rst,
+      ram_fil_coefs_miso => open,
+      ram_st_sst_mosi    => c_mem_mosi_rst,
+      ram_st_sst_miso    => open,
+      reg_bg_ctrl_mosi   => c_mem_mosi_rst,
+      reg_bg_ctrl_miso   => open,
+      ram_bg_data_mosi   => c_mem_mosi_rst,
+      ram_bg_data_miso   => open,
+      in_sosi_arr        => in_sosi_arr,
+      fil_sosi_arr       => fil_sosi_arr,
+      out_quant_sosi_arr => out_sosi_arr,
+      out_raw_sosi_arr   => open
+    );
 
   p_fil_sosi_arr : process(fil_sosi_arr)
   begin
@@ -587,8 +587,8 @@ begin
       if not c_in_complex then
         if reg_out_channel = 1 then
           --if reg_out_val_a='1' then
-            assert out_re_a_scope = 0 report "Output data A real error in channel" severity error;
-            assert out_im_a_scope = 0 report "Output data A imag error in channel" severity error;
+          assert out_re_a_scope = 0 report "Output data A real error in channel" severity error;
+          assert out_im_a_scope = 0 report "Output data A imag error in channel" severity error;
           --end if;
           if reg_out_val_b = '1' then
             assert out_re_b_scope = 0 report "Output data B real error in channel" severity error;
@@ -596,8 +596,8 @@ begin
           end if;
         else
           --if reg_out_val_a='1' then
-            assert diff_re_a_scope >= -g_diff_margin and diff_re_a_scope <= g_diff_margin report "Output data A real error" severity error;
-            assert diff_im_a_scope >= -g_diff_margin and diff_im_a_scope <= g_diff_margin report "Output data A imag error" severity error;
+          assert diff_re_a_scope >= -g_diff_margin and diff_re_a_scope <= g_diff_margin report "Output data A real error" severity error;
+          assert diff_im_a_scope >= -g_diff_margin and diff_im_a_scope <= g_diff_margin report "Output data A imag error" severity error;
           --end if;
           if reg_out_val_b = '1' then
             assert diff_re_b_scope >= -g_diff_margin and diff_re_b_scope <= g_diff_margin report "Output data B real error" severity error;
@@ -680,129 +680,129 @@ begin
   end generate;
 
   u_in_re_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_in_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => in_re_data,
-    in_val    => in_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => in_re_scope,
-    out_val   => in_val_scope
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_in_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => in_re_data,
+      in_val    => in_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => in_re_scope,
+      out_val   => in_val_scope
+    );
 
   u_in_im_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_in_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => in_im_data,
-    in_val    => in_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => in_im_scope,
-    out_val   => open
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_in_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => in_im_data,
+      in_val    => in_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => in_im_scope,
+      out_val   => open
+    );
 
   u_fil_re_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_fil_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => fil_re_data,
-    in_val    => fil_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => fil_re_scope,
-    out_val   => fil_val_scope
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_fil_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => fil_re_data,
+      in_val    => fil_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => fil_re_scope,
+      out_val   => fil_val_scope
+    );
 
   u_fil_im_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_fil_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => fil_im_data,
-    in_val    => fil_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => fil_im_scope,
-    out_val   => open
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => true,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_fil_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => fil_im_data,
+      in_val    => fil_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => fil_im_scope,
+      out_val   => open
+    );
 
   u_out_re_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_out_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => out_re_data,
-    in_val    => out_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => out_re_scope,
-    out_val   => out_val_c
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_out_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => out_re_data,
+      in_val    => out_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => out_re_scope,
+      out_val   => out_val_c
+    );
 
   u_out_im_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
-    g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
-    g_dat_w               => c_out_dat_w  -- Actual width of the data samples
-  )
-  port map (
-    -- Sample clock
-    SCLK      => sclk,  -- sample clk, use only for simulation purposes
-
-    -- Streaming input data
-    in_data   => out_im_data,
-    in_val    => out_val,
-
-    -- Scope output samples
-    out_dat   => OPEN,
-    out_int   => out_im_scope,
-    out_val   => open
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => g_wpfb.wb_factor,  -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
+      g_wideband_big_endian => false,  -- When true in_data[3:0] = sample[t0,t1,t2,t3], else when false : in_data[3:0] = sample[t3,t2,t1,t0]
+      g_dat_w               => c_out_dat_w  -- Actual width of the data samples
+    )
+    port map (
+      -- Sample clock
+      SCLK      => sclk,  -- sample clk, use only for simulation purposes
+
+      -- Streaming input data
+      in_data   => out_im_data,
+      in_val    => out_val,
+
+      -- Scope output samples
+      out_dat   => OPEN,
+      out_int   => out_im_scope,
+      out_val   => open
+    );
 
 end tb;
diff --git a/libraries/io/aduh/src/vhdl/aduh_dd.vhd b/libraries/io/aduh/src/vhdl/aduh_dd.vhd
index 7bd60f4592..a1ec773e74 100644
--- a/libraries/io/aduh/src/vhdl/aduh_dd.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_dd.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 -- Purpose: ADUH = ADU Handler using DDIO (no PLL).
 --          Handles the LVDS Rx interface between a BN and two ADCs on one ADU.
@@ -116,7 +116,7 @@ architecture str of aduh_dd is
   constant c_rx_fifo_size        : natural := 32;  -- see common_fifo_dc_lock_control used in lvds_dd for comment
   constant c_rx_fifo_fill        : natural := 17;  -- see common_fifo_dc_lock_control used in lvds_dd for comment
   constant c_rx_fifo_margin      : natural := 2;  -- use +-2 because with fill level 10001b = 17 accept +-1 so 10000b = 16 and 10010b = 18,
-                                                   -- but also +2 for misvalue 10011b = 19 in case rd_usedw is not clocked in reliably
+  -- but also +2 for misvalue 10011b = 19 in case rd_usedw is not clocked in reliably
 
   signal ADC_BI_A_rewire     : std_logic_vector(ADC_BI_A'range);
   signal ADC_BI_B_rewire     : std_logic_vector(ADC_BI_B'range);
@@ -190,67 +190,67 @@ begin
 
   -- Connect ADU 0 via port A and B using only clock from A
   gen_lvdsh_dd : if c_use_lvdsh_dd_phs4 = false generate
-  ab_status <= (others => '0');
-  cd_status <= (others => '0');
-
-  u_ab : entity work.lvdsh_dd
-  generic map (
-    g_in_dat_w          => c_in_dat_w,
-    g_in_dat_delay_arr  => c_in_dat_delay_arr_ab,
-    g_in_clk_delay      => c_in_clk_delay_a,
-    g_in_clk_rst_invert => g_ai.clk_rst_invert,
-    g_rx_big_endian     => true,
-    g_rx_factor         => g_ai.rx_factor,
-    g_rx_fifo_size      => c_rx_fifo_size,
-    g_rx_fifo_fill      => c_rx_fifo_fill,
-    g_rx_fifo_margin    => c_rx_fifo_margin
-  )
-  port map (
-    -- PHY input interface
-    in_clk        => ADC_BI_A_CLK,
-    in_dat        => in_dat_ab,
-    in_clk_rst    => ADC_BI_A_CLK_RST,
-
-    -- DD --> Rx domain interface at in_clk rate or g_rx_factor lower rate (via FIFO)
-    rx_rst        => dp_rst,
-    rx_clk        => dp_clk,
-    rx_dat        => obin_dat_ab,  -- big endian rx_dat output for rx_factor = 2, samples AB at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
-    rx_val        => obin_val_ab,
-
-    rx_locked     => ab_locked,
-    rx_stable     => ab_stable,
-    rx_stable_ack => ab_stable_ack
-  );
+    ab_status <= (others => '0');
+    cd_status <= (others => '0');
+
+    u_ab : entity work.lvdsh_dd
+      generic map (
+        g_in_dat_w          => c_in_dat_w,
+        g_in_dat_delay_arr  => c_in_dat_delay_arr_ab,
+        g_in_clk_delay      => c_in_clk_delay_a,
+        g_in_clk_rst_invert => g_ai.clk_rst_invert,
+        g_rx_big_endian     => true,
+        g_rx_factor         => g_ai.rx_factor,
+        g_rx_fifo_size      => c_rx_fifo_size,
+        g_rx_fifo_fill      => c_rx_fifo_fill,
+        g_rx_fifo_margin    => c_rx_fifo_margin
+      )
+      port map (
+        -- PHY input interface
+        in_clk        => ADC_BI_A_CLK,
+        in_dat        => in_dat_ab,
+        in_clk_rst    => ADC_BI_A_CLK_RST,
+
+        -- DD --> Rx domain interface at in_clk rate or g_rx_factor lower rate (via FIFO)
+        rx_rst        => dp_rst,
+        rx_clk        => dp_clk,
+        rx_dat        => obin_dat_ab,  -- big endian rx_dat output for rx_factor = 2, samples AB at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
+        rx_val        => obin_val_ab,
+
+        rx_locked     => ab_locked,
+        rx_stable     => ab_stable,
+        rx_stable_ack => ab_stable_ack
+      );
 
-  -- Connect ADU 1 via port C and D using only clock from D
-  u_cd : entity work.lvdsh_dd
-  generic map (
-    g_in_dat_w          => c_in_dat_w,
-    g_in_dat_delay_arr  => c_in_dat_delay_arr_cd,
-    g_in_clk_delay      => c_in_clk_delay_d,
-    g_in_clk_rst_invert => g_ai.clk_rst_invert,
-    g_rx_big_endian     => true,
-    g_rx_factor         => g_ai.rx_factor,
-    g_rx_fifo_size      => c_rx_fifo_size,
-    g_rx_fifo_fill      => c_rx_fifo_fill,
-    g_rx_fifo_margin    => c_rx_fifo_margin
-  )
-  port map (
-    -- PHY input interface
-    in_clk        => ADC_BI_D_CLK,
-    in_dat        => in_dat_cd,
-    in_clk_rst    => ADC_BI_D_CLK_RST,
-
-    -- DD --> Rx domain interface at in_clk rate or g_rx_factor lower rate (via FIFO)
-    rx_rst        => dp_rst,
-    rx_clk        => dp_clk,
-    rx_dat        => obin_dat_cd,  -- big endian rx_dat output for rx_factor = 2, samples CD at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
-    rx_val        => obin_val_cd,
-
-    rx_locked     => cd_locked,
-    rx_stable     => cd_stable,
-    rx_stable_ack => cd_stable_ack
-  );
+    -- Connect ADU 1 via port C and D using only clock from D
+    u_cd : entity work.lvdsh_dd
+      generic map (
+        g_in_dat_w          => c_in_dat_w,
+        g_in_dat_delay_arr  => c_in_dat_delay_arr_cd,
+        g_in_clk_delay      => c_in_clk_delay_d,
+        g_in_clk_rst_invert => g_ai.clk_rst_invert,
+        g_rx_big_endian     => true,
+        g_rx_factor         => g_ai.rx_factor,
+        g_rx_fifo_size      => c_rx_fifo_size,
+        g_rx_fifo_fill      => c_rx_fifo_fill,
+        g_rx_fifo_margin    => c_rx_fifo_margin
+      )
+      port map (
+        -- PHY input interface
+        in_clk        => ADC_BI_D_CLK,
+        in_dat        => in_dat_cd,
+        in_clk_rst    => ADC_BI_D_CLK_RST,
+
+        -- DD --> Rx domain interface at in_clk rate or g_rx_factor lower rate (via FIFO)
+        rx_rst        => dp_rst,
+        rx_clk        => dp_clk,
+        rx_dat        => obin_dat_cd,  -- big endian rx_dat output for rx_factor = 2, samples CD at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
+        rx_val        => obin_val_cd,
+
+        rx_locked     => cd_locked,
+        rx_stable     => cd_stable,
+        rx_stable_ack => cd_stable_ack
+      );
   end generate;
 
   gen_lvdsh_dd_phs4 : if c_use_lvdsh_dd_phs4 = true generate
@@ -260,31 +260,31 @@ begin
     in_clk_ab_rst <= '0';  -- no ADC clk reset
 
     u_lvdsh_dd_phs4_ab : entity work.lvdsh_dd_phs4
-    generic map (
-      g_sim            => g_sim,
-      g_wb_factor      => c_dp_factor,  -- fixed wideband factor = 4
-      g_nof_dp_phs_clk => g_nof_dp_phs_clk,  -- nof dp_phs_clk that can be used to detect lock
-      g_in_dat_w       => c_in_dat_w  -- nof PHY data bits
-    )
-    port map (
-      -- PHY input interface
-      in_clk              => ADC_BI_A_CLK,
-      in_dat              => in_dat_ab,  -- input samples AB [t0], [t1], [t2], [t3], [t4], [t5], [t6], [t7], ... --> time
-
-      -- DD --> Rx domain interface at in_clk rate or g_wb_factor lower rate (via FIFO)
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      dp_phs_clk_vec      => dp_phs_clk_vec,
-      dp_phs_clk_en_vec   => ab_dp_phs_clk_en_vec,
-      dp_dat              => obin_dat_ab,  -- big endian output samples AB at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
-      dp_val              => obin_val_ab,
-
-      -- Rx status monitor
-      out_status          => ab_status,
-      out_word_locked     => ab_locked,
-      out_word_stable     => ab_stable,
-      out_word_stable_ack => ab_stable_ack
-    );
+      generic map (
+        g_sim            => g_sim,
+        g_wb_factor      => c_dp_factor,  -- fixed wideband factor = 4
+        g_nof_dp_phs_clk => g_nof_dp_phs_clk,  -- nof dp_phs_clk that can be used to detect lock
+        g_in_dat_w       => c_in_dat_w  -- nof PHY data bits
+      )
+      port map (
+        -- PHY input interface
+        in_clk              => ADC_BI_A_CLK,
+        in_dat              => in_dat_ab,  -- input samples AB [t0], [t1], [t2], [t3], [t4], [t5], [t6], [t7], ... --> time
+
+        -- DD --> Rx domain interface at in_clk rate or g_wb_factor lower rate (via FIFO)
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        dp_phs_clk_vec      => dp_phs_clk_vec,
+        dp_phs_clk_en_vec   => ab_dp_phs_clk_en_vec,
+        dp_dat              => obin_dat_ab,  -- big endian output samples AB at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
+        dp_val              => obin_val_ab,
+
+        -- Rx status monitor
+        out_status          => ab_status,
+        out_word_locked     => ab_locked,
+        out_word_stable     => ab_stable,
+        out_word_stable_ack => ab_stable_ack
+      );
 
     -- Connect ADU 1 via port C and D using only clock from D
     ADC_BI_D_CLK_RST <= in_clk_cd_rst when g_ai.clk_rst_invert = false else not in_clk_cd_rst;
@@ -292,31 +292,31 @@ begin
     in_clk_cd_rst <= '0';  -- no ADC clk reset
 
     u_lvdsh_dd_phs4_cd : entity work.lvdsh_dd_phs4
-    generic map (
-      g_sim            => g_sim,
-      g_wb_factor      => c_dp_factor,  -- fixed wideband factor = 4
-      g_nof_dp_phs_clk => g_nof_dp_phs_clk,  -- nof dp_phs_clk that can be used to detect lock
-      g_in_dat_w       => c_in_dat_w  -- nof PHY data bits
-    )
-    port map (
-      -- PHY input interface
-      in_clk              => ADC_BI_D_CLK,
-      in_dat              => in_dat_cd,  -- input samples CD [t0], [t1], [t2], [t3], [t4], [t5], [t6], [t7], ... --> time
-
-      -- DD --> Rx domain interface at in_clk rate or g_wb_factor=4 lower rate (via FIFO)
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      dp_phs_clk_vec      => dp_phs_clk_vec,
-      dp_phs_clk_en_vec   => cd_dp_phs_clk_en_vec,
-      dp_dat              => obin_dat_cd,  -- big endian output samples CD at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
-      dp_val              => obin_val_cd,
-
-      -- Rx status monitor
-      out_status          => cd_status,
-      out_word_locked     => cd_locked,
-      out_word_stable     => cd_stable,
-      out_word_stable_ack => cd_stable_ack
-    );
+      generic map (
+        g_sim            => g_sim,
+        g_wb_factor      => c_dp_factor,  -- fixed wideband factor = 4
+        g_nof_dp_phs_clk => g_nof_dp_phs_clk,  -- nof dp_phs_clk that can be used to detect lock
+        g_in_dat_w       => c_in_dat_w  -- nof PHY data bits
+      )
+      port map (
+        -- PHY input interface
+        in_clk              => ADC_BI_D_CLK,
+        in_dat              => in_dat_cd,  -- input samples CD [t0], [t1], [t2], [t3], [t4], [t5], [t6], [t7], ... --> time
+
+        -- DD --> Rx domain interface at in_clk rate or g_wb_factor=4 lower rate (via FIFO)
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        dp_phs_clk_vec      => dp_phs_clk_vec,
+        dp_phs_clk_en_vec   => cd_dp_phs_clk_en_vec,
+        dp_dat              => obin_dat_cd,  -- big endian output samples CD at [t0, t1, t2, t3], [t4, t5, t6, t7], ...
+        dp_val              => obin_val_cd,
+
+        -- Rx status monitor
+        out_status          => cd_status,
+        out_word_locked     => cd_locked,
+        out_word_stable     => cd_stable,
+        out_word_stable_ack => cd_stable_ack
+      );
   end generate;
 
 
diff --git a/libraries/io/aduh/src/vhdl/aduh_dd_pkg.vhd b/libraries/io/aduh/src/vhdl/aduh_dd_pkg.vhd
index 18b049792f..e6bc81bae2 100644
--- a/libraries/io/aduh/src/vhdl/aduh_dd_pkg.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_dd_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 package aduh_dd_pkg is
 
@@ -41,12 +41,12 @@ package aduh_dd_pkg is
   type t_c_aduh_dd_ai is record
     nof_sp         : natural;  -- = 4;     -- Fixed support 4 signal paths A,B,C,D, whether they contain active data depends on nof_adu
     nof_adu        : natural;  -- = 2;     -- When 2 ADUs then use all 4 ports A,B,C,D, one ADU on ports A,B and one ADU on ports C,D,
-                                           -- when 1 ADU then only use ports C,D
+    -- when 1 ADU then only use ports C,D
     nof_ports      : natural;  -- = 2;     -- Fixed 2 ADC BI ports per ADU
     port_w         : natural;  -- = 8;     -- Fixed 8 bit ADC BI port width, the ADC sample width is also 8 bit
     dd_factor      : natural;  -- = 2;     -- Fixed double data rate factor for lvds data (800 MSps) and lvds clock (400 MHz)
     rx_factor      : natural;  -- = 2;     -- when 1 then the data path processing clock frequency is 400 MHz (= lvds clock / 1)
-                                           -- when 2 then the data path processing clock frequency is 200 MHz (= lvds clock / 2)
+    -- when 2 then the data path processing clock frequency is 200 MHz (= lvds clock / 2)
     clk_rst_enable : boolean;  -- = TRUE;  -- default TRUE for initial DCLK_RST pulse to control the ADC DCLK phase, else FALSE for no DCLK_RST pulse
     clk_rst_invert : boolean;  -- = FALSE; -- default FALSE because DCLK_RST pulse on ADC is active high, use TRUE for active low pulse to compensate for P/N cross
     deskew         : t_c_aduh_delays;  -- Input de-skew buffer delays
diff --git a/libraries/io/aduh/src/vhdl/aduh_mean_sum.vhd b/libraries/io/aduh/src/vhdl/aduh_mean_sum.vhd
index 75b31ed537..a8263c93cb 100644
--- a/libraries/io/aduh/src/vhdl/aduh_mean_sum.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_mean_sum.vhd
@@ -28,8 +28,8 @@
 --   sum_sync and held until the next sum_sync.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 
 entity aduh_mean_sum is
@@ -95,17 +95,17 @@ begin
     symbol_arr(I) <= in_data((g_nof_symbols_per_data - I) * g_symbol_w - 1 downto (g_nof_symbols_per_data - I - 1) * g_symbol_w);  -- put big endian MS part t0 at array index 0
 
     u_acc : entity common_lib.common_accumulate
-    generic map (
-      g_representation => "SIGNED"
-    )
-    port map(
-      rst     => rst,
-      clk     => clk,
-      sload   => in_sync,  -- Reload the accumlators with 0 at the sync or with the valid sample after the sync
-      in_val  => in_val,
-      in_dat  => symbol_arr(I),
-      out_dat => acc_arr(I)
-    );
+      generic map (
+        g_representation => "SIGNED"
+      )
+      port map(
+        rst     => rst,
+        clk     => clk,
+        sload   => in_sync,  -- Reload the accumlators with 0 at the sync or with the valid sample after the sync
+        in_val  => in_val,
+        in_dat  => symbol_arr(I),
+        out_dat => acc_arr(I)
+      );
 
     acc_vec((g_nof_symbols_per_data - I) * c_acc_w - 1 downto (g_nof_symbols_per_data - I - 1) * c_acc_w) <= acc_arr(I);  -- put array index 0 at big endian MS part t0
   end generate;
@@ -118,30 +118,30 @@ begin
 
   gen_tree : if g_nof_symbols_per_data > 1 generate
     u_sum : entity common_lib.common_adder_tree
-    generic map (
-      g_representation => "SIGNED",
-      g_pipeline       => c_acc_sum_pipeline,  -- amount of pipelining per stage
-      g_nof_inputs     => g_nof_symbols_per_data,  -- >= 1, nof stages = ceil_log2(g_nof_inputs)
-      g_dat_w          => c_acc_w,
-      g_sum_w          => c_acc_sum_w
-    )
-    port map (
-      clk    => clk,
-      in_dat => acc_vec,
-      sum    => acc_sum
-    );
+      generic map (
+        g_representation => "SIGNED",
+        g_pipeline       => c_acc_sum_pipeline,  -- amount of pipelining per stage
+        g_nof_inputs     => g_nof_symbols_per_data,  -- >= 1, nof stages = ceil_log2(g_nof_inputs)
+        g_dat_w          => c_acc_w,
+        g_sum_w          => c_acc_sum_w
+      )
+      port map (
+        clk    => clk,
+        in_dat => acc_vec,
+        sum    => acc_sum
+      );
 
     u_in_sync_p : entity common_lib.common_pipeline_sl
-    generic map (
-      g_pipeline    => c_acc_sum_nof_stages * c_acc_sum_pipeline,  -- latency of common_adder_tree
-      g_reset_value => 0
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      in_dat  => in_sync,
-      out_dat => in_sync_p
-    );
+      generic map (
+        g_pipeline    => c_acc_sum_nof_stages * c_acc_sum_pipeline,  -- latency of common_adder_tree
+        g_reset_value => 0
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        in_dat  => in_sync,
+        out_dat => in_sync_p
+      );
 
     -- Capture the current accumulator values at the reload (taking account of the latency of common_adder_tree)
     nxt_sum      <= truncate_or_resize_svec(acc_sum, g_sum_truncate, g_sum_w) when in_sync_p = '1' else i_sum;
diff --git a/libraries/io/aduh/src/vhdl/aduh_monitor.vhd b/libraries/io/aduh/src/vhdl/aduh_monitor.vhd
index 3f9d873d80..e0a2b40a62 100644
--- a/libraries/io/aduh/src/vhdl/aduh_monitor.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_monitor.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose : Monitor ADC statistics for the 4 ADCs on ADU
 -- Description :
@@ -83,70 +83,70 @@ architecture str of aduh_monitor is
 begin
 
   u_mean : entity work.aduh_mean_sum
-  generic map (
-    g_symbol_w             => g_symbol_w,
-    g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-    g_nof_accumulations    => g_nof_accumulations,  -- integration time in symbols
-    g_sum_truncate         => false,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
-    g_sum_w                => c_stat_w  -- typcially MM word width = 32
-  )
-  port map (
-    clk         => st_clk,
-    rst         => st_rst,
-
-    -- Streaming inputs
-    in_data     => in_sosi.data(c_data_w - 1 downto 0),
-    in_val      => in_sosi.valid,
-    in_sync     => in_sosi.sync,
-
-    -- Accumulation outputs
-    sum         => stat_mean_sum,
-    sum_sync    => open
-  );
+    generic map (
+      g_symbol_w             => g_symbol_w,
+      g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+      g_nof_accumulations    => g_nof_accumulations,  -- integration time in symbols
+      g_sum_truncate         => false,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
+      g_sum_w                => c_stat_w  -- typcially MM word width = 32
+    )
+    port map (
+      clk         => st_clk,
+      rst         => st_rst,
+
+      -- Streaming inputs
+      in_data     => in_sosi.data(c_data_w - 1 downto 0),
+      in_val      => in_sosi.valid,
+      in_sync     => in_sosi.sync,
+
+      -- Accumulation outputs
+      sum         => stat_mean_sum,
+      sum_sync    => open
+    );
 
   u_power : entity work.aduh_power_sum
-  generic map (
-    g_symbol_w             => g_symbol_w,
-    g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-    g_nof_accumulations    => g_nof_accumulations,  -- integration time in symbols
-    g_pwr_sum_truncate     => false,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
-    g_pwr_sum_w            => c_stat_w  -- typcially MM word width = 32
-  )
-  port map (
-    clk          => st_clk,
-    rst          => st_rst,
-
-    -- Streaming inputs
-    in_data      => in_sosi.data(c_data_w - 1 downto 0),
-    in_val       => in_sosi.valid,
-    in_sync      => in_sosi.sync,
-
-    -- Accumulation outputs
-    pwr_sum      => stat_pwr_sum,
-    pwr_sum_sync => stat_sync
-  );
+    generic map (
+      g_symbol_w             => g_symbol_w,
+      g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+      g_nof_accumulations    => g_nof_accumulations,  -- integration time in symbols
+      g_pwr_sum_truncate     => false,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
+      g_pwr_sum_w            => c_stat_w  -- typcially MM word width = 32
+    )
+    port map (
+      clk          => st_clk,
+      rst          => st_rst,
+
+      -- Streaming inputs
+      in_data      => in_sosi.data(c_data_w - 1 downto 0),
+      in_val       => in_sosi.valid,
+      in_sync      => in_sosi.sync,
+
+      -- Accumulation outputs
+      pwr_sum      => stat_pwr_sum,
+      pwr_sum_sync => stat_sync
+    );
 
   u_data_mon: entity diag_lib.diag_data_buffer
-  generic map (
-    g_data_w      => c_data_w,  -- <= c_word_w = 32b, the MM word width
-    g_nof_data    => c_buffer_nof_data,
-    g_use_in_sync => g_buffer_use_sync  -- when TRUE start filling the buffer after the in_sync, else after the last word was read
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    ram_mm_mosi => buf_mosi,  -- read and overwrite access to the data buffer
-    ram_mm_miso => buf_miso,
-
-    -- Streaming clock domain
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    in_data     => in_sosi.data(c_data_w - 1 downto 0),
-    in_sync     => in_sosi.sync,
-    in_val      => in_sosi.valid
-  );
+    generic map (
+      g_data_w      => c_data_w,  -- <= c_word_w = 32b, the MM word width
+      g_nof_data    => c_buffer_nof_data,
+      g_use_in_sync => g_buffer_use_sync  -- when TRUE start filling the buffer after the in_sync, else after the last word was read
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      ram_mm_mosi => buf_mosi,  -- read and overwrite access to the data buffer
+      ram_mm_miso => buf_miso,
+
+      -- Streaming clock domain
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      in_data     => in_sosi.data(c_data_w - 1 downto 0),
+      in_sync     => in_sosi.sync,
+      in_val      => in_sosi.valid
+    );
 
 end str;
diff --git a/libraries/io/aduh/src/vhdl/aduh_monitor_reg.vhd b/libraries/io/aduh/src/vhdl/aduh_monitor_reg.vhd
index 98460f8982..8c8f6079dd 100644
--- a/libraries/io/aduh/src/vhdl/aduh_monitor_reg.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_monitor_reg.vhd
@@ -37,9 +37,9 @@
 --   st_mon_sync pulses.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity aduh_monitor_reg is
   generic (
@@ -67,11 +67,13 @@ end aduh_monitor_reg;
 architecture rtl of aduh_monitor_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => 2,
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 2**2,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => 2,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 2**2,
+    init_sl  => '0'
+  );
 
   -- Registers in mm_clk domain
   signal mm_mon_mean_sum          : std_logic_vector(c_longword_w - 1 downto 0);
@@ -79,7 +81,7 @@ architecture rtl of aduh_monitor_reg is
   signal mm_mon_power_sum         : std_logic_vector(c_longword_w - 1 downto 0);
   signal mm_mon_power_sum_hi      : std_logic_vector(c_word_w - 1 downto 0);
 
-  -- Registers in st_clk domain
+-- Registers in st_clk domain
 
 begin
 
@@ -104,7 +106,7 @@ begin
 
       -- Write access: set register value
       if sla_in.wr = '1' then
-        -- no write registers
+      -- no write registers
 
       -- Read access: get register value
       elsif sla_in.rd = '1' then
@@ -161,36 +163,36 @@ begin
 
   gen_cross : if g_cross_clock_domain = true generate
     u_mean_sum : entity common_lib.common_reg_cross_domain
-    generic map (
-      g_in_new_latency => 0
-    )
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_new      => st_mon_sync,  -- when '1' then new in_dat is available after g_in_new_latency
-      in_dat      => st_mon_mean_sum,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_mean_sum,
-      out_new     => open  -- when '1' then the out_dat was updated with in_dat due to in_new
-    );
+      generic map (
+        g_in_new_latency => 0
+      )
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_new      => st_mon_sync,  -- when '1' then new in_dat is available after g_in_new_latency
+        in_dat      => st_mon_mean_sum,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_mean_sum,
+        out_new     => open  -- when '1' then the out_dat was updated with in_dat due to in_new
+      );
 
     u_pwr_sum : entity common_lib.common_reg_cross_domain
-    generic map (
-      g_in_new_latency => 0
-    )
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_new      => st_mon_sync,  -- when '1' then new in_dat is available after g_in_new_latency
-      in_dat      => st_mon_power_sum,
-      in_done     => OPEN,  -- pulses when no more pending in_new
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_mon_power_sum,
-      out_new     => open  -- when '1' then the out_dat was updated with in_dat due to in_new
-    );
+      generic map (
+        g_in_new_latency => 0
+      )
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_new      => st_mon_sync,  -- when '1' then new in_dat is available after g_in_new_latency
+        in_dat      => st_mon_power_sum,
+        in_done     => OPEN,  -- pulses when no more pending in_new
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_mon_power_sum,
+        out_new     => open  -- when '1' then the out_dat was updated with in_dat due to in_new
+      );
   end generate;  -- gen_cross
 
 end rtl;
diff --git a/libraries/io/aduh/src/vhdl/aduh_pll.vhd b/libraries/io/aduh/src/vhdl/aduh_pll.vhd
index ba6fa3ed17..343714168a 100644
--- a/libraries/io/aduh/src/vhdl/aduh_pll.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_pll.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_pll_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_pll_pkg.all;
 
 -- Purpose: ADUH = ADU Handler using a PLL. Handles the LVDS Rx interface
 --          between a BN and the corresponding ADCs on one or two ADUs
@@ -99,13 +99,13 @@ entity aduh_pll is
 
     -- . Control
     restart          : in    std_logic_vector(g_ai.nof_clocks - 1 downto 0);  -- [  0] = [       ADU_CD] Shared   restart control for ADU-CD (nof_adu=1) or both ADU-AB and ADU-CD (nof_adu=2)
-                                                                            -- [1:0] = [ADU_AB ADU_CD] Seperate restart control for per ADU (nof_adu=2)
+    -- [1:0] = [ADU_AB ADU_CD] Seperate restart control for per ADU (nof_adu=2)
     delay_settings   : in    t_natural_arr(func_aduh_pll_lvds_dat_w(g_ai) - 1 downto 0) := (others => 0);  -- [ovrAB, portA, portB, ovrCD, portC, portD] or [ovrCD, portC, portD] : IOE data delay settings when g_use_dpa = FALSE
     cda_settings     : in    t_natural_arr(func_aduh_pll_lvds_dat_w(g_ai) - 1 downto 0) := (others => 0);  -- [ovrAB, portA, portB, ovrCD, portC, portD] or [ovrCD, portC, portD] : channel data alignment settings
 
     -- . Streaming
     src_out          : out   t_dp_sosi_arr(0 to g_ai.nof_sp - 1)  -- = [0:3] = ADC_BI ports [A,B,C,D] when nof_adu=2,
-                                                                -- = [0:3] = ADC_BI ports [0,0,C,D] when nof_adu=1
+  -- = [0:3] = ADC_BI ports [0,0,C,D] when nof_adu=1
   );
 end aduh_pll;
 
@@ -117,7 +117,7 @@ architecture str of aduh_pll is
   -----------------------------------------------------------------------------
 
   constant c_lvds_clk_freq       : natural := g_ai.lvds_data_rate / g_ai.lvds_deser_factor;  -- 400 MHz, when lvds_clk from the adc08d1020 ADC on ADU provides the DDR of the sample clock
-                                                                                            -- 200 MHz, when lvdsh_clk is connected to the dp_clk
+  -- 200 MHz, when lvdsh_clk is connected to the dp_clk
   -- Treat the LVDS input interface per reference clock, so use 1 or 2 lvdsh_pll
   constant c_lvdsh_dat_w         : natural := func_aduh_pll_lvdsh_dat_w(g_ai);  -- lvdsh_dat_w = lvds_dat_w / g_ai.nof_clocks
   constant c_rcvdh_dat_w         : natural := c_lvdsh_dat_w * g_ai.dp_deser_factor;
@@ -213,33 +213,33 @@ begin
 
   gen_clk : for I in g_ai.nof_clocks - 1 downto 0 generate
     u_lvdsh_pll : entity work.lvdsh_pll
-    generic map (
-      g_lvds_w           => c_lvdsh_dat_w,
-      g_lvds_data_rate   => g_ai.lvds_data_rate,
-      g_lvds_clk_freq    => c_lvds_clk_freq,
-      g_lvds_clk_phase   => g_ai.lvds_clk_phase,
-      g_use_lvds_clk_rst => g_ai.use_lvds_clk_rst,
-      g_deser_factor     => g_ai.dp_deser_factor,
-      g_use_dpa          => g_ai.use_dpa
-    )
-    port map (
-      -- PHY LVDS Interface
-      lvds_clk_rst      => lvdsh_clk_rst(I),
-      lvds_clk          => lvdsh_clk(I),  -- the lvdsh_clk frequency is c_lvds_clk_freq
-      lvds_dat          => lvdsh_dat(I),
-
-      -- DP Streaming Interface
-      dp_clk            => dp_clk,  -- the dp_clk frequency is g_lvds_data_rate / g_dp_deser_factor
-
-      -- . Control
-      dp_lvds_reset     => restart(I),
-      dp_delay_settings => delay_settings((I + 1) * c_lvdsh_dat_w - 1 downto I * c_lvdsh_dat_w),
-      dp_cda_settings   => cda_settings((I + 1) * c_lvdsh_dat_w - 1 downto I * c_lvdsh_dat_w),
-
-      -- . Streaming
-      dp_dat            => rcvdh_dat(I),
-      dp_val            => rcvdh_val(I)
-    );
+      generic map (
+        g_lvds_w           => c_lvdsh_dat_w,
+        g_lvds_data_rate   => g_ai.lvds_data_rate,
+        g_lvds_clk_freq    => c_lvds_clk_freq,
+        g_lvds_clk_phase   => g_ai.lvds_clk_phase,
+        g_use_lvds_clk_rst => g_ai.use_lvds_clk_rst,
+        g_deser_factor     => g_ai.dp_deser_factor,
+        g_use_dpa          => g_ai.use_dpa
+      )
+      port map (
+        -- PHY LVDS Interface
+        lvds_clk_rst      => lvdsh_clk_rst(I),
+        lvds_clk          => lvdsh_clk(I),  -- the lvdsh_clk frequency is c_lvds_clk_freq
+        lvds_dat          => lvdsh_dat(I),
+
+        -- DP Streaming Interface
+        dp_clk            => dp_clk,  -- the dp_clk frequency is g_lvds_data_rate / g_dp_deser_factor
+
+        -- . Control
+        dp_lvds_reset     => restart(I),
+        dp_delay_settings => delay_settings((I + 1) * c_lvdsh_dat_w - 1 downto I * c_lvdsh_dat_w),
+        dp_cda_settings   => cda_settings((I + 1) * c_lvdsh_dat_w - 1 downto I * c_lvdsh_dat_w),
+
+        -- . Streaming
+        dp_dat            => rcvdh_dat(I),
+        dp_val            => rcvdh_val(I)
+      );
   end generate;  -- gen_clk
 
   -----------------------------------------------------------------------------
diff --git a/libraries/io/aduh/src/vhdl/aduh_pll_pkg.vhd b/libraries/io/aduh/src/vhdl/aduh_pll_pkg.vhd
index ea281c13ec..a394abfb41 100644
--- a/libraries/io/aduh/src/vhdl/aduh_pll_pkg.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_pll_pkg.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 package aduh_pll_pkg is
 
@@ -29,7 +29,7 @@ package aduh_pll_pkg is
   type t_c_aduh_pll_ai is record
     nof_sp            : natural;  -- = 4;     -- Fixed support 4 signal paths A,B,C,D, whether they contain active data depends on nof_adu
     nof_adu           : natural;  -- = 2;     -- When 2 ADUs then use all 4 ports A,B,C,D, one ADU on ports A,B and one ADU on ports C,D,
-                                              -- when 1 ADU then only use ports C,D
+    -- when 1 ADU then only use ports C,D
     nof_ports         : natural;  -- = 2;     -- Fixed 2 ADC BI ports per ADU
     port_w            : natural;  -- = 8;     -- Fixed 8 bit ADC BI port width, the ADC sample width is also 8 bit
     nof_ovr           : natural;  -- = 0;     -- There is 1 overflow bit per ADU, use 0 to ignore the overflow input
@@ -39,25 +39,25 @@ package aduh_pll_pkg is
     use_lvds_clk_rst  : boolean;  -- = FALSE; -- When TRUE then support reset pulse to ADU to align the lvds_clk to the dp_clk, else no support
     lvds_clk_phase    : natural;  -- = 0;     -- Use PLL phase 0 for edge aligned, phase 180 for center aligned. Only for no DPA
     nof_clocks        : natural;  -- = 2;     -- Must be <= nof_adu
-                                              -- 1 --> Use ADC BI clock D or dp_clk for one or both ADU
-                                              -- 2 --> Use ADC BI clock A for/from ADU-AB and clock D for/from the ADU-CD
+    -- 1 --> Use ADC BI clock D or dp_clk for one or both ADU
+    -- 2 --> Use ADC BI clock A for/from ADU-AB and clock D for/from the ADU-CD
     lvds_deser_factor : natural;  -- = 2;     -- The ADC sampled data comes in with a DDR lvds_clk, so lvds_data_rate / 2 or
-                                              -- the 4 when the Data Path clock dp_clk is also used as LVDS data reference lvds_clk clock
+    -- the 4 when the Data Path clock dp_clk is also used as LVDS data reference lvds_clk clock
     dp_deser_factor   : natural;  -- = 4;     -- The Data Path clock dp_clk frequency is 200 MHz, so lvds_data_rate / 4
   end record;
 
   constant c_aduh_pll_ai  : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, true, true, false, 0, 2, 2, 4);
 
-  function func_aduh_pll_adu_dat_w(ai : t_c_aduh_pll_ai) return natural;  -- LVDS data width per ADU: two ADCs with one optional overflow bit
-  function func_aduh_pll_lvds_dat_w(ai : t_c_aduh_pll_ai) return natural;  -- LVDS data width per ADUH: one or two ADUs
-  function func_aduh_pll_lvdsh_dat_w(ai : t_c_aduh_pll_ai) return natural;  -- LVDS data width per LVDSH: dependent on whether one or two LVDS reference clocks are used
+  function func_aduh_pll_adu_dat_w (ai : t_c_aduh_pll_ai) return natural;  -- LVDS data width per ADU: two ADCs with one optional overflow bit
+  function func_aduh_pll_lvds_dat_w (ai : t_c_aduh_pll_ai) return natural;  -- LVDS data width per ADUH: one or two ADUs
+  function func_aduh_pll_lvdsh_dat_w (ai : t_c_aduh_pll_ai) return natural;  -- LVDS data width per LVDSH: dependent on whether one or two LVDS reference clocks are used
 
 end aduh_pll_pkg;
 
 
 package body aduh_pll_pkg is
 
-  function func_aduh_pll_adu_dat_w(ai : t_c_aduh_pll_ai) return natural is
+  function func_aduh_pll_adu_dat_w (ai : t_c_aduh_pll_ai) return natural is
   begin
     -- fixed      fixed
     -- nof_ports  port_w  nov_ovr
@@ -66,7 +66,7 @@ package body aduh_pll_pkg is
     return ai.nof_ports * ai.port_w + ai.nof_ovr;
   end;
 
-  function func_aduh_pll_lvds_dat_w(ai : t_c_aduh_pll_ai) return natural is
+  function func_aduh_pll_lvds_dat_w (ai : t_c_aduh_pll_ai) return natural is
   begin
     -- nof_adu  nov_ovr
     --    1        0      --> 16 bit
@@ -76,7 +76,7 @@ package body aduh_pll_pkg is
     return ai.nof_adu * func_aduh_pll_adu_dat_w(ai);
   end;
 
-  function func_aduh_pll_lvdsh_dat_w(ai : t_c_aduh_pll_ai) return natural is
+  function func_aduh_pll_lvdsh_dat_w (ai : t_c_aduh_pll_ai) return natural is
   begin
     -- nof_adu  c_nof_clocks
     --   1           1        -->                             one LVDSH for ADU on CD --> 1 LVDSH
diff --git a/libraries/io/aduh/src/vhdl/aduh_power_sum.vhd b/libraries/io/aduh/src/vhdl/aduh_power_sum.vhd
index c076a80e24..bb159608e2 100644
--- a/libraries/io/aduh/src/vhdl/aduh_power_sum.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_power_sum.vhd
@@ -28,9 +28,9 @@
 --   sum_sync and held until the next sum_sync.
 
 library IEEE, technology_lib, common_lib, common_mult_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
 
 
 entity aduh_power_sum is
@@ -78,71 +78,71 @@ architecture str of aduh_power_sum is
 begin
 
   u_prod_data : entity common_mult_lib.common_mult
-  generic map (
-    g_technology       => g_technology,
-    g_variant          => g_variant,
-    g_in_a_w           => g_symbol_w,
-    g_in_b_w           => g_symbol_w,
-    g_out_p_w          => c_prod_w,  -- <= g_in_a_w + g_in_b_w
-    g_nof_mult         => g_nof_symbols_per_data,  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
-    g_pipeline_input   => 0,  -- 0 or 1
-    g_pipeline_product => 1,  -- 0 or 1
-    g_pipeline_output  => 0,  -- >= 0
-    g_representation   => "SIGNED"
-  )
-  port map (
-    rst        => rst,
-    clk        => clk,
-    in_a       => in_data,
-    in_b       => in_data,
-    out_p      => prod_data
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_variant          => g_variant,
+      g_in_a_w           => g_symbol_w,
+      g_in_b_w           => g_symbol_w,
+      g_out_p_w          => c_prod_w,  -- <= g_in_a_w + g_in_b_w
+      g_nof_mult         => g_nof_symbols_per_data,  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
+      g_pipeline_input   => 0,  -- 0 or 1
+      g_pipeline_product => 1,  -- 0 or 1
+      g_pipeline_output  => 0,  -- >= 0
+      g_representation   => "SIGNED"
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+      in_a       => in_data,
+      in_b       => in_data,
+      out_p      => prod_data
+    );
 
   u_prod_sync : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline    => c_pipeline_prod,  -- = 1, must match total pipelining of u_prod_data
-    g_reset_value => 0
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_sync,
-    out_dat => prod_sync
-  );
+    generic map (
+      g_pipeline    => c_pipeline_prod,  -- = 1, must match total pipelining of u_prod_data
+      g_reset_value => 0
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_sync,
+      out_dat => prod_sync
+    );
 
   u_prod_val : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline    => c_pipeline_prod,  -- = 1, must match total pipelining of u_prod_data
-    g_reset_value => 0
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => in_val,
-    out_dat => prod_val
-  );
+    generic map (
+      g_pipeline    => c_pipeline_prod,  -- = 1, must match total pipelining of u_prod_data
+      g_reset_value => 0
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => in_val,
+      out_dat => prod_val
+    );
 
   u_pwr_sum : entity work.aduh_mean_sum
-  generic map (
-    g_symbol_w             => c_prod_w,
-    g_nof_symbols_per_data => g_nof_symbols_per_data,
-    g_nof_accumulations    => g_nof_accumulations,
-    g_sum_truncate         => g_pwr_sum_truncate,
-    g_sum_w                => g_pwr_sum_w
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    -- Streaming inputs
-    in_data     => prod_data,
-    in_val      => prod_val,
-    in_sync     => prod_sync,
-
-    -- Accumulation outputs
-    sum         => pwr_sum,
-    sum_sync    => pwr_sum_sync
-  );
+    generic map (
+      g_symbol_w             => c_prod_w,
+      g_nof_symbols_per_data => g_nof_symbols_per_data,
+      g_nof_accumulations    => g_nof_accumulations,
+      g_sum_truncate         => g_pwr_sum_truncate,
+      g_sum_w                => g_pwr_sum_w
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      -- Streaming inputs
+      in_data     => prod_data,
+      in_val      => prod_val,
+      in_sync     => prod_sync,
+
+      -- Accumulation outputs
+      sum         => pwr_sum,
+      sum_sync    => pwr_sum_sync
+    );
 
   -- Debug wire signal arrays for easier data interpretation in the Wave window
   dbg_arr : for I in 0 to g_nof_symbols_per_data - 1 generate
diff --git a/libraries/io/aduh/src/vhdl/aduh_quad.vhd b/libraries/io/aduh/src/vhdl/aduh_quad.vhd
index 577ac10083..6eaf3754da 100644
--- a/libraries/io/aduh/src/vhdl/aduh_quad.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_quad.vhd
@@ -24,10 +24,10 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 entity aduh_quad is
   generic (
@@ -99,45 +99,45 @@ begin
   aduh_cd_dp_phs_clk_en_vec <= aduh_cd_control(g_nof_dp_phs_clk - 1 downto 0);
 
   u_aduh : entity work.aduh_dd
-  generic map (
-    g_sim            => g_sim,
-    g_nof_dp_phs_clk => g_nof_dp_phs_clk,
-    g_ai             => g_ai
-  )
-  port map (
-    -- LVDS Interface
-    ADC_BI_A             => ADC_BI_A,
-    ADC_BI_B             => ADC_BI_B,
-    ADC_BI_C             => ADC_BI_C,
-    ADC_BI_D             => ADC_BI_D,
-
-    ADC_BI_A_CLK         => ADC_BI_A_CLK,  -- lvds clock from ADU_AB
-    ADC_BI_D_CLK         => ADC_BI_D_CLK,  -- lvds clock from ADU_CD
-
-    ADC_BI_A_CLK_RST     => ADC_BI_A_CLK_RST,  -- release synchronises ADU_AB DCLK divider
-    ADC_BI_D_CLK_RST     => ADC_BI_D_CLK_RST,  -- release synchronises ADU_CD DCLK divider
-
-    -- DP Interface
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    dp_phs_clk_vec       => dp_phs_clk_vec,
-
-    -- . Control
-    ab_status            => aduh_ab_status,
-    ab_locked            => aduh_ab_locked,
-    ab_stable            => aduh_ab_stable,
-    ab_stable_ack        => aduh_ab_stable_ack,
-    ab_dp_phs_clk_en_vec => aduh_ab_dp_phs_clk_en_vec,
-
-    cd_status            => aduh_cd_status,
-    cd_locked            => aduh_cd_locked,
-    cd_stable            => aduh_cd_stable,
-    cd_stable_ack        => aduh_cd_stable_ack,
-    cd_dp_phs_clk_en_vec => aduh_cd_dp_phs_clk_en_vec,
-
-    -- . Streaming
-    src_out_arr      => i_aduh_sosi_arr
-  );
+    generic map (
+      g_sim            => g_sim,
+      g_nof_dp_phs_clk => g_nof_dp_phs_clk,
+      g_ai             => g_ai
+    )
+    port map (
+      -- LVDS Interface
+      ADC_BI_A             => ADC_BI_A,
+      ADC_BI_B             => ADC_BI_B,
+      ADC_BI_C             => ADC_BI_C,
+      ADC_BI_D             => ADC_BI_D,
+
+      ADC_BI_A_CLK         => ADC_BI_A_CLK,  -- lvds clock from ADU_AB
+      ADC_BI_D_CLK         => ADC_BI_D_CLK,  -- lvds clock from ADU_CD
+
+      ADC_BI_A_CLK_RST     => ADC_BI_A_CLK_RST,  -- release synchronises ADU_AB DCLK divider
+      ADC_BI_D_CLK_RST     => ADC_BI_D_CLK_RST,  -- release synchronises ADU_CD DCLK divider
+
+      -- DP Interface
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      dp_phs_clk_vec       => dp_phs_clk_vec,
+
+      -- . Control
+      ab_status            => aduh_ab_status,
+      ab_locked            => aduh_ab_locked,
+      ab_stable            => aduh_ab_stable,
+      ab_stable_ack        => aduh_ab_stable_ack,
+      ab_dp_phs_clk_en_vec => aduh_ab_dp_phs_clk_en_vec,
+
+      cd_status            => aduh_cd_status,
+      cd_locked            => aduh_cd_locked,
+      cd_stable            => aduh_cd_stable,
+      cd_stable_ack        => aduh_cd_stable_ack,
+      cd_dp_phs_clk_en_vec => aduh_cd_dp_phs_clk_en_vec,
+
+      -- . Streaming
+      src_out_arr      => i_aduh_sosi_arr
+    );
 
 
   -- ADC pattern verification
@@ -145,23 +145,23 @@ begin
     aduh_verify_res(I)(c_word_w - 1 downto g_ai.port_w + 1) <= (others => '0');  -- unused bits [31:9]
 
     u_adc : entity work.aduh_verify
-    generic map (
-      g_symbol_w             => g_ai.port_w,  -- = 8, fixed
-      g_nof_symbols_per_data => c_wideband_factor  -- = 4, fixed, big endian in_sosi.data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-    )
-    port map (
-      rst            => dp_rst,
-      clk            => dp_clk,
-
-      -- ST input
-      in_sosi        => i_aduh_sosi_arr(I),
-
-      -- Static control input (connect via MM or leave open to use default)
-      pattern_sel    => c_adc_pattern_sel(I),
-      verify_res     => aduh_verify_res(I)(g_ai.port_w downto 0),  -- [8,7:0]
-      verify_res_val => aduh_verify_res_val(I),
-      verify_res_ack => aduh_verify_res_ack(I)
-    );
+      generic map (
+        g_symbol_w             => g_ai.port_w,  -- = 8, fixed
+        g_nof_symbols_per_data => c_wideband_factor  -- = 4, fixed, big endian in_sosi.data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+      )
+      port map (
+        rst            => dp_rst,
+        clk            => dp_clk,
+
+        -- ST input
+        in_sosi        => i_aduh_sosi_arr(I),
+
+        -- Static control input (connect via MM or leave open to use default)
+        pattern_sel    => c_adc_pattern_sel(I),
+        verify_res     => aduh_verify_res(I)(g_ai.port_w downto 0),  -- [8,7:0]
+        verify_res_val => aduh_verify_res_val(I),
+        verify_res_ack => aduh_verify_res_ack(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/aduh/src/vhdl/aduh_quad_reg.vhd b/libraries/io/aduh/src/vhdl/aduh_quad_reg.vhd
index 186c95bb21..63a4a58012 100644
--- a/libraries/io/aduh/src/vhdl/aduh_quad_reg.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_quad_reg.vhd
@@ -46,9 +46,9 @@
 --
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity aduh_quad_reg is
   generic (
@@ -102,11 +102,13 @@ architecture rtl of aduh_quad_reg is
 
   -- Define the actual size of the MM slave register
   constant c_nof_dat : natural := 8;
-  constant c_mm_reg  : t_c_mem := (latency  => 1,
-                                   adr_w    => ceil_log2(c_nof_dat),
-                                   dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                   nof_dat  => c_nof_dat,
-                                   init_sl  => '0');
+  constant c_mm_reg  : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_dat),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_nof_dat,
+    init_sl  => '0'
+  );
 
   -- Register access control signal in mm_clk domain
   signal mm_aduh_ab_stable_ack    : std_logic;
@@ -287,252 +289,252 @@ begin
     -- ADUH extra status registers
     -- . no need to use in_new, continuously cross the clock domain
     u_aduh_ab_status : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_aduh_ab_status,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_aduh_ab_status
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_aduh_ab_status,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_aduh_ab_status
+      );
 
     u_aduh_cd_status : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_aduh_cd_status,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_aduh_cd_status
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_aduh_cd_status,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_aduh_cd_status
+      );
 
     -- ADUH locked registers
     u_aduh_ab_locked : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_ab_locked,
-      dout => mm_aduh_ab_locked
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_ab_locked,
+        dout => mm_aduh_ab_locked
+      );
 
     u_aduh_ab_stable : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_ab_stable,
-      dout => mm_aduh_ab_stable
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_ab_stable,
+        dout => mm_aduh_ab_stable
+      );
 
     u_aduh_ab_stable_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_aduh_ab_stable_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_aduh_ab_stable_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_aduh_ab_stable_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_aduh_ab_stable_ack
+      );
 
     u_aduh_ab_control : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_dat    => mm_aduh_ab_control,
-      in_done   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_dat   => st_aduh_ab_control,
-      out_new   => open
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_dat    => mm_aduh_ab_control,
+        in_done   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_dat   => st_aduh_ab_control,
+        out_new   => open
+      );
 
     u_aduh_cd_locked : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_cd_locked,
-      dout => mm_aduh_cd_locked
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_cd_locked,
+        dout => mm_aduh_cd_locked
+      );
 
     u_aduh_cd_stable : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_cd_stable,
-      dout => mm_aduh_cd_stable
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_cd_stable,
+        dout => mm_aduh_cd_stable
+      );
 
     u_aduh_cd_stable_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_aduh_cd_stable_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_aduh_cd_stable_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_aduh_cd_stable_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_aduh_cd_stable_ack
+      );
 
     u_aduh_cd_control : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_dat    => mm_aduh_cd_control,
-      in_done   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_dat   => st_aduh_cd_control,
-      out_new   => open
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_dat    => mm_aduh_cd_control,
+        in_done   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_dat   => st_aduh_cd_control,
+        out_new   => open
+      );
 
     -- ADUH ADC verification registers
     u_aduh_a_verify_res : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_aduh_a_verify_res,
-      in_done     => OPEN,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_aduh_a_verify_res,
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_aduh_a_verify_res,
+        in_done     => OPEN,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_aduh_a_verify_res,
+        out_new     => open
+      );
 
     u_aduh_a_verify_res_val : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_a_verify_res_val,
-      dout => mm_aduh_a_verify_res_val
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_a_verify_res_val,
+        dout => mm_aduh_a_verify_res_val
+      );
 
     u_aduh_a_verify_res_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_aduh_a_verify_res_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_aduh_a_verify_res_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_aduh_a_verify_res_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_aduh_a_verify_res_ack
+      );
 
     u_aduh_b_verify_res : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_aduh_b_verify_res,
-      in_done     => OPEN,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_aduh_b_verify_res,
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_aduh_b_verify_res,
+        in_done     => OPEN,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_aduh_b_verify_res,
+        out_new     => open
+      );
 
     u_aduh_b_verify_res_val : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_b_verify_res_val,
-      dout => mm_aduh_b_verify_res_val
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_b_verify_res_val,
+        dout => mm_aduh_b_verify_res_val
+      );
 
     u_aduh_b_verify_res_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_aduh_b_verify_res_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_aduh_b_verify_res_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_aduh_b_verify_res_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_aduh_b_verify_res_ack
+      );
 
     u_aduh_c_verify_res : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_aduh_c_verify_res,
-      in_done     => OPEN,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_aduh_c_verify_res,
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_aduh_c_verify_res,
+        in_done     => OPEN,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_aduh_c_verify_res,
+        out_new     => open
+      );
 
     u_aduh_c_verify_res_val : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_c_verify_res_val,
-      dout => mm_aduh_c_verify_res_val
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_c_verify_res_val,
+        dout => mm_aduh_c_verify_res_val
+      );
 
     u_aduh_c_verify_res_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_aduh_c_verify_res_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_aduh_c_verify_res_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_aduh_c_verify_res_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_aduh_c_verify_res_ack
+      );
 
     u_aduh_d_verify_res : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_aduh_d_verify_res,
-      in_done     => OPEN,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_aduh_d_verify_res,
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_aduh_d_verify_res,
+        in_done     => OPEN,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_aduh_d_verify_res,
+        out_new     => open
+      );
 
     u_aduh_d_verify_res_val : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_aduh_d_verify_res_val,
-      dout => mm_aduh_d_verify_res_val
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_aduh_d_verify_res_val,
+        dout => mm_aduh_d_verify_res_val
+      );
 
     u_aduh_d_verify_res_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_aduh_d_verify_res_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_aduh_d_verify_res_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_aduh_d_verify_res_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_aduh_d_verify_res_ack
+      );
   end generate;  -- gen_cross
 
 end rtl;
diff --git a/libraries/io/aduh/src/vhdl/aduh_quad_scope.vhd b/libraries/io/aduh/src/vhdl/aduh_quad_scope.vhd
index e19032ce28..613a08ecfe 100644
--- a/libraries/io/aduh/src/vhdl/aduh_quad_scope.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_quad_scope.vhd
@@ -26,10 +26,10 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 entity aduh_quad_scope is
   generic (
@@ -62,20 +62,20 @@ begin
 
   -- View sp_sosi_arr at the sample rate
   u_dp_scope : entity dp_lib.dp_wideband_sp_arr_scope
-  generic map (
-    g_sim                 => g_sim,
-    g_use_sclk            => false,
-    g_nof_streams         => g_ai.nof_sp,
-    g_wideband_factor     => c_wideband_factor,
-    g_wideband_big_endian => false,
-    g_dat_w               => g_ai.port_w
-  )
-  port map (
-    -- Digital processing clk
-    DCLK         => DCLK,
+    generic map (
+      g_sim                 => g_sim,
+      g_use_sclk            => false,
+      g_nof_streams         => g_ai.nof_sp,
+      g_wideband_factor     => c_wideband_factor,
+      g_wideband_big_endian => false,
+      g_dat_w               => g_ai.port_w
+    )
+    port map (
+      -- Digital processing clk
+      DCLK         => DCLK,
 
-    -- Streaming samples
-    sp_sosi_arr  => dp_sosi_arr  -- = [3:0] = Signal Paths [D,C,B,A]
-  );
+      -- Streaming samples
+      sp_sosi_arr  => dp_sosi_arr  -- = [3:0] = Signal Paths [D,C,B,A]
+    );
 
 end beh;
diff --git a/libraries/io/aduh/src/vhdl/aduh_verify.vhd b/libraries/io/aduh/src/vhdl/aduh_verify.vhd
index 7f5e883625..bdb60ff3c0 100644
--- a/libraries/io/aduh/src/vhdl/aduh_verify.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_verify.vhd
@@ -21,10 +21,10 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 -- Purpose: Verify the adc08d1020 test pattern on ADU for one signal path
@@ -207,26 +207,6 @@ begin
   ------------------------------------------------------------------------------
 
   u_verify_symb : entity work.aduh_verify_bit
-  generic map (
-    g_nof_symbols_per_data => g_nof_symbols_per_data
-  )
-  port map (
-    rst            => rst,
-    clk            => clk,
-
-    -- ST input
-    in_val         => in_val,
-    in_dat         => in_symb,
-    in_dat_err     => in_symb_err,
-
-    -- Static control input (connect via MM or leave open to use default)
-    verify_res     => verify_res(g_symbol_w),
-    verify_res_val => verify_res_val,
-    verify_res_ack => verify_res_ack
-  );
-
-  gen_verify : for I in g_symbol_w - 1 downto 0 generate
-    u_bit : entity work.aduh_verify_bit
     generic map (
       g_nof_symbols_per_data => g_nof_symbols_per_data
     )
@@ -236,14 +216,34 @@ begin
 
       -- ST input
       in_val         => in_val,
-      in_dat         => in_bits(I),
-      in_dat_err     => '0',
+      in_dat         => in_symb,
+      in_dat_err     => in_symb_err,
 
       -- Static control input (connect via MM or leave open to use default)
-      verify_res     => verify_res(I),
-      verify_res_val => OPEN,
+      verify_res     => verify_res(g_symbol_w),
+      verify_res_val => verify_res_val,
       verify_res_ack => verify_res_ack
     );
+
+  gen_verify : for I in g_symbol_w - 1 downto 0 generate
+    u_bit : entity work.aduh_verify_bit
+      generic map (
+        g_nof_symbols_per_data => g_nof_symbols_per_data
+      )
+      port map (
+        rst            => rst,
+        clk            => clk,
+
+        -- ST input
+        in_val         => in_val,
+        in_dat         => in_bits(I),
+        in_dat_err     => '0',
+
+        -- Static control input (connect via MM or leave open to use default)
+        verify_res     => verify_res(I),
+        verify_res_val => OPEN,
+        verify_res_ack => verify_res_ack
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/io/aduh/src/vhdl/aduh_verify_bit.vhd b/libraries/io/aduh/src/vhdl/aduh_verify_bit.vhd
index b2895fecc1..cce3b4271b 100644
--- a/libraries/io/aduh/src/vhdl/aduh_verify_bit.vhd
+++ b/libraries/io/aduh/src/vhdl/aduh_verify_bit.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 -- Purpose: Verify the adc08d1020 test pattern 0 1 0 0 1 1 0 0 1 0 per bit
@@ -57,7 +57,7 @@ architecture rtl of aduh_verify_bit is
 
   type t_state is (s_init, s_verify);
 
-  function func_tp_seq(prev_nibble, nibble : std_logic_vector) return std_logic_vector is
+  function func_tp_seq (prev_nibble, nibble : std_logic_vector) return std_logic_vector is
   begin
     if unsigned(prev_nibble) = 16#4# and unsigned(nibble) = 16#C# then return TO_UVEC(16#9#, g_nof_symbols_per_data); end if;
     if unsigned(prev_nibble) = 16#C# and unsigned(nibble) = 16#9# then return TO_UVEC(16#3#, g_nof_symbols_per_data); end if;
diff --git a/libraries/io/aduh/src/vhdl/lvdsh_dd.vhd b/libraries/io/aduh/src/vhdl/lvdsh_dd.vhd
index 141ef15e05..93eb9d9450 100644
--- a/libraries/io/aduh/src/vhdl/lvdsh_dd.vhd
+++ b/libraries/io/aduh/src/vhdl/lvdsh_dd.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Handle an double data rate input interface without using a PLL
 -- Description:
@@ -158,17 +158,17 @@ begin
 
   gen_in_dly : if g_use_in_delay = true generate
     u_buf_in : entity common_lib.common_iobuf_in
-    generic map (
-      g_width     => c_in_dly_w,
-      g_delay_arr => c_in_delay_arr
-    )
-    port map (
-      config_rst  => config_rst,
-      config_clk  => config_clk,
-      config_done => config_done,
-      in_dat      => in_vec,
-      out_dat     => in_dly
-    );
+      generic map (
+        g_width     => c_in_dly_w,
+        g_delay_arr => c_in_delay_arr
+      )
+      port map (
+        config_rst  => config_rst,
+        config_clk  => config_clk,
+        config_done => config_done,
+        in_dat      => in_vec,
+        out_dat     => in_dly
+      );
   end generate;
 
   no_in_dly : if g_use_in_delay = false generate
@@ -184,17 +184,17 @@ begin
 
   -- Input double data rate at pin, also ensures deterministic input timing
   u_dd_in : entity common_lib.common_ddio_in
-  generic map (
-    g_width    => g_in_dat_w
-  )
-  port map (
-    in_dat      => in_dly(c_in_dly_w - 2 downto 0),
-    in_clk      => in_dly(c_in_dly_w - 1),
-    in_clk_en   => '1',
-    rst         => '0',
-    out_dat_hi  => wr_dat_hi,
-    out_dat_lo  => wr_dat_lo
-  );
+    generic map (
+      g_width    => g_in_dat_w
+    )
+    port map (
+      in_dat      => in_dly(c_in_dly_w - 2 downto 0),
+      in_clk      => in_dly(c_in_dly_w - 1),
+      in_clk_en   => '1',
+      rst         => '0',
+      out_dat_hi  => wr_dat_hi,
+      out_dat_lo  => wr_dat_lo
+    );
 
 
   -----------------------------------------------------------------------------
@@ -205,17 +205,17 @@ begin
   rx_clk_rst(0) <= wr_clk_rst(0) when g_in_clk_rst_invert = false else not wr_clk_rst(0);
 
   u_dd_out : entity common_lib.common_ddio_out
-  generic map (
-    g_width  => 1
-  )
-  port map (
-    rst        => '0',
-    in_clk     => rx_clk,
-    in_clk_en  => '1',
-    in_dat_hi  => rx_clk_rst,
-    in_dat_lo  => rx_clk_rst,
-    out_dat    => i_in_clk_rst
-  );
+    generic map (
+      g_width  => 1
+    )
+    port map (
+      rst        => '0',
+      in_clk     => rx_clk,
+      in_clk_en  => '1',
+      in_dat_hi  => rx_clk_rst,
+      in_dat_lo  => rx_clk_rst,
+      out_dat    => i_in_clk_rst
+    );
 
   in_clk_rst  <= i_in_clk_rst(0);
 
@@ -228,18 +228,18 @@ begin
   -- between the two clock domains that does not vary dependent on the size of the rest of the design.
 
   u_acapture_fifo_rst : entity common_lib.common_acapture
-  generic map (
-    g_rst_level     => '1',
-    g_in_delay_len  => 1,
-    g_out_delay_len => 1
-  )
-  port map (
-    in_rst  => dc_fifo_rst,  -- need to apply dc_fifo_rst asynchronously to reset rd_usedw in case of dc lock lost due to stopped wr_clk
-    in_clk  => rx_clk,
-    in_dat  => '0',  -- connecting '0' is equivalent to connecting dc_fifo_rst
-    out_clk => wr_clk,
-    out_cap => wr_fifo_rst
-  );
+    generic map (
+      g_rst_level     => '1',
+      g_in_delay_len  => 1,
+      g_out_delay_len => 1
+    )
+    port map (
+      in_rst  => dc_fifo_rst,  -- need to apply dc_fifo_rst asynchronously to reset rd_usedw in case of dc lock lost due to stopped wr_clk
+      in_clk  => rx_clk,
+      in_dat  => '0',  -- connecting '0' is equivalent to connecting dc_fifo_rst
+      out_clk => wr_clk,
+      out_cap => wr_fifo_rst
+    );
 
 
   -----------------------------------------------------------------------------
@@ -256,18 +256,18 @@ begin
   -- of the eye.
 
   u_acapture_slv_fifo_rdusedw : entity common_lib.common_acapture_slv
-  generic map (
-    g_rst_level     => '0',
-    g_in_delay_len  => 1,
-    g_out_delay_len => 1
-  )
-  port map (
-    in_rst  => wr_fifo_rst,
-    in_clk  => wr_clk,
-    in_dat  => fifo_rdusedw,
-    out_clk => rx_clk,
-    out_cap => rx_fifo_rdusedw
-  );
+    generic map (
+      g_rst_level     => '0',
+      g_in_delay_len  => 1,
+      g_out_delay_len => 1
+    )
+    port map (
+      in_rst  => wr_fifo_rst,
+      in_clk  => wr_clk,
+      in_dat  => fifo_rdusedw,
+      out_clk => rx_clk,
+      out_cap => rx_fifo_rdusedw
+    );
 
 
   ------------------------------------------------------------------------------
@@ -289,41 +289,41 @@ begin
   nxt_fifo_wr_dat <= wr_dat_hi & wr_dat_lo;
 
   u_dd_reg : entity common_lib.common_pipeline
-  generic map (
-    g_pipeline    => 1,
-    g_in_dat_w    => c_out_dat_w,
-    g_out_dat_w   => c_out_dat_w
-  )
-  port map (
-    clk     => wr_clk,
-    in_dat  => nxt_fifo_wr_dat,
-    out_dat => fifo_wr_dat
-  );
+    generic map (
+      g_pipeline    => 1,
+      g_in_dat_w    => c_out_dat_w,
+      g_out_dat_w   => c_out_dat_w
+    )
+    port map (
+      clk     => wr_clk,
+      in_dat  => nxt_fifo_wr_dat,
+      out_dat => fifo_wr_dat
+    );
 
 
   -- Input FIFO dual clock lock control
   u_fifo_dc_lock_control : entity common_lib.common_fifo_dc_lock_control
-  generic map (
-    g_hold_wr_clk_rst  => 2,  -- >= 1, nof cycles to hold the wr_clk_rst
-    g_hold_dc_fifo_rst => 31,  -- >= 1, nof cycles to hold the dc_fifo_rst, sufficiently long for wr_clk to have restarted after wr_clk_rst release
-    g_rd_fill_level    => g_rx_fifo_fill,
-    g_rd_fill_margin   => g_rx_fifo_margin
-  )
-  port map (
-    -- FIFO rd_clk domain
-    rd_rst        => rx_rst,
-    rd_clk        => rx_clk,
-    rd_usedw      => rx_fifo_rdusedw,
-    rd_req        => rx_fifo_rd_req,
-    wr_clk_rst    => wr_clk_rst(0),
-    dc_fifo_rst   => dc_fifo_rst,
-
-    -- MM in rd_clk domain
-    rd_fill_level => g_rx_fifo_fill,
-    dc_locked     => rx_locked,
-    dc_stable     => rx_stable,
-    dc_stable_ack => rx_stable_ack
-  );
+    generic map (
+      g_hold_wr_clk_rst  => 2,  -- >= 1, nof cycles to hold the wr_clk_rst
+      g_hold_dc_fifo_rst => 31,  -- >= 1, nof cycles to hold the dc_fifo_rst, sufficiently long for wr_clk to have restarted after wr_clk_rst release
+      g_rd_fill_level    => g_rx_fifo_fill,
+      g_rd_fill_margin   => g_rx_fifo_margin
+    )
+    port map (
+      -- FIFO rd_clk domain
+      rd_rst        => rx_rst,
+      rd_clk        => rx_clk,
+      rd_usedw      => rx_fifo_rdusedw,
+      rd_req        => rx_fifo_rd_req,
+      wr_clk_rst    => wr_clk_rst(0),
+      dc_fifo_rst   => dc_fifo_rst,
+
+      -- MM in rd_clk domain
+      rd_fill_level => g_rx_fifo_fill,
+      dc_locked     => rx_locked,
+      dc_stable     => rx_stable,
+      dc_stable_ack => rx_stable_ack
+    );
 
 
   -- No need to check on fifo_wr_ful for fifo_wr_req, because wr_init in common_fifo_dc* takes care that fifo_wr_req is only passed on after fifo_wr_ful went low after reset release.
@@ -332,24 +332,24 @@ begin
   -- Dual clock FIFO, same width
   gen_same_rate : if g_rx_factor = 1 generate
     u_fifo_dc : entity common_lib.common_fifo_dc
-    generic map (
-      g_dat_w     => c_out_dat_w,
-      g_nof_words => g_rx_fifo_size
-    )
-    port map (
-      rst     => wr_fifo_rst,
-      wr_clk  => wr_clk,
-      wr_dat  => fifo_wr_dat,
-      wr_req  => fifo_wr_req,
-      wr_ful  => fifo_wr_ful,
-      wrusedw => fifo_wrusedw,
-      rd_clk  => rx_clk,
-      rd_dat  => rx_fifo_rd_dat,
-      rd_req  => rx_fifo_rd_req,
-      rd_emp  => OPEN,
-      rdusedw => OPEN,  -- instead use wrusedw via common_acapture_slv
-      rd_val  => rx_val
-    );
+      generic map (
+        g_dat_w     => c_out_dat_w,
+        g_nof_words => g_rx_fifo_size
+      )
+      port map (
+        rst     => wr_fifo_rst,
+        wr_clk  => wr_clk,
+        wr_dat  => fifo_wr_dat,
+        wr_req  => fifo_wr_req,
+        wr_ful  => fifo_wr_ful,
+        wrusedw => fifo_wrusedw,
+        rd_clk  => rx_clk,
+        rd_dat  => rx_fifo_rd_dat,
+        rd_req  => rx_fifo_rd_req,
+        rd_emp  => OPEN,
+        rdusedw => OPEN,  -- instead use wrusedw via common_acapture_slv
+        rd_val  => rx_val
+      );
 
     fifo_rdusedw <= fifo_wrusedw;
   end generate;
@@ -357,25 +357,25 @@ begin
   -- Dual clock FIFO, mixed width
   gen_lower_rate : if g_rx_factor > 1 generate
     u_fifo_n2w : entity common_lib.common_fifo_dc_mixed_widths
-    generic map (
-      g_nof_words => g_rx_fifo_size * g_rx_factor,  -- FIFO size in nof wr_dat words
-      g_wr_dat_w  => c_out_dat_w,
-      g_rd_dat_w  => c_out_dat_w * g_rx_factor
-    )
-    port map (
-      rst     => wr_fifo_rst,
-      wr_clk  => wr_clk,
-      wr_dat  => fifo_wr_dat,
-      wr_req  => fifo_wr_req,
-      wr_ful  => fifo_wr_ful,
-      wrusedw => fifo_wrusedw,
-      rd_clk  => rx_clk,
-      rd_dat  => rx_fifo_rd_dat,
-      rd_req  => rx_fifo_rd_req,
-      rd_emp  => OPEN,
-      rdusedw => OPEN,  -- instead use wrusedw via common_acapture_slv
-      rd_val  => rx_val
-    );
+      generic map (
+        g_nof_words => g_rx_fifo_size * g_rx_factor,  -- FIFO size in nof wr_dat words
+        g_wr_dat_w  => c_out_dat_w,
+        g_rd_dat_w  => c_out_dat_w * g_rx_factor
+      )
+      port map (
+        rst     => wr_fifo_rst,
+        wr_clk  => wr_clk,
+        wr_dat  => fifo_wr_dat,
+        wr_req  => fifo_wr_req,
+        wr_ful  => fifo_wr_ful,
+        wrusedw => fifo_wrusedw,
+        rd_clk  => rx_clk,
+        rd_dat  => rx_fifo_rd_dat,
+        rd_req  => rx_fifo_rd_req,
+        rd_emp  => OPEN,
+        rdusedw => OPEN,  -- instead use wrusedw via common_acapture_slv
+        rd_val  => rx_val
+      );
 
     fifo_rdusedw <= fifo_wrusedw(fifo_wrusedw'high downto c_rx_fifo_lsusedw_w);
   end generate;
diff --git a/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4.vhd b/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4.vhd
index a52504ef41..49a1d697c1 100644
--- a/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4.vhd
+++ b/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Author: Eric Kooistra
 -- Purpose: Detect the wide band factor phase 0, 1, 2 or 3 of the 200 MHz
@@ -170,7 +170,7 @@ architecture str of lvdsh_dd_phs4 is
 
   constant c_in_dd_phs_locked_w     : natural := sel_a_b(g_sim, 12, 30);  -- used to ensure that dd_phs_locked is only declared if dd_phs is detected ok for at least 2**(g_dd_phs_locked_w-1) in_clk cycles
   constant c_dp_dd_phs_timeout_w    : natural := c_in_dd_phs_locked_w;  -- dd_phs locked timeout in dp_clk domain, so c_dd_factor=2 longer than c_in_dd_phs_locked_w, because c_in_dd_phs_locked_w runs
-                                                                            -- in the in_clk domain. This is enough to find stable lock if it is possible to have stable lock at the selected dp_phs_clk.
+  -- in the in_clk domain. This is enough to find stable lock if it is possible to have stable lock at the selected dp_phs_clk.
 
   constant c_wb_sync_period         : natural := g_dp_phs_clk_period;  -- nof dp_clk cycles for wb_sync period, must be > c_wb_sync_roundtrip
   constant c_wb_cnt_w               : natural := ceil_log2(c_wb_sync_period);
@@ -334,31 +334,31 @@ begin
 
   -- Extend dp_phs_align_restart to filter out any subsequent restart triggers
   u_common_pulse_extend : entity common_lib.common_pulse_extend
-  generic map (
-    g_rst_level    => '0',
-    g_p_in_level   => '1',
-    g_ep_out_level => '1',
-    g_extend_w     => c_dp_phs_align_restart_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    p_in    => dp_phs_align_restart,
-    ep_out  => dp_phs_align_restart_extend
-  );
+    generic map (
+      g_rst_level    => '0',
+      g_p_in_level   => '1',
+      g_ep_out_level => '1',
+      g_extend_w     => c_dp_phs_align_restart_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      p_in    => dp_phs_align_restart,
+      ep_out  => dp_phs_align_restart_extend
+    );
 
   -- Ensure dp_phs_align_restart will cause only a single increment for dp_phs_clk_select
   u_common_evt_0 : entity common_lib.common_evt
-  generic map (
-    g_evt_type   => "RISING",
-    g_out_reg    => false  -- if TRUE then the output is registered, else it is not
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    in_sig  => dp_phs_align_restart_extend,
-    out_evt => dp_phs_align_restart_revt
-  );
+    generic map (
+      g_evt_type   => "RISING",
+      g_out_reg    => false  -- if TRUE then the output is registered, else it is not
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      in_sig  => dp_phs_align_restart_extend,
+      out_evt => dp_phs_align_restart_revt
+    );
 
   -- Ensure that the FIFO is not read when it is reset, to avoid dp_dat becoming 0 which would complicate the verification in the tb
   dp_in_enabled <= '1' when dp_phs_align_restart = '0' and dp_phs_align_restart_extend = '0' else '0';
@@ -373,35 +373,35 @@ begin
   nxt_r_dp.dp_in_rst_req <= dp_in_clk_stopped or dp_phs_clk_en_vec_evt or dp_phs_align_restart_extend;
 
   u_common_async_in_rst : entity common_lib.common_async
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_in_rst_delay_len
-  )
-  port map (
-    rst  => r_dp.dp_in_rst_req,  -- asynchronous rst ensures that it will take effect also when in_clk is not running
-    clk  => in_clk,
-    din  => '0',
-    dout => in_rst
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_in_rst_delay_len
+    )
+    port map (
+      rst  => r_dp.dp_in_rst_req,  -- asynchronous rst ensures that it will take effect also when in_clk is not running
+      clk  => in_clk,
+      din  => '0',
+      dout => in_rst
+    );
 
   ------------------------------------------------------------------------------
   -- Detect whether the in_clk is active
   ------------------------------------------------------------------------------
 
   u_common_clock_active_detector : entity common_lib.common_clock_active_detector
-  generic map (
-    g_in_period_w       => c_in_period_w,
-    g_dp_detect_period  => c_dp_detect_period,
-    g_dp_detect_margin  => 1
-  )
-  port map (
-    -- PHY input interface
-    in_clk               => in_clk,
-    dp_clk               => dp_clk,
-    dp_in_clk_detected   => dp_in_clk_detected,
-    dp_in_clk_stable     => dp_in_clk_stable,
-    dp_in_clk_stable_ack => out_word_stable_ack
-  );
+    generic map (
+      g_in_period_w       => c_in_period_w,
+      g_dp_detect_period  => c_dp_detect_period,
+      g_dp_detect_margin  => 1
+    )
+    port map (
+      -- PHY input interface
+      in_clk               => in_clk,
+      dp_clk               => dp_clk,
+      dp_in_clk_detected   => dp_in_clk_detected,
+      dp_in_clk_stable     => dp_in_clk_stable,
+      dp_in_clk_stable_ack => out_word_stable_ack
+    );
 
   dp_in_clk_stopped <= not dp_in_clk_detected;
 
@@ -411,15 +411,15 @@ begin
 
   -- Double data rate input cell at pin, also ensures deterministic input timing
   u_common_ddio_in : entity common_lib.common_ddio_in
-  generic map (
-    g_width => g_in_dat_w
-  )
-  port map (
-    in_dat      => in_dat,
-    in_clk      => in_clk,
-    out_dat_hi  => in_dat_hi,
-    out_dat_lo  => in_dat_lo
-  );
+    generic map (
+      g_width => g_in_dat_w
+    )
+    port map (
+      in_dat      => in_dat,
+      in_clk      => in_clk,
+      out_dat_hi  => in_dat_hi,
+      out_dat_lo  => in_dat_lo
+    );
 
   nxt_r_in.in_dat_hi <= in_dat_hi;
   nxt_r_in.in_dat_lo <= in_dat_lo;
@@ -432,17 +432,17 @@ begin
     wb_phs_clk <= dp_phs_clk_vec(0);
 
     u_common_async_wb_sync : entity common_lib.common_async
-    generic map (
-      g_rising_edge => g_wb_use_rising_edge,
-      g_rst_level   => '0',
-      g_delay_len   => c_delay_len  -- typically 1 should be sufficient, but more is fine too
-    )
-    port map (
-      rst  => dp_rst,
-      clk  => dp_clk,
-      din  => wb_phs_clk,
-      dout => wb_sync_cap
-    );
+      generic map (
+        g_rising_edge => g_wb_use_rising_edge,
+        g_rst_level   => '0',
+        g_delay_len   => c_delay_len  -- typically 1 should be sufficient, but more is fine too
+      )
+      port map (
+        rst  => dp_rst,
+        clk  => dp_clk,
+        din  => wb_phs_clk,
+        dout => wb_sync_cap
+      );
 
     nxt_r_dp.wb_sync_cap <= wb_sync_cap;
 
@@ -454,31 +454,31 @@ begin
     ------------------------------------------------------------------------------
 
     u_lvdsh_dd_phs4_align : entity work.lvdsh_dd_phs4_align
-    generic map (
-      g_wb_factor         => g_wb_factor,
-      g_nof_dp_phs_clk    => g_nof_dp_phs_clk,
-      g_dp_phs_clk_period => g_dp_phs_clk_period,
-      g_dd_phs_locked_w   => c_in_dd_phs_locked_w,
-      g_in_dat_w          => g_in_dat_w
-    )
-    port map (
-      -- DP clock reference for word alignment
-      dp_phs_clk_vec     => dp_phs_clk_vec,
-      dp_phs_clk_select  => r_dp.dp_phs_clk_select,
-
-      -- PHY input interface
-      in_rst             => in_rst,
-      in_clk             => in_clk,
-      in_dat_hi          => in_dat_hi,
-      in_dat_lo          => in_dat_lo,
-      in_maintain_phs    => in_maintain_phs,
-
-      raw_phs            => raw_phs,
-      out_phs_locked     => dd_phs_locked,
-      out_sync           => dd_sync,
-      out_dat            => dd_dat,
-      out_val            => dd_val
-    );
+      generic map (
+        g_wb_factor         => g_wb_factor,
+        g_nof_dp_phs_clk    => g_nof_dp_phs_clk,
+        g_dp_phs_clk_period => g_dp_phs_clk_period,
+        g_dd_phs_locked_w   => c_in_dd_phs_locked_w,
+        g_in_dat_w          => g_in_dat_w
+      )
+      port map (
+        -- DP clock reference for word alignment
+        dp_phs_clk_vec     => dp_phs_clk_vec,
+        dp_phs_clk_select  => r_dp.dp_phs_clk_select,
+
+        -- PHY input interface
+        in_rst             => in_rst,
+        in_clk             => in_clk,
+        in_dat_hi          => in_dat_hi,
+        in_dat_lo          => in_dat_lo,
+        in_maintain_phs    => in_maintain_phs,
+
+        raw_phs            => raw_phs,
+        out_phs_locked     => dd_phs_locked,
+        out_sync           => dd_sync,
+        out_dat            => dd_dat,
+        out_val            => dd_val
+      );
 
     dd_sync_sl <= andv(dd_sync);  -- after sample phase realignment the dd_sync derived from dp_clk_phs in the in_clk domain toggles between 0x0 and 0xF.
 
@@ -491,15 +491,15 @@ begin
   ------------------------------------------------------------------------------
 
   u_common_async_in_phs_align_en : entity common_lib.common_async
-  generic map (
-    g_delay_len   => c_delay_len
-  )
-  port map (
-    rst  => '0',
-    clk  => in_clk,
-    din  => r_dp.dp_phs_align_en,
-    dout => in_phs_align_en
-  );
+    generic map (
+      g_delay_len   => c_delay_len
+    )
+    port map (
+      rst  => '0',
+      clk  => in_clk,
+      din  => r_dp.dp_phs_align_en,
+      dout => in_phs_align_en
+    );
 
   nxt_r_in.dd_in_data <= in_dat_hi & in_dat_lo & r_in.in_dat_hi & r_in.in_dat_lo;
   nxt_r_in.dd_in_val  <= not r_in.dd_in_val;  -- toggle to implement c_dd_factor=2 divider
@@ -523,24 +523,24 @@ begin
 
   -- Dual clock FIFO
   u_common_fifo_dc : entity common_lib.common_fifo_dc
-  generic map (
-    g_dat_w      => c_fifo_dat_w,
-    g_nof_words  => c_fifo_size
-  )
-  port map (
-    rst     => in_rst,
-    wr_clk  => in_clk,
-    wr_dat  => in_fifo_wr_dat,
-    wr_req  => in_fifo_wr_req,
-    wr_ful  => OPEN,
-    wrusedw => fifo_wrusedw,
-    rd_clk  => dp_clk,
-    rd_dat  => fifo_rd_dat,
-    rd_req  => r_dp.fifo_rd_req,
-    rd_emp  => fifo_rd_emp,
-    rdusedw => fifo_rdusedw,
-    rd_val  => fifo_rd_val
-  );
+    generic map (
+      g_dat_w      => c_fifo_dat_w,
+      g_nof_words  => c_fifo_size
+    )
+    port map (
+      rst     => in_rst,
+      wr_clk  => in_clk,
+      wr_dat  => in_fifo_wr_dat,
+      wr_req  => in_fifo_wr_req,
+      wr_ful  => OPEN,
+      wrusedw => fifo_wrusedw,
+      rd_clk  => dp_clk,
+      rd_dat  => fifo_rd_dat,
+      rd_req  => r_dp.fifo_rd_req,
+      rd_emp  => fifo_rd_emp,
+      rdusedw => fifo_rdusedw,
+      rd_val  => fifo_rd_val
+    );
 
   nxt_r_dp.le_raw_phs      <= fifo_rd_dat(c_raw_phs_w + c_sync_w + c_dp_dat_w - 1 downto c_sync_w + c_dp_dat_w);  -- 4b
   nxt_r_dp.le_sync         <= fifo_rd_dat(                                                  c_dp_dat_w);  -- 1b
@@ -565,15 +565,15 @@ begin
   out_phs_locked <= r_dp.dp_phs_locked;
 
   u_common_evt_1 : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "FALLING"
-  )
-  port map (
-    rst      => dp_rst,
-    clk      => dp_clk,
-    in_sig   => r_dp.dp_phs_locked,
-    out_evt  => dp_phs_lock_lost
-  );
+    generic map (
+      g_evt_type => "FALLING"
+    )
+    port map (
+      rst      => dp_rst,
+      clk      => dp_clk,
+      in_sig   => r_dp.dp_phs_locked,
+      out_evt  => dp_phs_lock_lost
+    );
 
   ------------------------------------------------------------------------------
   -- Select dp_phs_clk
@@ -619,15 +619,15 @@ begin
   -- DD phase lock timeout
   ------------------------------------------------------------------------------
   u_common_counter_dp_phs_timeout_cnt : entity common_lib.common_counter
-  generic map (
-    g_width => c_dp_dd_phs_timeout_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => dp_phs_timeout_cnt_clr,
-    count   => dp_phs_timeout_cnt
-  );
+    generic map (
+      g_width => c_dp_dd_phs_timeout_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => dp_phs_timeout_cnt_clr,
+      count   => dp_phs_timeout_cnt
+    );
 
   dp_phs_timeout_cnt_clr <= dp_in_clk_stopped or r_dp.dp_phs_locked or dp_phs_timeout;  -- clear the dp_phs_timeout timer when there is no active in_clk or when the dp_phs is locked
   dp_phs_timeout <= dp_phs_timeout_cnt(dp_phs_timeout_cnt'high);  -- dp_phs_timeout pulse also restarts the timer
@@ -638,15 +638,15 @@ begin
 
   -- Measure and align the wb_sync to dp_sync roundtrip latency
   u_common_counter_wb_cnt : entity common_lib.common_counter
-  generic map (
-    g_width => c_wb_cnt_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => r_dp.wb_cnt_clr,
-    count   => wb_cnt
-  );
+    generic map (
+      g_width => c_wb_cnt_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => r_dp.wb_cnt_clr,
+      count   => wb_cnt
+    );
 
   -- Default read when not empty, so the FIFO cannot run full
   nxt_r_dp.fifo_rd_req <= not fifo_rd_emp and dp_in_enabled and r_dp.dp_word_req;
@@ -806,44 +806,44 @@ begin
   ------------------------------------------------------------------------------
 
   u_common_stable_monitor_word_lock : entity common_lib.common_stable_monitor
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- MM
-    r_in         => r_dp.dp_word_locked,
-    r_stable     => i_out_word_stable,  -- monitors lvdsh_dd_phs4 overalll data output stable (both phs and word)
-    r_stable_ack => out_word_stable_ack
-  );
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- MM
+      r_in         => r_dp.dp_word_locked,
+      r_stable     => i_out_word_stable,  -- monitors lvdsh_dd_phs4 overalll data output stable (both phs and word)
+      r_stable_ack => out_word_stable_ack
+    );
 
   u_common_stable_monitor_phs_lock : entity common_lib.common_stable_monitor
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- MM
-    r_in         => r_dp.dp_phs_locked,
-    r_stable     => dp_phs_stable,  -- monitors lvdsh_dd_phs4_align data output stable
-    r_stable_ack => out_word_stable_ack
-  );
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- MM
+      r_in         => r_dp.dp_phs_locked,
+      r_stable     => dp_phs_stable,  -- monitors lvdsh_dd_phs4_align data output stable
+      r_stable_ack => out_word_stable_ack
+    );
 
   u_common_stable_monitor_fifo_fill_lock : entity common_lib.common_stable_monitor
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- MM
-    r_in         => dp_fifo_fill_lock,
-    r_stable     => dp_fifo_fill_stable,  -- monitors FIFO fill level between min and max
-    r_stable_ack => out_word_stable_ack
-  );
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- MM
+      r_in         => dp_fifo_fill_lock,
+      r_stable     => dp_fifo_fill_stable,  -- monitors FIFO fill level between min and max
+      r_stable_ack => out_word_stable_ack
+    );
 
   u_common_stable_monitor_wb_cnt_roundtrip : entity common_lib.common_stable_monitor
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- MM
-    r_in         => wb_roundtrip_lock,
-    r_stable     => wb_roundtrip_stable,  -- monitors roundtrip latency of wb_sync --> dp_sync via in_clk domain between +-1
-    r_stable_ack => out_word_stable_ack
-  );
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- MM
+      r_in         => wb_roundtrip_lock,
+      r_stable     => wb_roundtrip_stable,  -- monitors roundtrip latency of wb_sync --> dp_sync via in_clk domain between +-1
+      r_stable_ack => out_word_stable_ack
+    );
 
   out_word_locked <= r_dp.dp_word_locked;
   out_word_stable <= i_out_word_stable;
@@ -854,16 +854,16 @@ begin
   nxt_r_dp.dp_maintain_phs <= i_out_word_stable when g_maintain_phs = true else '0';
 
   u_common_async_in_maintain_phs : entity common_lib.common_async
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_delay_len
-  )
-  port map (
-    rst  => '0',
-    clk  => in_clk,
-    din  => r_dp.dp_maintain_phs,
-    dout => in_maintain_phs
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_delay_len
+    )
+    port map (
+      rst  => '0',
+      clk  => in_clk,
+      din  => r_dp.dp_maintain_phs,
+      dout => in_maintain_phs
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4_align.vhd b/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4_align.vhd
index 70a509c0ab..4a23ec7921 100644
--- a/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4_align.vhd
+++ b/libraries/io/aduh/src/vhdl/lvdsh_dd_phs4_align.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Word align the 800M Hz sample data to 200 MHz data path clock.
 -- Description:
@@ -151,36 +151,36 @@ begin
 
   gen_detectors : for I in g_nof_dp_phs_clk - 1 downto 0 generate
     u_common_clock_phase_detector_r: entity common_lib.common_clock_phase_detector
-    generic map (
-      g_rising_edge      => true,
-      g_phase_rst_level  => '1',
-      g_meta_delay_len   => c_delay_len,
-      g_offset_delay_len => -c_offset_delay_len,
-      g_clk_factor       => c_rx_factor
-    )
-    port map (
-      in_clk    => dp_phs_clk_vec(I),  -- used as data input for in_clk domain
-      rst       => in_rst,
-      clk       => in_clk,
-      phase     => ref_r_vec(I),
-      phase_det => open
-    );
+      generic map (
+        g_rising_edge      => true,
+        g_phase_rst_level  => '1',
+        g_meta_delay_len   => c_delay_len,
+        g_offset_delay_len => -c_offset_delay_len,
+        g_clk_factor       => c_rx_factor
+      )
+      port map (
+        in_clk    => dp_phs_clk_vec(I),  -- used as data input for in_clk domain
+        rst       => in_rst,
+        clk       => in_clk,
+        phase     => ref_r_vec(I),
+        phase_det => open
+      );
 
     u_common_clock_phase_detector_f: entity common_lib.common_clock_phase_detector
-    generic map (
-      g_rising_edge      => false,
-      g_phase_rst_level  => '1',
-      g_meta_delay_len   => c_delay_len,
-      g_offset_delay_len => -c_offset_delay_len,
-      g_clk_factor       => c_rx_factor
-    )
-    port map (
-      in_clk    => dp_phs_clk_vec(I),  -- used as data input for in_clk domain
-      rst       => in_rst,
-      clk       => in_clk,
-      phase     => ref_f_vec(I),
-      phase_det => open
-    );
+      generic map (
+        g_rising_edge      => false,
+        g_phase_rst_level  => '1',
+        g_meta_delay_len   => c_delay_len,
+        g_offset_delay_len => -c_offset_delay_len,
+        g_clk_factor       => c_rx_factor
+      )
+      port map (
+        in_clk    => dp_phs_clk_vec(I),  -- used as data input for in_clk domain
+        rst       => in_rst,
+        clk       => in_clk,
+        phase     => ref_f_vec(I),
+        phase_det => open
+      );
   end generate;
 
   -- No need to transfer dp_phs_clk_select to in_clk domain because it remains stable after every in_rst
@@ -188,28 +188,28 @@ begin
   sel_f <= ref_f_vec(dp_phs_clk_select);
 
   u_pipeline_ref_r : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline    => c_ref_pipeline,
-    g_reset_value => 1
-  )
-  port map (
-    rst     => in_rst,
-    clk     => in_clk,
-    in_dat  => sel_r,
-    out_dat => ref_align_r
-  );
+    generic map (
+      g_pipeline    => c_ref_pipeline,
+      g_reset_value => 1
+    )
+    port map (
+      rst     => in_rst,
+      clk     => in_clk,
+      in_dat  => sel_r,
+      out_dat => ref_align_r
+    );
 
   u_pipeline_ref_f : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline    => c_ref_pipeline,
-    g_reset_value => 1
-  )
-  port map (
-    rst     => in_rst,
-    clk     => in_clk,
-    in_dat  => sel_f,
-    out_dat => ref_align_f
-  );
+    generic map (
+      g_pipeline    => c_ref_pipeline,
+      g_reset_value => 1
+    )
+    port map (
+      rst     => in_rst,
+      clk     => in_clk,
+      in_dat  => sel_f,
+      out_dat => ref_align_f
+    );
 
   ------------------------------------------------------------------------------
   -- Enable word phase alignment to sel_r and sel_f or maintain current alignment
@@ -219,32 +219,32 @@ begin
   ref_align_en <= not in_maintain_phs;
 
   u_common_toggle_align_ref_r : entity common_lib.common_toggle_align
-  generic map (
-    g_pipeline           => c_align_pipeline,
-    g_reset_value        => 0,
-    g_nof_clk_per_period => c_in_phs_clk_period
-  )
-  port map (
-    rst         => in_rst,
-    clk         => in_clk,
-    in_align    => ref_align_en,
-    in_toggle   => ref_align_r,
-    out_toggle  => ref_r
-  );
+    generic map (
+      g_pipeline           => c_align_pipeline,
+      g_reset_value        => 0,
+      g_nof_clk_per_period => c_in_phs_clk_period
+    )
+    port map (
+      rst         => in_rst,
+      clk         => in_clk,
+      in_align    => ref_align_en,
+      in_toggle   => ref_align_r,
+      out_toggle  => ref_r
+    );
 
   u_common_toggle_align_ref_f : entity common_lib.common_toggle_align
-  generic map (
-    g_pipeline           => c_align_pipeline,
-    g_reset_value        => 0,
-    g_nof_clk_per_period => c_in_phs_clk_period
-  )
-  port map (
-    rst         => in_rst,
-    clk         => in_clk,
-    in_align    => ref_align_en,
-    in_toggle   => ref_align_f,
-    out_toggle  => ref_f
-  );
+    generic map (
+      g_pipeline           => c_align_pipeline,
+      g_reset_value        => 0,
+      g_nof_clk_per_period => c_in_phs_clk_period
+    )
+    port map (
+      rst         => in_rst,
+      clk         => in_clk,
+      in_align    => ref_align_en,
+      in_toggle   => ref_align_f,
+      out_toggle  => ref_f
+    );
 
   ------------------------------------------------------------------------------
   -- Detect the word phase
@@ -324,9 +324,9 @@ begin
 
   nxt_r.dd_raw_phs_evt <= '1' when r.prev_dd_raw_phs /= not r.dd_raw_phs else '0';
   nxt_r.dd_raw_phs_err <= '1' when TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(0) and
-                                   TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(1) and
-                                   TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(2) and
-                                   TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(3) else '0';
+                            TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(1) and
+                            TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(2) and
+                            TO_UINT(r.dd_raw_phs) /= c_exp_raw_phs_arr(3) else '0';
 
   nxt_r.dd_phs_err <= '1' when TO_UINT(r.dd_phs) /= c_exp_phs else '0';
 
@@ -343,18 +343,18 @@ begin
   -- However in simulation with tb_lvdsh_dd_phs4_align.vhd when g_dclk_drift = +2ps then best use g_delayed_lo=g_dd_phs_locked_w-1.
   -- For g_dclk_drift = 0 or -2ps it is also in simulation fine to use the similar g_delayed_lo value as in HW.
   u_common_stable_delayed : entity common_lib.common_stable_delayed
-  generic map (
-    g_active_level  => '1',
-    g_delayed_w     => g_dd_phs_locked_w,
-    g_delayed_lo    => g_dd_phs_locked_w - 3  -- must be <= g_dd_phs_locked_w-1
-  )
-  port map (
-    rst       => in_rst,
-    clk       => in_clk,
-    -- MM
-    r_in      => r.dd_phs_detected,
-    r_stable  => dd_phs_detected_ok
-  );
+    generic map (
+      g_active_level  => '1',
+      g_delayed_w     => g_dd_phs_locked_w,
+      g_delayed_lo    => g_dd_phs_locked_w - 3  -- must be <= g_dd_phs_locked_w-1
+    )
+    port map (
+      rst       => in_rst,
+      clk       => in_clk,
+      -- MM
+      r_in      => r.dd_phs_detected,
+      r_stable  => dd_phs_detected_ok
+    );
 
   -- Declare dd_phs_locked when dd_sync=0 to ensure the dd_sync will be detected when it is becoming 1 again.
   nxt_r.dd_phs_locked <= '0' when dd_phs_detected_ok = '0' else
diff --git a/libraries/io/aduh/src/vhdl/lvdsh_dd_wb4.vhd b/libraries/io/aduh/src/vhdl/lvdsh_dd_wb4.vhd
index 8039b49dd1..6bcf83e33f 100644
--- a/libraries/io/aduh/src/vhdl/lvdsh_dd_wb4.vhd
+++ b/libraries/io/aduh/src/vhdl/lvdsh_dd_wb4.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Handle the ADU-BN double data rate LVDS input interface with auto
 --          sample phase adjust for fixed g_wb_factor=4.
@@ -241,42 +241,42 @@ begin
 
   -- Reset input section when lock is lost
   u_common_pulse_extend : entity common_lib.common_pulse_extend
-  generic map (
-    g_rst_level    => '1',
-    g_p_in_level   => '1',
-    g_ep_out_level => '1',
-    g_extend_w     => c_in_rst_extend_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    p_in    => r.dp_in_rst_req,
-    ep_out  => dp_in_rst_ext
-  );
+    generic map (
+      g_rst_level    => '1',
+      g_p_in_level   => '1',
+      g_ep_out_level => '1',
+      g_extend_w     => c_in_rst_extend_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      p_in    => r.dp_in_rst_req,
+      ep_out  => dp_in_rst_ext
+    );
 
   u_common_async_in : entity common_lib.common_async
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_in_rst_delay_len
-  )
-  port map (
-    rst  => dp_in_rst_ext,
-    clk  => in_clk,
-    din  => '0',
-    dout => in_rst_cap
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_in_rst_delay_len
+    )
+    port map (
+      rst  => dp_in_rst_ext,
+      clk  => in_clk,
+      din  => '0',
+      dout => in_rst_cap
+    );
 
   u_common_async_dp : entity common_lib.common_async
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_in_rst_delay_len
-  )
-  port map (
-    rst  => in_rst_cap,
-    clk  => dp_clk,
-    din  => '0',
-    dout => dp_rst_cap
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_in_rst_delay_len
+    )
+    port map (
+      rst  => in_rst_cap,
+      clk  => dp_clk,
+      din  => '0',
+      dout => dp_rst_cap
+    );
 
   gen_hw : if g_sim = false generate
     in_rst <= in_rst_cap;
@@ -289,32 +289,32 @@ begin
 
   -- Double data rate input cell at pin, also ensures deterministic input timing
   u_common_ddio_in : entity common_lib.common_ddio_in
-  generic map (
-    g_width => g_in_dat_w
-  )
-  port map (
-    in_dat      => in_dat,
-    in_clk      => in_clk,
-    rst         => in_rst,
-    out_dat_hi  => in_dat_hi,
-    out_dat_lo  => in_dat_lo
-  );
+    generic map (
+      g_width => g_in_dat_w
+    )
+    port map (
+      in_dat      => in_dat,
+      in_clk      => in_clk,
+      rst         => in_rst,
+      out_dat_hi  => in_dat_hi,
+      out_dat_lo  => in_dat_lo
+    );
 
   u_common_ddreg : entity common_lib.common_ddreg
-  generic map (
-    g_in_delay_len    => 1,
-    g_out_delay_len   => 2 + c_meta_delay_len,
-    g_tsetup_delay_hi => c_tsetup_delay_in_hi,
-    g_tsetup_delay_lo => c_tsetup_delay_in_lo
-  )
-  port map (
-    in_clk      => dp_clk,
-    in_dat      => wb_sync,
-    rst         => in_rst,
-    out_clk     => in_clk,
-    out_dat_hi  => in_sync_hi_cap,
-    out_dat_lo  => in_sync_lo_cap
-  );
+    generic map (
+      g_in_delay_len    => 1,
+      g_out_delay_len   => 2 + c_meta_delay_len,
+      g_tsetup_delay_hi => c_tsetup_delay_in_hi,
+      g_tsetup_delay_lo => c_tsetup_delay_in_lo
+    )
+    port map (
+      in_clk      => dp_clk,
+      in_dat      => wb_sync,
+      rst         => in_rst,
+      out_clk     => in_clk,
+      out_dat_hi  => in_sync_hi_cap,
+      out_dat_lo  => in_sync_lo_cap
+    );
 
   in_sync_hi <= in_sync_hi_cap when c_swap_in_hi_lo = false else in_sync_lo_cap;
   in_sync_lo <= in_sync_lo_cap when c_swap_in_hi_lo = false else in_sync_hi_cap;
@@ -325,36 +325,36 @@ begin
 
   -- Dual clock FIFO, mixed width
   u_common_fifo_dc_mixed_widths : entity common_lib.common_fifo_dc_mixed_widths
-  generic map (
-    g_nof_words => c_in_fifo_size,  -- FIFO size in nof wr_dat words
-    g_wr_dat_w  => c_fifo_wr_dat_w,
-    g_rd_dat_w  => c_fifo_rd_dat_w
-  )
-  port map (
-    rst     => in_rst,
-    wr_clk  => in_clk,
-    wr_dat  => in_fifo_wr_dat,
-    wr_req  => in_fifo_wr_req,
-    wr_ful  => OPEN,
-    wrusedw => in_fifo_wrusedw,
-    rd_clk  => dp_clk,
-    rd_dat  => dp_fifo_rd_dat,
-    rd_req  => r.dp_fifo_rd_req,
-    rd_emp  => OPEN,
-    rdusedw => dp_fifo_rdusedw,
-    rd_val  => dp_fifo_rd_val
-  );
+    generic map (
+      g_nof_words => c_in_fifo_size,  -- FIFO size in nof wr_dat words
+      g_wr_dat_w  => c_fifo_wr_dat_w,
+      g_rd_dat_w  => c_fifo_rd_dat_w
+    )
+    port map (
+      rst     => in_rst,
+      wr_clk  => in_clk,
+      wr_dat  => in_fifo_wr_dat,
+      wr_req  => in_fifo_wr_req,
+      wr_ful  => OPEN,
+      wrusedw => in_fifo_wrusedw,
+      rd_clk  => dp_clk,
+      rd_dat  => dp_fifo_rd_dat,
+      rd_req  => r.dp_fifo_rd_req,
+      rd_emp  => OPEN,
+      rdusedw => dp_fifo_rdusedw,
+      rd_val  => dp_fifo_rd_val
+    );
 
   -- Double data rate capture dp_clk phase using wb_sync
   u_common_pulser : entity common_lib.common_pulser
-  generic map (
-    g_pulse_period => c_wb_sync_period
-  )
-  port map (
-    rst       => dp_rst,
-    clk       => dp_clk,
-    pulse_out => wb_sync
-  );
+    generic map (
+      g_pulse_period => c_wb_sync_period
+    )
+    port map (
+      rst       => dp_rst,
+      clk       => dp_clk,
+      pulse_out => wb_sync
+    );
 
   -- Extract sync and data. The FIFO output is in little endian order, symbol index [3:0] = sample [t3 t2 t1 t0]
   gen_le : for I in 0 to g_wb_factor - 1 generate
@@ -386,8 +386,8 @@ begin
     if r.be_sync = "0001" and be_sync = "1110" then nxt_r.sync_phase <= 3; end if;  -- 1E
     if r.be_sync = "1011" and be_sync = "0100" then nxt_r.sync_phase <= 5; end if;  -- B4 = swap hi lo of 78, so map to phase 4+1=5
     if r.be_sync = "0010" and be_sync = "1101" then nxt_r.sync_phase <= 7; end if;  -- 2D = swap hi lo of 1E, so map to phase 4+3=7
-                                                                                -- F0 = swap hi lo of F0, so phase 4 cannot be distinghuised from phase 0
-                                                                                -- 3C = swap hi lo of 3C, so phase 6 cannot be distinghuised from phase 2
+    -- F0 = swap hi lo of F0, so phase 4 cannot be distinghuised from phase 0
+    -- 3C = swap hi lo of 3C, so phase 6 cannot be distinghuised from phase 2
     -- Map sync_phase 0:3 and 5:7 on dat_phase 0:3
     if r.sync_phase < g_wb_factor then
       nxt_r.dat_phase <= r.sync_phase;
@@ -457,47 +457,47 @@ begin
 
   -- Measure wb_sync to dp_sync latency
   u_common_counter : entity common_lib.common_counter
-  generic map (
-    g_width => c_wb_cnt_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => wb_cnt_clr,
-    count   => wb_cnt
-  );
+    generic map (
+      g_width => c_wb_cnt_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => wb_cnt_clr,
+      count   => wb_cnt
+    );
 
   wb_cnt_clr <= dp_cnt_clr or dp_cnt_rst;
 
   u_common_switch_dp_cnt_rst : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',  -- Defines the output level at reset.
-    g_priority_lo  => false,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
-    g_or_high      => false,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
-    g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    switch_high => dp_rst_cap,
-    switch_low  => wb_sync,
-    out_level   => dp_cnt_rst
-  );
+    generic map (
+      g_rst_level    => '1',  -- Defines the output level at reset.
+      g_priority_lo  => false,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
+      g_or_high      => false,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
+      g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      switch_high => dp_rst_cap,
+      switch_low  => wb_sync,
+      out_level   => dp_cnt_rst
+    );
 
   u_common_switch_dp_cnt_clr : entity common_lib.common_switch
-  generic map (
-    g_rst_level    => '1',  -- Defines the output level at reset.
-    g_priority_lo  => true,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
-    g_or_high      => true,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
-    g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-    switch_high => r.dp_sync,
-    switch_low  => wb_sync,
-    out_level   => dp_cnt_clr
-  );
+    generic map (
+      g_rst_level    => '1',  -- Defines the output level at reset.
+      g_priority_lo  => true,  -- When TRUE then input switch_low has priority, else switch_high. Don't care when switch_high and switch_low are pulses that do not occur simultaneously.
+      g_or_high      => true,  -- When TRUE and priority hi then the registered switch_level is OR-ed with the input switch_high to get out_level, else out_level is the registered switch_level
+      g_and_low      => false  -- When TRUE and priority lo then the registered switch_level is AND-ed with the input switch_low to get out_level, else out_level is the registered switch_level
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+      switch_high => r.dp_sync,
+      switch_low  => wb_sync,
+      out_level   => dp_cnt_clr
+    );
 
   p_locked : process(r, wb_cnt)
   begin
@@ -546,45 +546,45 @@ begin
   end process;
 
   u_common_clock_phase_detector : entity common_lib.common_clock_phase_detector
-  generic map (
-    g_rising_edge    => true,
-    g_meta_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_clk    => in_clk,  -- used as data input for dp_clk
-    rst       => dp_rst,
-    clk       => dp_clk,
-    --clk       => dp_clkq,
-    phase     => dd_phase,
-    phase_det => open
-  );
+    generic map (
+      g_rising_edge    => true,
+      g_meta_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_clk    => in_clk,  -- used as data input for dp_clk
+      rst       => dp_rst,
+      clk       => dp_clk,
+      --clk       => dp_clkq,
+      phase     => dd_phase,
+      phase_det => open
+    );
 
   prev_dd_phase <= dd_phase when rising_edge(dp_clk);
   dd_phase_det <= '1' when prev_dd_phase = dd_phase else '0';
 
   u_common_stable_monitor : entity common_lib.common_stable_monitor
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- MM
-    r_in         => dd_phase_det,
-    --r_in         => r.dp_locked,
-    r_stable     => dp_stable,
-    r_stable_ack => dp_stable_ack
-  );
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- MM
+      r_in         => dd_phase_det,
+      --r_in         => r.dp_locked,
+      r_stable     => dp_stable,
+      r_stable_ack => dp_stable_ack
+    );
 
   dp_in_clk_rst(0) <= r.dp_in_rst_req;
 
   u_common_ddio_out : entity common_lib.common_ddio_out
-  generic map (
-    g_width  => 1
-  )
-  port map (
-    rst        => '0',
-    in_clk     => dp_clk,
-    in_dat_hi  => dp_in_clk_rst,
-    in_dat_lo  => dp_in_clk_rst,
-    out_dat    => i_in_clk_rst
-  );
+    generic map (
+      g_width  => 1
+    )
+    port map (
+      rst        => '0',
+      in_clk     => dp_clk,
+      in_dat_hi  => dp_in_clk_rst,
+      in_dat_lo  => dp_in_clk_rst,
+      out_dat    => i_in_clk_rst
+    );
 
 end str;
diff --git a/libraries/io/aduh/src/vhdl/lvdsh_pll.vhd b/libraries/io/aduh/src/vhdl/lvdsh_pll.vhd
index 7bfe9c479f..489554911e 100644
--- a/libraries/io/aduh/src/vhdl/lvdsh_pll.vhd
+++ b/libraries/io/aduh/src/vhdl/lvdsh_pll.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose: Handle an LVDS Rx interface on a BN using a PLL
 -- Description:
@@ -180,45 +180,45 @@ begin
 
     -- Use the release of dp_lvds_reset to start the lvds_clk_rst pulse.
     u_lvds_reset_release : entity common_lib.common_evt
-    generic map (
-      g_evt_type => "FALLING",
-      g_out_reg  => true
-    )
-    port map (
-      clk      => dp_clk,
-      in_sig   => dp_lvds_reset,
-      out_evt  => dp_lvds_reset_release
-    );
+      generic map (
+        g_evt_type => "FALLING",
+        g_out_reg  => true
+      )
+      port map (
+        clk      => dp_clk,
+        in_sig   => dp_lvds_reset,
+        out_evt  => dp_lvds_reset_release
+      );
 
     -- Keep the lvds_clk_rst active for at least 4 sample clock in case of
     -- adc08d1020, so at least 4/g_deser_factor=1 dp_clk cycles.
     u_lvds_clk_reset : entity common_lib.common_pulse_extend
-    generic map (
-      g_rst_level    => '0',
-      g_p_in_level   => '1',
-      g_ep_out_level => '1',
-      g_extend_w     => 1  -- ep_out will be active for 2**1 = 2 dp_clk cyles
-    )
-    port map (
-      clk     => dp_clk,
-      p_in    => dp_lvds_reset_release,
-      ep_out  => lvds_clk_rst
-    );
+      generic map (
+        g_rst_level    => '0',
+        g_p_in_level   => '1',
+        g_ep_out_level => '1',
+        g_extend_w     => 1  -- ep_out will be active for 2**1 = 2 dp_clk cyles
+      )
+      port map (
+        clk     => dp_clk,
+        p_in    => dp_lvds_reset_release,
+        ep_out  => lvds_clk_rst
+      );
 
     -- Release the LVDS_RX PLL reset somewhat later to be sure that the lvds_clk
     -- is active again after the lvds_clk_rst.
     u_pll_reset : entity common_lib.common_pulse_extend
-    generic map (
-      g_rst_level    => '1',
-      g_p_in_level   => '1',
-      g_ep_out_level => '1',
-      g_extend_w     => 4  -- ep_out will be active for 2**4 = 16 dp_clk cyles
-    )
-    port map (
-      clk     => dp_clk,
-      p_in    => dp_lvds_reset,
-      ep_out  => dp_pll_reset
-    );
+      generic map (
+        g_rst_level    => '1',
+        g_p_in_level   => '1',
+        g_ep_out_level => '1',
+        g_extend_w     => 4  -- ep_out will be active for 2**4 = 16 dp_clk cyles
+      )
+      port map (
+        clk     => dp_clk,
+        p_in    => dp_lvds_reset,
+        ep_out  => dp_pll_reset
+      );
   end generate;
 
   ---------------------------------------------------------------------------
@@ -228,23 +228,23 @@ begin
   no_dpa : if g_use_dpa = false generate
 
     u_lvds_rx : entity work.lvds_rx
-    generic map (
-      g_lvds_w         => g_lvds_w,
-      g_lvds_data_rate => g_lvds_data_rate,
-      g_lvds_clk_freq  => g_lvds_clk_freq,
-      g_lvds_clk_phase => g_lvds_clk_phase,
-      g_deser_factor   => g_deser_factor
-    )
-    port map (
-      pll_areset            => dp_pll_reset,  -- asynchronous, minimum pulse width is 10 ns
-      rx_cda_reset          => cda_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
-      rx_channel_data_align => rx_cda_ctrl,  -- the data slips one bit for every pulse, minimum pulse width is 1 rx_outclock cycle
-      rx_in                 => lvds_dat,
-      rx_inclock            => lvds_clk,
-      rx_locked             => pll_locked,
-      rx_out                => rx_dat,
-      rx_outclock           => rx_clk
-    );
+      generic map (
+        g_lvds_w         => g_lvds_w,
+        g_lvds_data_rate => g_lvds_data_rate,
+        g_lvds_clk_freq  => g_lvds_clk_freq,
+        g_lvds_clk_phase => g_lvds_clk_phase,
+        g_deser_factor   => g_deser_factor
+      )
+      port map (
+        pll_areset            => dp_pll_reset,  -- asynchronous, minimum pulse width is 10 ns
+        rx_cda_reset          => cda_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
+        rx_channel_data_align => rx_cda_ctrl,  -- the data slips one bit for every pulse, minimum pulse width is 1 rx_outclock cycle
+        rx_in                 => lvds_dat,
+        rx_inclock            => lvds_clk,
+        rx_locked             => pll_locked,
+        rx_out                => rx_dat,
+        rx_outclock           => rx_clk
+      );
 
     nxt_rx_eye_locked <= rx_pll_locked;
 
@@ -257,25 +257,25 @@ begin
   gen_dpa : if g_use_dpa = true generate
 
     u_lvds_rx_dpa : entity work.lvds_rx_dpa
-    generic map (
-      g_lvds_w         => g_lvds_w,
-      g_lvds_data_rate => g_lvds_data_rate,
-      g_lvds_clk_freq  => g_lvds_clk_freq,
-      g_deser_factor   => g_deser_factor
-    )
-    port map (
-         pll_areset            => dp_pll_reset,  -- asynchronous, minimum pulse width is 10 ns
-         rx_cda_reset          => cda_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
-         rx_channel_data_align => rx_cda_ctrl,  -- the data slips one bit for every pulse, minimum pulse width is 1 rx_outclock cycle
-         rx_fifo_reset         => rx_fifo_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
-         rx_in                 => lvds_dat,
-         rx_inclock            => lvds_clk,
-         rx_reset              => rx_dpa_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
-         rx_dpa_locked         => rx_dpa_locked_slv,
-         rx_locked             => pll_locked,
-         rx_out                => rx_dat,
-         rx_outclock           => rx_clk
-    );
+      generic map (
+        g_lvds_w         => g_lvds_w,
+        g_lvds_data_rate => g_lvds_data_rate,
+        g_lvds_clk_freq  => g_lvds_clk_freq,
+        g_deser_factor   => g_deser_factor
+      )
+      port map (
+        pll_areset            => dp_pll_reset,  -- asynchronous, minimum pulse width is 10 ns
+        rx_cda_reset          => cda_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
+        rx_channel_data_align => rx_cda_ctrl,  -- the data slips one bit for every pulse, minimum pulse width is 1 rx_outclock cycle
+        rx_fifo_reset         => rx_fifo_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
+        rx_in                 => lvds_dat,
+        rx_inclock            => lvds_clk,
+        rx_reset              => rx_dpa_reset_slv,  -- asynchronous, minimum pulse width is 1 rx_outclock cycle
+        rx_dpa_locked         => rx_dpa_locked_slv,
+        rx_locked             => pll_locked,
+        rx_out                => rx_dat,
+        rx_outclock           => rx_clk
+      );
 
     p_rx_dpa_reset : process(dp_pll_reset, rx_clk)
     begin
@@ -293,16 +293,16 @@ begin
     nxt_rx_eye_locked <= rx_dpa_locked;
 
     u_rx_fifo_reset_evt : entity common_lib.common_evt
-    generic map (
-      g_evt_type => "RISING",
-      g_out_reg  => true
-    )
-    port map (
-      rst      => dp_pll_reset,
-      clk      => rx_clk,
-      in_sig   => rx_eye_locked,
-      out_evt  => rx_fifo_reset  -- reset the DPA FIFO after the DPA got locked
-    );
+      generic map (
+        g_evt_type => "RISING",
+        g_out_reg  => true
+      )
+      port map (
+        rst      => dp_pll_reset,
+        clk      => rx_clk,
+        in_sig   => rx_eye_locked,
+        out_evt  => rx_fifo_reset  -- reset the DPA FIFO after the DPA got locked
+      );
 
     rx_fifo_reset_slv <= (others => rx_fifo_reset);
 
@@ -337,15 +337,15 @@ begin
 
   -- use the dp_clk to time the CDA reset to avoid the deser_factor divide phase uncertainty in the rx_clk
   u_dp_cda_reset : entity common_lib.common_async
-  generic map (
-    g_rst_level => '1'
-  )
-  port map (
-    rst  => rx_cda_reset,  -- use rx_cda_reset in rx_clk domain
-    clk  => dp_clk,
-    din  => '0',
-    dout => dp_cda_reset  -- use dp_cda_reset in dp_clk domain
-  );
+    generic map (
+      g_rst_level => '1'
+    )
+    port map (
+      rst  => rx_cda_reset,  -- use rx_cda_reset in rx_clk domain
+      clk  => dp_clk,
+      din  => '0',
+      dout => dp_cda_reset  -- use dp_cda_reset in dp_clk domain
+    );
 
   -- release the CDA reset when the LVDS data is sampled in the eye
   cda_reset_slv <= (others => dp_cda_reset) when c_use_dp_clk_for_cda_reset = true else (others => rx_cda_reset);
@@ -425,22 +425,22 @@ begin
   -----------------------------------------------------------------------------
 
   u_cross_fifo : entity common_lib.common_fifo_dc
-  generic map (
-    g_dat_w     => c_rx_dat_w,
-    g_nof_words => c_meta_fifo_depth
-  )
-  port map (
-    rst     => rx_cda_reset,
-    wr_clk  => rx_clk,
-    wr_dat  => rx_dat,
-    wr_req  => rx_val,
-    wr_ful  => OPEN,
-    wrusedw => OPEN,
-    rd_clk  => dp_clk,
-    rd_dat  => dp_dat,
-    rd_req  => '1',
-    rd_emp  => OPEN,
-    rdusedw => OPEN,
-    rd_val  => dp_val
-  );
+    generic map (
+      g_dat_w     => c_rx_dat_w,
+      g_nof_words => c_meta_fifo_depth
+    )
+    port map (
+      rst     => rx_cda_reset,
+      wr_clk  => rx_clk,
+      wr_dat  => rx_dat,
+      wr_req  => rx_val,
+      wr_ful  => OPEN,
+      wrusedw => OPEN,
+      rd_clk  => dp_clk,
+      rd_dat  => dp_dat,
+      rd_req  => '1',
+      rd_emp  => OPEN,
+      rdusedw => OPEN,
+      rd_val  => dp_val
+    );
 end rtl;
diff --git a/libraries/io/aduh/src/vhdl/mms_aduh_monitor.vhd b/libraries/io/aduh/src/vhdl/mms_aduh_monitor.vhd
index 9b9453500a..23fac91c54 100644
--- a/libraries/io/aduh/src/vhdl/mms_aduh_monitor.vhd
+++ b/libraries/io/aduh/src/vhdl/mms_aduh_monitor.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose : Monitor signal path statistics
 -- Description :
@@ -71,51 +71,51 @@ architecture str of mms_aduh_monitor is
 begin
 
   u_mm_reg : entity work.aduh_monitor_reg
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    st_rst            => st_rst,
-    st_clk            => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in            => reg_mosi,
-    sla_out           => reg_miso,
-
-    -- MM registers in st_clk domain
-    st_mon_mean_sum   => mon_mean_sum,
-    st_mon_power_sum  => mon_power_sum,
-    st_mon_sync       => mon_sync
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      st_rst            => st_rst,
+      st_clk            => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in            => reg_mosi,
+      sla_out           => reg_miso,
+
+      -- MM registers in st_clk domain
+      st_mon_mean_sum   => mon_mean_sum,
+      st_mon_power_sum  => mon_power_sum,
+      st_mon_sync       => mon_sync
+    );
 
   u_monitor : entity work.aduh_monitor
-  generic map (
-    g_symbol_w             => g_symbol_w,
-    g_nof_symbols_per_data => g_nof_symbols_per_data,
-    g_nof_accumulations    => g_nof_accumulations,
-    g_buffer_nof_symbols   => g_buffer_nof_symbols,
-    g_buffer_use_sync      => g_buffer_use_sync
-  )
-  port map (
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-
-    buf_mosi       => buf_mosi,
-    buf_miso       => buf_miso,
-
-    -- Streaming inputs
-    st_rst         => st_rst,
-    st_clk         => st_clk,
-
-    in_sosi        => in_sosi,
-
-    -- Monitor outputs
-    stat_mean_sum  => mon_mean_sum,
-    stat_pwr_sum   => mon_power_sum,
-    stat_sync      => mon_sync
-  );
+    generic map (
+      g_symbol_w             => g_symbol_w,
+      g_nof_symbols_per_data => g_nof_symbols_per_data,
+      g_nof_accumulations    => g_nof_accumulations,
+      g_buffer_nof_symbols   => g_buffer_nof_symbols,
+      g_buffer_use_sync      => g_buffer_use_sync
+    )
+    port map (
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+
+      buf_mosi       => buf_mosi,
+      buf_miso       => buf_miso,
+
+      -- Streaming inputs
+      st_rst         => st_rst,
+      st_clk         => st_clk,
+
+      in_sosi        => in_sosi,
+
+      -- Monitor outputs
+      stat_mean_sum  => mon_mean_sum,
+      stat_pwr_sum   => mon_power_sum,
+      stat_sync      => mon_sync
+    );
 
 end str;
diff --git a/libraries/io/aduh/src/vhdl/mms_aduh_monitor_arr.vhd b/libraries/io/aduh/src/vhdl/mms_aduh_monitor_arr.vhd
index 97576b4f5c..2bc8a2d786 100644
--- a/libraries/io/aduh/src/vhdl/mms_aduh_monitor_arr.vhd
+++ b/libraries/io/aduh/src/vhdl/mms_aduh_monitor_arr.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Purpose : Monitor signal path statistics (array version)
 -- Description :
@@ -73,55 +73,55 @@ architecture str of mms_aduh_monitor_arr is
 begin
 
   u_common_mem_mux_reg : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_reg_adr_w
-  )
-  port map (
-    mosi     => reg_mosi,
-    miso     => reg_miso,
-    mosi_arr => reg_mosi_arr,
-    miso_arr => reg_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_reg_adr_w
+    )
+    port map (
+      mosi     => reg_mosi,
+      miso     => reg_miso,
+      mosi_arr => reg_mosi_arr,
+      miso_arr => reg_miso_arr
+    );
 
   u_common_mem_mux_buf : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_buf_adr_w
-  )
-  port map (
-    mosi     => buf_mosi,
-    miso     => buf_miso,
-    mosi_arr => buf_mosi_arr,
-    miso_arr => buf_miso_arr
-  );
-
-  gen_aduh_monitor : for I in 0 to g_nof_streams - 1 generate
-    u_mms_aduh_monitor : entity work.mms_aduh_monitor
     generic map (
-      g_cross_clock_domain   => g_cross_clock_domain,
-      g_symbol_w             => g_symbol_w,
-      g_nof_symbols_per_data => g_nof_symbols_per_data,
-      g_nof_accumulations    => g_nof_accumulations,
-      g_buffer_nof_symbols   => g_buffer_nof_symbols,
-      g_buffer_use_sync      => g_buffer_use_sync
-   )
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_buf_adr_w
+    )
     port map (
-      -- Clocks and reset
-      mm_rst                 => mm_rst,
-      mm_clk                 => mm_clk,
-      st_rst                 => st_rst,
-      st_clk                 => st_clk,
-
-      -- Memory Mapped Slave in mm_clk domain
-      reg_mosi               => reg_mosi_arr(I),
-      reg_miso               => reg_miso_arr(I),
-      buf_mosi               => buf_mosi_arr(I),
-      buf_miso               => buf_miso_arr(I),
-
-      -- Streaming inputs
-      in_sosi                => in_sosi_arr(I)
+      mosi     => buf_mosi,
+      miso     => buf_miso,
+      mosi_arr => buf_mosi_arr,
+      miso_arr => buf_miso_arr
     );
+
+  gen_aduh_monitor : for I in 0 to g_nof_streams - 1 generate
+    u_mms_aduh_monitor : entity work.mms_aduh_monitor
+      generic map (
+        g_cross_clock_domain   => g_cross_clock_domain,
+        g_symbol_w             => g_symbol_w,
+        g_nof_symbols_per_data => g_nof_symbols_per_data,
+        g_nof_accumulations    => g_nof_accumulations,
+        g_buffer_nof_symbols   => g_buffer_nof_symbols,
+        g_buffer_use_sync      => g_buffer_use_sync
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst                 => mm_rst,
+        mm_clk                 => mm_clk,
+        st_rst                 => st_rst,
+        st_clk                 => st_clk,
+
+        -- Memory Mapped Slave in mm_clk domain
+        reg_mosi               => reg_mosi_arr(I),
+        reg_miso               => reg_miso_arr(I),
+        buf_mosi               => buf_mosi_arr(I),
+        buf_miso               => buf_miso_arr(I),
+
+        -- Streaming inputs
+        in_sosi                => in_sosi_arr(I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/aduh/src/vhdl/mms_aduh_quad.vhd b/libraries/io/aduh/src/vhdl/mms_aduh_quad.vhd
index 8132cd46f7..181546c84e 100644
--- a/libraries/io/aduh/src/vhdl/mms_aduh_quad.vhd
+++ b/libraries/io/aduh/src/vhdl/mms_aduh_quad.vhd
@@ -24,11 +24,11 @@
 
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 entity mms_aduh_quad is
   generic (
@@ -96,98 +96,98 @@ begin
   -----------------------------------------------------------------------------
 
   u_mm_reg : entity work.aduh_quad_reg
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_nof_dp_phs_clk     => g_nof_dp_phs_clk
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
-    st_rst                   => dp_rst,
-    st_clk                   => dp_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in                   => reg_mosi,
-    sla_out                  => reg_miso,
-
-    -- MM registers in st_clk domain
-    -- . ADU status
-    st_aduh_ab_status        => aduh_ab_status,
-    st_aduh_ab_locked        => aduh_ab_locked,
-    st_aduh_ab_stable        => aduh_ab_stable,
-    st_aduh_ab_stable_ack    => aduh_ab_stable_ack,
-    st_aduh_ab_control       => aduh_ab_control,
-
-    st_aduh_cd_status        => aduh_cd_status,
-    st_aduh_cd_locked        => aduh_cd_locked,
-    st_aduh_cd_stable        => aduh_cd_stable,
-    st_aduh_cd_stable_ack    => aduh_cd_stable_ack,
-    st_aduh_cd_control       => aduh_cd_control,
-
-    -- . ADU pattern verify
-    st_aduh_a_verify_res     => aduh_verify_res(0),
-    st_aduh_a_verify_res_val => aduh_verify_res_val(0),
-    st_aduh_a_verify_res_ack => aduh_verify_res_ack(0),
-
-    st_aduh_b_verify_res     => aduh_verify_res(1),
-    st_aduh_b_verify_res_val => aduh_verify_res_val(1),
-    st_aduh_b_verify_res_ack => aduh_verify_res_ack(1),
-
-    st_aduh_c_verify_res     => aduh_verify_res(2),
-    st_aduh_c_verify_res_val => aduh_verify_res_val(2),
-    st_aduh_c_verify_res_ack => aduh_verify_res_ack(2),
-
-    st_aduh_d_verify_res     => aduh_verify_res(3),
-    st_aduh_d_verify_res_val => aduh_verify_res_val(3),
-    st_aduh_d_verify_res_ack => aduh_verify_res_ack(3)
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_nof_dp_phs_clk     => g_nof_dp_phs_clk
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
+      st_rst                   => dp_rst,
+      st_clk                   => dp_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in                   => reg_mosi,
+      sla_out                  => reg_miso,
+
+      -- MM registers in st_clk domain
+      -- . ADU status
+      st_aduh_ab_status        => aduh_ab_status,
+      st_aduh_ab_locked        => aduh_ab_locked,
+      st_aduh_ab_stable        => aduh_ab_stable,
+      st_aduh_ab_stable_ack    => aduh_ab_stable_ack,
+      st_aduh_ab_control       => aduh_ab_control,
+
+      st_aduh_cd_status        => aduh_cd_status,
+      st_aduh_cd_locked        => aduh_cd_locked,
+      st_aduh_cd_stable        => aduh_cd_stable,
+      st_aduh_cd_stable_ack    => aduh_cd_stable_ack,
+      st_aduh_cd_control       => aduh_cd_control,
+
+      -- . ADU pattern verify
+      st_aduh_a_verify_res     => aduh_verify_res(0),
+      st_aduh_a_verify_res_val => aduh_verify_res_val(0),
+      st_aduh_a_verify_res_ack => aduh_verify_res_ack(0),
+
+      st_aduh_b_verify_res     => aduh_verify_res(1),
+      st_aduh_b_verify_res_val => aduh_verify_res_val(1),
+      st_aduh_b_verify_res_ack => aduh_verify_res_ack(1),
+
+      st_aduh_c_verify_res     => aduh_verify_res(2),
+      st_aduh_c_verify_res_val => aduh_verify_res_val(2),
+      st_aduh_c_verify_res_ack => aduh_verify_res_ack(2),
+
+      st_aduh_d_verify_res     => aduh_verify_res(3),
+      st_aduh_d_verify_res_val => aduh_verify_res_val(3),
+      st_aduh_d_verify_res_ack => aduh_verify_res_ack(3)
+    );
 
   u_aduh_quad : entity work.aduh_quad
-  generic map (
-    -- ADC Interface
-    g_sim            => g_sim,
-    g_nof_dp_phs_clk => g_nof_dp_phs_clk,
-    g_ai             => g_ai
-  )
-  port map (
-    -- ADC Interface
-    -- . ADU_AB
-    ADC_BI_A               => ADC_BI_A,
-    ADC_BI_B               => ADC_BI_B,
-    ADC_BI_A_CLK           => ADC_BI_A_CLK,
-    ADC_BI_A_CLK_RST       => ADC_BI_A_CLK_RST,
-
-    -- . ADU_CD
-    ADC_BI_C               => ADC_BI_C,
-    ADC_BI_D               => ADC_BI_D,
-    ADC_BI_D_CLK           => ADC_BI_D_CLK,
-    ADC_BI_D_CLK_RST       => ADC_BI_D_CLK_RST,
-
-    -- Streaming clock domain
-    dp_rst                 => dp_rst,
-    dp_clk                 => dp_clk,
-    dp_phs_clk_vec         => dp_phs_clk_vec,
-
-    -- . data
-    aduh_sosi_arr          => aduh_sosi_arr,
-
-    -- . status
-    aduh_ab_status         => aduh_ab_status,
-    aduh_ab_locked         => aduh_ab_locked,
-    aduh_ab_stable         => aduh_ab_stable,
-    aduh_ab_stable_ack     => aduh_ab_stable_ack,
-    aduh_ab_control        => aduh_ab_control,
-
-    aduh_cd_status         => aduh_cd_status,
-    aduh_cd_locked         => aduh_cd_locked,
-    aduh_cd_stable         => aduh_cd_stable,
-    aduh_cd_stable_ack     => aduh_cd_stable_ack,
-    aduh_cd_control        => aduh_cd_control,
-
-    aduh_verify_res        => aduh_verify_res,
-    aduh_verify_res_val    => aduh_verify_res_val,
-    aduh_verify_res_ack    => aduh_verify_res_ack
-  );
+    generic map (
+      -- ADC Interface
+      g_sim            => g_sim,
+      g_nof_dp_phs_clk => g_nof_dp_phs_clk,
+      g_ai             => g_ai
+    )
+    port map (
+      -- ADC Interface
+      -- . ADU_AB
+      ADC_BI_A               => ADC_BI_A,
+      ADC_BI_B               => ADC_BI_B,
+      ADC_BI_A_CLK           => ADC_BI_A_CLK,
+      ADC_BI_A_CLK_RST       => ADC_BI_A_CLK_RST,
+
+      -- . ADU_CD
+      ADC_BI_C               => ADC_BI_C,
+      ADC_BI_D               => ADC_BI_D,
+      ADC_BI_D_CLK           => ADC_BI_D_CLK,
+      ADC_BI_D_CLK_RST       => ADC_BI_D_CLK_RST,
+
+      -- Streaming clock domain
+      dp_rst                 => dp_rst,
+      dp_clk                 => dp_clk,
+      dp_phs_clk_vec         => dp_phs_clk_vec,
+
+      -- . data
+      aduh_sosi_arr          => aduh_sosi_arr,
+
+      -- . status
+      aduh_ab_status         => aduh_ab_status,
+      aduh_ab_locked         => aduh_ab_locked,
+      aduh_ab_stable         => aduh_ab_stable,
+      aduh_ab_stable_ack     => aduh_ab_stable_ack,
+      aduh_ab_control        => aduh_ab_control,
+
+      aduh_cd_status         => aduh_cd_status,
+      aduh_cd_locked         => aduh_cd_locked,
+      aduh_cd_stable         => aduh_cd_stable,
+      aduh_cd_stable_ack     => aduh_cd_stable_ack,
+      aduh_cd_control        => aduh_cd_control,
+
+      aduh_verify_res        => aduh_verify_res,
+      aduh_verify_res_val    => aduh_verify_res_val,
+      aduh_verify_res_ack    => aduh_verify_res_ack
+    );
 
 end str;
diff --git a/libraries/io/aduh/tb/vhdl/adc08d1020.vhd b/libraries/io/aduh/tb/vhdl/adc08d1020.vhd
index 8963c10892..fd800ef3a2 100644
--- a/libraries/io/aduh/tb/vhdl/adc08d1020.vhd
+++ b/libraries/io/aduh/tb/vhdl/adc08d1020.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Purpose: Behavioral model of the National adc08d1020 ADC on the ADU
 -- Description:
diff --git a/libraries/io/aduh/tb/vhdl/adu_half.vhd b/libraries/io/aduh/tb/vhdl/adu_half.vhd
index f5a3f435b2..45ab856fde 100644
--- a/libraries/io/aduh/tb/vhdl/adu_half.vhd
+++ b/libraries/io/aduh/tb/vhdl/adu_half.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use i2c_lib.i2c_dev_adu_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use i2c_lib.i2c_dev_adu_pkg.all;
 
 -- Purpose: Behavioral model of the half ADU including the rewiring on the
 --          backplane (AUB)
@@ -113,51 +113,51 @@ begin
   DCLK_RST_rewire <= DCLK_RST when c_dclk_rst_invert = false else not DCLK_RST;
 
   u_adc : entity work.adc08d1020
-  generic map (
-    g_dclk_init_phase => g_dclk_init_phase
-  )
-  port map (
-    AI              => AI,
-    AQ              => AQ,
-    AOVR            => AOVR,
-    CLK             => CLK,
-    DCLK            => DCLK,
-    DCLK_RST        => DCLK_RST_rewire,
-    DI              => DI_rewire,
-    DQ              => DQ_rewire,
-    OVR             => OVR,
-
-    test_pattern_en => test_pattern_en,
-    lvds_skew_di    => lvds_skew_di,
-    lvds_skew_dq    => lvds_skew_dq,
-    lvds_skew_ovr   => lvds_skew_ovr,
-    lvds_skew_dclk  => lvds_skew_dclk
-  );
+    generic map (
+      g_dclk_init_phase => g_dclk_init_phase
+    )
+    port map (
+      AI              => AI,
+      AQ              => AQ,
+      AOVR            => AOVR,
+      CLK             => CLK,
+      DCLK            => DCLK,
+      DCLK_RST        => DCLK_RST_rewire,
+      DI              => DI_rewire,
+      DQ              => DQ_rewire,
+      OVR             => OVR,
+
+      test_pattern_en => test_pattern_en,
+      lvds_skew_di    => lvds_skew_di,
+      lvds_skew_dq    => lvds_skew_dq,
+      lvds_skew_ovr   => lvds_skew_ovr,
+      lvds_skew_dclk  => lvds_skew_dclk
+    );
 
   -- I2C bus
   SCL <= 'H';  -- model I2C pull up
   SDA <= 'H';  -- model I2C pull up
 
   u_sens_temp : entity i2c_lib.dev_max1618
-  generic map (
-    g_address => c_max1618_address
-  )
-  port map (
-    scl  => SCL,
-    sda  => SDA,
-    temp => c_max1618_temp
-  );
+    generic map (
+      g_address => c_max1618_address
+    )
+    port map (
+      scl  => SCL,
+      sda  => SDA,
+      temp => c_max1618_temp
+    );
 
   u_io_expander : entity i2c_lib.dev_pca9555
-  generic map (
-    g_address => c_io_expander_address
-  )
-  port map (
-    scl       => SCL,
-    sda       => SDA,
-    iobank0   => iobank0,
-    iobank1   => iobank1
-  );
+    generic map (
+      g_address => c_io_expander_address
+    )
+    port map (
+      scl       => SCL,
+      sda       => SDA,
+      iobank0   => iobank0,
+      iobank1   => iobank1
+    );
 
   -- ADU interpretation of the IO expander outputs
   adu_sclk            <= iobank0(4);
diff --git a/libraries/io/aduh/tb/vhdl/tb_aduh_dd.vhd b/libraries/io/aduh/tb/vhdl/tb_aduh_dd.vhd
index 79513ec5e0..a7278f90bc 100644
--- a/libraries/io/aduh/tb/vhdl/tb_aduh_dd.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_aduh_dd.vhd
@@ -25,12 +25,12 @@
 -- > observe verify_data as hexadecimal in Wave window, shows the incrementing ADC data when lvds_skew_di = c_lvds_skew_zero and lvds_skew_dq = c_lvds_skew_zero
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 entity tb_aduh_dd is
 end tb_aduh_dd;
@@ -60,10 +60,55 @@ architecture tb of tb_aduh_dd is
   --  deskew      : t_c_aduh_delays;  -- = (0, 0, (OTHERS=>0), (OTHERS=>0), (OTHERS=>0), (OTHERS=>0))  -- clock: a, b, data: a, b, c, d
   --END RECORD;
   --CONSTANT c_ai                  : t_c_aduh_dd_ai := c_aduh_dd_ai;  -- use defaults
-  constant c_ai                  : t_c_aduh_dd_ai := (4, 2, 2, c_adc_w, 2, 2, true, false, (7, 7, (0, 1, 2, 3, 4, 5, 6, 7),
-                                                                                                  (0, 1, 2, 3, 4, 5, 6, 7),
-                                                                                                  (0, 1, 2, 3, 4, 5, 6, 7),
-                                                                                                  (0, 1, 2, 3, 4, 5, 6, 7)));  -- use defaults, compensate for c_lvds_skew_init
+  constant c_ai                  : t_c_aduh_dd_ai := (
+    4,
+    2,
+    2,
+    c_adc_w,
+    2,
+    2,
+    true,
+    false,
+    (
+      7,
+      7,
+      (
+        0,
+        1,
+        2,
+        3,
+        4,
+        5,
+        6,
+        7),
+      (
+        0,
+        1,
+        2,
+        3,
+        4,
+        5,
+        6,
+        7),
+      (
+        0,
+        1,
+        2,
+        3,
+        4,
+        5,
+        6,
+        7),
+      (
+        0,
+        1,
+        2,
+        3,
+        4,
+        5,
+        6,
+        7))
+  );  -- use defaults, compensate for c_lvds_skew_init
 
   constant c_dp_factor           : natural := c_ai.rx_factor * c_ai.dd_factor;  -- = 4 = 2 * 2
 
@@ -156,42 +201,42 @@ begin
 
   -- ADU model with National ADC and including backplane rewiring on AUB
   u_adu_AB : entity work.adu_half
-  generic map (
-    g_dclk_init_phase => c_dclk_init_phase_a
-  )
-  port map (
-    AI              => TO_SINT(ANA_A),
-    AQ              => TO_SINT(ANA_B),
-    CLK             => SCLK,
-    DCLK            => DCLK_AB,
-    DCLK_RST        => DCLK_RST_AB,
-    DI              => DIG_A,
-    DQ              => DIG_B,
-
-    test_pattern_en => test_pattern_en,
-    lvds_skew_di    => lvds_skew_di,
-    lvds_skew_dq    => lvds_skew_dq,
-    lvds_skew_dclk  => lvds_skew_dclk
-  );
+    generic map (
+      g_dclk_init_phase => c_dclk_init_phase_a
+    )
+    port map (
+      AI              => TO_SINT(ANA_A),
+      AQ              => TO_SINT(ANA_B),
+      CLK             => SCLK,
+      DCLK            => DCLK_AB,
+      DCLK_RST        => DCLK_RST_AB,
+      DI              => DIG_A,
+      DQ              => DIG_B,
+
+      test_pattern_en => test_pattern_en,
+      lvds_skew_di    => lvds_skew_di,
+      lvds_skew_dq    => lvds_skew_dq,
+      lvds_skew_dclk  => lvds_skew_dclk
+    );
 
   u_adu_CD : entity work.adu_half
-  generic map (
-    g_dclk_init_phase => c_dclk_init_phase_b
-  )
-  port map (
-    AI              => TO_SINT(ANA_C),
-    AQ              => TO_SINT(ANA_D),
-    CLK             => SCLK,
-    DCLK            => DCLK_CD,
-    DCLK_RST        => DCLK_RST_CD,
-    DI              => DIG_C,
-    DQ              => DIG_D,
-
-    test_pattern_en => test_pattern_en,
-    lvds_skew_di    => lvds_skew_di,
-    lvds_skew_dq    => lvds_skew_dq,
-    lvds_skew_dclk  => lvds_skew_dclk
-  );
+    generic map (
+      g_dclk_init_phase => c_dclk_init_phase_b
+    )
+    port map (
+      AI              => TO_SINT(ANA_C),
+      AQ              => TO_SINT(ANA_D),
+      CLK             => SCLK,
+      DCLK            => DCLK_CD,
+      DCLK_RST        => DCLK_RST_CD,
+      DI              => DIG_C,
+      DQ              => DIG_D,
+
+      test_pattern_en => test_pattern_en,
+      lvds_skew_di    => lvds_skew_di,
+      lvds_skew_dq    => lvds_skew_dq,
+      lvds_skew_dclk  => lvds_skew_dclk
+    );
 
   -----------------------------------------------------------------------------
   -- Stimuli
@@ -223,40 +268,40 @@ begin
   end generate;
 
   u_aduh_dd : entity work.aduh_dd
-  generic map (
-    g_ai => c_ai
-  )
-  port map (
-    -- LVDS Interface
-    -- . g_ai.nof_sp = 4, fixed support 4 signal paths A,B,C,D
-    ADC_BI_A         => DIG_A,
-    ADC_BI_B         => DIG_B,
-    ADC_BI_C         => DIG_C,
-    ADC_BI_D         => DIG_D,
-
-    ADC_BI_A_CLK     => DCLK_AB,
-    ADC_BI_D_CLK     => DCLK_CD,
-
-    ADC_BI_A_CLK_RST => DCLK_RST_AB,
-    ADC_BI_D_CLK_RST => DCLK_RST_CD,
-
-    -- MM Interface
-    ab_locked        => ab_locked,
-    ab_stable        => ab_stable,
-    ab_stable_ack    => ab_stable_ack,
-
-    cd_locked        => cd_locked,
-    cd_stable        => cd_stable,
-    cd_stable_ack    => cd_stable_ack,
-
-    -- DP Interface
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    dp_phs_clk_vec   => dp_phs_clk_vec,
-
-    -- . Streaming
-    src_out_arr      => dp_sosi_arr
-  );
+    generic map (
+      g_ai => c_ai
+    )
+    port map (
+      -- LVDS Interface
+      -- . g_ai.nof_sp = 4, fixed support 4 signal paths A,B,C,D
+      ADC_BI_A         => DIG_A,
+      ADC_BI_B         => DIG_B,
+      ADC_BI_C         => DIG_C,
+      ADC_BI_D         => DIG_D,
+
+      ADC_BI_A_CLK     => DCLK_AB,
+      ADC_BI_D_CLK     => DCLK_CD,
+
+      ADC_BI_A_CLK_RST => DCLK_RST_AB,
+      ADC_BI_D_CLK_RST => DCLK_RST_CD,
+
+      -- MM Interface
+      ab_locked        => ab_locked,
+      ab_stable        => ab_stable,
+      ab_stable_ack    => ab_stable_ack,
+
+      cd_locked        => cd_locked,
+      cd_stable        => cd_stable,
+      cd_stable_ack    => cd_stable_ack,
+
+      -- DP Interface
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      dp_phs_clk_vec   => dp_phs_clk_vec,
+
+      -- . Streaming
+      src_out_arr      => dp_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- Verify dp_sosi_arr
diff --git a/libraries/io/aduh/tb/vhdl/tb_aduh_mean_sum.vhd b/libraries/io/aduh/tb/vhdl/tb_aduh_mean_sum.vhd
index 2c6e3a86b4..beecd0f6fb 100644
--- a/libraries/io/aduh/tb/vhdl/tb_aduh_mean_sum.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_aduh_mean_sum.vhd
@@ -20,14 +20,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 -- Usage:
@@ -97,8 +97,8 @@ begin
   -- ST domain
   gen_random_control : if g_random_control = true generate
     random_0 <= func_common_random(random_0) when rising_edge(clk);
-    --st_en    <= NOT st_en WHEN rising_edge(clk);
-    --st_en    <= random_0(random_0'HIGH);
+  --st_en    <= NOT st_en WHEN rising_edge(clk);
+  --st_en    <= random_0(random_0'HIGH);
   end generate;  -- else the st_en line is always active
 
   p_st_stimuli : process
@@ -138,26 +138,26 @@ begin
   end process;
 
   u_dut : entity work.aduh_mean_sum
-  generic map (
-    g_symbol_w             => c_symbol_w,
-    g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-    g_nof_accumulations    => c_nof_accumulations,  -- integration time in symbols
-    g_sum_truncate         => c_sum_truncate,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
-    g_sum_w                => c_sum_w  -- typcially MM word width = 32
-  )
-  port map (
-    clk         => clk,
-    rst         => rst,
-
-    -- Streaming inputs
-    in_data     => in_sosi.data(c_data_w - 1 downto 0),
-    in_val      => in_sosi.valid,
-    in_sync     => in_sosi.sync,
-
-    -- Accumulation outputs
-    sum         => sum,
-    sum_sync    => sum_sync
-  );
+    generic map (
+      g_symbol_w             => c_symbol_w,
+      g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+      g_nof_accumulations    => c_nof_accumulations,  -- integration time in symbols
+      g_sum_truncate         => c_sum_truncate,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
+      g_sum_w                => c_sum_w  -- typcially MM word width = 32
+    )
+    port map (
+      clk         => clk,
+      rst         => rst,
+
+      -- Streaming inputs
+      in_data     => in_sosi.data(c_data_w - 1 downto 0),
+      in_val      => in_sosi.valid,
+      in_sync     => in_sosi.sync,
+
+      -- Accumulation outputs
+      sum         => sum,
+      sum_sync    => sum_sync
+    );
 
   -- Only verify sum for a few sum_sync
   p_verify_sum : process
diff --git a/libraries/io/aduh/tb/vhdl/tb_aduh_pll.vhd b/libraries/io/aduh/tb/vhdl/tb_aduh_pll.vhd
index f92cba4f71..33f5166faa 100644
--- a/libraries/io/aduh/tb/vhdl/tb_aduh_pll.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_aduh_pll.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.aduh_pll_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.aduh_pll_pkg.all;
 
 entity tb_aduh_pll is
 end tb_aduh_pll;
@@ -82,12 +82,12 @@ architecture tb of tb_aduh_pll is
   --   dp_deser_factor   : NATURAL;  -- = 4;     -- The Data Path clock dp_clk frequency is 200 MHz, so lvds_data_rate / 4
   -- END RECORD;
   -- Uncomment one of the c_ai settings:
---   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, FALSE, FALSE, FALSE,  0, 1, 4, 4);  -- model no dpa,  use   dp_clk, lvds_clk_phase =  0
---   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, FALSE,  TRUE, FALSE,  0, 2, 2, 4);  -- model no dpa,  use lvds_clk, lvds_clk_phase =  0
---   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, FALSE,  TRUE, FALSE, 90, 2, 2, 4);  -- model no dpa,  use lvds_clk, lvds_clk_phase = 90
---   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800,  TRUE, FALSE, FALSE,  0, 1, 4, 4);  -- model use dpa, use   dp_clk
+  --   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, FALSE, FALSE, FALSE,  0, 1, 4, 4);  -- model no dpa,  use   dp_clk, lvds_clk_phase =  0
+  --   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, FALSE,  TRUE, FALSE,  0, 2, 2, 4);  -- model no dpa,  use lvds_clk, lvds_clk_phase =  0
+  --   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800, FALSE,  TRUE, FALSE, 90, 2, 2, 4);  -- model no dpa,  use lvds_clk, lvds_clk_phase = 90
+  --   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800,  TRUE, FALSE, FALSE,  0, 1, 4, 4);  -- model use dpa, use   dp_clk
   constant c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800,  true,  true, false,  0, 2, 2, 4);  -- model use dpa, use lvds_clk
---   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800,  TRUE,  TRUE,  TRUE,  0, 2, 2, 4);  -- model use dpa, use lvds_clk, use lvds_clk_rst
+  --   CONSTANT c_ai   : t_c_aduh_pll_ai := (4, 2, 2, 8, 0, 800,  TRUE,  TRUE,  TRUE,  0, 2, 2, 4);  -- model use dpa, use lvds_clk, use lvds_clk_rst
 
   -- Conclusion on c_ai:
   -- . Using DPA is preferred.
@@ -100,7 +100,7 @@ architecture tb of tb_aduh_pll is
 
   constant c_pll_reset_time      : time := 20 ns;  -- minimum 10 ns
   constant c_measurement_period  : time := 50 us;  -- minimum depends on number of data line toggles for DPA, which can take 10-s of us for MSbit counter data,
-                                                     -- because DPA lock is only achieved after about 256 toggles
+  -- because DPA lock is only achieved after about 256 toggles
 
   constant c_lvds_skew_zero      : t_natural_arr(7 downto 0) := ( 0,  0,  0,  0,  0,  0,  0,  0);  -- ps unit
   constant c_lvds_skew_init      : t_natural_arr(7 downto 0) := (70, 60, 50, 40, 30, 20, 10,  0);  -- ps unit
@@ -171,11 +171,11 @@ begin
   -----------------------------------------------------------------------------
 
   -- Same analogue reference signal for all ADC, use incrementing data to ease the verification
---   ANA_DAT <= NOT ANA_DAT WHEN rising_edge(SCLK);
---   ANA_A   <= ANA_DAT;
---   ANA_B   <= ANA_DAT;
---   ANA_C   <= ANA_DAT;
---   ANA_D   <= ANA_DAT;
+  --   ANA_DAT <= NOT ANA_DAT WHEN rising_edge(SCLK);
+  --   ANA_A   <= ANA_DAT;
+  --   ANA_B   <= ANA_DAT;
+  --   ANA_C   <= ANA_DAT;
+  --   ANA_D   <= ANA_DAT;
   ANA_DAT <= INCR_UVEC(ANA_DAT, 1) when rising_edge(SCLK);
   ANA_A   <= INCR_UVEC(ANA_DAT, 0 * c_ana_diff);
   ANA_B   <= INCR_UVEC(ANA_DAT, 1 * c_ana_diff);
@@ -188,48 +188,48 @@ begin
 
   -- National ADC
   u_adu_AB : entity work.adc08d1020
-  generic map (
-    g_dclk_init_phase => 0
-  )
-  port map (
-    AI              => TO_SINT(ANA_A),
-    AQ              => TO_SINT(ANA_B),
-    AOVR            => ANA_OVR,
-    CLK             => SCLK,
-    DCLK            => DCLK_AB,
-    DCLK_RST        => '0',
-    DI              => DIG_A,
-    DQ              => DIG_B,
-    OVR             => DIG_OVR_AB,
-
-    test_pattern_en => test_pattern_en,
-    lvds_skew_di    => lvds_skew_di,
-    lvds_skew_dq    => lvds_skew_dq,
-    lvds_skew_ovr   => lvds_skew_ovr,
-    lvds_skew_dclk  => lvds_skew_dclk
-  );
+    generic map (
+      g_dclk_init_phase => 0
+    )
+    port map (
+      AI              => TO_SINT(ANA_A),
+      AQ              => TO_SINT(ANA_B),
+      AOVR            => ANA_OVR,
+      CLK             => SCLK,
+      DCLK            => DCLK_AB,
+      DCLK_RST        => '0',
+      DI              => DIG_A,
+      DQ              => DIG_B,
+      OVR             => DIG_OVR_AB,
+
+      test_pattern_en => test_pattern_en,
+      lvds_skew_di    => lvds_skew_di,
+      lvds_skew_dq    => lvds_skew_dq,
+      lvds_skew_ovr   => lvds_skew_ovr,
+      lvds_skew_dclk  => lvds_skew_dclk
+    );
 
   u_adu_CD : entity work.adc08d1020
-  generic map (
-    g_dclk_init_phase => sel_a_b(c_model_dclk_phase, 1, 0)
-  )
-  port map (
-    AI              => TO_SINT(ANA_C),
-    AQ              => TO_SINT(ANA_D),
-    AOVR            => ANA_OVR,
-    CLK             => SCLK,
-    DCLK            => DCLK_CD,
-    DCLK_RST        => DCLK_RST_CD,
-    DI              => DIG_C,
-    DQ              => DIG_D,
-    OVR             => DIG_OVR_CD,
-
-    test_pattern_en => test_pattern_en,
-    lvds_skew_di    => lvds_skew_di,
-    lvds_skew_dq    => lvds_skew_dq,
-    lvds_skew_ovr   => lvds_skew_ovr,
-    lvds_skew_dclk  => lvds_skew_dclk
-  );
+    generic map (
+      g_dclk_init_phase => sel_a_b(c_model_dclk_phase, 1, 0)
+    )
+    port map (
+      AI              => TO_SINT(ANA_C),
+      AQ              => TO_SINT(ANA_D),
+      AOVR            => ANA_OVR,
+      CLK             => SCLK,
+      DCLK            => DCLK_CD,
+      DCLK_RST        => DCLK_RST_CD,
+      DI              => DIG_C,
+      DQ              => DIG_D,
+      OVR             => DIG_OVR_CD,
+
+      test_pattern_en => test_pattern_en,
+      lvds_skew_di    => lvds_skew_di,
+      lvds_skew_dq    => lvds_skew_dq,
+      lvds_skew_ovr   => lvds_skew_ovr,
+      lvds_skew_dclk  => lvds_skew_dclk
+    );
 
   -----------------------------------------------------------------------------
   -- Stimuli
@@ -239,9 +239,9 @@ begin
   dp_clk <= transport dp_clk_ref after c_dp_clk_skew * 1 ps;
 
   -- Uncomment to try different CDA settings then the init default:
---   dp_cda_settings <= (0, 1, 2, 3, 0, 1, 2, 3,   1, 1, 1, 1, 2, 2, 2, 2,   2, 2, 2, 2, 3, 3, 3, 3,   0, 3, 1, 3, 4, 2, 2, 0);
---   dp_cda_settings <= (0, 0, 0, 0, 0, 0, 0, 0,   1, 1, 1, 1, 1, 1, 1, 1,   2, 2, 2, 2, 2, 2, 2, 2,   3, 3, 3, 3, 3, 3, 3, 3);
---   dp_cda_settings <= (0, 0, 0, 0, 0, 0, 0, 0,   0, 0, 0, 0, 0, 0, 0, 0,   0, 0, 0, 0, 0, 0, 0, 0,   0, 0, 0, 0, 0, 0, 0, 0);
+  --   dp_cda_settings <= (0, 1, 2, 3, 0, 1, 2, 3,   1, 1, 1, 1, 2, 2, 2, 2,   2, 2, 2, 2, 3, 3, 3, 3,   0, 3, 1, 3, 4, 2, 2, 0);
+  --   dp_cda_settings <= (0, 0, 0, 0, 0, 0, 0, 0,   1, 1, 1, 1, 1, 1, 1, 1,   2, 2, 2, 2, 2, 2, 2, 2,   3, 3, 3, 3, 3, 3, 3, 3);
+  --   dp_cda_settings <= (0, 0, 0, 0, 0, 0, 0, 0,   0, 0, 0, 0, 0, 0, 0, 0,   0, 0, 0, 0, 0, 0, 0, 0,   0, 0, 0, 0, 0, 0, 0, 0);
 
   p_dp_restart : process
   begin
@@ -310,7 +310,7 @@ begin
         end if;
       end if;
 
-      -- End of the measurement
+    -- End of the measurement
     end loop;
     wait;
   end process;
@@ -324,37 +324,37 @@ begin
   -- simplifcation. Any skew between the ADC will be constant and can then be
   -- compensated for in a fixed, preset way.
   dut : entity work.aduh_pll
-  generic map (
-    g_ai => c_ai
-  )
-  port map (
-    -- PHY ADU Interface
-
-    -- . ADU_AB
-    ADC_BI_AB_OVR    => '0',
-    ADC_BI_A         => DIG_A,
-    ADC_BI_B         => DIG_B,
-    ADC_BI_A_CLK     => DCLK_AB,
-    ADC_BI_A_CLK_RST => DCLK_RST_AB,
-
-    -- . ADU_CD
-    ADC_BI_CD_OVR    => '0',
-    ADC_BI_C         => DIG_C,
-    ADC_BI_D         => DIG_D,
-    ADC_BI_D_CLK     => DCLK_CD,
-    ADC_BI_D_CLK_RST => DCLK_RST_CD,
-
-    -- DP Interface
-    dp_clk           => dp_clk,
-
-    -- . Control
-    restart          => dp_restart,
-    delay_settings   => dp_delay_settings,
-    cda_settings     => dp_cda_settings,
-
-    -- . Streaming
-    src_out          => dp_sosi  -- = [0:3] = ADC_BI ports [A,B,C,D]
-  );
+    generic map (
+      g_ai => c_ai
+    )
+    port map (
+      -- PHY ADU Interface
+
+      -- . ADU_AB
+      ADC_BI_AB_OVR    => '0',
+      ADC_BI_A         => DIG_A,
+      ADC_BI_B         => DIG_B,
+      ADC_BI_A_CLK     => DCLK_AB,
+      ADC_BI_A_CLK_RST => DCLK_RST_AB,
+
+      -- . ADU_CD
+      ADC_BI_CD_OVR    => '0',
+      ADC_BI_C         => DIG_C,
+      ADC_BI_D         => DIG_D,
+      ADC_BI_D_CLK     => DCLK_CD,
+      ADC_BI_D_CLK_RST => DCLK_RST_CD,
+
+      -- DP Interface
+      dp_clk           => dp_clk,
+
+      -- . Control
+      restart          => dp_restart,
+      delay_settings   => dp_delay_settings,
+      cda_settings     => dp_cda_settings,
+
+      -- . Streaming
+      src_out          => dp_sosi  -- = [0:3] = ADC_BI ports [A,B,C,D]
+    );
 
 
   -----------------------------------------------------------------------------
diff --git a/libraries/io/aduh/tb/vhdl/tb_aduh_power_sum.vhd b/libraries/io/aduh/tb/vhdl/tb_aduh_power_sum.vhd
index 67e348fa56..33151cb05e 100644
--- a/libraries/io/aduh/tb/vhdl/tb_aduh_power_sum.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_aduh_power_sum.vhd
@@ -20,14 +20,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 
 -- Usage:
@@ -94,8 +94,8 @@ begin
   -- ST domain
   gen_random_control : if g_random_control = true generate
     random_0 <= func_common_random(random_0) when rising_edge(clk);
-    --st_en    <= NOT st_en WHEN rising_edge(clk);
-    --st_en    <= random_0(random_0'HIGH);
+  --st_en    <= NOT st_en WHEN rising_edge(clk);
+  --st_en    <= random_0(random_0'HIGH);
   end generate;  -- else the st_en line is always active
 
   p_st_stimuli : process
@@ -136,26 +136,26 @@ begin
   end process;
 
   u_dut : entity work.aduh_power_sum
-  generic map (
-    g_symbol_w             => c_symbol_w,
-    g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-    g_nof_accumulations    => c_nof_accumulations,  -- integration time in symbols
-    g_pwr_sum_truncate     => c_pwr_sum_truncate,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
-    g_pwr_sum_w            => c_pwr_sum_w  -- typcially MM word width = 32
-  )
-  port map (
-    clk          => clk,
-    rst          => rst,
-
-    -- Streaming inputs
-    in_data      => in_sosi.data(c_data_w - 1 downto 0),
-    in_val       => in_sosi.valid,
-    in_sync      => in_sosi.sync,
-
-    -- Accumulation outputs
-    pwr_sum      => pwr_sum,
-    pwr_sum_sync => pwr_sum_sync
-  );
+    generic map (
+      g_symbol_w             => c_symbol_w,
+      g_nof_symbols_per_data => g_nof_symbols_per_data,  -- big endian in_data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+      g_nof_accumulations    => c_nof_accumulations,  -- integration time in symbols
+      g_pwr_sum_truncate     => c_pwr_sum_truncate,  -- when TRUE truncate (keep MS part) else resize (keep sign and LS part)
+      g_pwr_sum_w            => c_pwr_sum_w  -- typcially MM word width = 32
+    )
+    port map (
+      clk          => clk,
+      rst          => rst,
+
+      -- Streaming inputs
+      in_data      => in_sosi.data(c_data_w - 1 downto 0),
+      in_val       => in_sosi.valid,
+      in_sync      => in_sosi.sync,
+
+      -- Accumulation outputs
+      pwr_sum      => pwr_sum,
+      pwr_sum_sync => pwr_sum_sync
+    );
 
   -- Only verify pwr_sum for a few pwr_sum_sync
   p_verify_sum : process
diff --git a/libraries/io/aduh/tb/vhdl/tb_aduh_verify.vhd b/libraries/io/aduh/tb/vhdl/tb_aduh_verify.vhd
index 904dbb3f90..4150a2cc76 100644
--- a/libraries/io/aduh/tb/vhdl/tb_aduh_verify.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_aduh_verify.vhd
@@ -25,11 +25,11 @@
 -- > p_verify_res should report no errors
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 entity tb_aduh_verify is
 end tb_aduh_verify;
@@ -116,42 +116,42 @@ begin
   dp_sosi_arr      <= aduh_sosi_arr when dp_val = '1' else (others => c_dp_sosi_rst);
 
   u_verify_a : entity work.aduh_verify
-  generic map (
-    g_symbol_w             => c_ai.port_w,  -- = 8, fixed
-    g_nof_symbols_per_data => c_dp_factor  -- = 4, fixed, big endian in_sosi.data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-
-    -- ST input
-    in_sosi        => dp_sosi_arr(0),
-
-    -- Static control input (connect via MM or leave open to use default)
-    pattern_sel    => a_pattern_sel,
-    verify_res     => a_verify_res,
-    verify_res_val => a_verify_res_val,
-    verify_res_ack => a_verify_res_ack
-  );
+    generic map (
+      g_symbol_w             => c_ai.port_w,  -- = 8, fixed
+      g_nof_symbols_per_data => c_dp_factor  -- = 4, fixed, big endian in_sosi.data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+
+      -- ST input
+      in_sosi        => dp_sosi_arr(0),
+
+      -- Static control input (connect via MM or leave open to use default)
+      pattern_sel    => a_pattern_sel,
+      verify_res     => a_verify_res,
+      verify_res_val => a_verify_res_val,
+      verify_res_ack => a_verify_res_ack
+    );
 
   u_verify_b : entity work.aduh_verify
-  generic map (
-    g_symbol_w             => c_ai.port_w,  -- = 8, fixed
-    g_nof_symbols_per_data => c_dp_factor  -- = 4, fixed, big endian in_sosi.data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
-  )
-  port map (
-    rst            => dp_rst,
-    clk            => dp_clk,
-
-    -- ST input
-    in_sosi        => dp_sosi_arr(1),
-
-    -- Static control input (connect via MM or leave open to use default)
-    pattern_sel    => b_pattern_sel,
-    verify_res     => b_verify_res,
-    verify_res_val => b_verify_res_val,
-    verify_res_ack => b_verify_res_ack
-  );
+    generic map (
+      g_symbol_w             => c_ai.port_w,  -- = 8, fixed
+      g_nof_symbols_per_data => c_dp_factor  -- = 4, fixed, big endian in_sosi.data, t0 in MSSymbol, so [h:0] = [t0]&[t1]&[t2]&[t3]
+    )
+    port map (
+      rst            => dp_rst,
+      clk            => dp_clk,
+
+      -- ST input
+      in_sosi        => dp_sosi_arr(1),
+
+      -- Static control input (connect via MM or leave open to use default)
+      pattern_sel    => b_pattern_sel,
+      verify_res     => b_verify_res,
+      verify_res_val => b_verify_res_val,
+      verify_res_ack => b_verify_res_ack
+    );
 
   -- Use verify_ok to check that the verify_res is valid and indicates OK when expected
   p_verify_res_ok : process(dp_clk)
@@ -192,15 +192,15 @@ begin
 
   -- National ADC
   u_adc : entity work.adu_half
-  port map (
-    CLK             => SCLK,
-    DCLK            => DCLK,
-    DCLK_RST        => '0',
-    DI              => DIG_A,
-    DQ              => DIG_B,
+    port map (
+      CLK             => SCLK,
+      DCLK            => DCLK,
+      DCLK_RST        => '0',
+      DI              => DIG_A,
+      DQ              => DIG_B,
 
-    test_pattern_en => test_pattern_en
-  );
+      test_pattern_en => test_pattern_en
+    );
 
 
   -----------------------------------------------------------------------------
@@ -232,29 +232,29 @@ begin
   end generate;
 
   u_aduh_dd : entity work.aduh_dd
-  generic map (
-    g_ai => c_ai
-  )
-  port map (
-    -- LVDS Interface
-    -- . g_ai.nof_sp = 4, fixed support 4 signal paths A,B,C,D, but only use and connect A,B here
-    ADC_BI_A         => DIG_A,
-    ADC_BI_B         => DIG_B,
-
-    ADC_BI_A_CLK     => DCLK,
-
-    -- MM Interface
-    ab_locked        => ab_locked,
-    ab_stable        => ab_stable,
-    ab_stable_ack    => ab_stable_ack,
-
-    -- DP Interface
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    dp_phs_clk_vec   => dp_phs_clk_vec,
-
-    -- . Streaming
-    src_out_arr      => aduh_sosi_arr
-  );
+    generic map (
+      g_ai => c_ai
+    )
+    port map (
+      -- LVDS Interface
+      -- . g_ai.nof_sp = 4, fixed support 4 signal paths A,B,C,D, but only use and connect A,B here
+      ADC_BI_A         => DIG_A,
+      ADC_BI_B         => DIG_B,
+
+      ADC_BI_A_CLK     => DCLK,
+
+      -- MM Interface
+      ab_locked        => ab_locked,
+      ab_stable        => ab_stable,
+      ab_stable_ack    => ab_stable_ack,
+
+      -- DP Interface
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      dp_phs_clk_vec   => dp_phs_clk_vec,
+
+      -- . Streaming
+      src_out_arr      => aduh_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd.vhd b/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd.vhd
index 30187c3d76..611b75d022 100644
--- a/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_lvdsh_dd is
 end tb_lvdsh_dd;
@@ -83,20 +83,20 @@ begin
   -- Briefly disable the in_clk to verify rx_locked and rx_stable
   tb_end <= '0',    '1' after c_in_clk_period * 10000;
   in_clk_en <= '1', '0' after c_in_clk_period * 1000,
-                    '1' after c_in_clk_period * 1100,
-                    '0' after c_in_clk_period * 1200,
-                    '1' after c_in_clk_period * 1700,
-                    '0' after c_in_clk_period * 2300,
-                    '1' after c_in_clk_period * 2400;
+               '1' after c_in_clk_period * 1100,
+               '0' after c_in_clk_period * 1200,
+               '1' after c_in_clk_period * 1700,
+               '0' after c_in_clk_period * 2300,
+               '1' after c_in_clk_period * 2400;
   in_clk_act <= in_clk and in_clk_en;
 
   -- Pulse rx_stable_ack to acknowledge rx_stable and re-enable it
   rx_stable_ack <= '0', '1' after c_rx_clk_period * 100,
-                        '0' after c_rx_clk_period * 101,
-                        '1' after c_rx_clk_period * 1250,
-                        '0' after c_rx_clk_period * 1251,
-                        '1' after c_rx_clk_period * 3000,
-                        '0' after c_rx_clk_period * 3001;
+                   '0' after c_rx_clk_period * 101,
+                   '1' after c_rx_clk_period * 1250,
+                   '0' after c_rx_clk_period * 1251,
+                   '1' after c_rx_clk_period * 3000,
+                   '0' after c_rx_clk_period * 3001;
 
   rx_clk <= not rx_clk or tb_end after c_rx_clk_period / 2;
   rx_rst <= '1', '0' after c_rx_clk_period * 7;
@@ -104,39 +104,39 @@ begin
   in_dat <= INCR_UVEC(in_dat, 1) when rising_edge(sp_clk);
 
   u_dut : entity work.lvdsh_dd
-  generic map (
-    g_in_dat_w         => c_in_dat_w,
-    g_in_dat_delay_arr => c_in_dat_delay_arr,
-    g_in_clk_delay     => c_in_clk_delay,
-    g_rx_big_endian    => c_rx_big_endian,
-    g_rx_factor        => c_rx_factor,
-    g_rx_fifo_size     => c_rx_fifo_size,
-    g_rx_fifo_fill     => c_rx_fifo_fill
-  )
-  port map (
-    -- PHY input delay config clock
-    config_rst    => config_rst,
-    config_clk    => config_clk,
-
-    -- PHY input interface
-    in_clk        => in_clk_act,
-    in_dat        => in_dat,
-    in_clk_rst    => in_clk_rst,
-
-    -- DD domain output interface (no FIFO)
-    out_clk       => out_clk,
-    out_dat_hi    => out_dat_hi,
-    out_dat_lo    => out_dat_lo,
-
-    -- DD --> Rx domain interface at in_clk rate or g_rx_factor lower rate (via FIFO)
-    rx_rst        => rx_rst,
-    rx_clk        => rx_clk,
-    rx_dat        => rx_dat,
-    rx_val        => rx_val,
-
-    rx_locked     => rx_locked,
-    rx_stable     => rx_stable,
-    rx_stable_ack => rx_stable_ack
-  );
+    generic map (
+      g_in_dat_w         => c_in_dat_w,
+      g_in_dat_delay_arr => c_in_dat_delay_arr,
+      g_in_clk_delay     => c_in_clk_delay,
+      g_rx_big_endian    => c_rx_big_endian,
+      g_rx_factor        => c_rx_factor,
+      g_rx_fifo_size     => c_rx_fifo_size,
+      g_rx_fifo_fill     => c_rx_fifo_fill
+    )
+    port map (
+      -- PHY input delay config clock
+      config_rst    => config_rst,
+      config_clk    => config_clk,
+
+      -- PHY input interface
+      in_clk        => in_clk_act,
+      in_dat        => in_dat,
+      in_clk_rst    => in_clk_rst,
+
+      -- DD domain output interface (no FIFO)
+      out_clk       => out_clk,
+      out_dat_hi    => out_dat_hi,
+      out_dat_lo    => out_dat_lo,
+
+      -- DD --> Rx domain interface at in_clk rate or g_rx_factor lower rate (via FIFO)
+      rx_rst        => rx_rst,
+      rx_clk        => rx_clk,
+      rx_dat        => rx_dat,
+      rx_val        => rx_val,
+
+      rx_locked     => rx_locked,
+      rx_stable     => rx_stable,
+      rx_stable_ack => rx_stable_ack
+    );
 
 end tb;
diff --git a/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_phs4.vhd b/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_phs4.vhd
index cad4354731..40db1b3bcf 100644
--- a/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_phs4.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_phs4.vhd
@@ -52,20 +52,20 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_lvdsh_dd_phs4 is
   generic (
-     g_dclk_drift         : time := -2 ps;  -- 0 ps, use -2 ps or 2 ps to model the range of sample phase uncertainty by letting the sclk and dclk drift with respect to the dp_clk,
-                                               -- use factor 2 value because of integer divide by 2 for rising and falling edge per sclk clock period.
-     g_dclk_offon         : boolean := false;  -- when TRUE switch the dclk off-on periodically, to model ADU not present or ADU restart or ADU replaced, use FALSE when g_dclk_drift/=0 ps
-     g_dp_phs_clk_period  : natural := 32;  -- number of dp_clk periods per dp_phs_clk period
-     g_nof_dp_phs_clk     : natural := 4;  -- nof dp_phs_clk that can be used to detect the lock, use 1 or 4 to ease interpretation of results when g_dclk_drift/=0 ps
-     g_dp_phs_clk_en_mask : natural := 16#FF#;  -- bit mask to individually enable or disable a dp_phs_clk in range [g_nof_dp_phs_clk-1:0]
-     g_dp_phs_clk_en_vary : boolean := false;  -- use FALSE to use g_dp_phs_clk_en_mask, else use TRUE to vary g_dp_phs_clk_en_vec (assuming g_nof_dp_phs_clk>1)
-     g_in_phase           : natural := 0  -- 0:3
+    g_dclk_drift         : time := -2 ps;  -- 0 ps, use -2 ps or 2 ps to model the range of sample phase uncertainty by letting the sclk and dclk drift with respect to the dp_clk,
+    -- use factor 2 value because of integer divide by 2 for rising and falling edge per sclk clock period.
+    g_dclk_offon         : boolean := false;  -- when TRUE switch the dclk off-on periodically, to model ADU not present or ADU restart or ADU replaced, use FALSE when g_dclk_drift/=0 ps
+    g_dp_phs_clk_period  : natural := 32;  -- number of dp_clk periods per dp_phs_clk period
+    g_nof_dp_phs_clk     : natural := 4;  -- nof dp_phs_clk that can be used to detect the lock, use 1 or 4 to ease interpretation of results when g_dclk_drift/=0 ps
+    g_dp_phs_clk_en_mask : natural := 16#FF#;  -- bit mask to individually enable or disable a dp_phs_clk in range [g_nof_dp_phs_clk-1:0]
+    g_dp_phs_clk_en_vary : boolean := false;  -- use FALSE to use g_dp_phs_clk_en_mask, else use TRUE to vary g_dp_phs_clk_en_vec (assuming g_nof_dp_phs_clk>1)
+    g_in_phase           : natural := 0  -- 0:3
   );
 end tb_lvdsh_dd_phs4;
 
@@ -251,51 +251,51 @@ begin
 
   -- DUT (device under test)
   u_lvdsh_dd_phs4 : entity work.lvdsh_dd_phs4
-  generic map (
-    g_sim               => c_sim,
-    g_wb_factor         => c_wb_factor,
-    g_dp_phs_clk_period => g_dp_phs_clk_period,
-    g_nof_dp_phs_clk    => g_nof_dp_phs_clk,
-    g_in_dat_w          => c_in_dat_w
-  )
-  port map (
-    -- PHY input interface
-    in_clk              => adc_d_clkg,
-    in_dat              => in_dat,
-
-    -- DD --> Rx domain interface at adc_d_clk rate or g_dp_factor lower rate (via FIFO)
-    dp_rst              => rst,
-    dp_clk              => dp_clk,
-    dp_phs_clk_vec      => dp_phs_clk_vec,
-    dp_phs_clk_en_vec   => dp_phs_clk_en_vec,
-    dp_dat              => dp_dat,
-    dp_val              => dp_val,
-
-    -- Rx status monitor
-    out_status          => dp_status,
-    out_phs_locked      => dp_phs_locked,
-    out_word_locked     => dp_word_locked,
-    out_word_stable     => dp_word_stable,
-    out_word_stable_ack => dp_word_stable_ack
-  );
+    generic map (
+      g_sim               => c_sim,
+      g_wb_factor         => c_wb_factor,
+      g_dp_phs_clk_period => g_dp_phs_clk_period,
+      g_nof_dp_phs_clk    => g_nof_dp_phs_clk,
+      g_in_dat_w          => c_in_dat_w
+    )
+    port map (
+      -- PHY input interface
+      in_clk              => adc_d_clkg,
+      in_dat              => in_dat,
+
+      -- DD --> Rx domain interface at adc_d_clk rate or g_dp_factor lower rate (via FIFO)
+      dp_rst              => rst,
+      dp_clk              => dp_clk,
+      dp_phs_clk_vec      => dp_phs_clk_vec,
+      dp_phs_clk_en_vec   => dp_phs_clk_en_vec,
+      dp_dat              => dp_dat,
+      dp_val              => dp_val,
+
+      -- Rx status monitor
+      out_status          => dp_status,
+      out_phs_locked      => dp_phs_locked,
+      out_word_locked     => dp_word_locked,
+      out_word_stable     => dp_word_stable,
+      out_word_stable_ack => dp_word_stable_ack
+    );
 
   u_wb_data_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => c_wb_factor,
-    g_wideband_big_endian => true,
-    g_dat_w               => c_in_dat_w
-  )
-  port map (
-    -- Sample clock
-    SCLK      => ref_s_clk,
-
-    -- Streaming input data
-    in_data   => dp_dat,
-    in_val    => dp_val,
-
-    -- Scope output samples
-    out_dat   => dp_sample_dat
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => c_wb_factor,
+      g_wideband_big_endian => true,
+      g_dat_w               => c_in_dat_w
+    )
+    port map (
+      -- Sample clock
+      SCLK      => ref_s_clk,
+
+      -- Streaming input data
+      in_data   => dp_dat,
+      in_val    => dp_val,
+
+      -- Scope output samples
+      out_dat   => dp_sample_dat
+    );
 
 end tb;
diff --git a/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_wb4.vhd b/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_wb4.vhd
index 68151bd615..d2720d9b86 100644
--- a/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_wb4.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_lvdsh_dd_wb4.vhd
@@ -26,16 +26,16 @@
 -- > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_lvdsh_dd_wb4 is
   generic (
-     g_dclk_drift  : time := 0 ps;  -- 0 ps, use -2 ps or 2 ps to model the range of sample phase uncertainty by letting the sclk and dclk drift with respect to the dp_clk,
-                                       -- use factor 2 value because of integer divide by 2 for rising and falling edge per sclk clock period.
-     g_dclk_offon  : boolean := true;  -- when TRUE switch the dclk off-on periodically, to model ADU not present or ADU restart or ADU replaced
-     g_in_phase    : natural := 1  -- 0:3
+    g_dclk_drift  : time := 0 ps;  -- 0 ps, use -2 ps or 2 ps to model the range of sample phase uncertainty by letting the sclk and dclk drift with respect to the dp_clk,
+    -- use factor 2 value because of integer divide by 2 for rising and falling edge per sclk clock period.
+    g_dclk_offon  : boolean := true;  -- when TRUE switch the dclk off-on periodically, to model ADU not present or ADU restart or ADU replaced
+    g_in_phase    : natural := 1  -- 0:3
   );
 end tb_lvdsh_dd_wb4;
 
@@ -118,9 +118,9 @@ begin
     else
       case g_in_phase is
         when 0|2 => d_clk <= '1'; wait until rising_edge(s_clk);
-                    d_clk <= '0'; wait until rising_edge(s_clk);
+          d_clk <= '0'; wait until rising_edge(s_clk);
         when 1|3 => d_clk <= '0'; wait until rising_edge(s_clk);
-                    d_clk <= '1'; wait until rising_edge(s_clk);
+          d_clk <= '1'; wait until rising_edge(s_clk);
         when others => null;
       end case;
     end if;
@@ -181,50 +181,50 @@ begin
   dp_clkq_dly <= transport dp_clk_dly after c_dp_clk_period / 4;
 
   u_lvdsh_dd_wb4 : entity work.lvdsh_dd_wb4
-  generic map (
-    g_sim       => c_sim,
-    g_sim_phase => g_in_phase,
-    g_wb_factor => c_wb_factor,
-    g_in_dat_w  => c_in_dat_w
-  )
-  port map (
-    -- PHY input interface
-    in_clk_rst    => d_clk_rst,
-    in_clk        => d_clk,
-    in_dat        => in_dat,
-
-    -- DD --> Rx domain interface at d_clk rate or g_dp_factor lower rate (via FIFO)
-    dp_rst        => rst,
-    dp_clk        => dp_clk_dly,
-    dp_clkq       => dp_clkq_dly,
-    dp_dat        => dp_dat,
-    dp_val        => dp_val,
-
-    -- Rx status monitor
-    dp_sync_phase => dp_sync_phase,
-    dp_status     => dp_status,
-    dp_locked     => dp_locked,
-    dp_stable     => dp_stable,
-    dp_stable_ack => dp_stable_ack
-  );
+    generic map (
+      g_sim       => c_sim,
+      g_sim_phase => g_in_phase,
+      g_wb_factor => c_wb_factor,
+      g_in_dat_w  => c_in_dat_w
+    )
+    port map (
+      -- PHY input interface
+      in_clk_rst    => d_clk_rst,
+      in_clk        => d_clk,
+      in_dat        => in_dat,
+
+      -- DD --> Rx domain interface at d_clk rate or g_dp_factor lower rate (via FIFO)
+      dp_rst        => rst,
+      dp_clk        => dp_clk_dly,
+      dp_clkq       => dp_clkq_dly,
+      dp_dat        => dp_dat,
+      dp_val        => dp_val,
+
+      -- Rx status monitor
+      dp_sync_phase => dp_sync_phase,
+      dp_status     => dp_status,
+      dp_locked     => dp_locked,
+      dp_stable     => dp_stable,
+      dp_stable_ack => dp_stable_ack
+    );
 
   u_wb_data_scope : entity common_lib.common_wideband_data_scope
-  generic map (
-    g_sim                 => true,
-    g_wideband_factor     => c_wb_factor,
-    g_wideband_big_endian => true,
-    g_dat_w               => c_in_dat_w
-  )
-  port map (
-    -- Sample clock
-    SCLK      => dp_sclk,
-
-    -- Streaming input data
-    in_data   => dp_dat,
-    in_val    => dp_val,
-
-    -- Scope output samples
-    out_dat   => dp_sample_dat
-  );
+    generic map (
+      g_sim                 => true,
+      g_wideband_factor     => c_wb_factor,
+      g_wideband_big_endian => true,
+      g_dat_w               => c_in_dat_w
+    )
+    port map (
+      -- Sample clock
+      SCLK      => dp_sclk,
+
+      -- Streaming input data
+      in_data   => dp_dat,
+      in_val    => dp_val,
+
+      -- Scope output samples
+      out_dat   => dp_sample_dat
+    );
 
 end tb;
diff --git a/libraries/io/aduh/tb/vhdl/tb_mms_aduh_quad.vhd b/libraries/io/aduh/tb/vhdl/tb_mms_aduh_quad.vhd
index b193305ace..b0284b13be 100644
--- a/libraries/io/aduh/tb/vhdl/tb_mms_aduh_quad.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_mms_aduh_quad.vhd
@@ -25,14 +25,14 @@
 -- > p_verify_res should report no errors
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.aduh_dd_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.aduh_dd_pkg.all;
 
 entity tb_mms_aduh_quad is
 end tb_mms_aduh_quad;
@@ -64,7 +64,7 @@ architecture tb of tb_mms_aduh_quad is
   constant c_dp_phs_clk_period   : natural := 32;  -- number of dp_clk periods per dp_phs_clk period
   constant c_nof_dp_phs_clk      : natural := 1;
 
-  procedure proc_verify_bist_expect_ok(aduh_a_verify, aduh_b_verify, aduh_c_verify, aduh_d_verify : std_logic_vector) is
+  procedure proc_verify_bist_expect_ok (aduh_a_verify, aduh_b_verify, aduh_c_verify, aduh_d_verify : std_logic_vector) is
   begin
     assert aduh_a_verify(c_aduh_verify_val_bi) = '1' report "ADU-A bist did not run" severity ERROR;
     assert aduh_b_verify(c_aduh_verify_val_bi) = '1' report "ADU-B bist did not run" severity ERROR;
@@ -76,7 +76,7 @@ architecture tb of tb_mms_aduh_quad is
     assert TO_UINT(aduh_d_verify(c_aduh_verify_res_hi downto 0)) = 0 report "ADU-D bist went wrong" severity ERROR;
   end;
 
-  procedure proc_verify_bist_expect_errors(aduh_a_verify, aduh_b_verify, aduh_c_verify, aduh_d_verify : std_logic_vector) is
+  procedure proc_verify_bist_expect_errors (aduh_a_verify, aduh_b_verify, aduh_c_verify, aduh_d_verify : std_logic_vector) is
   begin
     assert aduh_a_verify(c_aduh_verify_val_bi) = '1' report "ADU-A bist did not run" severity ERROR;
     assert aduh_b_verify(c_aduh_verify_val_bi) = '1' report "ADU-B bist did not run" severity ERROR;
@@ -251,57 +251,57 @@ begin
   ------------------------------------------------------------------------------
 
   u_dut : entity work.mms_aduh_quad
-  generic map (
-    -- General
-    g_cross_clock_domain => true,
-    -- ADC Interface
-    g_ai                 => c_aduh_dd_ai
-  )
-  port map (
-    -- ADC Interface
-    -- . ADU_AB
-    ADC_BI_A          => DIG_A,
-    ADC_BI_B          => DIG_B,
-    ADC_BI_A_CLK      => DCLK_AB,
-    ADC_BI_A_CLK_RST  => OPEN,
-
-    -- . ADU_CD
-    ADC_BI_C          => DIG_A,
-    ADC_BI_D          => DIG_D,
-    ADC_BI_D_CLK      => DCLK_CD,
-    ADC_BI_D_CLK_RST  => OPEN,
-
-    -- MM clock domain
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-
-    reg_mosi          => reg_mosi,
-    reg_miso          => reg_miso,
-
-    -- Streaming clock domain
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    dp_phs_clk_vec    => dp_phs_clk_vec,
-
-    -- . data
-    aduh_sosi_arr     => aduh_sosi_arr
-  );
+    generic map (
+      -- General
+      g_cross_clock_domain => true,
+      -- ADC Interface
+      g_ai                 => c_aduh_dd_ai
+    )
+    port map (
+      -- ADC Interface
+      -- . ADU_AB
+      ADC_BI_A          => DIG_A,
+      ADC_BI_B          => DIG_B,
+      ADC_BI_A_CLK      => DCLK_AB,
+      ADC_BI_A_CLK_RST  => OPEN,
+
+      -- . ADU_CD
+      ADC_BI_C          => DIG_A,
+      ADC_BI_D          => DIG_D,
+      ADC_BI_D_CLK      => DCLK_CD,
+      ADC_BI_D_CLK_RST  => OPEN,
+
+      -- MM clock domain
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+
+      reg_mosi          => reg_mosi,
+      reg_miso          => reg_miso,
+
+      -- Streaming clock domain
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      dp_phs_clk_vec    => dp_phs_clk_vec,
+
+      -- . data
+      aduh_sosi_arr     => aduh_sosi_arr
+    );
 
   -- Scope in Wave Window for debug purpose
   u_scope : entity work.aduh_quad_scope
-  generic map (
-    -- General
-    g_sim  => true,
-    -- ADC Interface
-    g_ai   => c_aduh_dd_ai
-  )
-  port map (
-    -- Digital processing clock
-    DCLK         => dp_clk,
+    generic map (
+      -- General
+      g_sim  => true,
+      -- ADC Interface
+      g_ai   => c_aduh_dd_ai
+    )
+    port map (
+      -- Digital processing clock
+      DCLK         => dp_clk,
 
-    -- Streaming samples (can be from ADU or from internal WG)
-    sp_sosi_arr  => aduh_sosi_arr
-  );
+      -- Streaming samples (can be from ADU or from internal WG)
+      sp_sosi_arr  => aduh_sosi_arr
+    );
 
 
   ------------------------------------------------------------------------------
@@ -313,26 +313,26 @@ begin
 
   -- National ADC
   u_adc_ab : entity work.adu_half
-  port map (
-    CLK             => SCLK,
-    DCLK            => DCLK_AB,
-    DCLK_RST        => '0',
-    DI              => DIG_A,
-    DQ              => DIG_B,
+    port map (
+      CLK             => SCLK,
+      DCLK            => DCLK_AB,
+      DCLK_RST        => '0',
+      DI              => DIG_A,
+      DQ              => DIG_B,
 
-    test_pattern_en => test_pattern_en
-  );
+      test_pattern_en => test_pattern_en
+    );
 
   -- National ADC
   u_adc_cd : entity work.adu_half
-  port map (
-    CLK             => SCLK,
-    DCLK            => DCLK_CD,
-    DCLK_RST        => '0',
-    DI              => DIG_C,
-    DQ              => DIG_D,
-
-    test_pattern_en => test_pattern_en
-  );
+    port map (
+      CLK             => SCLK,
+      DCLK            => DCLK_CD,
+      DCLK_RST        => '0',
+      DI              => DIG_C,
+      DQ              => DIG_D,
+
+      test_pattern_en => test_pattern_en
+    );
 
 end tb;
diff --git a/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_phs4.vhd b/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_phs4.vhd
index 99ac446f65..6cc0ff2b06 100644
--- a/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_phs4.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_phs4.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_lvdsh_dd_phs4 is
diff --git a/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_wb4.vhd b/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_wb4.vhd
index 5e915300d3..2edce5d483 100644
--- a/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_wb4.vhd
+++ b/libraries/io/aduh/tb/vhdl/tb_tb_lvdsh_dd_wb4.vhd
@@ -21,7 +21,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 
 entity tb_tb_lvdsh_dd_wb4 is
diff --git a/libraries/io/ddr/src/vhdl/io_ddr.vhd b/libraries/io/ddr/src/vhdl/io_ddr.vhd
index b0545127e2..63d97efba8 100644
--- a/libraries/io/ddr/src/vhdl/io_ddr.vhd
+++ b/libraries/io/ddr/src/vhdl/io_ddr.vhd
@@ -144,13 +144,13 @@
 --   run full.
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity io_ddr is
   generic(
@@ -292,33 +292,33 @@ begin
   ctlr_wr_flush_en_o <= ctlr_wr_flush_en;
 
   u_io_ddr_cross_domain : entity work.io_ddr_cross_domain
-  generic map (
-    g_cross_domain => g_cross_domain_dvr_ctlr,
-    g_delay_len    => c_meta_delay_len
-  )
-  port map(
-    -- Driver clock domain
-    dvr_clk                => dvr_clk,
-    dvr_rst                => dvr_rst,
-
-    dvr_done               => dvr_miso.done,
-    dvr_en                 => dvr_mosi.burstbegin,
-    dvr_wr_not_rd          => dvr_mosi.wr,
-    dvr_start_address      => dvr_mosi.address,
-    dvr_nof_data           => dvr_mosi.burstsize,
-    dvr_wr_flush_en        => dvr_mosi.flush,
-
-    -- DDR controller clock domain
-    ctlr_clk               => ctlr_clk_in,
-    ctlr_rst               => ctlr_rst_in,
-
-    ctlr_dvr_done          => ctlr_dvr_miso.done,
-    ctlr_dvr_en            => ctlr_dvr_mosi.burstbegin,
-    ctlr_dvr_wr_not_rd     => ctlr_dvr_mosi.wr,
-    ctlr_dvr_start_address => ctlr_dvr_mosi.address,
-    ctlr_dvr_nof_data      => ctlr_dvr_mosi.burstsize,
-    ctlr_dvr_wr_flush_en   => ctlr_dvr_mosi.flush
-  );
+    generic map (
+      g_cross_domain => g_cross_domain_dvr_ctlr,
+      g_delay_len    => c_meta_delay_len
+    )
+    port map(
+      -- Driver clock domain
+      dvr_clk                => dvr_clk,
+      dvr_rst                => dvr_rst,
+
+      dvr_done               => dvr_miso.done,
+      dvr_en                 => dvr_mosi.burstbegin,
+      dvr_wr_not_rd          => dvr_mosi.wr,
+      dvr_start_address      => dvr_mosi.address,
+      dvr_nof_data           => dvr_mosi.burstsize,
+      dvr_wr_flush_en        => dvr_mosi.flush,
+
+      -- DDR controller clock domain
+      ctlr_clk               => ctlr_clk_in,
+      ctlr_rst               => ctlr_rst_in,
+
+      ctlr_dvr_done          => ctlr_dvr_miso.done,
+      ctlr_dvr_en            => ctlr_dvr_mosi.burstbegin,
+      ctlr_dvr_wr_not_rd     => ctlr_dvr_mosi.wr,
+      ctlr_dvr_start_address => ctlr_dvr_mosi.address,
+      ctlr_dvr_nof_data      => ctlr_dvr_mosi.burstsize,
+      ctlr_dvr_wr_flush_en   => ctlr_dvr_mosi.flush
+    );
 
   p_wr_fifo_snk_in : process (wr_sosi)
   begin
@@ -331,51 +331,51 @@ begin
   end process;
 
   u_wr_fifo : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_technology        => g_technology,
-    g_wr_data_w         => g_wr_data_w,
-    g_rd_data_w         => c_ctlr_data_w,
-    g_use_ctrl          => c_wr_fifo_use_ctrl,
-    g_wr_fifo_size      => c_wr_fifo_depth,
-    g_wr_fifo_af_margin => c_wr_fifo_af_margin,
-    g_rd_fifo_rl        => 0
-  )
-  port map (
-    wr_rst         => wr_rst,
-    wr_clk         => wr_clk,
-    rd_rst         => ctlr_rst_in,
-    rd_clk         => ctlr_clk_in,
-
-    snk_out        => wr_siso,
-    snk_in         => wr_fifo_snk_in,
-
-    wr_ful         => wr_fifo_full,
-    wr_usedw       => wr_fifo_usedw,
-    rd_usedw       => ctlr_wr_fifo_usedw,
-    rd_emp         => OPEN,
-
-    src_in         => ctlr_wr_fifo_src_in,
-    src_out        => ctlr_wr_fifo_src_out
-  );
+    generic map (
+      g_technology        => g_technology,
+      g_wr_data_w         => g_wr_data_w,
+      g_rd_data_w         => c_ctlr_data_w,
+      g_use_ctrl          => c_wr_fifo_use_ctrl,
+      g_wr_fifo_size      => c_wr_fifo_depth,
+      g_wr_fifo_af_margin => c_wr_fifo_af_margin,
+      g_rd_fifo_rl        => 0
+    )
+    port map (
+      wr_rst         => wr_rst,
+      wr_clk         => wr_clk,
+      rd_rst         => ctlr_rst_in,
+      rd_clk         => ctlr_clk_in,
+
+      snk_out        => wr_siso,
+      snk_in         => wr_fifo_snk_in,
+
+      wr_ful         => wr_fifo_full,
+      wr_usedw       => wr_fifo_usedw,
+      rd_usedw       => ctlr_wr_fifo_usedw,
+      rd_emp         => OPEN,
+
+      src_in         => ctlr_wr_fifo_src_in,
+      src_out        => ctlr_wr_fifo_src_out
+    );
 
   u_dp_flush : entity dp_lib.dp_flush
-  generic map (
-    g_ready_latency => 0,
-    g_framed_xon    => c_wr_fifo_use_ctrl,  -- stop flushing when flush_en is low and a sop (or sync via sop) has arrived
-    g_framed_xoff   => false  -- immediately start flushing when flush_en goes high
-  )
-  port map (
-    rst      => ctlr_rst_in,
-    clk      => ctlr_clk_in,
-
-    snk_in   => ctlr_wr_fifo_src_out,
-    snk_out  => ctlr_wr_fifo_src_in,
-
-    src_out  => ctlr_wr_snk_in,
-    src_in   => ctlr_wr_snk_out,
-
-    flush_en => ctlr_wr_flush_en
-  );
+    generic map (
+      g_ready_latency => 0,
+      g_framed_xon    => c_wr_fifo_use_ctrl,  -- stop flushing when flush_en is low and a sop (or sync via sop) has arrived
+      g_framed_xoff   => false  -- immediately start flushing when flush_en goes high
+    )
+    port map (
+      rst      => ctlr_rst_in,
+      clk      => ctlr_clk_in,
+
+      snk_in   => ctlr_wr_fifo_src_out,
+      snk_out  => ctlr_wr_fifo_src_in,
+
+      src_out  => ctlr_wr_snk_in,
+      src_in   => ctlr_wr_snk_out,
+
+      flush_en => ctlr_wr_flush_en
+    );
 
   p_ctlr_wr_flush_snk_in : process (ctlr_wr_fifo_src_out)
   begin
@@ -388,138 +388,138 @@ begin
   end process;
 
   u_io_ddr_driver_flush_ctrl : entity work.io_ddr_driver_flush_ctrl
-  generic map (
-    g_mode          => g_wr_flush_mode,
-    g_use_channel   => g_wr_flush_use_channel,
-    g_start_channel => g_wr_flush_start_channel,
-    g_nof_channels  => g_wr_flush_nof_channels
-  )
-  port map (
-    rst              => ctlr_rst_in,
-    clk              => ctlr_clk_in,
-
-    -- Inputs
-    dvr_en           => ctlr_dvr_mosi.burstbegin,
-    dvr_wr_not_rd    => ctlr_dvr_mosi.wr,
-    dvr_wr_flush_en  => ctlr_dvr_mosi.flush,
-    dvr_done         => ctlr_dvr_miso.done,
-    ctlr_wr_sosi     => ctlr_wr_flush_snk_in,
-
-    -- Output
-    ctlr_wr_flush_en => ctlr_wr_flush_en,
-    state_vec        => state_vec
-  );
+    generic map (
+      g_mode          => g_wr_flush_mode,
+      g_use_channel   => g_wr_flush_use_channel,
+      g_start_channel => g_wr_flush_start_channel,
+      g_nof_channels  => g_wr_flush_nof_channels
+    )
+    port map (
+      rst              => ctlr_rst_in,
+      clk              => ctlr_clk_in,
+
+      -- Inputs
+      dvr_en           => ctlr_dvr_mosi.burstbegin,
+      dvr_wr_not_rd    => ctlr_dvr_mosi.wr,
+      dvr_wr_flush_en  => ctlr_dvr_mosi.flush,
+      dvr_done         => ctlr_dvr_miso.done,
+      ctlr_wr_sosi     => ctlr_wr_flush_snk_in,
+
+      -- Output
+      ctlr_wr_flush_en => ctlr_wr_flush_en,
+      state_vec        => state_vec
+    );
 
   assert g_rd_fifo_depth > c_rd_fifo_af_margin report "io_ddr: rd FIFO depth must be > almost full margin." severity FAILURE;
 
   u_rd_fifo : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_technology        => g_technology,
-    g_wr_data_w         => c_ctlr_data_w,
-    g_rd_data_w         => g_rd_data_w,
-    g_use_ctrl          => false,
-    g_wr_fifo_size      => g_rd_fifo_depth,
-    g_wr_fifo_af_margin => c_rd_fifo_af_margin,  -- >=4 (required by dp_fifo)
-    g_rd_fifo_rl        => 1
-  )
-  port map (
-    wr_rst   => ctlr_rst_in,
-    wr_clk   => ctlr_clk_in,
-    rd_rst   => rd_rst,
-    rd_clk   => rd_clk,
-
-    snk_out  => ctlr_rd_src_in,
-    snk_in   => ctlr_rd_src_out,
-
-    wr_ful   => rd_fifo_full,
-    wr_usedw => ctlr_rd_fifo_usedw,
-    rd_usedw => rd_fifo_usedw,
-    rd_emp   => OPEN,
-
-    src_in   => rd_siso,
-    src_out  => rd_sosi
-  );
+    generic map (
+      g_technology        => g_technology,
+      g_wr_data_w         => c_ctlr_data_w,
+      g_rd_data_w         => g_rd_data_w,
+      g_use_ctrl          => false,
+      g_wr_fifo_size      => g_rd_fifo_depth,
+      g_wr_fifo_af_margin => c_rd_fifo_af_margin,  -- >=4 (required by dp_fifo)
+      g_rd_fifo_rl        => 1
+    )
+    port map (
+      wr_rst   => ctlr_rst_in,
+      wr_clk   => ctlr_clk_in,
+      rd_rst   => rd_rst,
+      rd_clk   => rd_clk,
+
+      snk_out  => ctlr_rd_src_in,
+      snk_in   => ctlr_rd_src_out,
+
+      wr_ful   => rd_fifo_full,
+      wr_usedw => ctlr_rd_fifo_usedw,
+      rd_usedw => rd_fifo_usedw,
+      rd_emp   => OPEN,
+
+      src_in   => rd_siso,
+      src_out  => rd_sosi
+    );
 
   u_io_ddr_driver : entity work.io_ddr_driver
-  generic map (
-    g_tech_ddr => g_tech_ddr
-  )
-  port map (
-    rst        => ctlr_rst_in,
-    clk        => ctlr_clk_in,
+    generic map (
+      g_tech_ddr => g_tech_ddr
+    )
+    port map (
+      rst        => ctlr_rst_in,
+      clk        => ctlr_clk_in,
 
-    dvr_miso   => ctlr_dvr_miso,
-    dvr_mosi   => ctlr_dvr_mosi,
+      dvr_miso   => ctlr_dvr_miso,
+      dvr_mosi   => ctlr_dvr_mosi,
 
-    wr_snk_in  => ctlr_wr_snk_in,
-    wr_snk_out => ctlr_wr_snk_out,
+      wr_snk_in  => ctlr_wr_snk_in,
+      wr_snk_out => ctlr_wr_snk_out,
 
-    rd_src_out => ctlr_rd_src_out,
-    rd_src_in  => ctlr_rd_src_in,
+      rd_src_out => ctlr_rd_src_out,
+      rd_src_in  => ctlr_rd_src_in,
 
-    ctlr_miso  => ctlr_tech_miso,
-    ctlr_mosi  => ctlr_tech_mosi
-  );
+      ctlr_miso  => ctlr_tech_miso,
+      ctlr_mosi  => ctlr_tech_mosi
+    );
 
   u_tech_ddr : entity tech_ddr_lib.tech_ddr
-  generic map (
-    g_sim_model            => g_sim_model,
-    g_technology           => g_technology,
-    g_tech_ddr             => g_tech_ddr
-  )
-  port map (
-    -- PLL reference clock
-    ref_clk         => ctlr_ref_clk,
-    ref_rst         => ctlr_ref_rst,
-
-    -- Controller user interface
-    ctlr_gen_clk    => ctlr_clk_out,
-    ctlr_gen_rst    => ctlr_rst_out_i,
-    ctlr_gen_clk_2x => OPEN,
-    ctlr_gen_rst_2x => OPEN,
-
-    ctlr_mosi       => ctlr_tech_mosi,
-    ctlr_miso       => ctlr_tech_miso,
-
-    term_ctrl_out   => term_ctrl_out,
-    term_ctrl_in    => term_ctrl_in,
-
-    -- DDR3 PHY interface
-    phy3_in         => phy3_in,
-    phy3_io         => phy3_io,
-    phy3_ou         => phy3_ou,
-
-    -- DDR4 PHY interface
-    phy4_in         => phy4_in,
-    phy4_io         => phy4_io,
-    phy4_ou         => phy4_ou
-  );
+    generic map (
+      g_sim_model            => g_sim_model,
+      g_technology           => g_technology,
+      g_tech_ddr             => g_tech_ddr
+    )
+    port map (
+      -- PLL reference clock
+      ref_clk         => ctlr_ref_clk,
+      ref_rst         => ctlr_ref_rst,
+
+      -- Controller user interface
+      ctlr_gen_clk    => ctlr_clk_out,
+      ctlr_gen_rst    => ctlr_rst_out_i,
+      ctlr_gen_clk_2x => OPEN,
+      ctlr_gen_rst_2x => OPEN,
+
+      ctlr_mosi       => ctlr_tech_mosi,
+      ctlr_miso       => ctlr_tech_miso,
+
+      term_ctrl_out   => term_ctrl_out,
+      term_ctrl_in    => term_ctrl_in,
+
+      -- DDR3 PHY interface
+      phy3_in         => phy3_in,
+      phy3_io         => phy3_io,
+      phy3_ou         => phy3_ou,
+
+      -- DDR4 PHY interface
+      phy4_in         => phy4_in,
+      phy4_io         => phy4_io,
+      phy4_ou         => phy4_ou
+    );
 
   ctlr_rst_out  <= ctlr_rst_out_i;
 
   u_wr_fifo_full : entity common_lib.common_switch
-  generic map(
-    g_priority_lo => true
-  )
-  port map(
-    rst         => ctlr_rst_in,
-    clk         => ctlr_clk_in,
-    switch_high => wr_fifo_full,
-    switch_low  => reg_rd_arr(3),
-    out_level   => wr_fifo_full_reg
-  );
+    generic map(
+      g_priority_lo => true
+    )
+    port map(
+      rst         => ctlr_rst_in,
+      clk         => ctlr_clk_in,
+      switch_high => wr_fifo_full,
+      switch_low  => reg_rd_arr(3),
+      out_level   => wr_fifo_full_reg
+    );
 
   u_rd_fifo_full : entity common_lib.common_switch
-  generic map(
-    g_priority_lo => true
-  )
-  port map(
-    rst         => ctlr_rst_in,
-    clk         => ctlr_clk_in,
-    switch_high => rd_fifo_full,
-    switch_low  => reg_rd_arr(3),
-    out_level   => rd_fifo_full_reg
-  );
+    generic map(
+      g_priority_lo => true
+    )
+    port map(
+      rst         => ctlr_rst_in,
+      clk         => ctlr_clk_in,
+      switch_high => rd_fifo_full,
+      switch_low  => reg_rd_arr(3),
+      out_level   => rd_fifo_full_reg
+    );
 
   mm_reg_io_ddr <= RESIZE_UVEC(rd_fifo_full_reg & wr_fifo_full_reg, c_mem_reg_dat_w) &
                    RESIZE_UVEC(ctlr_wr_fifo_usedw, c_mem_reg_dat_w) &
@@ -530,31 +530,31 @@ begin
                                ctlr_rst_out_i    & ctlr_wr_flush_en     & ctlr_tech_miso.waitrequest_n & ctlr_tech_miso.done, c_mem_reg_dat_w);
 
   u_reg_map : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,
-    g_in_new_latency     => 0,
-    g_readback           => false,
-    g_reg                => c_mem_reg_io_ddr,
-    g_init_reg           => (others => '0')
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => ctlr_rst_in,
-    st_clk      => ctlr_clk_in,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_io_ddr_mosi,
-    sla_out     => reg_io_ddr_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => reg_rd_arr,
-    in_new      => '1',
-    in_reg      => mm_reg_io_ddr,
-    out_reg     => open
-  );
+    generic map (
+      g_cross_clock_domain => true,
+      g_in_new_latency     => 0,
+      g_readback           => false,
+      g_reg                => c_mem_reg_io_ddr,
+      g_init_reg           => (others => '0')
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => ctlr_rst_in,
+      st_clk      => ctlr_clk_in,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_io_ddr_mosi,
+      sla_out     => reg_io_ddr_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => reg_rd_arr,
+      in_new      => '1',
+      in_reg      => mm_reg_io_ddr,
+      out_reg     => open
+    );
 
 end str;
 
diff --git a/libraries/io/ddr/src/vhdl/io_ddr_cross_domain.vhd b/libraries/io/ddr/src/vhdl/io_ddr_cross_domain.vhd
index 7911787780..54512d36e9 100644
--- a/libraries/io/ddr/src/vhdl/io_ddr_cross_domain.vhd
+++ b/libraries/io/ddr/src/vhdl/io_ddr_cross_domain.vhd
@@ -31,12 +31,12 @@
 --   are stable when the dvr_en is stable.
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity io_ddr_cross_domain is
   generic (
@@ -91,18 +91,18 @@ begin
   gen_cross : if g_cross_domain = true generate
     -- dvr_clk --> ctlr_clk
     u_common_spulse_ctlr_dvr_en : entity common_lib.common_spulse
-    generic map (
-      g_delay_len => g_delay_len
-    )
-    port map (
-      in_rst    => dvr_rst,
-      in_clk    => dvr_clk,
-      in_pulse  => dvr_en,
-      in_busy   => dvr_en_busy,
-      out_rst   => ctlr_rst,
-      out_clk   => ctlr_clk,
-      out_pulse => ctlr_dvr_en
-    );
+      generic map (
+        g_delay_len => g_delay_len
+      )
+      port map (
+        in_rst    => dvr_rst,
+        in_clk    => dvr_clk,
+        in_pulse  => dvr_en,
+        in_busy   => dvr_en_busy,
+        out_rst   => ctlr_rst,
+        out_clk   => ctlr_clk,
+        out_pulse => ctlr_dvr_en
+      );
 
     -- Only register into the other clock domain
     ctlr_dvr_wr_not_rd     <= dvr_wr_not_rd     when rising_edge(ctlr_clk);
@@ -110,30 +110,30 @@ begin
     ctlr_dvr_nof_data      <= dvr_nof_data      when rising_edge(ctlr_clk);
 
     u_common_spulse_ctlr_dvr_wr_flush_en : entity common_lib.common_spulse
-    generic map (
-      g_delay_len => g_delay_len
-    )
-    port map (
-      in_rst    => dvr_rst,
-      in_clk    => dvr_clk,
-      in_pulse  => dvr_wr_flush_en,
-      out_rst   => ctlr_rst,
-      out_clk   => ctlr_clk,
-      out_pulse => ctlr_dvr_wr_flush_en
-    );
+      generic map (
+        g_delay_len => g_delay_len
+      )
+      port map (
+        in_rst    => dvr_rst,
+        in_clk    => dvr_clk,
+        in_pulse  => dvr_wr_flush_en,
+        out_rst   => ctlr_rst,
+        out_clk   => ctlr_clk,
+        out_pulse => ctlr_dvr_wr_flush_en
+      );
 
     -- ctlr_clk --> dvr_clk
     u_common_async_dvr_done : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0',
-      g_delay_len => g_delay_len
-    )
-    port map (
-      rst  => dvr_rst,
-      clk  => dvr_clk,
-      din  => ctlr_dvr_done,
-      dout => new_dvr_done
-    );
+      generic map (
+        g_rst_level => '0',
+        g_delay_len => g_delay_len
+      )
+      port map (
+        rst  => dvr_rst,
+        clk  => dvr_clk,
+        din  => ctlr_dvr_done,
+        dout => new_dvr_done
+      );
 
     -- Ensure previous dvr_done goes low after new dvr_en
     dvr_done <= new_dvr_done and not dvr_en_busy;
diff --git a/libraries/io/ddr/src/vhdl/io_ddr_driver.vhd b/libraries/io/ddr/src/vhdl/io_ddr_driver.vhd
index 51f97ed783..62d72339ed 100644
--- a/libraries/io/ddr/src/vhdl/io_ddr_driver.vhd
+++ b/libraries/io/ddr/src/vhdl/io_ddr_driver.vhd
@@ -57,12 +57,12 @@
 
 
 library IEEE, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity io_ddr_driver is
   generic (
@@ -83,7 +83,7 @@ entity io_ddr_driver is
 
     ctlr_miso          : in  t_mem_ctlr_miso;
     ctlr_mosi          : out t_mem_ctlr_mosi
-   );
+  );
 end io_ddr_driver;
 
 
@@ -148,12 +148,12 @@ begin
   -- However according to the uniphy external memory interface user guide the ctrl_mosi.burstbegin should only be assered for one clock cycle, independent of ctrl_miso.wait_request_n.
   -- Therefore use burstbegin_evt to assert ctrl_mosi.burstbegin.
   u_common_evt : entity common_lib.common_evt
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => burstbegin,
-    out_evt  => burstbegin_evt
-  );
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => burstbegin,
+      out_evt  => burstbegin_evt
+    );
 
   p_burst_size : process (address_cnt)
   begin
@@ -187,7 +187,7 @@ begin
     burstbegin             <= '0';  -- use burstbegin and burstbegin_evt to assert ctrl_mosi.burstbegin for one clock cylce only, independent of ctrl_miso.wait_request_n
     ctlr_mosi.burstbegin   <= burstbegin_evt;  -- only used for legacy DDR controllers, because the controller can derive it internally by counting wr and rd accesses
     ctlr_mosi.burstsize    <= TO_MEM_CTLR_BURSTSIZE(burst_size);  -- burstsize >= 1,
-                                                                     -- no need to hold during burst, because the Avalon constantBurstBehaviour=FALSE (default) of the DDR IP slave
+    -- no need to hold during burst, because the Avalon constantBurstBehaviour=FALSE (default) of the DDR IP slave
     wr_snk_out.ready       <= '0';
     nxt_dvr_done           <= '0';
     nxt_cur_address        <= cur_address;
diff --git a/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd b/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd
index 76a064d7b0..9836b8aba2 100644
--- a/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd
+++ b/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd
@@ -36,10 +36,10 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity io_ddr_driver_flush_ctrl is
   generic (
@@ -47,7 +47,7 @@ entity io_ddr_driver_flush_ctrl is
     g_use_channel   : boolean := false;
     g_start_channel : natural := 0;
     g_nof_channels  : positive := 1
-   );
+  );
   port (
     clk                : in  std_logic;
     rst                : in  std_logic;
@@ -62,7 +62,7 @@ entity io_ddr_driver_flush_ctrl is
     -- Output
     ctlr_wr_flush_en   : out std_logic;
     state_vec          : out std_logic_vector(1 downto 0)
-   );
+  );
 end io_ddr_driver_flush_ctrl;
 
 
diff --git a/libraries/io/ddr/src/vhdl/io_ddr_reg.vhd b/libraries/io/ddr/src/vhdl/io_ddr_reg.vhd
index 1b4d182327..bddb66971e 100644
--- a/libraries/io/ddr/src/vhdl/io_ddr_reg.vhd
+++ b/libraries/io/ddr/src/vhdl/io_ddr_reg.vhd
@@ -50,10 +50,10 @@
 --    needs to be done by io_ddr_cross_domain.vhd in io_ddr.
 
 library IEEE, common_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 
 entity io_ddr_reg is
@@ -75,11 +75,13 @@ end io_ddr_reg;
 
 architecture rtl of io_ddr_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(8),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 8,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(8),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 8,
+    init_sl  => '0'
+  );
 
   signal i_dvr_mosi        : t_mem_ctlr_mosi;
 
diff --git a/libraries/io/ddr/src/vhdl/mms_io_ddr.vhd b/libraries/io/ddr/src/vhdl/mms_io_ddr.vhd
index 1502359103..e1090255cd 100644
--- a/libraries/io/ddr/src/vhdl/mms_io_ddr.vhd
+++ b/libraries/io/ddr/src/vhdl/mms_io_ddr.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity mms_io_ddr is
   generic(
@@ -108,102 +108,102 @@ begin
 
   -- Combine the reg map of io_ddr and io_ddr_reg
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => 2,
-    g_mult_addr_w => ceil_log2(8)
-  )
-  port map (
-    mosi     => reg_io_ddr_mosi,
-    miso     => reg_io_ddr_miso,
-    mosi_arr => reg_io_ddr_mosi_arr,
-    miso_arr => reg_io_ddr_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => 2,
+      g_mult_addr_w => ceil_log2(8)
+    )
+    port map (
+      mosi     => reg_io_ddr_mosi,
+      miso     => reg_io_ddr_miso,
+      mosi_arr => reg_io_ddr_mosi_arr,
+      miso_arr => reg_io_ddr_miso_arr
+    );
 
   u_io_ddr : entity work.io_ddr
-  generic map (
-    g_sim_model              => g_sim_model,
-    g_technology             => g_technology,
-    g_tech_ddr               => g_tech_ddr,
-    g_cross_domain_dvr_ctlr  => true,  -- mm_dvr_mosi from io_ddr_reg is in mm_clk domain and needs be crossed to the ctlr_clk_in domain by io_ddr_cross_domain in io_ddr
-    g_wr_data_w              => g_wr_data_w,
-    g_wr_fifo_depth          => g_wr_fifo_depth,
-    g_rd_fifo_depth          => g_rd_fifo_depth,
-    g_rd_data_w              => g_rd_data_w,
-    g_wr_flush_mode          => g_wr_flush_mode,
-    g_wr_flush_use_channel   => false,
-    g_wr_flush_start_channel => 0,
-    g_wr_flush_nof_channels  => 1
-  )
-  port map (
-    -- DDR reference clock
-    ctlr_ref_clk  => ctlr_ref_clk,
-    ctlr_ref_rst  => ctlr_ref_rst,
-
-    -- DDR controller clock domain
-    ctlr_clk_out  => ctlr_clk_out,  -- output clock of the ddr controller is used as DP clk.
-    ctlr_rst_out  => ctlr_rst_out,
-
-    ctlr_clk_in   => ctlr_clk_in,
-    ctlr_rst_in   => ctlr_rst_in,
-
-    -- MM clock + reset
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    -- MM register map for DDR controller status info
-    reg_io_ddr_mosi => reg_io_ddr_mosi_arr(0),
-    reg_io_ddr_miso => reg_io_ddr_miso_arr(0),
-
-    -- Driver clock domain
-    dvr_clk       => mm_clk,
-    dvr_rst       => mm_rst,
-
-    dvr_miso      => mm_dvr_miso,
-    dvr_mosi      => mm_dvr_mosi,
-
-    -- Write FIFO clock domain
-    wr_clk        => wr_clk,
-    wr_rst        => wr_rst,
-
-    wr_fifo_usedw => OPEN,
-    wr_sosi       => wr_sosi,
-    wr_siso       => wr_siso,
-
-    -- Read FIFO clock domain
-    rd_clk        => rd_clk,
-    rd_rst        => rd_rst,
-
-    rd_fifo_usedw => OPEN,
-    rd_sosi       => rd_sosi,
-    rd_siso       => rd_siso,
-
-    term_ctrl_out => OPEN,
-    term_ctrl_in  => OPEN,
-
-    phy3_in       => phy3_in,
-    phy3_io       => phy3_io,
-    phy3_ou       => phy3_ou,
-
-    phy4_in       => phy4_in,
-    phy4_io       => phy4_io,
-    phy4_ou       => phy4_ou
-  );
+    generic map (
+      g_sim_model              => g_sim_model,
+      g_technology             => g_technology,
+      g_tech_ddr               => g_tech_ddr,
+      g_cross_domain_dvr_ctlr  => true,  -- mm_dvr_mosi from io_ddr_reg is in mm_clk domain and needs be crossed to the ctlr_clk_in domain by io_ddr_cross_domain in io_ddr
+      g_wr_data_w              => g_wr_data_w,
+      g_wr_fifo_depth          => g_wr_fifo_depth,
+      g_rd_fifo_depth          => g_rd_fifo_depth,
+      g_rd_data_w              => g_rd_data_w,
+      g_wr_flush_mode          => g_wr_flush_mode,
+      g_wr_flush_use_channel   => false,
+      g_wr_flush_start_channel => 0,
+      g_wr_flush_nof_channels  => 1
+    )
+    port map (
+      -- DDR reference clock
+      ctlr_ref_clk  => ctlr_ref_clk,
+      ctlr_ref_rst  => ctlr_ref_rst,
+
+      -- DDR controller clock domain
+      ctlr_clk_out  => ctlr_clk_out,  -- output clock of the ddr controller is used as DP clk.
+      ctlr_rst_out  => ctlr_rst_out,
+
+      ctlr_clk_in   => ctlr_clk_in,
+      ctlr_rst_in   => ctlr_rst_in,
+
+      -- MM clock + reset
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      -- MM register map for DDR controller status info
+      reg_io_ddr_mosi => reg_io_ddr_mosi_arr(0),
+      reg_io_ddr_miso => reg_io_ddr_miso_arr(0),
+
+      -- Driver clock domain
+      dvr_clk       => mm_clk,
+      dvr_rst       => mm_rst,
+
+      dvr_miso      => mm_dvr_miso,
+      dvr_mosi      => mm_dvr_mosi,
+
+      -- Write FIFO clock domain
+      wr_clk        => wr_clk,
+      wr_rst        => wr_rst,
+
+      wr_fifo_usedw => OPEN,
+      wr_sosi       => wr_sosi,
+      wr_siso       => wr_siso,
+
+      -- Read FIFO clock domain
+      rd_clk        => rd_clk,
+      rd_rst        => rd_rst,
+
+      rd_fifo_usedw => OPEN,
+      rd_sosi       => rd_sosi,
+      rd_siso       => rd_siso,
+
+      term_ctrl_out => OPEN,
+      term_ctrl_in  => OPEN,
+
+      phy3_in       => phy3_in,
+      phy3_io       => phy3_io,
+      phy3_ou       => phy3_ou,
+
+      phy4_in       => phy4_in,
+      phy4_io       => phy4_io,
+      phy4_ou       => phy4_ou
+    );
 
   -- MM register map for DDR controller write and read access control via MM
   u_io_ddr_reg : entity work.io_ddr_reg
-  port map(
-    -- Clocks and reset
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in          => reg_io_ddr_mosi_arr(1),
-    sla_out         => reg_io_ddr_miso_arr(1),
-
-    -- MM registers in st_clk domain
-    dvr_miso        => mm_dvr_miso,
-    dvr_mosi        => mm_dvr_mosi
-  );
+    port map(
+      -- Clocks and reset
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in          => reg_io_ddr_mosi_arr(1),
+      sla_out         => reg_io_ddr_miso_arr(1),
+
+      -- MM registers in st_clk domain
+      dvr_miso        => mm_dvr_miso,
+      dvr_mosi        => mm_dvr_mosi
+    );
 
 end str;
 
diff --git a/libraries/io/ddr/src/vhdl/mms_io_ddr_diag.vhd b/libraries/io/ddr/src/vhdl/mms_io_ddr_diag.vhd
index a87c6f05f2..f367231922 100644
--- a/libraries/io/ddr/src/vhdl/mms_io_ddr_diag.vhd
+++ b/libraries/io/ddr/src/vhdl/mms_io_ddr_diag.vhd
@@ -45,14 +45,14 @@
 --   - g_wr_flush_mode="VAL" in the io_ddr, because that the Tx seq source does not use "SOP" or "SYNC"
 
 library IEEE, common_lib, dp_lib, diag_lib, technology_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity mms_io_ddr_diag is
   generic (
@@ -157,135 +157,135 @@ begin
   -- IO_DDR
   ------------------------------------------------------------------------------
   u_mms_io_ddr : entity work.mms_io_ddr
-  generic map (
-    g_sim_model               => g_sim_model_ddr,
-    g_technology              => g_technology,
-    g_tech_ddr                => g_io_tech_ddr,
-    g_wr_data_w               => g_dp_data_w,
-    g_wr_fifo_depth           => c_io_wr_fifo_depth,
-    g_rd_fifo_depth           => c_io_rd_fifo_depth,
-    g_rd_data_w               => g_dp_data_w,
-    g_wr_flush_mode           => "VAL",
-    g_wr_flush_use_channel    => false,
-    g_wr_flush_start_channel  => 0,
-    g_wr_flush_nof_channels   => 1
-  )
-  port map (
-    -- DDR reference clock
-    ctlr_ref_clk        => ctlr_ref_clk,
-    ctlr_ref_rst        => ctlr_ref_rst,
-
-    -- DDR controller clock domain
-    ctlr_clk_out        => ctlr_clk_out,
-    ctlr_rst_out        => ctlr_rst_out,
-
-    ctlr_clk_in         => ctlr_clk_in,
-    ctlr_rst_in         => ctlr_rst_in,
-
-    -- MM clock + reset
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-
-    -- MM interface
-    reg_io_ddr_mosi     => reg_io_ddr_mosi,
-    reg_io_ddr_miso     => reg_io_ddr_miso,
-
-    -- Write FIFO clock domain
-    wr_clk              => dp_clk,
-    wr_rst              => dp_rst,
-
-    wr_fifo_usedw       => wr_fifo_usedw,
-    wr_sosi             => bg_sosi_arr(0),
-    wr_siso             => bg_siso_arr(0),
-
-    -- Read FIFO clock domain
-    rd_clk              => dp_clk,
-    rd_rst              => dp_rst,
-
-    rd_fifo_usedw       => rd_fifo_usedw,
-    rd_sosi             => db_sosi_arr(0),
-    rd_siso             => db_siso_arr(0),
-
-    term_ctrl_out       => term_ctrl_out,
-    term_ctrl_in        => term_ctrl_in,
-
-    -- DDR3 PHY external interface
-    phy3_in             => phy3_in,
-    phy3_io             => phy3_io,
-    phy3_ou             => phy3_ou,
-
-    -- DDR4 PHY external interface
-    phy4_in             => phy4_in,
-    phy4_io             => phy4_io,
-    phy4_ou             => phy4_ou
-  );
+    generic map (
+      g_sim_model               => g_sim_model_ddr,
+      g_technology              => g_technology,
+      g_tech_ddr                => g_io_tech_ddr,
+      g_wr_data_w               => g_dp_data_w,
+      g_wr_fifo_depth           => c_io_wr_fifo_depth,
+      g_rd_fifo_depth           => c_io_rd_fifo_depth,
+      g_rd_data_w               => g_dp_data_w,
+      g_wr_flush_mode           => "VAL",
+      g_wr_flush_use_channel    => false,
+      g_wr_flush_start_channel  => 0,
+      g_wr_flush_nof_channels   => 1
+    )
+    port map (
+      -- DDR reference clock
+      ctlr_ref_clk        => ctlr_ref_clk,
+      ctlr_ref_rst        => ctlr_ref_rst,
+
+      -- DDR controller clock domain
+      ctlr_clk_out        => ctlr_clk_out,
+      ctlr_rst_out        => ctlr_rst_out,
+
+      ctlr_clk_in         => ctlr_clk_in,
+      ctlr_rst_in         => ctlr_rst_in,
+
+      -- MM clock + reset
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+
+      -- MM interface
+      reg_io_ddr_mosi     => reg_io_ddr_mosi,
+      reg_io_ddr_miso     => reg_io_ddr_miso,
+
+      -- Write FIFO clock domain
+      wr_clk              => dp_clk,
+      wr_rst              => dp_rst,
+
+      wr_fifo_usedw       => wr_fifo_usedw,
+      wr_sosi             => bg_sosi_arr(0),
+      wr_siso             => bg_siso_arr(0),
+
+      -- Read FIFO clock domain
+      rd_clk              => dp_clk,
+      rd_rst              => dp_rst,
+
+      rd_fifo_usedw       => rd_fifo_usedw,
+      rd_sosi             => db_sosi_arr(0),
+      rd_siso             => db_siso_arr(0),
+
+      term_ctrl_out       => term_ctrl_out,
+      term_ctrl_in        => term_ctrl_in,
+
+      -- DDR3 PHY external interface
+      phy3_in             => phy3_in,
+      phy3_io             => phy3_io,
+      phy3_ou             => phy3_ou,
+
+      -- DDR4 PHY external interface
+      phy4_in             => phy4_in,
+      phy4_io             => phy4_io,
+      phy4_ou             => phy4_ou
+    );
 
   -----------------------------------------------------------------------------
   -- DIAG Tx seq
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen: entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_technology         => g_technology,
-    -- Generate configurations
-    g_use_usr_input      => false,
-    g_use_bg             => false,
-    g_use_tx_seq         => true,
-    -- General
-    g_nof_streams        => 1,
-    -- BG settings
-    g_use_bg_buffer_ram  => false,
-    -- Tx_seq
-    g_seq_dat_w          => g_dp_seq_dat_w
-  )
-  port map (
-    -- System
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-    -- MM interface
-    reg_tx_seq_mosi  => reg_tx_seq_mosi,
-    reg_tx_seq_miso  => reg_tx_seq_miso,
-    -- ST interface
-    out_siso_arr     => bg_siso_arr,
-    out_sosi_arr     => bg_sosi_arr
-  );
+    generic map (
+      g_technology         => g_technology,
+      -- Generate configurations
+      g_use_usr_input      => false,
+      g_use_bg             => false,
+      g_use_tx_seq         => true,
+      -- General
+      g_nof_streams        => 1,
+      -- BG settings
+      g_use_bg_buffer_ram  => false,
+      -- Tx_seq
+      g_seq_dat_w          => g_dp_seq_dat_w
+    )
+    port map (
+      -- System
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+      -- MM interface
+      reg_tx_seq_mosi  => reg_tx_seq_mosi,
+      reg_tx_seq_miso  => reg_tx_seq_miso,
+      -- ST interface
+      out_siso_arr     => bg_siso_arr,
+      out_sosi_arr     => bg_sosi_arr
+    );
 
   -----------------------------------------------------------------------------
   -- DIAG Rx seq with optional Data Buffer
   -----------------------------------------------------------------------------
   u_mms_diag_data_buffer: entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_technology   => g_technology,
-    -- Generate configurations
-    g_use_db       => g_db_use_db,
-    g_use_rx_seq   => true,
-    -- General
-    g_nof_streams  => 1,
-    -- DB settings
-    g_data_type    => e_data,  -- define the sosi field that gets stored: e_data=data, e_complex=im&re, e_real=re, e_imag=im,
-    g_data_w       => c_word_w,  -- only capture the lowest c_word_w=32 bits of the g_dp_data_w
-    g_buf_nof_data => g_db_buf_nof_data,
-    g_buf_use_sync => false,  -- when TRUE start filling the buffer at the in_sync, else after the last word was read,
-    -- Rx_seq
-    g_seq_dat_w    => g_dp_seq_dat_w
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    reg_data_buf_mosi => reg_data_buf_mosi,
-    reg_data_buf_miso => reg_data_buf_miso,
-    ram_data_buf_mosi => ram_data_buf_mosi,
-    ram_data_buf_miso => ram_data_buf_miso,
-    reg_rx_seq_mosi   => reg_rx_seq_mosi,
-    reg_rx_seq_miso   => reg_rx_seq_miso,
-
-    -- ST interface
-    in_sosi_arr       => db_sosi_arr
-  );
+    generic map (
+      g_technology   => g_technology,
+      -- Generate configurations
+      g_use_db       => g_db_use_db,
+      g_use_rx_seq   => true,
+      -- General
+      g_nof_streams  => 1,
+      -- DB settings
+      g_data_type    => e_data,  -- define the sosi field that gets stored: e_data=data, e_complex=im&re, e_real=re, e_imag=im,
+      g_data_w       => c_word_w,  -- only capture the lowest c_word_w=32 bits of the g_dp_data_w
+      g_buf_nof_data => g_db_buf_nof_data,
+      g_buf_use_sync => false,  -- when TRUE start filling the buffer at the in_sync, else after the last word was read,
+      -- Rx_seq
+      g_seq_dat_w    => g_dp_seq_dat_w
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      reg_data_buf_mosi => reg_data_buf_mosi,
+      reg_data_buf_miso => reg_data_buf_miso,
+      ram_data_buf_mosi => ram_data_buf_mosi,
+      ram_data_buf_miso => ram_data_buf_miso,
+      reg_rx_seq_mosi   => reg_rx_seq_mosi,
+      reg_rx_seq_miso   => reg_rx_seq_miso,
+
+      -- ST interface
+      in_sosi_arr       => db_sosi_arr
+    );
 
 end str;
diff --git a/libraries/io/ddr/tb/vhdl/tb_io_ddr.vhd b/libraries/io/ddr/tb/vhdl/tb_io_ddr.vhd
index 8db1d40fbd..b535d2b809 100644
--- a/libraries/io/ddr/tb/vhdl/tb_io_ddr.vhd
+++ b/libraries/io/ddr/tb/vhdl/tb_io_ddr.vhd
@@ -30,16 +30,16 @@
 -- > run -all
 --
 library IEEE, technology_lib, tech_ddr_lib, common_lib, dp_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
 
 entity tb_io_ddr is
   generic (
@@ -376,28 +376,28 @@ begin
   end process;
 
   u_diagnostics: entity diagnostics_lib.diagnostics
-  generic map (
-    g_dat_w             => c_dp_data_w,
-    g_nof_streams       => 1
-     )
-  port map (
-    rst                 => dp_rst,
-    clk                 => dp_clk,
-
-    snk_out_arr(0)      => diag_rd_snk_out,
-    snk_in_arr(0)       => diag_rd_snk_in,
-    snk_diag_en(0)      => snk_diag_en,
-    snk_diag_md(0)      => '1',
-    snk_diag_res(0)     => snk_diag_res,
-    snk_diag_res_val(0) => snk_diag_res_val,
-    snk_val_cnt(0)      => snk_val_cnt,
-
-    src_out_arr(0)      => diag_wr_src_out,
-    src_in_arr(0)       => diag_wr_src_in,
-    src_diag_en(0)      => src_diag_en,
-    src_diag_md(0)      => '1',
-    src_val_cnt(0)      => src_val_cnt
-  );
+    generic map (
+      g_dat_w             => c_dp_data_w,
+      g_nof_streams       => 1
+    )
+    port map (
+      rst                 => dp_rst,
+      clk                 => dp_clk,
+
+      snk_out_arr(0)      => diag_rd_snk_out,
+      snk_in_arr(0)       => diag_rd_snk_in,
+      snk_diag_en(0)      => snk_diag_en,
+      snk_diag_md(0)      => '1',
+      snk_diag_res(0)     => snk_diag_res,
+      snk_diag_res_val(0) => snk_diag_res_val,
+      snk_val_cnt(0)      => snk_val_cnt,
+
+      src_out_arr(0)      => diag_wr_src_out,
+      src_in_arr(0)       => diag_wr_src_in,
+      src_diag_en(0)      => src_diag_en,
+      src_diag_md(0)      => '1',
+      src_val_cnt(0)      => src_val_cnt
+    );
 
   dbg_wr_data <= diag_wr_src_out.data(c_dp_data_w - 1 downto 0);
   dbg_wr_val  <= diag_wr_src_out.valid;
@@ -439,87 +439,87 @@ begin
   dvr_mosi.flush        <= dvr_wr_flush_en;
 
   u_io_ddr: entity work.io_ddr
-  generic map(
-    g_sim_model              => g_sim_model,
-    g_technology             => g_technology,
-    g_tech_ddr               => c_tech_ddr,
-    g_cross_domain_dvr_ctlr  => c_cross_domain_dvr_ctlr,
-    g_wr_data_w              => c_dp_data_w,
-    g_wr_fifo_depth          => c_wr_fifo_depth,  -- defined at DDR side of the FIFO.
-    g_rd_fifo_depth          => c_rd_fifo_depth,  -- defined at DDR side of the FIFO.
-    g_rd_data_w              => c_dp_data_w,
-    g_wr_flush_mode          => g_wr_flush_mode,
-    g_wr_flush_use_channel   => false,
-    g_wr_flush_start_channel => 0,
-    g_wr_flush_nof_channels  => 1
-  )
-  port map (
-    -- DDR reference clock
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_ref_rst       => ctlr_ref_rst,
-
-    -- DDR controller clock domain
-    ctlr_clk_out       => ctlr_clk,
-    ctlr_rst_out       => ctlr_rst,
-
-    ctlr_clk_in        => ctlr_clk,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
-    ctlr_rst_in        => ctlr_rst,
-
-    -- MM clock domain
-    mm_clk             => mm_clk,
-    mm_rst             => mm_rst,
-
-    -- MM register map for DDR controller status info
-    reg_io_ddr_mosi    => reg_io_ddr_mosi,
-    reg_io_ddr_miso    => reg_io_ddr_miso,
-
-    -- Driver clock domain
-    dvr_clk            => dvr_clk,
-    dvr_rst            => dvr_rst,
-
-    dvr_miso           => dvr_miso,
-    dvr_mosi           => dvr_mosi,
-
-    -- Write FIFO clock domain
-    wr_clk             => dp_clk,
-    wr_rst             => dp_rst,
-
-    wr_fifo_usedw      => wr_fifo_usedw,
-    wr_sosi            => wr_src_out,
-    wr_siso            => diag_wr_src_in,
-
-    -- Read FIFO clock domain
-    rd_clk             => dp_clk,
-    rd_rst             => dp_rst,
-
-    rd_fifo_usedw      => rd_fifo_usedw,
-    rd_sosi            => diag_rd_snk_in,
-    rd_siso            => diag_rd_snk_out,
-
-    -- DDR3 PHY external interface
-    phy3_ou            => phy3_ou,
-    phy3_io            => phy3_io,
-    phy3_in            => phy3_in,
-
-    -- DDR4 PHY external interface
-    phy4_ou            => phy4_ou,
-    phy4_io            => phy4_io,
-    phy4_in            => phy4_in
-  );
+    generic map(
+      g_sim_model              => g_sim_model,
+      g_technology             => g_technology,
+      g_tech_ddr               => c_tech_ddr,
+      g_cross_domain_dvr_ctlr  => c_cross_domain_dvr_ctlr,
+      g_wr_data_w              => c_dp_data_w,
+      g_wr_fifo_depth          => c_wr_fifo_depth,  -- defined at DDR side of the FIFO.
+      g_rd_fifo_depth          => c_rd_fifo_depth,  -- defined at DDR side of the FIFO.
+      g_rd_data_w              => c_dp_data_w,
+      g_wr_flush_mode          => g_wr_flush_mode,
+      g_wr_flush_use_channel   => false,
+      g_wr_flush_start_channel => 0,
+      g_wr_flush_nof_channels  => 1
+    )
+    port map (
+      -- DDR reference clock
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_ref_rst       => ctlr_ref_rst,
+
+      -- DDR controller clock domain
+      ctlr_clk_out       => ctlr_clk,
+      ctlr_rst_out       => ctlr_rst,
+
+      ctlr_clk_in        => ctlr_clk,  -- connect ctlr_clk_out to ctlr_clk_in at top level to avoid potential delta-cycle differences between the same clock
+      ctlr_rst_in        => ctlr_rst,
+
+      -- MM clock domain
+      mm_clk             => mm_clk,
+      mm_rst             => mm_rst,
+
+      -- MM register map for DDR controller status info
+      reg_io_ddr_mosi    => reg_io_ddr_mosi,
+      reg_io_ddr_miso    => reg_io_ddr_miso,
+
+      -- Driver clock domain
+      dvr_clk            => dvr_clk,
+      dvr_rst            => dvr_rst,
+
+      dvr_miso           => dvr_miso,
+      dvr_mosi           => dvr_mosi,
+
+      -- Write FIFO clock domain
+      wr_clk             => dp_clk,
+      wr_rst             => dp_rst,
+
+      wr_fifo_usedw      => wr_fifo_usedw,
+      wr_sosi            => wr_src_out,
+      wr_siso            => diag_wr_src_in,
+
+      -- Read FIFO clock domain
+      rd_clk             => dp_clk,
+      rd_rst             => dp_rst,
+
+      rd_fifo_usedw      => rd_fifo_usedw,
+      rd_sosi            => diag_rd_snk_in,
+      rd_siso            => diag_rd_snk_out,
+
+      -- DDR3 PHY external interface
+      phy3_ou            => phy3_ou,
+      phy3_io            => phy3_io,
+      phy3_in            => phy3_in,
+
+      -- DDR4 PHY external interface
+      phy4_ou            => phy4_ou,
+      phy4_io            => phy4_io,
+      phy4_in            => phy4_in
+    );
 
   u_tech_ddr_memory_model : entity tech_ddr_lib.tech_ddr_memory_model
-  generic map (
-    g_tech_ddr => c_tech_ddr
-  )
-  port map (
-    -- DDR3 PHY interface
-    mem3_in => phy3_ou,
-    mem3_io => phy3_io,
-
-    -- DDR4 PHY interface
-    mem4_in => phy4_ou,
-    mem4_io => phy4_io
-  );
+    generic map (
+      g_tech_ddr => c_tech_ddr
+    )
+    port map (
+      -- DDR3 PHY interface
+      mem3_in => phy3_ou,
+      mem3_io => phy3_io,
+
+      -- DDR4 PHY interface
+      mem4_in => phy4_ou,
+      mem4_io => phy4_io
+    );
 
 end architecture str;
 
diff --git a/libraries/io/ddr/tb/vhdl/tb_tb_io_ddr.vhd b/libraries/io/ddr/tb/vhdl/tb_tb_io_ddr.vhd
index c281a022b0..5c901114a2 100644
--- a/libraries/io/ddr/tb/vhdl/tb_tb_io_ddr.vhd
+++ b/libraries/io/ddr/tb/vhdl/tb_tb_io_ddr.vhd
@@ -28,11 +28,11 @@
 -- # Takes about 1u10m for DDR4
 
 library IEEE, technology_lib, tech_ddr_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 
 entity tb_tb_io_ddr is
diff --git a/libraries/io/ddr3/src/vhdl/ddr3.vhd b/libraries/io/ddr3/src/vhdl/ddr3.vhd
index 6bd368349b..883f17183c 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3.vhd
@@ -25,15 +25,15 @@ library ip_stratixiv_ddr3_uphy_4g_800_master_lib;
 library ip_stratixiv_ddr3_uphy_4g_800_slave_lib;
 
 library IEEE, common_lib, technology_lib, dp_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity ddr3 is
   generic(
@@ -105,7 +105,7 @@ entity ddr3 is
     phy_in             : in    t_tech_ddr3_phy_in;
     phy_io             : inout t_tech_ddr3_phy_io;
     phy_ou             : out   t_tech_ddr3_phy_ou
-   );
+  );
 end ddr3;
 
 
@@ -169,158 +169,158 @@ begin
   ctlr_init_done  <= i_ctlr_init_done;
 
   u_wr_fifo : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_wr_data_w         => g_wr_data_w,
-    g_rd_data_w         => c_ddr3_ctlr_data_w,
-    g_use_ctrl          => g_wr_use_ctrl,
-    g_wr_fifo_size      => c_wr_fifo_depth,
-    g_wr_fifo_af_margin => 4 + c_latency,  -- default (4) + c_latency to compensate for latency introduced by registering wr_siso.ready
-    g_rd_fifo_rl        => 0
-  )
-  port map (
-    wr_rst         => wr_rst,
-    wr_clk         => wr_clk,
-    rd_rst         => i_ctlr_gen_rst,
-    rd_clk         => i_ctlr_gen_clk,
-
-    snk_out        => wr_siso,
-    snk_in         => wr_sosi,
-
-    wr_usedw       => OPEN,
-    rd_usedw       => wr_fifo_usedw,
-    rd_emp         => OPEN,
-
-    src_in         => flush_wr_siso,
-    src_out        => flush_wr_sosi
-  );
+    generic map (
+      g_wr_data_w         => g_wr_data_w,
+      g_rd_data_w         => c_ddr3_ctlr_data_w,
+      g_use_ctrl          => g_wr_use_ctrl,
+      g_wr_fifo_size      => c_wr_fifo_depth,
+      g_wr_fifo_af_margin => 4 + c_latency,  -- default (4) + c_latency to compensate for latency introduced by registering wr_siso.ready
+      g_rd_fifo_rl        => 0
+    )
+    port map (
+      wr_rst         => wr_rst,
+      wr_clk         => wr_clk,
+      rd_rst         => i_ctlr_gen_rst,
+      rd_clk         => i_ctlr_gen_clk,
 
-  u_dp_flush : entity dp_lib.dp_flush  -- Always instantiate the flusher as it also contains a RL adapter
-  generic map (
-    g_ready_latency => 0,
-    g_framed_xon    => g_wr_use_ctrl,  -- stop flushing when dvr_flush is low and a sop has arrived
-    g_framed_xoff   => false  -- immediately start flushing when dvr_flush goes high
-  )
-  port map (
-    rst      => i_ctlr_gen_rst,
-    clk      => i_ctlr_gen_clk,
-
-    snk_in   => flush_wr_sosi,
-    snk_out  => flush_wr_siso,
-
-    src_out  => ctlr_wr_sosi,
-    src_in   => ctlr_wr_siso,  -- fixed streaming xon='1'
-
-    flush_en => dvr_flush  -- memory mapped xon/xoff control
-  );
+      snk_out        => wr_siso,
+      snk_in         => wr_sosi,
 
-  gen_flush : if g_flush_wr_fifo = true generate
-    u_flush_ctrl : entity work.ddr3_flush_ctrl
+      wr_usedw       => OPEN,
+      rd_usedw       => wr_fifo_usedw,
+      rd_emp         => OPEN,
+
+      src_in         => flush_wr_siso,
+      src_out        => flush_wr_sosi
+    );
+
+  u_dp_flush : entity dp_lib.dp_flush  -- Always instantiate the flusher as it also contains a RL adapter
     generic map (
-      g_ext_ena           => g_flush_ext_ena,
-      g_sop               => g_flush_sop,
-      g_sop_sync          => g_flush_sop_sync,
-      g_sop_channel       => g_flush_sop_channel,
-      g_sop_start_channel => g_flush_sop_start_channel,
-      g_nof_channels      => g_flush_nof_channels
+      g_ready_latency => 0,
+      g_framed_xon    => g_wr_use_ctrl,  -- stop flushing when dvr_flush is low and a sop has arrived
+      g_framed_xoff   => false  -- immediately start flushing when dvr_flush goes high
     )
     port map (
-      rst           => wr_rst,
-      clk           => wr_clk,
+      rst      => i_ctlr_gen_rst,
+      clk      => i_ctlr_gen_clk,
 
-      dvr_en        => dvr_en,
-      dvr_wr_not_rd => dvr_wr_not_rd,
-      dvr_done      => i_dvr_done,
+      snk_in   => flush_wr_sosi,
+      snk_out  => flush_wr_siso,
 
-      wr_sosi       => wr_sosi,
-      flush_ena     => flush_ena,
+      src_out  => ctlr_wr_sosi,
+      src_in   => ctlr_wr_siso,  -- fixed streaming xon='1'
 
-      dvr_flush     => dvr_flush
+      flush_en => dvr_flush  -- memory mapped xon/xoff control
     );
+
+  gen_flush : if g_flush_wr_fifo = true generate
+    u_flush_ctrl : entity work.ddr3_flush_ctrl
+      generic map (
+        g_ext_ena           => g_flush_ext_ena,
+        g_sop               => g_flush_sop,
+        g_sop_sync          => g_flush_sop_sync,
+        g_sop_channel       => g_flush_sop_channel,
+        g_sop_start_channel => g_flush_sop_start_channel,
+        g_nof_channels      => g_flush_nof_channels
+      )
+      port map (
+        rst           => wr_rst,
+        clk           => wr_clk,
+
+        dvr_en        => dvr_en,
+        dvr_wr_not_rd => dvr_wr_not_rd,
+        dvr_done      => i_dvr_done,
+
+        wr_sosi       => wr_sosi,
+        flush_ena     => flush_ena,
+
+        dvr_flush     => dvr_flush
+      );
   end generate;
 
   u_rd_fifo : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_wr_data_w         => c_ddr3_ctlr_data_w,
-    g_rd_data_w         => g_rd_data_w,
-    g_use_ctrl          => false,
-    g_wr_fifo_size      => g_rd_fifo_depth,
-    g_wr_fifo_af_margin => c_ddr3_ctrl_nof_latent_reads,  -- >=4 (required by dp_fifo)
-    g_rd_fifo_rl        => 1
-  )
-  port map (
-    wr_rst   => i_ctlr_gen_rst,
-    wr_clk   => i_ctlr_gen_clk,
-    rd_rst   => rd_rst,
-    rd_clk   => rd_clk,
-
-    snk_out  => ctlr_rd_siso,
-    snk_in   => ctlr_rd_sosi,
-
-    wr_usedw => OPEN,
-    rd_usedw => rd_fifo_usedw,
-    rd_emp   => OPEN,
-
-    src_in   => rd_siso,
-    src_out  => rd_sosi
-  );
+    generic map (
+      g_wr_data_w         => c_ddr3_ctlr_data_w,
+      g_rd_data_w         => g_rd_data_w,
+      g_use_ctrl          => false,
+      g_wr_fifo_size      => g_rd_fifo_depth,
+      g_wr_fifo_af_margin => c_ddr3_ctrl_nof_latent_reads,  -- >=4 (required by dp_fifo)
+      g_rd_fifo_rl        => 1
+    )
+    port map (
+      wr_rst   => i_ctlr_gen_rst,
+      wr_clk   => i_ctlr_gen_clk,
+      rd_rst   => rd_rst,
+      rd_clk   => rd_clk,
+
+      snk_out  => ctlr_rd_siso,
+      snk_in   => ctlr_rd_sosi,
+
+      wr_usedw => OPEN,
+      rd_usedw => rd_fifo_usedw,
+      rd_emp   => OPEN,
+
+      src_in   => rd_siso,
+      src_out  => rd_sosi
+    );
 
   u_ddr3_driver : entity work.ddr3_driver
-  generic map (
-    g_wr_fifo_depth => g_wr_fifo_depth,
-    g_ddr           => g_ddr
-  )
-  port map (
-    rst             => i_ctlr_gen_rst,
-    clk             => i_ctlr_gen_clk,
-
-    ctlr_rdy        => i_ctlr_rdy,
-    ctlr_init_done  => i_ctlr_init_done,
-    ctlr_wr_req     => ctlr_wr_req,
-    ctlr_rd_req     => ctlr_rd_req,
-    ctlr_burst      => ctlr_burst,
-    ctlr_burst_size => ctlr_burst_size,
-
-    wr_val          => ctlr_wr_sosi.valid,
-    wr_rdy          => ctlr_wr_siso.ready,
-    rd_rdy          => ctlr_rd_siso.ready,
-
-    cur_addr        => dvr_cur_addr,
-    start_addr      => dvr_start_addr,
-    end_addr        => dvr_end_addr,
-
-    dvr_en          => dvr_en,
-    dvr_wr_not_rd   => dvr_wr_not_rd,
-    dvr_done        => i_dvr_done,
-
-    wr_fifo_usedw   => wr_fifo_usedw
-  );
+    generic map (
+      g_wr_fifo_depth => g_wr_fifo_depth,
+      g_ddr           => g_ddr
+    )
+    port map (
+      rst             => i_ctlr_gen_rst,
+      clk             => i_ctlr_gen_clk,
+
+      ctlr_rdy        => i_ctlr_rdy,
+      ctlr_init_done  => i_ctlr_init_done,
+      ctlr_wr_req     => ctlr_wr_req,
+      ctlr_rd_req     => ctlr_rd_req,
+      ctlr_burst      => ctlr_burst,
+      ctlr_burst_size => ctlr_burst_size,
+
+      wr_val          => ctlr_wr_sosi.valid,
+      wr_rdy          => ctlr_wr_siso.ready,
+      rd_rdy          => ctlr_rd_siso.ready,
+
+      cur_addr        => dvr_cur_addr,
+      start_addr      => dvr_start_addr,
+      end_addr        => dvr_end_addr,
+
+      dvr_en          => dvr_en,
+      dvr_wr_not_rd   => dvr_wr_not_rd,
+      dvr_done        => i_dvr_done,
+
+      wr_fifo_usedw   => wr_fifo_usedw
+    );
 
   u_reg_map : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => true,  -- : BOOLEAN := TRUE;  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
-    g_in_new_latency     => 0,  -- : NATURAL := 0;  -- >= 0
-    g_readback           => false,  -- : BOOLEAN := FALSE;  -- must use FALSE for write/read or read only register when g_cross_clock_domain=TRUE
-    g_reg                => c_mem_reg_io_ddr,  -- : t_c_mem := c_mem_reg;
-    g_init_reg           => (others => '0')  -- : STD_LOGIC_VECTOR(c_mem_reg_init_w-1 DOWNTO 0) := (OTHERS => '0')
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,  -- : IN  STD_LOGIC;   -- reset synchronous with mm_clk
-    mm_clk      => mm_clk,  -- : IN  STD_LOGIC;   -- memory-mapped bus clock
-    st_rst      => i_ctlr_gen_rst,  -- : IN  STD_LOGIC;   -- reset synchronous with st_clk
-    st_clk      => i_ctlr_gen_clk,  -- : IN  STD_LOGIC;   -- other clock domain clock
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_io_ddr_mosi,  -- : IN  t_mem_mosi;  -- actual ranges defined by g_reg
-    sla_out     => reg_io_ddr_miso,  -- : OUT t_mem_miso;  -- actual ranges defined by g_reg
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,  -- : OUT STD_LOGIC_VECTOR(            g_reg.nof_dat-1 DOWNTO 0);
-    reg_rd_arr  => OPEN,  -- : OUT STD_LOGIC_VECTOR(            g_reg.nof_dat-1 DOWNTO 0);
-    in_new      => '1',  -- : IN  STD_LOGIC := '1';
-    in_reg      => mm_reg_io_ddr,  -- : IN  STD_LOGIC_VECTOR(g_reg.dat_w*g_reg.nof_dat-1 DOWNTO 0);
-    out_reg     => open  -- : OUT STD_LOGIC_VECTOR(g_reg.dat_w*g_reg.nof_dat-1 DOWNTO 0)
-  );
+    generic map (
+      g_cross_clock_domain => true,  -- : BOOLEAN := TRUE;  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
+      g_in_new_latency     => 0,  -- : NATURAL := 0;  -- >= 0
+      g_readback           => false,  -- : BOOLEAN := FALSE;  -- must use FALSE for write/read or read only register when g_cross_clock_domain=TRUE
+      g_reg                => c_mem_reg_io_ddr,  -- : t_c_mem := c_mem_reg;
+      g_init_reg           => (others => '0')  -- : STD_LOGIC_VECTOR(c_mem_reg_init_w-1 DOWNTO 0) := (OTHERS => '0')
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,  -- : IN  STD_LOGIC;   -- reset synchronous with mm_clk
+      mm_clk      => mm_clk,  -- : IN  STD_LOGIC;   -- memory-mapped bus clock
+      st_rst      => i_ctlr_gen_rst,  -- : IN  STD_LOGIC;   -- reset synchronous with st_clk
+      st_clk      => i_ctlr_gen_clk,  -- : IN  STD_LOGIC;   -- other clock domain clock
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_io_ddr_mosi,  -- : IN  t_mem_mosi;  -- actual ranges defined by g_reg
+      sla_out     => reg_io_ddr_miso,  -- : OUT t_mem_miso;  -- actual ranges defined by g_reg
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,  -- : OUT STD_LOGIC_VECTOR(            g_reg.nof_dat-1 DOWNTO 0);
+      reg_rd_arr  => OPEN,  -- : OUT STD_LOGIC_VECTOR(            g_reg.nof_dat-1 DOWNTO 0);
+      in_new      => '1',  -- : IN  STD_LOGIC := '1';
+      in_reg      => mm_reg_io_ddr,  -- : IN  STD_LOGIC_VECTOR(g_reg.dat_w*g_reg.nof_dat-1 DOWNTO 0);
+      out_reg     => open  -- : OUT STD_LOGIC_VECTOR(g_reg.dat_w*g_reg.nof_dat-1 DOWNTO 0)
+    );
 
   mm_reg_io_ddr <= RESIZE_UVEC(local_cal_fail & local_cal_success & i_ctlr_gen_rst & flush_ena & i_ctlr_rdy & i_ctlr_init_done, 32);
 
@@ -346,32 +346,32 @@ begin
 
 
   u_tech_ddr : entity tech_ddr_lib.tech_ddr
-  generic map (
-    g_technology    => c_tech_stratixiv,
-    g_tech_ddr      => c_tech_ddr3_4g_800m_master
-  )
-  port map (
-    -- PLL reference clock
-    ref_clk         => ctlr_ref_clk,
-    ref_rst         => ctlr_rst,
-
-    -- Controller user interface
-    ctlr_gen_clk    => i_ctlr_gen_clk,
-    ctlr_gen_rst    => i_ctlr_gen_rst,
-    ctlr_gen_clk_2x => i_ctlr_gen_clk_2x,
-    ctlr_gen_rst_2x => ctlr_gen_rst_2x,
-
-    ctlr_mosi       => ctlr_tech_mosi,
-    ctlr_miso       => ctlr_tech_miso,
-
-    term_ctrl_out   => term_ctrl_out,
-    term_ctrl_in    => term_ctrl_in,
-
-    -- DDR3 PHY interface
-    phy3_in         => phy_in,
-    phy3_io         => phy_io,
-    phy3_ou         => phy_ou
-  );
+    generic map (
+      g_technology    => c_tech_stratixiv,
+      g_tech_ddr      => c_tech_ddr3_4g_800m_master
+    )
+    port map (
+      -- PLL reference clock
+      ref_clk         => ctlr_ref_clk,
+      ref_rst         => ctlr_rst,
+
+      -- Controller user interface
+      ctlr_gen_clk    => i_ctlr_gen_clk,
+      ctlr_gen_rst    => i_ctlr_gen_rst,
+      ctlr_gen_clk_2x => i_ctlr_gen_clk_2x,
+      ctlr_gen_rst_2x => ctlr_gen_rst_2x,
+
+      ctlr_mosi       => ctlr_tech_mosi,
+      ctlr_miso       => ctlr_tech_miso,
+
+      term_ctrl_out   => term_ctrl_out,
+      term_ctrl_in    => term_ctrl_in,
+
+      -- DDR3 PHY interface
+      phy3_in         => phy_in,
+      phy3_io         => phy_io,
+      phy3_ou         => phy_ou
+    );
 
 end str;
 
diff --git a/libraries/io/ddr3/src/vhdl/ddr3_driver.vhd b/libraries/io/ddr3/src/vhdl/ddr3_driver.vhd
index b7135dbf4f..8f58e3cc78 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3_driver.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3_driver.vhd
@@ -21,16 +21,16 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity ddr3_driver is
   generic (
     g_wr_fifo_depth    : natural := 128;
     g_ddr              : t_c_ddr3_phy
-   );
+  );
   port (
     clk                : in  std_logic;
     rst                : in  std_logic;
@@ -55,7 +55,7 @@ entity ddr3_driver is
     end_addr           : in  t_ddr3_addr;
 
     wr_fifo_usedw      : in  std_logic_vector
-   );
+  );
 end ddr3_driver;
 
 
@@ -65,9 +65,9 @@ architecture str of ddr3_driver is
   constant c_address_w          : natural := c_chip_addr_w + g_ddr.ba_w + g_ddr.a_w + g_ddr.a_col_w + 1;  -- 1 bit added to detect overflow
 
   constant c_margin             : natural := 2;  -- wr_burst_size is updated one cycle after reading actual nof available words.
-                                                -- Subtract two (wr_fifo_usedw and wr_burst_size are both registered) so we cannot
-                                                -- post a request for a too large burst size, which could cause the wr_burst state
-                                                -- to be two valid words short.
+  -- Subtract two (wr_fifo_usedw and wr_burst_size are both registered) so we cannot
+  -- post a request for a too large burst size, which could cause the wr_burst state
+  -- to be two valid words short.
 
   signal req_burst_cycles       : std_logic_vector(c_ddr3_ctlr_maxburstsize_w - 1 downto 0);
   signal nxt_req_burst_cycles   : std_logic_vector(c_ddr3_ctlr_maxburstsize_w - 1 downto 0);
@@ -204,9 +204,9 @@ begin
               i_ctlr_burst_size    <= TO_UVEC(wr_burst_size  , c_ddr3_ctlr_maxburstsize_w);
             end if;  -- ELSE: there is only 1 word, so no need for remaining burst
             nxt_cur_address   <= INCR_UVEC(cur_address, unsigned(i_ctlr_burst_size) * c_ddr3_ctlr_rsl);
---            IF UNSIGNED(i_ctlr_burst_size) = 1 THEN -- Prevents FSM from going into this state again too soon (reg_addresses_rem invalid)
---            nxt_state <= s_wait3;
---            END IF;
+          --            IF UNSIGNED(i_ctlr_burst_size) = 1 THEN -- Prevents FSM from going into this state again too soon (reg_addresses_rem invalid)
+          --            nxt_state <= s_wait3;
+          --            END IF;
           end if;
         end if;
 
@@ -223,9 +223,9 @@ begin
               i_ctlr_burst_size <= TO_UVEC(rd_burst_size, c_ddr3_ctlr_maxburstsize_w);
               if rd_burst_size = 0 then i_ctlr_burst_size <= TO_UVEC(1, c_ddr3_ctlr_maxburstsize_w); end if;
               nxt_cur_address   <= INCR_UVEC(cur_address, unsigned(i_ctlr_burst_size) * c_ddr3_ctlr_rsl);
---              IF UNSIGNED(i_ctlr_burst_size) = 1 THEN -- Prevents FSM from going into this state again too soon (reg_addresses_rem invalid)
---              nxt_state <= s_wait3;
---              END IF;
+            --              IF UNSIGNED(i_ctlr_burst_size) = 1 THEN -- Prevents FSM from going into this state again too soon (reg_addresses_rem invalid)
+            --              nxt_state <= s_wait3;
+            --              END IF;
             end if;
           end if;
         end if;
@@ -236,14 +236,14 @@ begin
         if prev_state = s_wr_request then nxt_state <= s_wr_request; end if;
         if prev_state = s_rd_request then nxt_state <= s_rd_request; end if;
 
-     -- In this cycle reg_addresses_rem is valid. This cycle is added so wr_burst_size and rd_burst_size
-     -- (derived from reg_addresses_rem) are valid the next cycle.
-     when s_wait2 =>
-       if dvr_wr_not_rd = '1' then
-         nxt_state <= s_wr_request;
-       else
-         nxt_state <= s_rd_request;
-       end if;
+      -- In this cycle reg_addresses_rem is valid. This cycle is added so wr_burst_size and rd_burst_size
+      -- (derived from reg_addresses_rem) are valid the next cycle.
+      when s_wait2 =>
+        if dvr_wr_not_rd = '1' then
+          nxt_state <= s_wr_request;
+        else
+          nxt_state <= s_rd_request;
+        end if;
 
       -- Wait a cycle so reg_addresses_rem is valid the next cyle.
       when s_wait1 =>
diff --git a/libraries/io/ddr3/src/vhdl/ddr3_flush_ctrl.vhd b/libraries/io/ddr3/src/vhdl/ddr3_flush_ctrl.vhd
index d040135dd8..932b6280d4 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3_flush_ctrl.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3_flush_ctrl.vhd
@@ -44,11 +44,11 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.ddr3_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.ddr3_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity ddr3_flush_ctrl is
   generic (
@@ -58,7 +58,7 @@ entity ddr3_flush_ctrl is
     g_sop_channel       : boolean := false;  -- When g_sop=TRUE, also check if the channel matches g_sop_start_channel
     g_sop_start_channel : natural := 0;
     g_nof_channels      : natural := 0
-   );
+  );
   port (
     clk                : in  std_logic;
     rst                : in  std_logic;
@@ -73,7 +73,7 @@ entity ddr3_flush_ctrl is
 
     dvr_flush          : out std_logic
 
-   );
+  );
 end ddr3_flush_ctrl;
 
 
@@ -98,12 +98,12 @@ begin
   -- Also flush the ddr3 module's FIFO when it is reading.
 
   gen_sop : if g_sop = true generate  -- Disable flushing on arrival of SOP
---    gen_sop_only: IF g_sop_channel = FALSE GENERATE
---      flush_dis <= '1' WHEN wr_sosi.sop='1' ELSE '0';
---    END GENERATE;
---    gen_channel : IF g_sop_channel = TRUE GENERATE -- Only disable flushing on arrival of specific channel SOP
---      flush_dis <= '1' WHEN wr_sosi.sop='1' AND UNSIGNED(wr_sosi.channel(c_channel_w-1 DOWNTO 0))=g_sop_start_channel ELSE '0';
---    END GENERATE;
+    --    gen_sop_only: IF g_sop_channel = FALSE GENERATE
+    --      flush_dis <= '1' WHEN wr_sosi.sop='1' ELSE '0';
+    --    END GENERATE;
+    --    gen_channel : IF g_sop_channel = TRUE GENERATE -- Only disable flushing on arrival of specific channel SOP
+    --      flush_dis <= '1' WHEN wr_sosi.sop='1' AND UNSIGNED(wr_sosi.channel(c_channel_w-1 DOWNTO 0))=g_sop_start_channel ELSE '0';
+    --    END GENERATE;
     gen_sync    : if g_sop_sync = true generate  -- Only disable flushing on arrival of SOP that is accompanied with a SYNC
       flush_dis <= '1' when wr_sosi.sop = '1' and wr_sosi.sync = '1' else '0';
     end generate;
diff --git a/libraries/io/ddr3/src/vhdl/ddr3_pkg.vhd b/libraries/io/ddr3/src/vhdl/ddr3_pkg.vhd
index f3778c5dbc..855444e284 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3_pkg.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use IEEE.numeric_std.all;
 
 package ddr3_pkg is
 
@@ -95,7 +95,7 @@ package ddr3_pkg is
   constant c_ddr3_ctlr_maxburstsize     : natural := 64;
   constant c_ddr3_ctlr_maxburstsize_w   : natural := ceil_log2(c_ddr3_ctlr_maxburstsize+1);
   constant c_ddr3_ctrl_nof_latent_reads : natural := 100;  -- The downside to having a command cue: even after de-asserting read requests, the ALTMEMPHY keeps processing your cued read requests.
-                                                           -- This makes sure 100 words are still available in the read FIFO after it de-asserted its siso.ready signal towards the ddr3 read side.
+  -- This makes sure 100 words are still available in the read FIFO after it de-asserted its siso.ready signal towards the ddr3 read side.
 
   constant c_ddr3_phy_oct_w             : natural := 14;
   constant c_ddr3_phy_oct_rs            : std_logic_vector := TO_UVEC(0, c_ddr3_phy_oct_w);
@@ -123,154 +123,154 @@ package ddr3_pkg is
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_800_master.v
   component ip_stratixiv_ddr3_uphy_4g_800_master is
-  port (
-    pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             : in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    : out   std_logic;  -- afi_clk.clk
-    afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
-    afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
-    mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
-    mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  : out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  : out   std_logic;  -- .mem_cas_n
-    mem_we_n                   : out   std_logic;  -- .mem_we_n
-    mem_reset_n                : out   std_logic;  -- .mem_reset_n
-    mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    avl_ready                  : out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
-    avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
-    avl_rdata_valid            : out   std_logic;  -- .readdatavalid
-    avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               : in    std_logic;  -- .read
-    avl_write_req              : in    std_logic;  -- .write
-    avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            : out   std_logic;  -- status.local_init_done
-    local_cal_success          : out   std_logic;  -- .local_cal_success
-    local_cal_fail             : out   std_logic;  -- .local_cal_fail
-    oct_rdn                    : in    std_logic;  -- oct.rdn
-    oct_rup                    : in    std_logic;  -- .rup
-    seriesterminationcontrol   : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              : out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 : out   std_logic;  -- .pll_locked
-    pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             : out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n             : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                    : out   std_logic;  -- afi_clk.clk
+      afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
+      afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
+      mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
+      mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                  : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                  : out   std_logic;  -- .mem_cas_n
+      mem_we_n                   : out   std_logic;  -- .mem_we_n
+      mem_reset_n                : out   std_logic;  -- .mem_reset_n
+      mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      avl_ready                  : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
+      avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
+      avl_rdata_valid            : out   std_logic;  -- .readdatavalid
+      avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req               : in    std_logic;  -- .read
+      avl_write_req              : in    std_logic;  -- .write
+      avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done            : out   std_logic;  -- status.local_init_done
+      local_cal_success          : out   std_logic;  -- .local_cal_success
+      local_cal_fail             : out   std_logic;  -- .local_cal_fail
+      oct_rdn                    : in    std_logic;  -- oct.rdn
+      oct_rup                    : in    std_logic;  -- .rup
+      seriesterminationcontrol   : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk              : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                 : out   std_logic;  -- .pll_locked
+      pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk             : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_800_slave.v
   -- . diff with master is that only master has oct_* inputs and that the *terminationcontrol are inputs for the slave
   component ip_stratixiv_ddr3_uphy_4g_800_slave is
-  port (
-    pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             : in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    : out   std_logic;  -- afi_clk.clk
-    afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
-    afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
-    mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
-    mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  : out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  : out   std_logic;  -- .mem_cas_n
-    mem_we_n                   : out   std_logic;  -- .mem_we_n
-    mem_reset_n                : out   std_logic;  -- .mem_reset_n
-    mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    avl_ready                  : out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
-    avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
-    avl_rdata_valid            : out   std_logic;  -- .readdatavalid
-    avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               : in    std_logic;  -- .read
-    avl_write_req              : in    std_logic;  -- .write
-    avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            : out   std_logic;  -- status.local_init_done
-    local_cal_success          : out   std_logic;  -- .local_cal_success
-    local_cal_fail             : out   std_logic;  -- .local_cal_fail
-    seriesterminationcontrol   : in    std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol : in    std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              : out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 : out   std_logic;  -- .pll_locked
-    pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             : out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n             : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                    : out   std_logic;  -- afi_clk.clk
+      afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
+      afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
+      mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
+      mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                  : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                  : out   std_logic;  -- .mem_cas_n
+      mem_we_n                   : out   std_logic;  -- .mem_we_n
+      mem_reset_n                : out   std_logic;  -- .mem_reset_n
+      mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      avl_ready                  : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
+      avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
+      avl_rdata_valid            : out   std_logic;  -- .readdatavalid
+      avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req               : in    std_logic;  -- .read
+      avl_write_req              : in    std_logic;  -- .write
+      avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done            : out   std_logic;  -- status.local_init_done
+      local_cal_success          : out   std_logic;  -- .local_cal_success
+      local_cal_fail             : out   std_logic;  -- .local_cal_fail
+      seriesterminationcontrol   : in    std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol : in    std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk              : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                 : out   std_logic;  -- .pll_locked
+      pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk             : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
 
   component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en is
-	generic (
-		MEM_IF_ADDR_WIDTH            : integer := 0;
-		MEM_IF_ROW_ADDR_WIDTH        : integer := 0;
-		MEM_IF_COL_ADDR_WIDTH        : integer := 0;
-		MEM_IF_CS_PER_RANK           : integer := 0;
-		MEM_IF_CONTROL_WIDTH         : integer := 0;
-		MEM_IF_DQS_WIDTH             : integer := 0;
-		MEM_IF_CS_WIDTH              : integer := 0;
-		MEM_IF_BANKADDR_WIDTH        : integer := 0;
-		MEM_IF_DQ_WIDTH              : integer := 0;
-		MEM_IF_CK_WIDTH              : integer := 0;
-		MEM_IF_CLK_EN_WIDTH          : integer := 0;
-		DEVICE_WIDTH                 : integer := 1;
-		MEM_TRCD                     : integer := 0;
-		MEM_TRTP                     : integer := 0;
-		MEM_DQS_TO_CLK_CAPTURE_DELAY : integer := 0;
-		MEM_CLK_TO_DQS_CAPTURE_DELAY : integer := 0;
-		MEM_IF_ODT_WIDTH             : integer := 0;
-		MEM_MIRROR_ADDRESSING_DEC    : integer := 0;
-		MEM_REGDIMM_ENABLED          : boolean := false;
-		DEVICE_DEPTH                 : integer := 1;
-		MEM_GUARANTEED_WRITE_INIT    : boolean := false;
-		MEM_VERBOSE                  : boolean := true;
-		MEM_INIT_EN                  : boolean := false;
-		MEM_INIT_FILE                : string  := "";
-		DAT_DATA_WIDTH               : integer := 32
-	);
-	port (
-		mem_a       : in    std_logic_vector(14 downto 0) := (others => 'X');  -- mem_a
-		mem_ba      : in    std_logic_vector(2 downto 0)  := (others => 'X');  -- mem_ba
-		mem_ck      : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_ck
-		mem_ck_n    : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_ck_n
-		mem_cke     : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_cke
-		mem_cs_n    : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_cs_n
-		mem_dm      : in    std_logic_vector(7 downto 0)  := (others => 'X');  -- mem_dm
-		mem_ras_n   : in    std_logic_vector(0 downto 0)  := (others => 'X');  -- mem_ras_n
-		mem_cas_n   : in    std_logic_vector(0 downto 0)  := (others => 'X');  -- mem_cas_n
-		mem_we_n    : in    std_logic_vector(0 downto 0)  := (others => 'X');  -- mem_we_n
-		mem_reset_n : in    std_logic                     := 'X';  -- mem_reset_n
-		mem_dq      : inout std_logic_vector(63 downto 0) := (others => 'X');  -- mem_dq
-		mem_dqs     : inout std_logic_vector(7 downto 0)  := (others => 'X');  -- mem_dqs
-		mem_dqs_n   : inout std_logic_vector(7 downto 0)  := (others => 'X');  -- mem_dqs_n
-		mem_odt     : in    std_logic_vector(1 downto 0)  := (others => 'X')  -- mem_odt
-	);
-	end component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en;
+    generic (
+      MEM_IF_ADDR_WIDTH            : integer := 0;
+      MEM_IF_ROW_ADDR_WIDTH        : integer := 0;
+      MEM_IF_COL_ADDR_WIDTH        : integer := 0;
+      MEM_IF_CS_PER_RANK           : integer := 0;
+      MEM_IF_CONTROL_WIDTH         : integer := 0;
+      MEM_IF_DQS_WIDTH             : integer := 0;
+      MEM_IF_CS_WIDTH              : integer := 0;
+      MEM_IF_BANKADDR_WIDTH        : integer := 0;
+      MEM_IF_DQ_WIDTH              : integer := 0;
+      MEM_IF_CK_WIDTH              : integer := 0;
+      MEM_IF_CLK_EN_WIDTH          : integer := 0;
+      DEVICE_WIDTH                 : integer := 1;
+      MEM_TRCD                     : integer := 0;
+      MEM_TRTP                     : integer := 0;
+      MEM_DQS_TO_CLK_CAPTURE_DELAY : integer := 0;
+      MEM_CLK_TO_DQS_CAPTURE_DELAY : integer := 0;
+      MEM_IF_ODT_WIDTH             : integer := 0;
+      MEM_MIRROR_ADDRESSING_DEC    : integer := 0;
+      MEM_REGDIMM_ENABLED          : boolean := false;
+      DEVICE_DEPTH                 : integer := 1;
+      MEM_GUARANTEED_WRITE_INIT    : boolean := false;
+      MEM_VERBOSE                  : boolean := true;
+      MEM_INIT_EN                  : boolean := false;
+      MEM_INIT_FILE                : string  := "";
+      DAT_DATA_WIDTH               : integer := 32
+    );
+    port (
+      mem_a       : in    std_logic_vector(14 downto 0) := (others => 'X');  -- mem_a
+      mem_ba      : in    std_logic_vector(2 downto 0)  := (others => 'X');  -- mem_ba
+      mem_ck      : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_ck
+      mem_ck_n    : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_ck_n
+      mem_cke     : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_cke
+      mem_cs_n    : in    std_logic_vector(1 downto 0)  := (others => 'X');  -- mem_cs_n
+      mem_dm      : in    std_logic_vector(7 downto 0)  := (others => 'X');  -- mem_dm
+      mem_ras_n   : in    std_logic_vector(0 downto 0)  := (others => 'X');  -- mem_ras_n
+      mem_cas_n   : in    std_logic_vector(0 downto 0)  := (others => 'X');  -- mem_cas_n
+      mem_we_n    : in    std_logic_vector(0 downto 0)  := (others => 'X');  -- mem_we_n
+      mem_reset_n : in    std_logic                     := 'X';  -- mem_reset_n
+      mem_dq      : inout std_logic_vector(63 downto 0) := (others => 'X');  -- mem_dq
+      mem_dqs     : inout std_logic_vector(7 downto 0)  := (others => 'X');  -- mem_dqs
+      mem_dqs_n   : inout std_logic_vector(7 downto 0)  := (others => 'X');  -- mem_dqs_n
+      mem_odt     : in    std_logic_vector(1 downto 0)  := (others => 'X')  -- mem_odt
+    );
+  end component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en;
 
 end ddr3_pkg;
 
diff --git a/libraries/io/ddr3/src/vhdl/ddr3_reg.vhd b/libraries/io/ddr3/src/vhdl/ddr3_reg.vhd
index 6d8f60bbb4..0d38c0dd9f 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3_reg.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3_reg.vhd
@@ -40,16 +40,16 @@
 --  =============================================================================
 
 library IEEE, common_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity ddr3_reg is
   generic (
     g_ddr             : t_c_ddr3_phy
- );
+  );
   port (
     -- Clocks and reset
     mm_rst            : in  std_logic;  -- reset synchronous with mm_clk
@@ -71,17 +71,19 @@ entity ddr3_reg is
     st_done           : in std_logic;
     st_init_done      : in std_logic;
     st_ctlr_rdy       : in std_logic
-   );
+  );
 end ddr3_reg;
 
 
 architecture rtl of ddr3_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(7),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 7,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(7),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 7,
+    init_sl  => '0'
+  );
   -- Registers in mm_clk domain
   signal mm_en_evt         : std_logic;
   signal mm_wr_not_rd      : std_logic;
@@ -173,59 +175,59 @@ begin
   ------------------------------------------------------------------------------
 
   u_spulse_en_evt : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_en_evt,
-    in_busy   => OPEN,
-    out_rst   => st_rst,
-    out_clk   => st_clk,
-    out_pulse => st_en_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_en_evt,
+      in_busy   => OPEN,
+      out_rst   => st_rst,
+      out_clk   => st_clk,
+      out_pulse => st_en_evt
+    );
 
   u_async_wr_not_rd : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => st_rst,
-    clk  => st_clk,
-    din  => mm_wr_not_rd,
-    dout => st_wr_not_rd
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => st_rst,
+      clk  => st_clk,
+      din  => mm_wr_not_rd,
+      dout => st_wr_not_rd
+    );
 
   u_async_done : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => st_done,
-    dout => mm_done
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => st_done,
+      dout => mm_done
+    );
 
   u_async_init_done : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => st_init_done,
-    dout => mm_init_done
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => st_init_done,
+      dout => mm_init_done
+    );
 
   u_async_rdy : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => st_ctlr_rdy,
-    dout => mm_ctlr_rdy
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => st_ctlr_rdy,
+      dout => mm_ctlr_rdy
+    );
 
   -- t_ddr3_addr record contents:
   --   chip      : STD_LOGIC_VECTOR(0 DOWNTO 0);
diff --git a/libraries/io/ddr3/src/vhdl/ddr3_seq.vhd b/libraries/io/ddr3/src/vhdl/ddr3_seq.vhd
index da861d5828..755266aa65 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3_seq.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3_seq.vhd
@@ -19,17 +19,17 @@
 --
 
 library IEEE, common_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity ddr3_seq is
   generic (
     g_ddr3_seq : t_ddr3_seq := c_ddr3_seq;
     g_ddr      : t_c_ddr3_phy
- );
+  );
   port (
     -- Clocks and reset
     dp_rst      : in  std_logic;  -- reset synchronous with st_clk
@@ -47,7 +47,7 @@ entity ddr3_seq is
 
     sync_ok_in  : in  std_logic := '0';
     sync_ok_out : out std_logic
-   );
+  );
 end ddr3_seq;
 
 
diff --git a/libraries/io/ddr3/src/vhdl/ddr3_transpose.vhd b/libraries/io/ddr3/src/vhdl/ddr3_transpose.vhd
index d3193d40a4..1cadb5f505 100644
--- a/libraries/io/ddr3/src/vhdl/ddr3_transpose.vhd
+++ b/libraries/io/ddr3/src/vhdl/ddr3_transpose.vhd
@@ -21,13 +21,13 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, ss_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity ddr3_transpose is
   generic(
@@ -81,7 +81,7 @@ entity ddr3_transpose is
     phy_in                : in    t_tech_ddr3_phy_in;
     phy_io                : inout t_tech_ddr3_phy_io;
     phy_ou                : out   t_tech_ddr3_phy_ou
-   );
+  );
 end ddr3_transpose;
 
 
@@ -104,7 +104,7 @@ architecture str of ddr3_transpose is
   signal ss_in_sosi           : t_dp_sosi;
   signal ss_in_siso           : t_dp_siso;
 
-    -- ctrl & status DDR3 driver
+  -- ctrl & status DDR3 driver
   signal dvr_start_addr       : t_ddr3_addr;
   signal dvr_end_addr         : t_ddr3_addr;
 
@@ -153,42 +153,42 @@ begin
     end process;
   end generate;
 
---    g_merge_in_data : IF g_use_complex = FALSE GENERATE
---      merge_input : PROCESS(snk_in_arr)
---      BEGIN
---        transpose_in_sosi <= snk_in_arr(0);
---        FOR i IN 0 TO g_nof_streams-1 LOOP
---          transpose_in_sosi.data((i+1)*g_in_dat_w-1 DOWNTO i*g_in_dat_w) <= snk_in_arr(i).data(g_in_dat_w-1 DOWNTO 0);
---        END LOOP;
---      END PROCESS;
---    END GENERATE;
+  --    g_merge_in_data : IF g_use_complex = FALSE GENERATE
+  --      merge_input : PROCESS(snk_in_arr)
+  --      BEGIN
+  --        transpose_in_sosi <= snk_in_arr(0);
+  --        FOR i IN 0 TO g_nof_streams-1 LOOP
+  --          transpose_in_sosi.data((i+1)*g_in_dat_w-1 DOWNTO i*g_in_dat_w) <= snk_in_arr(i).data(g_in_dat_w-1 DOWNTO 0);
+  --        END LOOP;
+  --      END PROCESS;
+  --    END GENERATE;
 
   gen_pre_transpose : if g_ena_pre_transp = true generate
     u_single_ss : entity ss_lib.ss
-    generic map (
-      g_dsp_data_w         => c_total_data_w,
-      g_nof_ch_in          => c_nof_ch_in,
-      g_nof_ch_sel         => c_nof_ch_sel,
-      g_select_file_name   => g_select_file,
-      g_use_complex        => false
-    )
-    port map (
-      mm_rst         => mm_rst,
-      mm_clk         => mm_clk,
-      dp_rst         => dp_rst,
-      dp_clk         => dp_clk,
-
-      -- Memory Mapped
-      ram_ss_ss_mosi => ram_ss_ss_transp_mosi,
-      ram_ss_ss_miso => ram_ss_ss_transp_miso,
-
-      -- Streaming
-      input_sosi     => ss_in_sosi,
-      input_siso     => ss_in_siso,
-
-      output_sosi    => transpose_in_sosi,
-      output_siso    => transpose_in_siso
-    );
+      generic map (
+        g_dsp_data_w         => c_total_data_w,
+        g_nof_ch_in          => c_nof_ch_in,
+        g_nof_ch_sel         => c_nof_ch_sel,
+        g_select_file_name   => g_select_file,
+        g_use_complex        => false
+      )
+      port map (
+        mm_rst         => mm_rst,
+        mm_clk         => mm_clk,
+        dp_rst         => dp_rst,
+        dp_clk         => dp_clk,
+
+        -- Memory Mapped
+        ram_ss_ss_mosi => ram_ss_ss_transp_mosi,
+        ram_ss_ss_miso => ram_ss_ss_transp_miso,
+
+        -- Streaming
+        input_sosi     => ss_in_sosi,
+        input_siso     => ss_in_siso,
+
+        output_sosi    => transpose_in_sosi,
+        output_siso    => transpose_in_siso
+      );
   end generate;
 
   gen_not_pre_transpose : if g_ena_pre_transp = false generate
@@ -201,111 +201,111 @@ begin
   end generate;
 
   u_ddr3: entity work.ddr3
-  generic map(
-    g_ddr                     => c_ddr3_phy_4g,
-    g_phy                     => g_phy,
-    g_mts                     => g_mts,
-    g_wr_data_w               => c_data_w,
-    g_wr_use_ctrl             => true,
-    g_wr_fifo_depth           => c_wr_fifo_depth,
-    g_rd_fifo_depth           => c_rd_fifo_depth,
-    g_rd_data_w               => c_data_w,
-    g_flush_wr_fifo           => true,
-    g_flush_ext_ena           => true,
-    g_flush_sop               => true,
-    g_flush_sop_sync          => true,
-    g_flush_sop_channel       => false,
-    g_flush_sop_start_channel => 0,
-    g_flush_nof_channels      => 0
-  )
-  port map (
+    generic map(
+      g_ddr                     => c_ddr3_phy_4g,
+      g_phy                     => g_phy,
+      g_mts                     => g_mts,
+      g_wr_data_w               => c_data_w,
+      g_wr_use_ctrl             => true,
+      g_wr_fifo_depth           => c_wr_fifo_depth,
+      g_rd_fifo_depth           => c_rd_fifo_depth,
+      g_rd_data_w               => c_data_w,
+      g_flush_wr_fifo           => true,
+      g_flush_ext_ena           => true,
+      g_flush_sop               => true,
+      g_flush_sop_sync          => true,
+      g_flush_sop_channel       => false,
+      g_flush_sop_start_channel => 0,
+      g_flush_nof_channels      => 0
+    )
+    port map (
 
-    mm_clk             => mm_clk,
-    mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      mm_rst             => mm_rst,
 
-    ctlr_ref_clk       => dp_ref_clk,
-    ctlr_rst           => dp_ref_rst,
+      ctlr_ref_clk       => dp_ref_clk,
+      ctlr_rst           => dp_ref_rst,
 
-    phy_in             => phy_in,
-    phy_io             => phy_io,
-    phy_ou             => phy_ou,
+      phy_in             => phy_in,
+      phy_io             => phy_io,
+      phy_ou             => phy_ou,
 
-    ctlr_gen_clk       => dp_out_clk,
-    ctlr_gen_rst       => dp_out_rst,
+      ctlr_gen_clk       => dp_out_clk,
+      ctlr_gen_rst       => dp_out_rst,
 
-    reg_io_ddr_mosi    => reg_io_ddr_mosi,
-    reg_io_ddr_miso    => reg_io_ddr_miso,
+      reg_io_ddr_mosi    => reg_io_ddr_mosi,
+      reg_io_ddr_miso    => reg_io_ddr_miso,
 
-    ctlr_init_done     => ctlr_init_done,
+      ctlr_init_done     => ctlr_init_done,
 
-    ctlr_rdy           => ctlr_rdy,
-    dvr_start_addr     => dvr_start_addr,
-    dvr_end_addr       => dvr_end_addr,
+      ctlr_rdy           => ctlr_rdy,
+      dvr_start_addr     => dvr_start_addr,
+      dvr_end_addr       => dvr_end_addr,
 
-    dvr_done           => dvr_done,
-    dvr_wr_not_rd      => dvr_wr_not_rd,
-    dvr_en             => dvr_en,
+      dvr_done           => dvr_done,
+      dvr_wr_not_rd      => dvr_wr_not_rd,
+      dvr_en             => dvr_en,
 
-    wr_clk             => dp_clk,
-    wr_rst             => dp_rst,
+      wr_clk             => dp_clk,
+      wr_rst             => dp_rst,
 
-    wr_sosi            => transpose_in_sosi,
-    wr_siso            => transpose_in_siso,
+      wr_sosi            => transpose_in_sosi,
+      wr_siso            => transpose_in_siso,
 
-    flush_ena          => flush_ena,
+      flush_ena          => flush_ena,
 
-    rd_sosi            => transpose_out_sosi,
-    rd_siso            => src_in_arr(0),
+      rd_sosi            => transpose_out_sosi,
+      rd_siso            => src_in_arr(0),
 
-    rd_clk             => dp_clk,
-    rd_rst             => dp_rst,
+      rd_clk             => dp_clk,
+      rd_rst             => dp_rst,
 
-    ser_term_ctrl_out  => ser_term_ctrl_out,
-    par_term_ctrl_out  => par_term_ctrl_out,
+      ser_term_ctrl_out  => ser_term_ctrl_out,
+      par_term_ctrl_out  => par_term_ctrl_out,
 
-    ser_term_ctrl_in   => ser_term_ctrl_in,
-    par_term_ctrl_in   => par_term_ctrl_in,
+      ser_term_ctrl_in   => ser_term_ctrl_in,
+      par_term_ctrl_in   => par_term_ctrl_in,
 
-    rd_fifo_usedw      => open
-  );
+      rd_fifo_usedw      => open
+    );
 
   flush_ena <= not(ctlr_init_done) or not(sync_ok_out);
   init_done_data_start <= ctlr_init_done and transpose_in_sosi.sync;
 
   u_ddr3_sequencer: entity work.ddr3_seq
-  generic map(
-    g_ddr      => c_ddr3_phy_4g,
-    g_ddr3_seq => g_ddr3_seq
-  )
-  port map (
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-
-    en_evt      => dvr_en,
-    wr_not_rd   => dvr_wr_not_rd,
-
-    start_addr  => dvr_start_addr,
-    end_addr    => dvr_end_addr,
-
-    done        => dvr_done,
-    init_done   => init_done_data_start,
-    ctlr_rdy    => ctlr_rdy,
-
-    sync_ok_in  => sync_ok_in,
-    sync_ok_out => sync_ok_out
-  );
+    generic map(
+      g_ddr      => c_ddr3_phy_4g,
+      g_ddr3_seq => g_ddr3_seq
+    )
+    port map (
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+
+      en_evt      => dvr_en,
+      wr_not_rd   => dvr_wr_not_rd,
+
+      start_addr  => dvr_start_addr,
+      end_addr    => dvr_end_addr,
+
+      done        => dvr_done,
+      init_done   => init_done_data_start,
+      ctlr_rdy    => ctlr_rdy,
+
+      sync_ok_in  => sync_ok_in,
+      sync_ok_out => sync_ok_out
+    );
 
   u_cnt_sop : entity common_lib.common_counter
-  generic map (
-    g_width => c_cnt_sop_w
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    cnt_clr => transpose_in_sosi.sync,
-    cnt_en  => transpose_in_sosi.sop,
-    count   => cnt_sop
-  );
+    generic map (
+      g_width => c_cnt_sop_w
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      cnt_clr => transpose_in_sosi.sync,
+      cnt_en  => transpose_in_sosi.sop,
+      count   => cnt_sop
+    );
 
   nof_sop         <= INCR_UVEC(cnt_sop, 1);  -- +1 because the sop at the sync also counts
   nxt_mon_nof_sop <= nof_sop when transpose_in_sosi.sync = '1' else i_mon_nof_sop;
@@ -326,86 +326,86 @@ begin
   wr_req <= snk_in_arr(0).sync and ctlr_init_done;
 
   u_sync_bsn_fifo : entity common_lib.common_fifo_sc
-  generic map (
-    g_use_lut   => true,  -- Make this FIFO in logic, since it's only 2 words deep.
-    g_reset     => false,
-    g_init      => false,
-    g_dat_w     => c_dp_stream_bsn_w,
-    g_nof_words => 16
-  )
-  port map (
-    rst     => dp_rst,
-    clk     => dp_clk,
-    wr_dat  => snk_in_arr(0).bsn,
-    wr_req  => wr_req,
-    wr_ful  => open ,
-    rd_dat  => rd_dat_i,
-    rd_req  => rd_req_i,
-    rd_emp  => open,
-    rd_val  => rd_val_i,
-    usedw   => open
-  );
+    generic map (
+      g_use_lut   => true,  -- Make this FIFO in logic, since it's only 2 words deep.
+      g_reset     => false,
+      g_init      => false,
+      g_dat_w     => c_dp_stream_bsn_w,
+      g_nof_words => 16
+    )
+    port map (
+      rst     => dp_rst,
+      clk     => dp_clk,
+      wr_dat  => snk_in_arr(0).bsn,
+      wr_req  => wr_req,
+      wr_ful  => open ,
+      rd_dat  => rd_dat_i,
+      rd_req  => rd_req_i,
+      rd_emp  => open,
+      rd_val  => rd_val_i,
+      usedw   => open
+    );
 
   ---------------------------------------------------------------
   -- CREATE READ-AHEAD FIFO INTERFACE FOR SYNC-BSN
   ---------------------------------------------------------------
   u_fifo_adapter : entity common_lib.common_fifo_rd
-  generic map (
-    g_dat_w => c_dp_stream_bsn_w
-  )
-  port map(
-    rst        => dp_rst,
-    clk        => dp_clk,
-    -- ST sink: RL = 1
-    fifo_req   => rd_req_i,
-    fifo_dat   => rd_dat_i,
-    fifo_val   => rd_val_i,
-    -- ST source: RL = 0
-    rd_req     => block_gen_out_sosi.sync,
-    rd_dat     => sync_bsn,
-    rd_val     => open
-  );
+    generic map (
+      g_dat_w => c_dp_stream_bsn_w
+    )
+    port map(
+      rst        => dp_rst,
+      clk        => dp_clk,
+      -- ST sink: RL = 1
+      fifo_req   => rd_req_i,
+      fifo_dat   => rd_dat_i,
+      fifo_val   => rd_val_i,
+      -- ST source: RL = 0
+      rd_req     => block_gen_out_sosi.sync,
+      rd_dat     => sync_bsn,
+      rd_val     => open
+    );
 
   -----------------------
   -- Pipeline
   -----------------------
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map(
-    g_pipeline => 1
-  )
-  port map (
-    rst          => dp_rst,
-    clk          => dp_clk,
-    -- ST sink
-    snk_out      => OPEN,
-    snk_in       => transpose_out_sosi,
-    -- ST source
-    src_in       => OPEN,
-    src_out      => pipeline_out_sosi
-  );
+    generic map(
+      g_pipeline => 1
+    )
+    port map (
+      rst          => dp_rst,
+      clk          => dp_clk,
+      -- ST sink
+      snk_out      => OPEN,
+      snk_in       => transpose_out_sosi,
+      -- ST source
+      src_in       => OPEN,
+      src_out      => pipeline_out_sosi
+    );
 
   --------------------
   -- DP BLOCK GEN (providing sop/eop)
   --------------------
   u_dp_block_gen : entity dp_lib.dp_block_gen
-  generic map(
-    g_use_src_in       => false,
-    g_nof_data         => g_frame_size_out,
-    g_nof_blk_per_sync => g_nof_blk_per_sync,
-    g_empty            => 0,
-    g_channel          => 0,
-    g_error            => 0
-  )
-  port map(
-    rst        => dp_rst,
-    clk        => dp_clk,
-    snk_in     => transpose_out_sosi,
-
-    -- Use incoming data to generate more data
-    src_in     => c_dp_siso_rdy,
-    src_out    => block_gen_out_sosi,
-    en         => '1'
-  );
+    generic map(
+      g_use_src_in       => false,
+      g_nof_data         => g_frame_size_out,
+      g_nof_blk_per_sync => g_nof_blk_per_sync,
+      g_empty            => 0,
+      g_channel          => 0,
+      g_error            => 0
+    )
+    port map(
+      rst        => dp_rst,
+      clk        => dp_clk,
+      snk_in     => transpose_out_sosi,
+
+      -- Use incoming data to generate more data
+      src_in     => c_dp_siso_rdy,
+      src_out    => block_gen_out_sosi,
+      en         => '1'
+    );
 
   g_merge_out_complex : if g_use_complex = true generate
     gen_merge_out : process(block_gen_out_sosi, pipeline_out_sosi)
diff --git a/libraries/io/ddr3/src/vhdl/mms_ddr3.vhd b/libraries/io/ddr3/src/vhdl/mms_ddr3.vhd
index 0da9163646..45fb216702 100644
--- a/libraries/io/ddr3/src/vhdl/mms_ddr3.vhd
+++ b/libraries/io/ddr3/src/vhdl/mms_ddr3.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity mms_ddr3 is
   generic (
@@ -116,88 +116,88 @@ begin
   ctlr_gen_rst    <= i_ctlr_gen_rst;
 
   u_ddr3: entity work.ddr3
-  generic map(
-    g_ddr                     => g_ddr,
-    g_mts                     => g_mts,
-    g_phy                     => g_phy,
-    g_wr_data_w               => g_wr_data_w,
-    g_wr_use_ctrl             => g_wr_use_ctrl,
-    g_wr_fifo_depth           => g_wr_fifo_depth,
-    g_rd_fifo_depth           => g_rd_fifo_depth,
-    g_rd_data_w               => g_rd_data_w,
-    g_flush_wr_fifo           => g_flush_wr_fifo,
-    g_flush_sop               => g_flush_sop,
-    g_flush_sop_channel       => g_flush_sop_channel,
-    g_flush_sop_start_channel => g_flush_sop_start_channel,
-    g_flush_nof_channels      => g_flush_nof_channels
-  )
-  port map (
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_rst           => ctlr_rst,
-
-    phy_in             => ddr3_in,
-    phy_io             => ddr3_io,
-    phy_ou             => ddr3_ou,
-
-    ctlr_gen_clk       => i_ctlr_gen_clk,
-    ctlr_gen_rst       => i_ctlr_gen_rst,
-
-    ctlr_init_done     => ctlr_init_done,
-
-    ctlr_rdy           => ctlr_rdy,
-    dvr_start_addr     => dvr_start_addr,
-    dvr_end_addr       => dvr_end_addr,
-
-    dvr_done           => dvr_done,
-    dvr_wr_not_rd      => dvr_wr_not_rd,
-    dvr_en             => dvr_en,
-
-    wr_clk             => wr_clk,
-    wr_rst             => wr_rst,
-
-    wr_sosi            => wr_sosi,
-    wr_siso            => wr_siso,
-
-    flush_ena          => flush_ena,
-
-    rd_sosi            => rd_sosi,
-    rd_siso            => rd_siso,
-
-    rd_clk             => rd_clk,
-    rd_rst             => rd_rst,
-
-    ser_term_ctrl_out  => ser_term_ctrl_out,
-    par_term_ctrl_out  => par_term_ctrl_out,
-
-    ser_term_ctrl_in   => ser_term_ctrl_in,
-    par_term_ctrl_in   => par_term_ctrl_in,
-
-    rd_fifo_usedw      => rd_fifo_usedw
-  );
+    generic map(
+      g_ddr                     => g_ddr,
+      g_mts                     => g_mts,
+      g_phy                     => g_phy,
+      g_wr_data_w               => g_wr_data_w,
+      g_wr_use_ctrl             => g_wr_use_ctrl,
+      g_wr_fifo_depth           => g_wr_fifo_depth,
+      g_rd_fifo_depth           => g_rd_fifo_depth,
+      g_rd_data_w               => g_rd_data_w,
+      g_flush_wr_fifo           => g_flush_wr_fifo,
+      g_flush_sop               => g_flush_sop,
+      g_flush_sop_channel       => g_flush_sop_channel,
+      g_flush_sop_start_channel => g_flush_sop_start_channel,
+      g_flush_nof_channels      => g_flush_nof_channels
+    )
+    port map (
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_rst           => ctlr_rst,
+
+      phy_in             => ddr3_in,
+      phy_io             => ddr3_io,
+      phy_ou             => ddr3_ou,
+
+      ctlr_gen_clk       => i_ctlr_gen_clk,
+      ctlr_gen_rst       => i_ctlr_gen_rst,
+
+      ctlr_init_done     => ctlr_init_done,
+
+      ctlr_rdy           => ctlr_rdy,
+      dvr_start_addr     => dvr_start_addr,
+      dvr_end_addr       => dvr_end_addr,
+
+      dvr_done           => dvr_done,
+      dvr_wr_not_rd      => dvr_wr_not_rd,
+      dvr_en             => dvr_en,
+
+      wr_clk             => wr_clk,
+      wr_rst             => wr_rst,
+
+      wr_sosi            => wr_sosi,
+      wr_siso            => wr_siso,
+
+      flush_ena          => flush_ena,
+
+      rd_sosi            => rd_sosi,
+      rd_siso            => rd_siso,
+
+      rd_clk             => rd_clk,
+      rd_rst             => rd_rst,
+
+      ser_term_ctrl_out  => ser_term_ctrl_out,
+      par_term_ctrl_out  => par_term_ctrl_out,
+
+      ser_term_ctrl_in   => ser_term_ctrl_in,
+      par_term_ctrl_in   => par_term_ctrl_in,
+
+      rd_fifo_usedw      => rd_fifo_usedw
+    );
 
   u_ddr3_reg: entity work.ddr3_reg
-  generic map(
-    g_ddr             => g_ddr
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    st_rst            => wr_rst,
-    st_clk            => wr_clk,
-
-    sla_in            => ctrl_mosi,
-    sla_out           => ctrl_miso,
-
-    st_en_evt         => dvr_en,
-    st_wr_not_rd      => dvr_wr_not_rd,
-
-    st_start_addr     => dvr_start_addr,
-    st_end_addr       => dvr_end_addr,
-
-    st_done           => dvr_done,
-    st_init_done      => ctlr_init_done,
-    st_ctlr_rdy       => ctlr_rdy
-  );
+    generic map(
+      g_ddr             => g_ddr
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      st_rst            => wr_rst,
+      st_clk            => wr_clk,
+
+      sla_in            => ctrl_mosi,
+      sla_out           => ctrl_miso,
+
+      st_en_evt         => dvr_en,
+      st_wr_not_rd      => dvr_wr_not_rd,
+
+      st_start_addr     => dvr_start_addr,
+      st_end_addr       => dvr_end_addr,
+
+      st_done           => dvr_done,
+      st_init_done      => ctlr_init_done,
+      st_ctlr_rdy       => ctlr_rdy
+    );
 
 end str;
 
diff --git a/libraries/io/ddr3/src/vhdl/mms_ddr3_capture.vhd b/libraries/io/ddr3/src/vhdl/mms_ddr3_capture.vhd
index 68837dd378..73bc26f547 100644
--- a/libraries/io/ddr3/src/vhdl/mms_ddr3_capture.vhd
+++ b/libraries/io/ddr3/src/vhdl/mms_ddr3_capture.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity mms_ddr3_capture is
   generic (
@@ -93,75 +93,75 @@ architecture str of mms_ddr3_capture is
 begin
 
   u_mms_ddr3: entity work.mms_ddr3
-  generic map(
-    g_sim                      => g_sim,
-    g_ddr                      => g_ddr,
-    g_mts                      => g_mts,
-    g_wr_data_w                => g_wr_data_w,
-    g_wr_use_ctrl              => g_wr_use_ctrl,
-    g_wr_fifo_depth            => g_wr_fifo_depth,
-    g_rd_fifo_depth            => g_rd_fifo_depth,
-    g_rd_data_w                => c_word_w,
-    g_flush_wr_fifo            => g_flush_wr_fifo,
-    g_flush_sop                => g_flush_sop,
-    g_flush_sop_channel        => g_flush_sop_channel,
-    g_flush_sop_start_channel  => g_flush_sop_start_channel,
-    g_flush_nof_channels       => g_flush_nof_channels
-  )
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_rst           => ctlr_rst,
-
-    ctlr_gen_clk       => ctlr_gen_clk,
-    ctlr_gen_rst       => ctlr_gen_rst,
-
-    wr_clk             => wr_clk,
-    wr_rst             => wr_rst,
-
-    wr_sosi            => wr_sosi,
-    wr_siso            => wr_siso,
-
-    flush_ena          => flush_ena,
-
-    rd_sosi            => rd_sosi,
-    rd_siso            => rd_siso,
-
-    rd_clk             => mm_clk,
-    rd_rst             => mm_rst,
-
-    rd_fifo_usedw      => rd_fifo_usedw,  -- relative to FIFO wr side
-
-    ctrl_mosi          => ddr3_mosi,
-    ctrl_miso          => ddr3_miso,
-
-    ddr3_in            => ddr3_in,
-    ddr3_io            => ddr3_io,
-    ddr3_ou            => ddr3_ou
-  );
+    generic map(
+      g_sim                      => g_sim,
+      g_ddr                      => g_ddr,
+      g_mts                      => g_mts,
+      g_wr_data_w                => g_wr_data_w,
+      g_wr_use_ctrl              => g_wr_use_ctrl,
+      g_wr_fifo_depth            => g_wr_fifo_depth,
+      g_rd_fifo_depth            => g_rd_fifo_depth,
+      g_rd_data_w                => c_word_w,
+      g_flush_wr_fifo            => g_flush_wr_fifo,
+      g_flush_sop                => g_flush_sop,
+      g_flush_sop_channel        => g_flush_sop_channel,
+      g_flush_sop_start_channel  => g_flush_sop_start_channel,
+      g_flush_nof_channels       => g_flush_nof_channels
+    )
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_rst           => ctlr_rst,
+
+      ctlr_gen_clk       => ctlr_gen_clk,
+      ctlr_gen_rst       => ctlr_gen_rst,
+
+      wr_clk             => wr_clk,
+      wr_rst             => wr_rst,
+
+      wr_sosi            => wr_sosi,
+      wr_siso            => wr_siso,
+
+      flush_ena          => flush_ena,
+
+      rd_sosi            => rd_sosi,
+      rd_siso            => rd_siso,
+
+      rd_clk             => mm_clk,
+      rd_rst             => mm_rst,
+
+      rd_fifo_usedw      => rd_fifo_usedw,  -- relative to FIFO wr side
+
+      ctrl_mosi          => ddr3_mosi,
+      ctrl_miso          => ddr3_miso,
+
+      ddr3_in            => ddr3_in,
+      ddr3_io            => ddr3_io,
+      ddr3_ou            => ddr3_ou
+    );
 
   u_mms_dp_fifo_to_mm: entity dp_lib.mms_dp_fifo_to_mm
-  generic map(
-    g_rd_fifo_depth => g_rd_fifo_depth * (c_ddr3_ctlr_data_w / g_rd_data_w)
-  )
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
+    generic map(
+      g_rd_fifo_depth => g_rd_fifo_depth * (c_ddr3_ctlr_data_w / g_rd_data_w)
+    )
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
 
-    rd_sosi            => rd_sosi,
-    rd_siso            => rd_siso,
+      rd_sosi            => rd_sosi,
+      rd_siso            => rd_siso,
 
-    ctrl_mosi          => dpmm_ctrl_mosi,
-    ctrl_miso          => dpmm_ctrl_miso,
+      ctrl_mosi          => dpmm_ctrl_mosi,
+      ctrl_miso          => dpmm_ctrl_miso,
 
-    data_mosi          => dpmm_data_mosi,
-    data_miso          => dpmm_data_miso,
+      data_mosi          => dpmm_data_mosi,
+      data_miso          => dpmm_data_miso,
 
-    rd_usedw           => rd_fifo_usedw
+      rd_usedw           => rd_fifo_usedw
 
-  );
+    );
 
 
 end str;
diff --git a/libraries/io/ddr3/src/vhdl/seq_ddr3.vhd b/libraries/io/ddr3/src/vhdl/seq_ddr3.vhd
index 29aa4cd8a6..ebb45aebf4 100644
--- a/libraries/io/ddr3/src/vhdl/seq_ddr3.vhd
+++ b/libraries/io/ddr3/src/vhdl/seq_ddr3.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_ddr_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity seq_ddr3 is
   generic (
@@ -103,87 +103,87 @@ begin
   ctlr_gen_rst    <= i_ctlr_gen_rst;
 
   u_ddr3: entity work.ddr3
-  generic map(
-    g_ddr                     => g_ddr,
-    g_phy                     => g_phy,
-    g_mts                     => g_mts,
-    g_wr_data_w               => g_data_w,
-    g_wr_use_ctrl             => true,
-    g_wr_fifo_depth           => c_wr_fifo_depth,
-    g_rd_fifo_depth           => c_rd_fifo_depth,
-    g_rd_data_w               => g_data_w,
-    g_flush_wr_fifo           => true,
-    g_flush_sop               => true,
-    g_flush_sop_sync          => true,
-    g_flush_sop_channel       => false,
-    g_flush_sop_start_channel => 0,
-    g_flush_nof_channels      => 0
-  )
-  port map (
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_rst           => ctlr_rst,
-
-    phy_in             => ddr3_in,
-    phy_io             => ddr3_io,
-    phy_ou             => ddr3_ou,
-
-    ctlr_gen_clk       => i_ctlr_gen_clk,
-    ctlr_gen_rst       => i_ctlr_gen_rst,
-
-    ctlr_init_done     => ctlr_init_done,
-
-    ctlr_rdy           => ctlr_rdy,
-    dvr_start_addr     => dvr_start_addr,
-    dvr_end_addr       => dvr_end_addr,
-
-    dvr_done           => dvr_done,
-    dvr_wr_not_rd      => dvr_wr_not_rd,
-    dvr_en             => dvr_en,
-
-    wr_clk             => wr_clk,
-    wr_rst             => wr_rst,
-
-    wr_sosi            => wr_sosi,
-    wr_siso            => wr_siso,
-
-    flush_ena          => flush_ena,
-
-    rd_sosi            => rd_sosi,
-    rd_siso            => rd_siso,
-
-    rd_clk             => rd_clk,
-    rd_rst             => rd_rst,
-
-    ser_term_ctrl_out  => ser_term_ctrl_out,
-    par_term_ctrl_out  => par_term_ctrl_out,
-
-    ser_term_ctrl_in   => ser_term_ctrl_in,
-    par_term_ctrl_in   => par_term_ctrl_in,
-
-    rd_fifo_usedw      => open
-  );
+    generic map(
+      g_ddr                     => g_ddr,
+      g_phy                     => g_phy,
+      g_mts                     => g_mts,
+      g_wr_data_w               => g_data_w,
+      g_wr_use_ctrl             => true,
+      g_wr_fifo_depth           => c_wr_fifo_depth,
+      g_rd_fifo_depth           => c_rd_fifo_depth,
+      g_rd_data_w               => g_data_w,
+      g_flush_wr_fifo           => true,
+      g_flush_sop               => true,
+      g_flush_sop_sync          => true,
+      g_flush_sop_channel       => false,
+      g_flush_sop_start_channel => 0,
+      g_flush_nof_channels      => 0
+    )
+    port map (
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_rst           => ctlr_rst,
+
+      phy_in             => ddr3_in,
+      phy_io             => ddr3_io,
+      phy_ou             => ddr3_ou,
+
+      ctlr_gen_clk       => i_ctlr_gen_clk,
+      ctlr_gen_rst       => i_ctlr_gen_rst,
+
+      ctlr_init_done     => ctlr_init_done,
+
+      ctlr_rdy           => ctlr_rdy,
+      dvr_start_addr     => dvr_start_addr,
+      dvr_end_addr       => dvr_end_addr,
+
+      dvr_done           => dvr_done,
+      dvr_wr_not_rd      => dvr_wr_not_rd,
+      dvr_en             => dvr_en,
+
+      wr_clk             => wr_clk,
+      wr_rst             => wr_rst,
+
+      wr_sosi            => wr_sosi,
+      wr_siso            => wr_siso,
+
+      flush_ena          => flush_ena,
+
+      rd_sosi            => rd_sosi,
+      rd_siso            => rd_siso,
+
+      rd_clk             => rd_clk,
+      rd_rst             => rd_rst,
+
+      ser_term_ctrl_out  => ser_term_ctrl_out,
+      par_term_ctrl_out  => par_term_ctrl_out,
+
+      ser_term_ctrl_in   => ser_term_ctrl_in,
+      par_term_ctrl_in   => par_term_ctrl_in,
+
+      rd_fifo_usedw      => open
+    );
 
   init_done_data_start <= ctlr_init_done and wr_sosi.sync;
 
   u_ddr3_sequencer: entity work.ddr3_seq
-  generic map(
-    g_ddr      => g_ddr,
-    g_ddr3_seq => g_ddr3_seq
-  )
-  port map (
-    dp_rst     => wr_rst,
-    dp_clk     => wr_clk,
-
-    en_evt     => dvr_en,
-    wr_not_rd  => dvr_wr_not_rd,
-
-    start_addr => dvr_start_addr,
-    end_addr   => dvr_end_addr,
-
-    done       => dvr_done,
-    init_done  => init_done_data_start,
-    ctlr_rdy   => ctlr_rdy
-  );
+    generic map(
+      g_ddr      => g_ddr,
+      g_ddr3_seq => g_ddr3_seq
+    )
+    port map (
+      dp_rst     => wr_rst,
+      dp_clk     => wr_clk,
+
+      en_evt     => dvr_en,
+      wr_not_rd  => dvr_wr_not_rd,
+
+      start_addr => dvr_start_addr,
+      end_addr   => dvr_end_addr,
+
+      done       => dvr_done,
+      init_done  => init_done_data_start,
+      ctlr_rdy   => ctlr_rdy
+    );
 
 end str;
 
diff --git a/libraries/io/ddr3/tb/vhdl/tb_ddr3.vhd b/libraries/io/ddr3/tb/vhdl/tb_ddr3.vhd
index bef13d4b44..bf2ea8af7c 100644
--- a/libraries/io/ddr3/tb/vhdl/tb_ddr3.vhd
+++ b/libraries/io/ddr3/tb/vhdl/tb_ddr3.vhd
@@ -40,14 +40,14 @@
 --
 
 library IEEE, tech_ddr_lib, common_lib, dp_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity tb_ddr3 is
 end entity tb_ddr3;
@@ -165,124 +165,124 @@ begin
   end process;
 
   u_diagnostics: entity diagnostics_lib.diagnostics
-  generic map (
-    g_dat_w             => c_data_w,
-    g_nof_streams       => 1
-     )
-  port map (
-    rst                 => ctlr_gen_rst,
-    clk                 => ctlr_gen_clk,
-
-    snk_out_arr(0)      => rd_siso,
-    snk_in_arr(0)       => rd_sosi,
-    snk_diag_en(0)      => snk_diag_en,
-    snk_diag_md(0)      => '1',
-    snk_diag_res(0)     => snk_diag_res,
-    snk_diag_res_val(0) => snk_diag_res_val,
-    snk_val_cnt(0)      => snk_val_cnt,
-
-    src_out_arr(0)      => wr_sosi,
-    src_in_arr(0)       => wr_siso,
-    src_diag_en(0)      => src_diag_en,
-    src_diag_md(0)      => '1',
-    src_val_cnt(0)      => src_val_cnt
-  );
+    generic map (
+      g_dat_w             => c_data_w,
+      g_nof_streams       => 1
+    )
+    port map (
+      rst                 => ctlr_gen_rst,
+      clk                 => ctlr_gen_clk,
+
+      snk_out_arr(0)      => rd_siso,
+      snk_in_arr(0)       => rd_sosi,
+      snk_diag_en(0)      => snk_diag_en,
+      snk_diag_md(0)      => '1',
+      snk_diag_res(0)     => snk_diag_res,
+      snk_diag_res_val(0) => snk_diag_res_val,
+      snk_val_cnt(0)      => snk_val_cnt,
+
+      src_out_arr(0)      => wr_sosi,
+      src_in_arr(0)       => wr_siso,
+      src_diag_en(0)      => src_diag_en,
+      src_diag_md(0)      => '1',
+      src_val_cnt(0)      => src_val_cnt
+    );
 
 
   gen_uphy_4g_model : if c_phy > 0 generate
     u_4gb_ddr3_model : component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en
-	  generic map (
-	  	MEM_IF_ADDR_WIDTH            => 15,
-	  	MEM_IF_ROW_ADDR_WIDTH        => 15,
-	  	MEM_IF_COL_ADDR_WIDTH        => 10,
-	  	MEM_IF_CS_PER_RANK           => 1,
-	  	MEM_IF_CONTROL_WIDTH         => 1,
-	  	MEM_IF_DQS_WIDTH             => 8,
-	  	MEM_IF_CS_WIDTH              => 2,
-	  	MEM_IF_BANKADDR_WIDTH        => 3,
-	  	MEM_IF_DQ_WIDTH              => 64,
-	  	MEM_IF_CK_WIDTH              => 2,
-	  	MEM_IF_CLK_EN_WIDTH          => 2,
-	  	DEVICE_WIDTH                 => 1,
-	  	MEM_TRCD                     => 6,
-	  	MEM_TRTP                     => 3,
-	  	MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
-	  	MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
-	  	MEM_IF_ODT_WIDTH             => 2,
-	  	MEM_MIRROR_ADDRESSING_DEC    => 0,
-	  	MEM_REGDIMM_ENABLED          => false,
-	  	DEVICE_DEPTH                 => 1,
-	  	MEM_GUARANTEED_WRITE_INIT    => false,
-	  	MEM_VERBOSE                  => true,
-	  	MEM_INIT_EN                  => false,
-	  	MEM_INIT_FILE                => "",
-	  	DAT_DATA_WIDTH               => 32
-	  )
-	  port map (
-	  	mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),  -- memory.mem_a
-	  	mem_ba      => phy_ou.ba,  -- .mem_ba
-	  	mem_ck      => phy_ou.ck,  -- .mem_ck
-	  	mem_ck_n    => phy_ou.ck_n,  -- .mem_ck_n
-	  	mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),  -- .mem_cke
-	  	mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-	  	mem_dm      => phy_ou.dm,  -- .mem_dm
-	  	mem_ras_n   => ras_n,  -- .mem_ras_n
-	  	mem_cas_n   => cas_n,  -- .mem_cas_n
-	  	mem_we_n    => we_n,  -- .mem_we_n
-	  	mem_reset_n => phy_ou.reset_n,  -- .mem_reset_n
-	  	mem_dq      => phy_io.dq,  -- .mem_dq
-	  	mem_dqs     => phy_io.dqs,  -- .mem_dqs
-	  	mem_dqs_n   => phy_io.dqs_n,  -- .mem_dqs_n
-	  	mem_odt     => phy_ou.odt  -- .mem_odt
-	  );
-
-	  ras_n(0) <= phy_ou.ras_n;
-	  cas_n(0) <= phy_ou.cas_n;
-	  we_n(0)  <= phy_ou.we_n;
-	end generate;
+      generic map (
+        MEM_IF_ADDR_WIDTH            => 15,
+        MEM_IF_ROW_ADDR_WIDTH        => 15,
+        MEM_IF_COL_ADDR_WIDTH        => 10,
+        MEM_IF_CS_PER_RANK           => 1,
+        MEM_IF_CONTROL_WIDTH         => 1,
+        MEM_IF_DQS_WIDTH             => 8,
+        MEM_IF_CS_WIDTH              => 2,
+        MEM_IF_BANKADDR_WIDTH        => 3,
+        MEM_IF_DQ_WIDTH              => 64,
+        MEM_IF_CK_WIDTH              => 2,
+        MEM_IF_CLK_EN_WIDTH          => 2,
+        DEVICE_WIDTH                 => 1,
+        MEM_TRCD                     => 6,
+        MEM_TRTP                     => 3,
+        MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
+        MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
+        MEM_IF_ODT_WIDTH             => 2,
+        MEM_MIRROR_ADDRESSING_DEC    => 0,
+        MEM_REGDIMM_ENABLED          => false,
+        DEVICE_DEPTH                 => 1,
+        MEM_GUARANTEED_WRITE_INIT    => false,
+        MEM_VERBOSE                  => true,
+        MEM_INIT_EN                  => false,
+        MEM_INIT_FILE                => "",
+        DAT_DATA_WIDTH               => 32
+      )
+      port map (
+        mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),  -- memory.mem_a
+        mem_ba      => phy_ou.ba,  -- .mem_ba
+        mem_ck      => phy_ou.ck,  -- .mem_ck
+        mem_ck_n    => phy_ou.ck_n,  -- .mem_ck_n
+        mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_dm      => phy_ou.dm,  -- .mem_dm
+        mem_ras_n   => ras_n,  -- .mem_ras_n
+        mem_cas_n   => cas_n,  -- .mem_cas_n
+        mem_we_n    => we_n,  -- .mem_we_n
+        mem_reset_n => phy_ou.reset_n,  -- .mem_reset_n
+        mem_dq      => phy_io.dq,  -- .mem_dq
+        mem_dqs     => phy_io.dqs,  -- .mem_dqs
+        mem_dqs_n   => phy_io.dqs_n,  -- .mem_dqs_n
+        mem_odt     => phy_ou.odt  -- .mem_odt
+      );
+
+    ras_n(0) <= phy_ou.ras_n;
+    cas_n(0) <= phy_ou.cas_n;
+    we_n(0)  <= phy_ou.we_n;
+  end generate;
 
   u_ddr3_module: entity work.ddr3
-  generic map(
-    g_phy              => c_phy,
-    g_mts              => c_mts,
-    g_ddr              => c_ddr,
-    g_wr_data_w        => c_data_w,
-    g_rd_data_w        => c_data_w
-  )
-  port map (
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_rst           => ctlr_rst,
-
-    ctlr_gen_clk       => ctlr_gen_clk,
-    ctlr_gen_rst       => ctlr_gen_rst,
-
-    ctlr_init_done     => ctlr_init_done,
-    ctlr_rdy           => ctlr_rdy,
-
-    dvr_start_addr     => dvr_start_addr,
-    dvr_end_addr       => dvr_end_addr,
-    dvr_en             => dvr_en,
-    dvr_wr_not_rd      => dvr_wr_not_rd,
-    dvr_done           => dvr_done,
-
-    wr_clk             => ctlr_gen_clk,
-    wr_rst             => ctlr_gen_rst,
-
-    wr_sosi            => wr_sosi,
-    wr_siso            => wr_siso,
-
-    flush_ena          => flush_ena,
-
-    rd_sosi            => rd_sosi,
-    rd_siso            => rd_siso,
-
-    rd_clk             => ctlr_gen_clk,
-    rd_rst             => ctlr_gen_rst,
-
-    phy_ou             => phy_ou,
-    phy_io             => phy_io,
-    phy_in             => phy_in
-  );
+    generic map(
+      g_phy              => c_phy,
+      g_mts              => c_mts,
+      g_ddr              => c_ddr,
+      g_wr_data_w        => c_data_w,
+      g_rd_data_w        => c_data_w
+    )
+    port map (
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_rst           => ctlr_rst,
+
+      ctlr_gen_clk       => ctlr_gen_clk,
+      ctlr_gen_rst       => ctlr_gen_rst,
+
+      ctlr_init_done     => ctlr_init_done,
+      ctlr_rdy           => ctlr_rdy,
+
+      dvr_start_addr     => dvr_start_addr,
+      dvr_end_addr       => dvr_end_addr,
+      dvr_en             => dvr_en,
+      dvr_wr_not_rd      => dvr_wr_not_rd,
+      dvr_done           => dvr_done,
+
+      wr_clk             => ctlr_gen_clk,
+      wr_rst             => ctlr_gen_rst,
+
+      wr_sosi            => wr_sosi,
+      wr_siso            => wr_siso,
+
+      flush_ena          => flush_ena,
+
+      rd_sosi            => rd_sosi,
+      rd_siso            => rd_siso,
+
+      rd_clk             => ctlr_gen_clk,
+      rd_rst             => ctlr_gen_rst,
+
+      phy_ou             => phy_ou,
+      phy_io             => phy_io,
+      phy_in             => phy_in
+    );
 
 end architecture str;
 
diff --git a/libraries/io/ddr3/tb/vhdl/tb_ddr3_transpose.vhd b/libraries/io/ddr3/tb/vhdl/tb_ddr3_transpose.vhd
index c24ad21b18..4840181f85 100644
--- a/libraries/io/ddr3/tb/vhdl/tb_ddr3_transpose.vhd
+++ b/libraries/io/ddr3/tb/vhdl/tb_ddr3_transpose.vhd
@@ -32,19 +32,19 @@
 --   > Evalute the WAVE window.
 
 library IEEE, tech_ddr_lib, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity tb_ddr3_transpose is
   generic (
@@ -55,7 +55,7 @@ entity tb_ddr3_transpose is
     g_gapsize          : natural  := 0;
     g_nof_blocks       : positive := 4;
     g_nof_blk_per_sync : positive := 64
- );
+  );
 end tb_ddr3_transpose;
 
 architecture tb of tb_ddr3_transpose is
@@ -104,12 +104,14 @@ architecture tb of tb_ddr3_transpose is
   signal ram_ss_ss_transp_miso     : t_mem_miso := c_mem_miso_rst;
 
   -- Compose the Constants for the DUT
-  constant c_ddr3_seq_conf           : t_ddr3_seq := (g_wr_chunksize,
-                                                      g_wr_nof_chunks,
-                                                      g_rd_chunksize,
-                                                      g_rd_nof_chunks,
-                                                      g_gapsize,
-                                                      g_nof_blocks);
+  constant c_ddr3_seq_conf           : t_ddr3_seq := (
+    g_wr_chunksize,
+    g_wr_nof_chunks,
+    g_rd_chunksize,
+    g_rd_nof_chunks,
+    g_gapsize,
+    g_nof_blocks
+  );
 
   constant c_blocksize               : positive := g_wr_nof_chunks * g_wr_chunksize;
   constant c_page_size               : positive := c_blocksize * g_nof_blocks;
@@ -192,7 +194,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -203,206 +205,206 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                           port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg          : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                           port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_re_mosi, ram_diag_data_buf_re_miso);
 
   u_mm_file_reg_diag_data_buf_re : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_REAL")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_re_mosi, reg_diag_data_buf_re_miso);
 
   u_mm_file_ram_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_im_mosi, ram_diag_data_buf_im_miso);
 
   u_mm_file_reg_diag_data_buf_im : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER_IMAG")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_im_mosi, reg_diag_data_buf_im_miso);
 
   u_mm_file_ram_ss_ss_transp     : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_SS_SS_WIDE")
-                                           port map(mm_rst, mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
+    port map(mm_rst, mm_clk, ram_ss_ss_transp_mosi, ram_ss_ss_transp_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut: entity work.ddr3_transpose
-  generic map(
-    g_sim              => true,
-    g_nof_streams      => c_bg_nof_output_streams,
-    g_in_dat_w         => c_bg_buf_dat_w / c_nof_complex,
-    g_frame_size_in    => g_wr_chunksize,
-    g_frame_size_out   => g_wr_chunksize,
-    g_nof_blk_per_sync => g_nof_blk_per_sync,
-    g_use_complex      => true,
-    g_ena_pre_transp   => false,
-    g_phy              => c_phy,
-    g_mts              => c_mts,
-    g_ddr3_seq         => c_ddr3_seq_conf
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_ref_clk            => dp_clk,
-    dp_ref_rst            => dp_rst,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    dp_out_clk            => OPEN,
-    dp_out_rst            => OPEN,
-
-    snk_out_arr           => bg_siso_arr,
-    snk_in_arr            => bg_sosi_arr,
-    -- ST source
-    src_in_arr            => out_siso_arr,
-    src_out_arr           => out_sosi_arr,
-
-    ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
-    ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
-
-    ser_term_ctrl_out     => OPEN,
-    par_term_ctrl_out     => OPEN,
-
-    ser_term_ctrl_in      => OPEN,
-    par_term_ctrl_in      => OPEN,
-
-    phy_in                => phy_in,
-    phy_io                => phy_io,
-    phy_ou                => phy_ou
-  );
+    generic map(
+      g_sim              => true,
+      g_nof_streams      => c_bg_nof_output_streams,
+      g_in_dat_w         => c_bg_buf_dat_w / c_nof_complex,
+      g_frame_size_in    => g_wr_chunksize,
+      g_frame_size_out   => g_wr_chunksize,
+      g_nof_blk_per_sync => g_nof_blk_per_sync,
+      g_use_complex      => true,
+      g_ena_pre_transp   => false,
+      g_phy              => c_phy,
+      g_mts              => c_mts,
+      g_ddr3_seq         => c_ddr3_seq_conf
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_ref_clk            => dp_clk,
+      dp_ref_rst            => dp_rst,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      dp_out_clk            => OPEN,
+      dp_out_rst            => OPEN,
+
+      snk_out_arr           => bg_siso_arr,
+      snk_in_arr            => bg_sosi_arr,
+      -- ST source
+      src_in_arr            => out_siso_arr,
+      src_out_arr           => out_sosi_arr,
+
+      ram_ss_ss_transp_mosi => ram_ss_ss_transp_mosi,
+      ram_ss_ss_transp_miso => ram_ss_ss_transp_miso,
+
+      ser_term_ctrl_out     => OPEN,
+      par_term_ctrl_out     => OPEN,
+
+      ser_term_ctrl_in      => OPEN,
+      par_term_ctrl_in      => OPEN,
+
+      phy_in                => phy_in,
+      phy_io                => phy_io,
+      phy_ou                => phy_ou
+    );
 
   u_4gb_800_ddr3_model : component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en
-		generic map (
-			MEM_IF_ADDR_WIDTH            => 15,
-			MEM_IF_ROW_ADDR_WIDTH        => 15,
-			MEM_IF_COL_ADDR_WIDTH        => 10,
-			MEM_IF_CS_PER_RANK           => 1,
-			MEM_IF_CONTROL_WIDTH         => 1,
-			MEM_IF_DQS_WIDTH             => 8,
-			MEM_IF_CS_WIDTH              => 2,
-			MEM_IF_BANKADDR_WIDTH        => 3,
-			MEM_IF_DQ_WIDTH              => 64,
-			MEM_IF_CK_WIDTH              => 2,
-			MEM_IF_CLK_EN_WIDTH          => 2,
-			DEVICE_WIDTH                 => 1,
-			MEM_TRCD                     => 6,
-			MEM_TRTP                     => 3,
-			MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
-			MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
-			MEM_IF_ODT_WIDTH             => 2,
-			MEM_MIRROR_ADDRESSING_DEC    => 0,
-			MEM_REGDIMM_ENABLED          => false,
-			DEVICE_DEPTH                 => 1,
-			MEM_GUARANTEED_WRITE_INIT    => false,
-			MEM_VERBOSE                  => true,
-			MEM_INIT_EN                  => false,
-			MEM_INIT_FILE                => "",
-			DAT_DATA_WIDTH               => 32
-		)
-		port map (
-			mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),
-			mem_ba      => phy_ou.ba,
-			mem_ck      => phy_ou.ck,
-			mem_ck_n    => phy_ou.ck_n,
-			mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),
-			mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),
-			mem_dm      => phy_ou.dm,
-			mem_ras_n   => ras_n,
-			mem_cas_n   => cas_n,
-			mem_we_n    => we_n,
-			mem_reset_n => phy_ou.reset_n,
-			mem_dq      => phy_io.dq,
-			mem_dqs     => phy_io.dqs,
-			mem_dqs_n   => phy_io.dqs_n,
-			mem_odt     => phy_ou.odt
-		);
-
-		ras_n(0) <= phy_ou.ras_n;
-		cas_n(0) <= phy_ou.cas_n;
-		we_n(0)  <= phy_ou.we_n;
+    generic map (
+      MEM_IF_ADDR_WIDTH            => 15,
+      MEM_IF_ROW_ADDR_WIDTH        => 15,
+      MEM_IF_COL_ADDR_WIDTH        => 10,
+      MEM_IF_CS_PER_RANK           => 1,
+      MEM_IF_CONTROL_WIDTH         => 1,
+      MEM_IF_DQS_WIDTH             => 8,
+      MEM_IF_CS_WIDTH              => 2,
+      MEM_IF_BANKADDR_WIDTH        => 3,
+      MEM_IF_DQ_WIDTH              => 64,
+      MEM_IF_CK_WIDTH              => 2,
+      MEM_IF_CLK_EN_WIDTH          => 2,
+      DEVICE_WIDTH                 => 1,
+      MEM_TRCD                     => 6,
+      MEM_TRTP                     => 3,
+      MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
+      MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
+      MEM_IF_ODT_WIDTH             => 2,
+      MEM_MIRROR_ADDRESSING_DEC    => 0,
+      MEM_REGDIMM_ENABLED          => false,
+      DEVICE_DEPTH                 => 1,
+      MEM_GUARANTEED_WRITE_INIT    => false,
+      MEM_VERBOSE                  => true,
+      MEM_INIT_EN                  => false,
+      MEM_INIT_FILE                => "",
+      DAT_DATA_WIDTH               => 32
+    )
+    port map (
+      mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),
+      mem_ba      => phy_ou.ba,
+      mem_ck      => phy_ou.ck,
+      mem_ck_n    => phy_ou.ck_n,
+      mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),
+      mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),
+      mem_dm      => phy_ou.dm,
+      mem_ras_n   => ras_n,
+      mem_cas_n   => cas_n,
+      mem_we_n    => we_n,
+      mem_reset_n => phy_ou.reset_n,
+      mem_dq      => phy_io.dq,
+      mem_dqs     => phy_io.dqs,
+      mem_dqs_n   => phy_io.dqs_n,
+      mem_odt     => phy_ou.odt
+    );
+
+  ras_n(0) <= phy_ou.ras_n;
+  cas_n(0) <= phy_ou.cas_n;
+  we_n(0)  <= phy_ou.we_n;
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf_re : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_re,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_re_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_re_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_re,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_re_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_re_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_re_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_re_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- Sink: data buffer imag
   ----------------------------------------------------------------------------
   u_data_buf_im : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type_im,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-    -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_im_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_im_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type_im,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_im_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_im_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_im_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_im_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/io/ddr3/tb/vhdl/tb_mms_ddr3.vhd b/libraries/io/ddr3/tb/vhdl/tb_mms_ddr3.vhd
index bd49f696d4..173d34a5e2 100644
--- a/libraries/io/ddr3/tb/vhdl/tb_mms_ddr3.vhd
+++ b/libraries/io/ddr3/tb/vhdl/tb_mms_ddr3.vhd
@@ -32,19 +32,19 @@
 --   > Evalute the WAVE window.
 
 library IEEE, tech_ddr_lib, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity tb_mms_ddr3 is
 end tb_mms_ddr3;
@@ -180,7 +180,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -191,154 +191,154 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                        port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                        port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER")
-                                        port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
   u_mm_file_reg_diag_data_buf : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER")
-                                        port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
   u_mm_file_reg_ddr3_0        : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DDR3_0")
-                                        port map(mm_rst, mm_clk, reg_ddr3_mosi, reg_ddr3_miso );
+    port map(mm_rst, mm_clk, reg_ddr3_mosi, reg_ddr3_miso );
 
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut: entity work.mms_ddr3
-  generic map(
-    g_sim                      => c_sim,
-    g_ddr                      => c_ddr,
-    g_mts                      => c_mts,
-    g_phy                      => c_phy,
-    g_wr_data_w                => c_wr_data_w,
-    g_wr_use_ctrl              => c_wr_use_ctrl,
-    g_wr_fifo_depth            => c_wr_fifo_depth,
-    g_rd_fifo_depth            => c_rd_fifo_depth,
-    g_rd_data_w                => c_rd_data_w,
-    g_flush_wr_fifo            => c_flush_wr_fifo,
-    g_flush_sop                => c_flush_sop,
-    g_flush_sop_channel        => c_flush_sop_channel,
-    g_flush_sop_start_channel  => c_flush_sop_start_channel,
-    g_flush_nof_channels       => c_flush_nof_channels
-  )
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    -- MM registers
-    ctrl_mosi          => reg_ddr3_mosi,
-    ctrl_miso          => reg_ddr3_miso,
-
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_rst           => ctlr_rst,
-
-    ctlr_gen_clk       => open,
-    ctlr_gen_rst       => open,
-
-    wr_clk             => dp_clk,
-    wr_rst             => dp_rst,
-
-    wr_sosi            => bg_sosi_arr(0),
-    wr_siso            => bg_siso_arr(0),
-
-    flush_ena          => flush_ena,
-
-    rd_sosi            => out_sosi_arr(0),
-    rd_siso            => out_siso_arr(0),
-
-    rd_clk             => dp_clk,
-    rd_rst             => dp_rst,
-
-    rd_fifo_usedw      => open,  -- relative to FIFO wr side
-
-    ddr3_in            => phy_in,
-    ddr3_io            => phy_io,
-    ddr3_ou            => phy_ou
-  );
+    generic map(
+      g_sim                      => c_sim,
+      g_ddr                      => c_ddr,
+      g_mts                      => c_mts,
+      g_phy                      => c_phy,
+      g_wr_data_w                => c_wr_data_w,
+      g_wr_use_ctrl              => c_wr_use_ctrl,
+      g_wr_fifo_depth            => c_wr_fifo_depth,
+      g_rd_fifo_depth            => c_rd_fifo_depth,
+      g_rd_data_w                => c_rd_data_w,
+      g_flush_wr_fifo            => c_flush_wr_fifo,
+      g_flush_sop                => c_flush_sop,
+      g_flush_sop_channel        => c_flush_sop_channel,
+      g_flush_sop_start_channel  => c_flush_sop_start_channel,
+      g_flush_nof_channels       => c_flush_nof_channels
+    )
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      -- MM registers
+      ctrl_mosi          => reg_ddr3_mosi,
+      ctrl_miso          => reg_ddr3_miso,
+
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_rst           => ctlr_rst,
+
+      ctlr_gen_clk       => open,
+      ctlr_gen_rst       => open,
+
+      wr_clk             => dp_clk,
+      wr_rst             => dp_rst,
+
+      wr_sosi            => bg_sosi_arr(0),
+      wr_siso            => bg_siso_arr(0),
+
+      flush_ena          => flush_ena,
+
+      rd_sosi            => out_sosi_arr(0),
+      rd_siso            => out_siso_arr(0),
+
+      rd_clk             => dp_clk,
+      rd_rst             => dp_rst,
+
+      rd_fifo_usedw      => open,  -- relative to FIFO wr side
+
+      ddr3_in            => phy_in,
+      ddr3_io            => phy_io,
+      ddr3_ou            => phy_ou
+    );
 
   gen_u_800_model : if c_phy > 0 generate
     u_4gb_800_ddr3_model : component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en
-		generic map (
-			MEM_IF_ADDR_WIDTH            => 15,
-			MEM_IF_ROW_ADDR_WIDTH        => 15,
-			MEM_IF_COL_ADDR_WIDTH        => 10,
-			MEM_IF_CS_PER_RANK           => 1,
-			MEM_IF_CONTROL_WIDTH         => 1,
-			MEM_IF_DQS_WIDTH             => 8,
-			MEM_IF_CS_WIDTH              => 2,
-			MEM_IF_BANKADDR_WIDTH        => 3,
-			MEM_IF_DQ_WIDTH              => 64,
-			MEM_IF_CK_WIDTH              => 2,
-			MEM_IF_CLK_EN_WIDTH          => 2,
-			DEVICE_WIDTH                 => 1,
-			MEM_TRCD                     => 6,
-			MEM_TRTP                     => 3,
-			MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
-			MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
-			MEM_IF_ODT_WIDTH             => 2,
-			MEM_MIRROR_ADDRESSING_DEC    => 0,
-			MEM_REGDIMM_ENABLED          => false,
-			DEVICE_DEPTH                 => 1,
-			MEM_GUARANTEED_WRITE_INIT    => false,
-			MEM_VERBOSE                  => true,
-			MEM_INIT_EN                  => false,
-			MEM_INIT_FILE                => "",
-			DAT_DATA_WIDTH               => 32
-		)
-		port map (
-			mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),
-			mem_ba      => phy_ou.ba,
-			mem_ck      => phy_ou.ck,
-			mem_ck_n    => phy_ou.ck_n,
-			mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),
-			mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),
-			mem_dm      => phy_ou.dm,
-			mem_ras_n   => ras_n,
-			mem_cas_n   => cas_n,
-			mem_we_n    => we_n,
-			mem_reset_n => phy_ou.reset_n,
-			mem_dq      => phy_io.dq,
-			mem_dqs     => phy_io.dqs,
-			mem_dqs_n   => phy_io.dqs_n,
-			mem_odt     => phy_ou.odt
-		);
-
-		ras_n(0) <= phy_ou.ras_n;
-		cas_n(0) <= phy_ou.cas_n;
-		we_n(0)  <= phy_ou.we_n;
+      generic map (
+        MEM_IF_ADDR_WIDTH            => 15,
+        MEM_IF_ROW_ADDR_WIDTH        => 15,
+        MEM_IF_COL_ADDR_WIDTH        => 10,
+        MEM_IF_CS_PER_RANK           => 1,
+        MEM_IF_CONTROL_WIDTH         => 1,
+        MEM_IF_DQS_WIDTH             => 8,
+        MEM_IF_CS_WIDTH              => 2,
+        MEM_IF_BANKADDR_WIDTH        => 3,
+        MEM_IF_DQ_WIDTH              => 64,
+        MEM_IF_CK_WIDTH              => 2,
+        MEM_IF_CLK_EN_WIDTH          => 2,
+        DEVICE_WIDTH                 => 1,
+        MEM_TRCD                     => 6,
+        MEM_TRTP                     => 3,
+        MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
+        MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
+        MEM_IF_ODT_WIDTH             => 2,
+        MEM_MIRROR_ADDRESSING_DEC    => 0,
+        MEM_REGDIMM_ENABLED          => false,
+        DEVICE_DEPTH                 => 1,
+        MEM_GUARANTEED_WRITE_INIT    => false,
+        MEM_VERBOSE                  => true,
+        MEM_INIT_EN                  => false,
+        MEM_INIT_FILE                => "",
+        DAT_DATA_WIDTH               => 32
+      )
+      port map (
+        mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),
+        mem_ba      => phy_ou.ba,
+        mem_ck      => phy_ou.ck,
+        mem_ck_n    => phy_ou.ck_n,
+        mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),
+        mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),
+        mem_dm      => phy_ou.dm,
+        mem_ras_n   => ras_n,
+        mem_cas_n   => cas_n,
+        mem_we_n    => we_n,
+        mem_reset_n => phy_ou.reset_n,
+        mem_dq      => phy_io.dq,
+        mem_dqs     => phy_io.dqs,
+        mem_dqs_n   => phy_io.dqs_n,
+        mem_odt     => phy_ou.odt
+      );
+
+    ras_n(0) <= phy_ou.ras_n;
+    cas_n(0) <= phy_ou.cas_n;
+    we_n(0)  <= phy_ou.we_n;
 
   end generate;
 
@@ -346,27 +346,27 @@ begin
   -- Sink: data buffer
   ----------------------------------------------------------------------------
   u_data_buf : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => e_data,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => e_data,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 end tb;
diff --git a/libraries/io/ddr3/tb/vhdl/tb_seq_ddr3.vhd b/libraries/io/ddr3/tb/vhdl/tb_seq_ddr3.vhd
index d21afc7544..a410100b2d 100644
--- a/libraries/io/ddr3/tb/vhdl/tb_seq_ddr3.vhd
+++ b/libraries/io/ddr3/tb/vhdl/tb_seq_ddr3.vhd
@@ -32,19 +32,19 @@
 --   > Evalute the WAVE window.
 
 library IEEE, tech_ddr_lib, common_lib, mm_lib, diag_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use tech_ddr_lib.tech_ddr_pkg.all;
-use work.ddr3_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use tech_ddr_lib.tech_ddr_pkg.all;
+  use work.ddr3_pkg.all;
 
 entity tb_seq_ddr3 is
   generic (
@@ -54,7 +54,7 @@ entity tb_seq_ddr3 is
     g_rd_nof_chunks   : positive := 15;
     g_gapsize         : natural  := 16;
     g_nof_blocks      : positive := 5
- );
+  );
 end tb_seq_ddr3;
 
 architecture tb of tb_seq_ddr3 is
@@ -97,12 +97,14 @@ architecture tb of tb_seq_ddr3 is
   signal reg_ddr3_miso             : t_mem_miso := c_mem_miso_rst;
 
   -- Compose the Constants for the DUT
-  constant c_ddr3_seq_conf           : t_ddr3_seq := (g_wr_chunksize,
-                                                      g_wr_nof_chunks,
-                                                      g_rd_chunksize,
-                                                      g_rd_nof_chunks,
-                                                      g_gapsize,
-                                                      g_nof_blocks);
+  constant c_ddr3_seq_conf           : t_ddr3_seq := (
+    g_wr_chunksize,
+    g_wr_nof_chunks,
+    g_rd_chunksize,
+    g_rd_nof_chunks,
+    g_gapsize,
+    g_nof_blocks
+  );
 
   constant c_blocksize               : positive := g_wr_nof_chunks * g_wr_chunksize;
   constant c_page_size               : positive := c_blocksize * g_nof_blocks;
@@ -185,7 +187,7 @@ begin
   ------------------------------------------------------------------------------
   proc_common_gen_pulse(1, c_dp_pps_period, '1', dp_clk, dp_pps);
 
-   ----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
   -- Procedure that polls a sim control file that can be used to e.g. get
   -- the simulation time in ns
   ----------------------------------------------------------------------------
@@ -196,132 +198,132 @@ begin
   ----------------------------------------------------------------------------
   -- TB
   u_mm_file_reg_diag_bg       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_BG")
-                                        port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
+    port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso);
 
   u_mm_file_ram_diag_bg       : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_BG")
-                                        port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
+    port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso);
 
   u_mm_file_ram_diag_data_buf : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "RAM_DIAG_DATA_BUFFER")
-                                        port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, ram_diag_data_buf_mosi, ram_diag_data_buf_miso);
 
   u_mm_file_reg_diag_data_buf : mm_file generic map(mmf_unb_file_prefix(0, 0, "FN") & "REG_DIAG_DATA_BUFFER")
-                                           port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
+    port map(mm_rst, mm_clk, reg_diag_data_buf_mosi, reg_diag_data_buf_miso);
 
   ----------------------------------------------------------------------------
   -- Source: block generator
   ----------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map(
-    g_nof_streams        => c_bg_nof_output_streams,
-    g_buf_dat_w          => c_bg_buf_dat_w,
-    g_buf_addr_w         => c_bg_buf_adr_w,
-    g_file_index_arr     => c_bg_data_file_index_arr,
-    g_file_name_prefix   => c_bg_data_file_prefix
-  )
-  port map(
-    -- System
-    mm_rst               => mm_rst,
-    mm_clk               => mm_clk,
-    dp_rst               => dp_rst,
-    dp_clk               => dp_clk,
-    en_sync              => dp_pps,
-    -- MM interface
-    reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso     => reg_diag_bg_miso,
-    ram_bg_data_mosi     => ram_diag_bg_mosi,
-    ram_bg_data_miso     => ram_diag_bg_miso,
-    -- ST interface
-    out_siso_arr         => bg_siso_arr,
-    out_sosi_arr         => bg_sosi_arr
-  );
+    generic map(
+      g_nof_streams        => c_bg_nof_output_streams,
+      g_buf_dat_w          => c_bg_buf_dat_w,
+      g_buf_addr_w         => c_bg_buf_adr_w,
+      g_file_index_arr     => c_bg_data_file_index_arr,
+      g_file_name_prefix   => c_bg_data_file_prefix
+    )
+    port map(
+      -- System
+      mm_rst               => mm_rst,
+      mm_clk               => mm_clk,
+      dp_rst               => dp_rst,
+      dp_clk               => dp_clk,
+      en_sync              => dp_pps,
+      -- MM interface
+      reg_bg_ctrl_mosi     => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso     => reg_diag_bg_miso,
+      ram_bg_data_mosi     => ram_diag_bg_mosi,
+      ram_bg_data_miso     => ram_diag_bg_miso,
+      -- ST interface
+      out_siso_arr         => bg_siso_arr,
+      out_sosi_arr         => bg_sosi_arr
+    );
 
   ----------------------------------------------------------------------------
   -- DUT: Device Under Test
   ----------------------------------------------------------------------------
   u_dut: entity work.seq_ddr3
-  generic map(
-    g_ddr      => c_ddr,
-    g_mts      => c_mts,
-    g_phy      => c_phy,
-    g_data_w   => c_data_w,
-    g_ddr3_seq => c_ddr3_seq_conf
-  )
-  port map (
-    ctlr_ref_clk       => ctlr_ref_clk,
-    ctlr_rst           => ctlr_rst,
+    generic map(
+      g_ddr      => c_ddr,
+      g_mts      => c_mts,
+      g_phy      => c_phy,
+      g_data_w   => c_data_w,
+      g_ddr3_seq => c_ddr3_seq_conf
+    )
+    port map (
+      ctlr_ref_clk       => ctlr_ref_clk,
+      ctlr_rst           => ctlr_rst,
 
-    ctlr_gen_clk       => open,
-    ctlr_gen_rst       => open,
+      ctlr_gen_clk       => open,
+      ctlr_gen_rst       => open,
 
-    wr_clk             => dp_clk,
-    wr_rst             => dp_rst,
+      wr_clk             => dp_clk,
+      wr_rst             => dp_rst,
 
-    wr_sosi            => bg_sosi_arr(0),
-    wr_siso            => bg_siso_arr(0),
+      wr_sosi            => bg_sosi_arr(0),
+      wr_siso            => bg_siso_arr(0),
 
-    flush_ena          => '0',
+      flush_ena          => '0',
 
-    rd_sosi            => out_sosi_arr(0),
-    rd_siso            => out_siso_arr(0),
+      rd_sosi            => out_sosi_arr(0),
+      rd_siso            => out_siso_arr(0),
 
-    rd_clk             => dp_clk,
-    rd_rst             => dp_rst,
+      rd_clk             => dp_clk,
+      rd_rst             => dp_rst,
 
-    ddr3_in            => phy_in,
-    ddr3_io            => phy_io,
-    ddr3_ou            => phy_ou
-  );
+      ddr3_in            => phy_in,
+      ddr3_io            => phy_io,
+      ddr3_ou            => phy_ou
+    );
 
   gen_u_800_model : if c_phy > 0 generate
     u_4gb_800_ddr3_model : component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en
-		generic map (
-			MEM_IF_ADDR_WIDTH            => 15,
-			MEM_IF_ROW_ADDR_WIDTH        => 15,
-			MEM_IF_COL_ADDR_WIDTH        => 10,
-			MEM_IF_CS_PER_RANK           => 1,
-			MEM_IF_CONTROL_WIDTH         => 1,
-			MEM_IF_DQS_WIDTH             => 8,
-			MEM_IF_CS_WIDTH              => 2,
-			MEM_IF_BANKADDR_WIDTH        => 3,
-			MEM_IF_DQ_WIDTH              => 64,
-			MEM_IF_CK_WIDTH              => 2,
-			MEM_IF_CLK_EN_WIDTH          => 2,
-			DEVICE_WIDTH                 => 1,
-			MEM_TRCD                     => 6,
-			MEM_TRTP                     => 3,
-			MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
-			MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
-			MEM_IF_ODT_WIDTH             => 2,
-			MEM_MIRROR_ADDRESSING_DEC    => 0,
-			MEM_REGDIMM_ENABLED          => false,
-			DEVICE_DEPTH                 => 1,
-			MEM_GUARANTEED_WRITE_INIT    => false,
-			MEM_VERBOSE                  => true,
-			MEM_INIT_EN                  => false,
-			MEM_INIT_FILE                => "",
-			DAT_DATA_WIDTH               => 32
-		)
-		port map (
-			mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),
-			mem_ba      => phy_ou.ba,
-			mem_ck      => phy_ou.ck,
-			mem_ck_n    => phy_ou.ck_n,
-			mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),
-			mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),
-			mem_dm      => phy_ou.dm,
-			mem_ras_n   => ras_n,
-			mem_cas_n   => cas_n,
-			mem_we_n    => we_n,
-			mem_reset_n => phy_ou.reset_n,
-			mem_dq      => phy_io.dq,
-			mem_dqs     => phy_io.dqs,
-			mem_dqs_n   => phy_io.dqs_n,
-			mem_odt     => phy_ou.odt
-		);
-
-		ras_n(0) <= phy_ou.ras_n;
-		cas_n(0) <= phy_ou.cas_n;
-		we_n(0)  <= phy_ou.we_n;
+      generic map (
+        MEM_IF_ADDR_WIDTH            => 15,
+        MEM_IF_ROW_ADDR_WIDTH        => 15,
+        MEM_IF_COL_ADDR_WIDTH        => 10,
+        MEM_IF_CS_PER_RANK           => 1,
+        MEM_IF_CONTROL_WIDTH         => 1,
+        MEM_IF_DQS_WIDTH             => 8,
+        MEM_IF_CS_WIDTH              => 2,
+        MEM_IF_BANKADDR_WIDTH        => 3,
+        MEM_IF_DQ_WIDTH              => 64,
+        MEM_IF_CK_WIDTH              => 2,
+        MEM_IF_CLK_EN_WIDTH          => 2,
+        DEVICE_WIDTH                 => 1,
+        MEM_TRCD                     => 6,
+        MEM_TRTP                     => 3,
+        MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
+        MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
+        MEM_IF_ODT_WIDTH             => 2,
+        MEM_MIRROR_ADDRESSING_DEC    => 0,
+        MEM_REGDIMM_ENABLED          => false,
+        DEVICE_DEPTH                 => 1,
+        MEM_GUARANTEED_WRITE_INIT    => false,
+        MEM_VERBOSE                  => true,
+        MEM_INIT_EN                  => false,
+        MEM_INIT_FILE                => "",
+        DAT_DATA_WIDTH               => 32
+      )
+      port map (
+        mem_a       => phy_ou.a(c_ddr.a_w - 1 downto 0),
+        mem_ba      => phy_ou.ba,
+        mem_ck      => phy_ou.ck,
+        mem_ck_n    => phy_ou.ck_n,
+        mem_cke     => phy_ou.cke(c_ddr.cs_w - 1 downto 0),
+        mem_cs_n    => phy_ou.cs_n(c_ddr.cs_w - 1 downto 0),
+        mem_dm      => phy_ou.dm,
+        mem_ras_n   => ras_n,
+        mem_cas_n   => cas_n,
+        mem_we_n    => we_n,
+        mem_reset_n => phy_ou.reset_n,
+        mem_dq      => phy_io.dq,
+        mem_dqs     => phy_io.dqs,
+        mem_dqs_n   => phy_io.dqs_n,
+        mem_odt     => phy_ou.odt
+      );
+
+    ras_n(0) <= phy_ou.ras_n;
+    cas_n(0) <= phy_ou.cas_n;
+    we_n(0)  <= phy_ou.we_n;
 
   end generate;
 
@@ -329,28 +331,28 @@ begin
   -- Sink: data buffer real
   ----------------------------------------------------------------------------
   u_data_buf : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams     => c_db_nof_streams,
-    g_data_type       => c_db_data_type,
-    g_data_w          => c_db_data_w,
-    g_buf_nof_data    => c_db_buf_nof_data,
-    g_buf_use_sync    => c_db_buf_use_sync
-  )
-  port map (
-    -- System
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-     -- MM interface
-    ram_data_buf_mosi => ram_diag_data_buf_mosi,
-    ram_data_buf_miso => ram_diag_data_buf_miso,
-    reg_data_buf_mosi => reg_diag_data_buf_mosi,
-    reg_data_buf_miso => reg_diag_data_buf_miso,
-    -- ST interface
-    in_sync           => OPEN,
-    in_sosi_arr       => out_sosi_arr
-  );
+    generic map (
+      g_nof_streams     => c_db_nof_streams,
+      g_data_type       => c_db_data_type,
+      g_data_w          => c_db_data_w,
+      g_buf_nof_data    => c_db_buf_nof_data,
+      g_buf_use_sync    => c_db_buf_use_sync
+    )
+    port map (
+      -- System
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+      -- MM interface
+      ram_data_buf_mosi => ram_diag_data_buf_mosi,
+      ram_data_buf_miso => ram_diag_data_buf_miso,
+      reg_data_buf_mosi => reg_diag_data_buf_mosi,
+      reg_data_buf_miso => reg_diag_data_buf_miso,
+      -- ST interface
+      in_sync           => OPEN,
+      in_sosi_arr       => out_sosi_arr
+    );
 
 
 end tb;
diff --git a/libraries/io/epcs/src/vhdl/epcs_reg.vhd b/libraries/io/epcs/src/vhdl/epcs_reg.vhd
index 3c7ae0a5ee..5609a04d85 100644
--- a/libraries/io/epcs/src/vhdl/epcs_reg.vhd
+++ b/libraries/io/epcs/src/vhdl/epcs_reg.vhd
@@ -43,9 +43,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity epcs_reg is
   generic (
@@ -72,7 +72,7 @@ entity epcs_reg is
     epcs_out_busy            : in std_logic;
 
     unprotect_address_range  : out std_logic := '0'
-    );
+  );
 end epcs_reg;
 
 
@@ -82,11 +82,13 @@ architecture rtl of epcs_reg is
   constant c_unprotect_passphrase : std_logic_vector(c_word_w - 1 downto 0 ) := x"BEDA221E";  -- "Bedazzle"
 
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(6),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 6,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(6),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 6,
+    init_sl  => '0'
+  );
 
   signal mm_epcs_in_addr             : std_logic_vector(g_epcs_addr_w - 1 downto 0);
   signal mm_epcs_in_rden             : std_logic;
@@ -154,37 +156,37 @@ begin
   end process;
 
   u_spulse_epcs_read : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_epcs_in_read_evt,
-    in_busy   => OPEN,
-    out_rst   => epcs_rst,
-    out_clk   => epcs_clk,
-    out_pulse => epcs_in_read_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_epcs_in_read_evt,
+      in_busy   => OPEN,
+      out_rst   => epcs_rst,
+      out_clk   => epcs_clk,
+      out_pulse => epcs_in_read_evt
+    );
 
   u_spulse_epcs_write : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_epcs_in_write_evt,
-    in_busy   => OPEN,
-    out_rst   => epcs_rst,
-    out_clk   => epcs_clk,
-    out_pulse => epcs_in_write_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_epcs_in_write_evt,
+      in_busy   => OPEN,
+      out_rst   => epcs_rst,
+      out_clk   => epcs_clk,
+      out_pulse => epcs_in_write_evt
+    );
 
   u_spulse_epcs_sector_erase : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_epcs_in_sector_erase_evt,
-    in_busy   => OPEN,
-    out_rst   => epcs_rst,
-    out_clk   => epcs_clk,
-    out_pulse => epcs_in_sector_erase_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_epcs_in_sector_erase_evt,
+      in_busy   => OPEN,
+      out_rst   => epcs_rst,
+      out_clk   => epcs_clk,
+      out_pulse => epcs_in_sector_erase_evt
+    );
 
   epcs_in_addr            <= mm_epcs_in_addr;
   epcs_in_rden            <= mm_epcs_in_rden;
diff --git a/libraries/io/epcs/src/vhdl/mms_epcs.vhd b/libraries/io/epcs/src/vhdl/mms_epcs.vhd
index 7bd6417c9b..103b898504 100644
--- a/libraries/io/epcs/src/vhdl/mms_epcs.vhd
+++ b/libraries/io/epcs/src/vhdl/mms_epcs.vhd
@@ -43,13 +43,13 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_flash_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_flash_lib.tech_flash_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_flash_lib.tech_flash_component_pkg.all;
 
 
 entity mms_epcs is
@@ -158,142 +158,142 @@ architecture str of mms_epcs is
 begin
 
   u_epcs_reg: entity work.epcs_reg
-  generic map (
-    g_epcs_addr_w => c_epcs_addr_w
-  )
-  port map (
-    mm_rst                   => mm_rst,
-    mm_clk                   => mm_clk,
+    generic map (
+      g_epcs_addr_w => c_epcs_addr_w
+    )
+    port map (
+      mm_rst                   => mm_rst,
+      mm_clk                   => mm_clk,
 
-    epcs_rst                 => epcs_rst,
-    epcs_clk                 => epcs_clk,
+      epcs_rst                 => epcs_rst,
+      epcs_clk                 => epcs_clk,
 
-    sla_in                   => epcs_mosi,
-    sla_out                  => epcs_miso,
+      sla_in                   => epcs_mosi,
+      sla_out                  => epcs_miso,
 
-    epcs_in_addr             => epcs_in_addr_from_reg,
-    epcs_in_read_evt         => epcs_in_read_from_reg,
-    epcs_in_rden             => epcs_in_rden_from_reg,
-    epcs_in_write_evt        => epcs_in_write_from_reg,
-    epcs_in_sector_erase_evt => epcs_in_sector_erase_from_reg,
+      epcs_in_addr             => epcs_in_addr_from_reg,
+      epcs_in_read_evt         => epcs_in_read_from_reg,
+      epcs_in_rden             => epcs_in_rden_from_reg,
+      epcs_in_write_evt        => epcs_in_write_from_reg,
+      epcs_in_sector_erase_evt => epcs_in_sector_erase_from_reg,
 
-    epcs_out_busy            => epcs_out_busy,
+      epcs_out_busy            => epcs_out_busy,
 
-    unprotect_address_range  => unprotect_address_range
-  );
+      unprotect_address_range  => unprotect_address_range
+    );
 
   epcs_wr_siso.ready <= '1';
 
   u_fifo_user_to_epcs : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_technology   => g_technology,
-    g_wr_data_w    => c_user_data_w,
-    g_rd_data_w    => c_epcs_data_w,
-    g_use_ctrl     => false,
-    g_wr_fifo_size => c_user_fifo_depth
-  )
-  port map (
-    wr_rst         => mm_rst,
-    wr_clk         => mm_clk,
-    rd_rst         => epcs_rst,
-    rd_clk         => epcs_clk,
-    -- ST sink
-    snk_out        => user_wr_siso,
-    snk_in         => user_wr_sosi,
-    -- Monitor FIFO filling
-    wr_usedw       => user_to_epcs_fifo_usedw,
-    rd_emp         => OPEN,
-    -- ST source
-    src_in         => epcs_wr_siso,
-    src_out        => epcs_wr_sosi
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_wr_data_w    => c_user_data_w,
+      g_rd_data_w    => c_epcs_data_w,
+      g_use_ctrl     => false,
+      g_wr_fifo_size => c_user_fifo_depth
+    )
+    port map (
+      wr_rst         => mm_rst,
+      wr_clk         => mm_clk,
+      rd_rst         => epcs_rst,
+      rd_clk         => epcs_clk,
+      -- ST sink
+      snk_out        => user_wr_siso,
+      snk_in         => user_wr_sosi,
+      -- Monitor FIFO filling
+      wr_usedw       => user_to_epcs_fifo_usedw,
+      rd_emp         => OPEN,
+      -- ST source
+      src_in         => epcs_wr_siso,
+      src_out        => epcs_wr_sosi
+    );
 
   u_fifo_epcs_to_user : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_technology   => g_technology,
-    g_wr_data_w    => c_epcs_data_w,
-    g_rd_data_w    => c_user_data_w,
-    g_use_ctrl     => false,
-    g_wr_fifo_size => c_epcs_fifo_depth
-  )
-  port map (
-    wr_rst         => epcs_rst,
-    wr_clk         => epcs_clk,
-    rd_rst         => mm_rst,
-    rd_clk         => mm_clk,
-    -- ST sink
-    snk_out        => epcs_rd_siso,
-    snk_in         => epcs_rd_sosi,
-    -- Monitor FIFO filling
-    wr_usedw       => epcs_to_user_fifo_wr_usedw,
-    rd_usedw       => epcs_to_user_fifo_usedw,
-    rd_emp         => OPEN,
-    -- ST source
-    src_in         => user_rd_siso,
-    src_out        => user_rd_sosi
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_wr_data_w    => c_epcs_data_w,
+      g_rd_data_w    => c_user_data_w,
+      g_use_ctrl     => false,
+      g_wr_fifo_size => c_epcs_fifo_depth
+    )
+    port map (
+      wr_rst         => epcs_rst,
+      wr_clk         => epcs_clk,
+      rd_rst         => mm_rst,
+      rd_clk         => mm_clk,
+      -- ST sink
+      snk_out        => epcs_rd_siso,
+      snk_in         => epcs_rd_sosi,
+      -- Monitor FIFO filling
+      wr_usedw       => epcs_to_user_fifo_wr_usedw,
+      rd_usedw       => epcs_to_user_fifo_usedw,
+      rd_emp         => OPEN,
+      -- ST source
+      src_in         => user_rd_siso,
+      src_out        => user_rd_sosi
+    );
 
   u_asmi_parallel: entity tech_flash_lib.tech_flash_asmi_parallel
-  generic map (
-    g_technology      => g_technology,
-    g_sim_flash_model => g_sim_flash_model
-  )
-  port map (
-  	addr	        => epcs_in_addr,
-		clkin	        => epcs_clk,
-		datain        => epcs_in_datain,
-		rden          => epcs_in_rden,
-		read	        => epcs_in_read,
-		shift_bytes   => epcs_in_shift_bytes,
-		wren	        => epcs_in_wren,
-		write	        => epcs_in_write,
-    sector_erase  => epcs_in_sector_erase,
-
-		busy	        => epcs_out_busy,
-		data_valid    => nxt_epcs_out_data_valid,
-		dataout       => nxt_epcs_out_dataout,
-		illegal_write => OPEN,
-    illegal_erase => open
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_sim_flash_model => g_sim_flash_model
+    )
+    port map (
+      addr          => epcs_in_addr,
+      clkin          => epcs_clk,
+      datain        => epcs_in_datain,
+      rden          => epcs_in_rden,
+      read          => epcs_in_read,
+      shift_bytes   => epcs_in_shift_bytes,
+      wren          => epcs_in_wren,
+      write          => epcs_in_write,
+      sector_erase  => epcs_in_sector_erase,
+
+      busy          => epcs_out_busy,
+      data_valid    => nxt_epcs_out_data_valid,
+      dataout       => nxt_epcs_out_dataout,
+      illegal_write => OPEN,
+      illegal_erase => open
+    );
 
   u_mms_dp_fifo_to_mm: entity dp_lib.mms_dp_fifo_to_mm
-  generic map(
-    g_rd_fifo_depth => c_epcs_fifo_depth / (c_word_w / c_epcs_data_w)
-  )
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
+    generic map(
+      g_rd_fifo_depth => c_epcs_fifo_depth / (c_word_w / c_epcs_data_w)
+    )
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
 
-    rd_sosi            => user_rd_sosi,
-    rd_siso            => user_rd_siso,
+      rd_sosi            => user_rd_sosi,
+      rd_siso            => user_rd_siso,
 
-    ctrl_mosi          => dpmm_ctrl_mosi,
-    ctrl_miso          => dpmm_ctrl_miso,
+      ctrl_mosi          => dpmm_ctrl_mosi,
+      ctrl_miso          => dpmm_ctrl_miso,
 
-    data_mosi          => dpmm_data_mosi,
-    data_miso          => dpmm_data_miso,
+      data_mosi          => dpmm_data_mosi,
+      data_miso          => dpmm_data_miso,
 
-    rd_usedw           => epcs_to_user_fifo_usedw
-  );
+      rd_usedw           => epcs_to_user_fifo_usedw
+    );
 
   u_mms_dp_fifo_from_mm: entity dp_lib.mms_dp_fifo_from_mm
-  generic map(
-    g_wr_fifo_depth => c_user_fifo_depth
-  )
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
+    generic map(
+      g_wr_fifo_depth => c_user_fifo_depth
+    )
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
 
-    wr_sosi            => user_wr_sosi,
+      wr_sosi            => user_wr_sosi,
 
-    ctrl_mosi          => mmdp_ctrl_mosi,
-    ctrl_miso          => mmdp_ctrl_miso,
+      ctrl_mosi          => mmdp_ctrl_mosi,
+      ctrl_miso          => mmdp_ctrl_miso,
 
-    data_mosi          => mmdp_data_mosi,
-    data_miso          => mmdp_data_miso,
+      data_mosi          => mmdp_data_mosi,
+      data_miso          => mmdp_data_miso,
 
-    wr_usedw           => user_to_epcs_fifo_usedw
-  );
+      wr_usedw           => user_to_epcs_fifo_usedw
+    );
 
   -- Optional protection of specified address range
   gen_protection: if g_protect_addr_range = true generate
@@ -365,11 +365,11 @@ begin
   end process;
 
   u_common_areset: entity common_lib.common_areset
-  port map (
-    in_rst             => mm_rst,
-    clk                => epcs_clk,
-    out_rst            => epcs_rst
-  );
+    port map (
+      in_rst             => mm_rst,
+      clk                => epcs_clk,
+      out_rst            => epcs_rst
+    );
 
 end str;
 
diff --git a/libraries/io/epcs/tb/vhdl/tb_mms_epcs.vhd b/libraries/io/epcs/tb/vhdl/tb_mms_epcs.vhd
index 74cfc2675c..222463f912 100644
--- a/libraries/io/epcs/tb/vhdl/tb_mms_epcs.vhd
+++ b/libraries/io/epcs/tb/vhdl/tb_mms_epcs.vhd
@@ -27,12 +27,12 @@
 -- Run for 10ms.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 entity tb_mms_epcs is
 end entity tb_mms_epcs;
@@ -167,27 +167,27 @@ begin
   end process;
 
   u_mms_epcs: entity work.mms_epcs
-  port map (
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
+    port map (
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
 
-    epcs_clk           => epcs_clk,
+      epcs_clk           => epcs_clk,
 
-    epcs_mosi          => epcs_mosi,
-    epcs_miso          => epcs_miso,
+      epcs_mosi          => epcs_mosi,
+      epcs_miso          => epcs_miso,
 
-    dpmm_ctrl_mosi     => dpmm_ctrl_mosi,
-    dpmm_ctrl_miso     => dpmm_ctrl_miso,
+      dpmm_ctrl_mosi     => dpmm_ctrl_mosi,
+      dpmm_ctrl_miso     => dpmm_ctrl_miso,
 
-    dpmm_data_mosi     => dpmm_data_mosi,
-    dpmm_data_miso     => dpmm_data_miso,
+      dpmm_data_mosi     => dpmm_data_mosi,
+      dpmm_data_miso     => dpmm_data_miso,
 
-    mmdp_ctrl_mosi     => mmdp_ctrl_mosi,
-    mmdp_ctrl_miso     => mmdp_ctrl_miso,
+      mmdp_ctrl_mosi     => mmdp_ctrl_mosi,
+      mmdp_ctrl_miso     => mmdp_ctrl_miso,
 
-    mmdp_data_mosi     => mmdp_data_mosi,
-    mmdp_data_miso     => mmdp_data_miso
-  );
+      mmdp_data_mosi     => mmdp_data_mosi,
+      mmdp_data_miso     => mmdp_data_miso
+    );
 
 
 end architecture str;
diff --git a/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd b/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd
index 4b679a931f..c6bf74cc6b 100644
--- a/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd
+++ b/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd
@@ -139,23 +139,23 @@
 -- SIGNAL reg_dp_xonoff_miso                       : t_mem_miso;
 --
 library IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_mem_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use mm_lib.mm_file_pkg.all;
-use mm_lib.mm_file_unb_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use mm_lib.mm_file_pkg.all;
+  use mm_lib.mm_file_unb_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity mmm_unb1_eth_10g is
   generic (
@@ -423,57 +423,57 @@ begin
   ----------------------------------------------------------------------------
   gen_mm_file_io : if g_sim = true generate
     u_mm_file_reg_wdi  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI")
-          port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
+      port map(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso );
     u_mm_file_reg_unb_system_info  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
-          port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
+      port map(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
     u_mm_file_rom_unb_system_info  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO")
-          port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
+      port map(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso );
     u_mm_file_reg_unb_sens  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS")
-          port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
+      port map(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso );
     u_mm_file_reg_ppsh  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS")
-          port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
+      port map(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso );
     u_mm_file_eth1g_ram  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ETH1G_RAM")
-          port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
+      port map(mm_rst, mm_clk, eth1g_ram_mosi, eth1g_ram_miso );
     u_mm_file_eth1g_reg  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG")
-          port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
+      port map(mm_rst, mm_clk, eth1g_reg_mosi, eth1g_reg_miso );
     u_mm_file_eth1g_tse  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ETH1G_TSE")
-          port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
+      port map(mm_rst, mm_clk, eth1g_tse_mosi, eth1g_tse_miso );
     u_mm_file_reg_epcs  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_EPCS")
-          port map(mm_rst, mm_clk, reg_epcs_mosi, reg_epcs_miso );
+      port map(mm_rst, mm_clk, reg_epcs_mosi, reg_epcs_miso );
     u_mm_file_reg_remu  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_REMU")
-          port map(mm_rst, mm_clk, reg_remu_mosi, reg_remu_miso );
+      port map(mm_rst, mm_clk, reg_remu_mosi, reg_remu_miso );
     u_mm_file_reg_bsn_monitor  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR")
-          port map(mm_rst, mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
+      port map(mm_rst, mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso );
     u_mm_file_reg_dp_offload_tx  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX")
-          port map(mm_rst, mm_clk, reg_dp_offload_tx_mosi, reg_dp_offload_tx_miso );
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_mosi, reg_dp_offload_tx_miso );
     u_mm_file_reg_dp_offload_tx_hdr_dat  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_HDR_DAT")
-          port map(mm_rst, mm_clk, reg_dp_offload_tx_hdr_dat_mosi, reg_dp_offload_tx_hdr_dat_miso );
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_hdr_dat_mosi, reg_dp_offload_tx_hdr_dat_miso );
     u_mm_file_reg_dp_offload_tx_hdr_ovr  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_HDR_OVR")
-          port map(mm_rst, mm_clk, reg_dp_offload_tx_hdr_ovr_mosi, reg_dp_offload_tx_hdr_ovr_miso );
+      port map(mm_rst, mm_clk, reg_dp_offload_tx_hdr_ovr_mosi, reg_dp_offload_tx_hdr_ovr_miso );
     u_mm_file_reg_dp_offload_rx_hdr_dat  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_HDR_DAT")
-          port map(mm_rst, mm_clk, reg_dp_offload_rx_hdr_dat_mosi, reg_dp_offload_rx_hdr_dat_miso );
+      port map(mm_rst, mm_clk, reg_dp_offload_rx_hdr_dat_mosi, reg_dp_offload_rx_hdr_dat_miso );
     u_mm_file_reg_dp_offload_rx_filter_hdr_fields  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_FILTER_HDR_FIELDS")
-          port map(mm_rst, mm_clk, reg_dp_offload_rx_filter_hdr_fields_mosi, reg_dp_offload_rx_filter_hdr_fields_miso );
+      port map(mm_rst, mm_clk, reg_dp_offload_rx_filter_hdr_fields_mosi, reg_dp_offload_rx_filter_hdr_fields_miso );
     u_mm_file_reg_diag_data_buffer  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER")
-          port map(mm_rst, mm_clk, reg_diag_data_buffer_mosi, reg_diag_data_buffer_miso );
+      port map(mm_rst, mm_clk, reg_diag_data_buffer_mosi, reg_diag_data_buffer_miso );
     u_mm_file_ram_diag_data_buffer  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER")
-          port map(mm_rst, mm_clk, ram_diag_data_buffer_mosi, ram_diag_data_buffer_miso );
+      port map(mm_rst, mm_clk, ram_diag_data_buffer_mosi, ram_diag_data_buffer_miso );
     u_mm_file_reg_diag_bg  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG")
-          port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso );
+      port map(mm_rst, mm_clk, reg_diag_bg_mosi, reg_diag_bg_miso );
     u_mm_file_ram_diag_bg  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG")
-          port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso );
+      port map(mm_rst, mm_clk, ram_diag_bg_mosi, ram_diag_bg_miso );
     u_mm_file_reg_mdio_0  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_MDIO_0")
-          port map(mm_rst, mm_clk, reg_mdio_0_mosi, reg_mdio_0_miso );
+      port map(mm_rst, mm_clk, reg_mdio_0_mosi, reg_mdio_0_miso );
     u_mm_file_reg_mdio_1  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_MDIO_1")
-          port map(mm_rst, mm_clk, reg_mdio_1_mosi, reg_mdio_1_miso );
+      port map(mm_rst, mm_clk, reg_mdio_1_mosi, reg_mdio_1_miso );
     u_mm_file_reg_mdio_2  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_MDIO_2")
-          port map(mm_rst, mm_clk, reg_mdio_2_mosi, reg_mdio_2_miso );
+      port map(mm_rst, mm_clk, reg_mdio_2_mosi, reg_mdio_2_miso );
     u_mm_file_reg_tr_10gbe  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE")
-          port map(mm_rst, mm_clk, reg_tr_10gbe_mosi, reg_tr_10gbe_miso );
+      port map(mm_rst, mm_clk, reg_tr_10gbe_mosi, reg_tr_10gbe_miso );
     u_mm_file_reg_tr_xaui  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_XAUI")
-          port map(mm_rst, mm_clk, reg_tr_xaui_mosi, reg_tr_xaui_miso );
+      port map(mm_rst, mm_clk, reg_tr_xaui_mosi, reg_tr_xaui_miso );
     u_mm_file_reg_dp_xonoff  :  mm_file generic map(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF")
-          port map(mm_rst, mm_clk, reg_dp_xonoff_mosi, reg_dp_xonoff_miso );
+      port map(mm_rst, mm_clk, reg_dp_xonoff_mosi, reg_dp_xonoff_miso );
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
     ----------------------------------------------------------------------------
@@ -516,179 +516,179 @@ begin
 
     u_qsys_unb1_eth_10g : qsys_unb1_eth_10g
       port map(
-      clk_in_clk                                           => mm_clk,
-      eth1g_irq_export                                     => eth1g_reg_interrupt,
-      eth1g_mm_clk_export                                  => OPEN,
-      eth1g_mm_rst_export                                  => eth1g_mm_rst,
-      eth1g_ram_address_export                             => eth1g_ram_mosi.address(9 downto 0),
-      eth1g_ram_read_export                                => eth1g_ram_mosi.rd,
-      eth1g_ram_readdata_export                            => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_ram_write_export                               => eth1g_ram_mosi.wr,
-      eth1g_ram_writedata_export                           => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
-      eth1g_reg_address_export                             => eth1g_reg_mosi.address(3 downto 0),
-      eth1g_reg_read_export                                => eth1g_reg_mosi.rd,
-      eth1g_reg_readdata_export                            => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_reg_write_export                               => eth1g_reg_mosi.wr,
-      eth1g_reg_writedata_export                           => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
-      eth1g_tse_address_export                             => eth1g_tse_mosi.address(9 downto 0),
-      eth1g_tse_read_export                                => eth1g_tse_mosi.rd,
-      eth1g_tse_readdata_export                            => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
-      eth1g_tse_waitrequest_export                         => eth1g_tse_miso.waitrequest,
-      eth1g_tse_write_export                               => eth1g_tse_mosi.wr,
-      eth1g_tse_writedata_export                           => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
-      out_port_from_the_pio_debug_wave                     => OPEN,
-      out_port_from_the_pio_wdi                            => pout_wdi,
-      pio_pps_address_export                               => reg_ppsh_mosi.address(0),
-      pio_pps_clk_export                                   => OPEN,
-      pio_pps_read_export                                  => reg_ppsh_mosi.rd,
-      pio_pps_readdata_export                              => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
-      pio_pps_reset_export                                 => OPEN,
-      pio_pps_write_export                                 => reg_ppsh_mosi.wr,
-      pio_pps_writedata_export                             => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
-      pio_system_info_address_export                       => reg_unb_system_info_mosi.address(4 downto 0),
-      pio_system_info_clk_export                           => OPEN,
-      pio_system_info_read_export                          => reg_unb_system_info_mosi.rd,
-      pio_system_info_readdata_export                      => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      pio_system_info_reset_export                         => OPEN,
-      pio_system_info_write_export                         => reg_unb_system_info_mosi.wr,
-      pio_system_info_writedata_export                     => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_bg_address_export                           => ram_diag_bg_mosi.address(9 downto 0),
-      ram_diag_bg_clk_export                               => OPEN,
-      ram_diag_bg_read_export                              => ram_diag_bg_mosi.rd,
-      ram_diag_bg_readdata_export                          => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_bg_reset_export                             => OPEN,
-      ram_diag_bg_write_export                             => ram_diag_bg_mosi.wr,
-      ram_diag_bg_writedata_export                         => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_address_export                  => ram_diag_data_buffer_mosi.address(13 downto 0),
-      ram_diag_data_buffer_clk_export                      => OPEN,
-      ram_diag_data_buffer_read_export                     => ram_diag_data_buffer_mosi.rd,
-      ram_diag_data_buffer_readdata_export                 => ram_diag_data_buffer_miso.rddata(c_word_w - 1 downto 0),
-      ram_diag_data_buffer_reset_export                    => OPEN,
-      ram_diag_data_buffer_write_export                    => ram_diag_data_buffer_mosi.wr,
-      ram_diag_data_buffer_writedata_export                => ram_diag_data_buffer_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_address_export                       => reg_bsn_monitor_mosi.address(6 downto 0),
-      reg_bsn_monitor_clk_export                           => OPEN,
-      reg_bsn_monitor_read_export                          => reg_bsn_monitor_mosi.rd,
-      reg_bsn_monitor_readdata_export                      => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
-      reg_bsn_monitor_reset_export                         => OPEN,
-      reg_bsn_monitor_write_export                         => reg_bsn_monitor_mosi.wr,
-      reg_bsn_monitor_writedata_export                     => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_bg_address_export                           => reg_diag_bg_mosi.address(2 downto 0),
-      reg_diag_bg_clk_export                               => OPEN,
-      reg_diag_bg_read_export                              => reg_diag_bg_mosi.rd,
-      reg_diag_bg_readdata_export                          => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_bg_reset_export                             => OPEN,
-      reg_diag_bg_write_export                             => reg_diag_bg_mosi.wr,
-      reg_diag_bg_writedata_export                         => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_address_export                  => reg_diag_data_buffer_mosi.address(4 downto 0),
-      reg_diag_data_buffer_clk_export                      => OPEN,
-      reg_diag_data_buffer_read_export                     => reg_diag_data_buffer_mosi.rd,
-      reg_diag_data_buffer_readdata_export                 => reg_diag_data_buffer_miso.rddata(c_word_w - 1 downto 0),
-      reg_diag_data_buffer_reset_export                    => OPEN,
-      reg_diag_data_buffer_write_export                    => reg_diag_data_buffer_mosi.wr,
-      reg_diag_data_buffer_writedata_export                => reg_diag_data_buffer_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_filter_hdr_fields_address_export   => reg_dp_offload_rx_filter_hdr_fields_mosi.address(6 downto 0),
-      reg_dp_offload_rx_filter_hdr_fields_clk_export       => OPEN,
-      reg_dp_offload_rx_filter_hdr_fields_read_export      => reg_dp_offload_rx_filter_hdr_fields_mosi.rd,
-      reg_dp_offload_rx_filter_hdr_fields_readdata_export  => reg_dp_offload_rx_filter_hdr_fields_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_filter_hdr_fields_reset_export     => OPEN,
-      reg_dp_offload_rx_filter_hdr_fields_write_export     => reg_dp_offload_rx_filter_hdr_fields_mosi.wr,
-      reg_dp_offload_rx_filter_hdr_fields_writedata_export => reg_dp_offload_rx_filter_hdr_fields_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_hdr_dat_address_export             => reg_dp_offload_rx_hdr_dat_mosi.address(6 downto 0),
-      reg_dp_offload_rx_hdr_dat_clk_export                 => OPEN,
-      reg_dp_offload_rx_hdr_dat_read_export                => reg_dp_offload_rx_hdr_dat_mosi.rd,
-      reg_dp_offload_rx_hdr_dat_readdata_export            => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_rx_hdr_dat_reset_export               => OPEN,
-      reg_dp_offload_rx_hdr_dat_write_export               => reg_dp_offload_rx_hdr_dat_mosi.wr,
-      reg_dp_offload_rx_hdr_dat_writedata_export           => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_address_export                     => reg_dp_offload_tx_mosi.address(0),
-      reg_dp_offload_tx_clk_export                         => OPEN,
-      reg_dp_offload_tx_hdr_dat_address_export             => reg_dp_offload_tx_hdr_dat_mosi.address(5 downto 0),
-      reg_dp_offload_tx_hdr_dat_clk_export                 => OPEN,
-      reg_dp_offload_tx_hdr_dat_read_export                => reg_dp_offload_tx_hdr_dat_mosi.rd,
-      reg_dp_offload_tx_hdr_dat_readdata_export            => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_hdr_dat_reset_export               => OPEN,
-      reg_dp_offload_tx_hdr_dat_write_export               => reg_dp_offload_tx_hdr_dat_mosi.wr,
-      reg_dp_offload_tx_hdr_dat_writedata_export           => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_hdr_ovr_address_export             => reg_dp_offload_tx_hdr_ovr_mosi.address(4 downto 0),
-      reg_dp_offload_tx_hdr_ovr_clk_export                 => OPEN,
-      reg_dp_offload_tx_hdr_ovr_read_export                => reg_dp_offload_tx_hdr_ovr_mosi.rd,
-      reg_dp_offload_tx_hdr_ovr_readdata_export            => reg_dp_offload_tx_hdr_ovr_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_hdr_ovr_reset_export               => OPEN,
-      reg_dp_offload_tx_hdr_ovr_write_export               => reg_dp_offload_tx_hdr_ovr_mosi.wr,
-      reg_dp_offload_tx_hdr_ovr_writedata_export           => reg_dp_offload_tx_hdr_ovr_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_read_export                        => reg_dp_offload_tx_mosi.rd,
-      reg_dp_offload_tx_readdata_export                    => reg_dp_offload_tx_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_offload_tx_reset_export                       => OPEN,
-      reg_dp_offload_tx_write_export                       => reg_dp_offload_tx_mosi.wr,
-      reg_dp_offload_tx_writedata_export                   => reg_dp_offload_tx_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_address_export                         => reg_dp_xonoff_mosi.address(0),
-      reg_dp_xonoff_clk_export                             => OPEN,
-      reg_dp_xonoff_read_export                            => reg_dp_xonoff_mosi.rd,
-      reg_dp_xonoff_readdata_export                        => reg_dp_xonoff_miso.rddata(c_word_w - 1 downto 0),
-      reg_dp_xonoff_reset_export                           => OPEN,
-      reg_dp_xonoff_write_export                           => reg_dp_xonoff_mosi.wr,
-      reg_dp_xonoff_writedata_export                       => reg_dp_xonoff_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mdio_0_address_export                            => reg_mdio_0_mosi.address(2 downto 0),
-      reg_mdio_0_clk_export                                => OPEN,
-      reg_mdio_0_read_export                               => reg_mdio_0_mosi.rd,
-      reg_mdio_0_readdata_export                           => reg_mdio_0_miso.rddata(c_word_w - 1 downto 0),
-      reg_mdio_0_reset_export                              => OPEN,
-      reg_mdio_0_write_export                              => reg_mdio_0_mosi.wr,
-      reg_mdio_0_writedata_export                          => reg_mdio_0_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mdio_1_address_export                            => reg_mdio_1_mosi.address(2 downto 0),
-      reg_mdio_1_clk_export                                => OPEN,
-      reg_mdio_1_read_export                               => reg_mdio_1_mosi.rd,
-      reg_mdio_1_readdata_export                           => reg_mdio_1_miso.rddata(c_word_w - 1 downto 0),
-      reg_mdio_1_reset_export                              => OPEN,
-      reg_mdio_1_write_export                              => reg_mdio_1_mosi.wr,
-      reg_mdio_1_writedata_export                          => reg_mdio_1_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_mdio_2_address_export                            => reg_mdio_2_mosi.address(2 downto 0),
-      reg_mdio_2_clk_export                                => OPEN,
-      reg_mdio_2_read_export                               => reg_mdio_2_mosi.rd,
-      reg_mdio_2_readdata_export                           => reg_mdio_2_miso.rddata(c_word_w - 1 downto 0),
-      reg_mdio_2_reset_export                              => OPEN,
-      reg_mdio_2_write_export                              => reg_mdio_2_mosi.wr,
-      reg_mdio_2_writedata_export                          => reg_mdio_2_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_address_export                          => reg_tr_10gbe_mosi.address(14 downto 0),
-      reg_tr_10gbe_clk_export                              => OPEN,
-      reg_tr_10gbe_read_export                             => reg_tr_10gbe_mosi.rd,
-      reg_tr_10gbe_readdata_export                         => reg_tr_10gbe_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_10gbe_reset_export                            => OPEN,
-      reg_tr_10gbe_waitrequest_export                      => reg_tr_10gbe_miso.waitrequest,
-      reg_tr_10gbe_write_export                            => reg_tr_10gbe_mosi.wr,
-      reg_tr_10gbe_writedata_export                        => reg_tr_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_tr_xaui_address_export                           => reg_tr_xaui_mosi.address(10 downto 0),
-      reg_tr_xaui_clk_export                               => OPEN,
-      reg_tr_xaui_read_export                              => reg_tr_xaui_mosi.rd,
-      reg_tr_xaui_readdata_export                          => reg_tr_xaui_miso.rddata(c_word_w - 1 downto 0),
-      reg_tr_xaui_reset_export                             => OPEN,
-      reg_tr_xaui_waitrequest_export                       => reg_tr_xaui_miso.waitrequest,
-      reg_tr_xaui_write_export                             => reg_tr_xaui_mosi.wr,
-      reg_tr_xaui_writedata_export                         => reg_tr_xaui_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_unb_sens_address_export                          => reg_unb_sens_mosi.address(2 downto 0),
-      reg_unb_sens_clk_export                              => OPEN,
-      reg_unb_sens_read_export                             => reg_unb_sens_mosi.rd,
-      reg_unb_sens_readdata_export                         => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
-      reg_unb_sens_reset_export                            => OPEN,
-      reg_unb_sens_write_export                            => reg_unb_sens_mosi.wr,
-      reg_unb_sens_writedata_export                        => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
-      reg_wdi_address_export                               => reg_wdi_mosi.address(0),
-      reg_wdi_clk_export                                   => OPEN,
-      reg_wdi_read_export                                  => reg_wdi_mosi.rd,
-      reg_wdi_readdata_export                              => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
-      reg_wdi_reset_export                                 => OPEN,
-      reg_wdi_write_export                                 => reg_wdi_mosi.wr,
-      reg_wdi_writedata_export                             => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
-      reset_in_reset_n                                     => mm_rst_n,
-      rom_system_info_address_export                       => rom_unb_system_info_mosi.address(9 downto 0),
-      rom_system_info_clk_export                           => OPEN,
-      rom_system_info_read_export                          => rom_unb_system_info_mosi.rd,
-      rom_system_info_readdata_export                      => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
-      rom_system_info_reset_export                         => OPEN,
-      rom_system_info_write_export                         => rom_unb_system_info_mosi.wr,
-      rom_system_info_writedata_export                     => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0)
-    );
+        clk_in_clk                                           => mm_clk,
+        eth1g_irq_export                                     => eth1g_reg_interrupt,
+        eth1g_mm_clk_export                                  => OPEN,
+        eth1g_mm_rst_export                                  => eth1g_mm_rst,
+        eth1g_ram_address_export                             => eth1g_ram_mosi.address(9 downto 0),
+        eth1g_ram_read_export                                => eth1g_ram_mosi.rd,
+        eth1g_ram_readdata_export                            => eth1g_ram_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_ram_write_export                               => eth1g_ram_mosi.wr,
+        eth1g_ram_writedata_export                           => eth1g_ram_mosi.wrdata(c_word_w - 1 downto 0),
+        eth1g_reg_address_export                             => eth1g_reg_mosi.address(3 downto 0),
+        eth1g_reg_read_export                                => eth1g_reg_mosi.rd,
+        eth1g_reg_readdata_export                            => eth1g_reg_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_reg_write_export                               => eth1g_reg_mosi.wr,
+        eth1g_reg_writedata_export                           => eth1g_reg_mosi.wrdata(c_word_w - 1 downto 0),
+        eth1g_tse_address_export                             => eth1g_tse_mosi.address(9 downto 0),
+        eth1g_tse_read_export                                => eth1g_tse_mosi.rd,
+        eth1g_tse_readdata_export                            => eth1g_tse_miso.rddata(c_word_w - 1 downto 0),
+        eth1g_tse_waitrequest_export                         => eth1g_tse_miso.waitrequest,
+        eth1g_tse_write_export                               => eth1g_tse_mosi.wr,
+        eth1g_tse_writedata_export                           => eth1g_tse_mosi.wrdata(c_word_w - 1 downto 0),
+        out_port_from_the_pio_debug_wave                     => OPEN,
+        out_port_from_the_pio_wdi                            => pout_wdi,
+        pio_pps_address_export                               => reg_ppsh_mosi.address(0),
+        pio_pps_clk_export                                   => OPEN,
+        pio_pps_read_export                                  => reg_ppsh_mosi.rd,
+        pio_pps_readdata_export                              => reg_ppsh_miso.rddata(c_word_w - 1 downto 0),
+        pio_pps_reset_export                                 => OPEN,
+        pio_pps_write_export                                 => reg_ppsh_mosi.wr,
+        pio_pps_writedata_export                             => reg_ppsh_mosi.wrdata(c_word_w - 1 downto 0),
+        pio_system_info_address_export                       => reg_unb_system_info_mosi.address(4 downto 0),
+        pio_system_info_clk_export                           => OPEN,
+        pio_system_info_read_export                          => reg_unb_system_info_mosi.rd,
+        pio_system_info_readdata_export                      => reg_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        pio_system_info_reset_export                         => OPEN,
+        pio_system_info_write_export                         => reg_unb_system_info_mosi.wr,
+        pio_system_info_writedata_export                     => reg_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_bg_address_export                           => ram_diag_bg_mosi.address(9 downto 0),
+        ram_diag_bg_clk_export                               => OPEN,
+        ram_diag_bg_read_export                              => ram_diag_bg_mosi.rd,
+        ram_diag_bg_readdata_export                          => ram_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_bg_reset_export                             => OPEN,
+        ram_diag_bg_write_export                             => ram_diag_bg_mosi.wr,
+        ram_diag_bg_writedata_export                         => ram_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_address_export                  => ram_diag_data_buffer_mosi.address(13 downto 0),
+        ram_diag_data_buffer_clk_export                      => OPEN,
+        ram_diag_data_buffer_read_export                     => ram_diag_data_buffer_mosi.rd,
+        ram_diag_data_buffer_readdata_export                 => ram_diag_data_buffer_miso.rddata(c_word_w - 1 downto 0),
+        ram_diag_data_buffer_reset_export                    => OPEN,
+        ram_diag_data_buffer_write_export                    => ram_diag_data_buffer_mosi.wr,
+        ram_diag_data_buffer_writedata_export                => ram_diag_data_buffer_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_address_export                       => reg_bsn_monitor_mosi.address(6 downto 0),
+        reg_bsn_monitor_clk_export                           => OPEN,
+        reg_bsn_monitor_read_export                          => reg_bsn_monitor_mosi.rd,
+        reg_bsn_monitor_readdata_export                      => reg_bsn_monitor_miso.rddata(c_word_w - 1 downto 0),
+        reg_bsn_monitor_reset_export                         => OPEN,
+        reg_bsn_monitor_write_export                         => reg_bsn_monitor_mosi.wr,
+        reg_bsn_monitor_writedata_export                     => reg_bsn_monitor_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_bg_address_export                           => reg_diag_bg_mosi.address(2 downto 0),
+        reg_diag_bg_clk_export                               => OPEN,
+        reg_diag_bg_read_export                              => reg_diag_bg_mosi.rd,
+        reg_diag_bg_readdata_export                          => reg_diag_bg_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_bg_reset_export                             => OPEN,
+        reg_diag_bg_write_export                             => reg_diag_bg_mosi.wr,
+        reg_diag_bg_writedata_export                         => reg_diag_bg_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_address_export                  => reg_diag_data_buffer_mosi.address(4 downto 0),
+        reg_diag_data_buffer_clk_export                      => OPEN,
+        reg_diag_data_buffer_read_export                     => reg_diag_data_buffer_mosi.rd,
+        reg_diag_data_buffer_readdata_export                 => reg_diag_data_buffer_miso.rddata(c_word_w - 1 downto 0),
+        reg_diag_data_buffer_reset_export                    => OPEN,
+        reg_diag_data_buffer_write_export                    => reg_diag_data_buffer_mosi.wr,
+        reg_diag_data_buffer_writedata_export                => reg_diag_data_buffer_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_filter_hdr_fields_address_export   => reg_dp_offload_rx_filter_hdr_fields_mosi.address(6 downto 0),
+        reg_dp_offload_rx_filter_hdr_fields_clk_export       => OPEN,
+        reg_dp_offload_rx_filter_hdr_fields_read_export      => reg_dp_offload_rx_filter_hdr_fields_mosi.rd,
+        reg_dp_offload_rx_filter_hdr_fields_readdata_export  => reg_dp_offload_rx_filter_hdr_fields_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_filter_hdr_fields_reset_export     => OPEN,
+        reg_dp_offload_rx_filter_hdr_fields_write_export     => reg_dp_offload_rx_filter_hdr_fields_mosi.wr,
+        reg_dp_offload_rx_filter_hdr_fields_writedata_export => reg_dp_offload_rx_filter_hdr_fields_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_hdr_dat_address_export             => reg_dp_offload_rx_hdr_dat_mosi.address(6 downto 0),
+        reg_dp_offload_rx_hdr_dat_clk_export                 => OPEN,
+        reg_dp_offload_rx_hdr_dat_read_export                => reg_dp_offload_rx_hdr_dat_mosi.rd,
+        reg_dp_offload_rx_hdr_dat_readdata_export            => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_rx_hdr_dat_reset_export               => OPEN,
+        reg_dp_offload_rx_hdr_dat_write_export               => reg_dp_offload_rx_hdr_dat_mosi.wr,
+        reg_dp_offload_rx_hdr_dat_writedata_export           => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_address_export                     => reg_dp_offload_tx_mosi.address(0),
+        reg_dp_offload_tx_clk_export                         => OPEN,
+        reg_dp_offload_tx_hdr_dat_address_export             => reg_dp_offload_tx_hdr_dat_mosi.address(5 downto 0),
+        reg_dp_offload_tx_hdr_dat_clk_export                 => OPEN,
+        reg_dp_offload_tx_hdr_dat_read_export                => reg_dp_offload_tx_hdr_dat_mosi.rd,
+        reg_dp_offload_tx_hdr_dat_readdata_export            => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_hdr_dat_reset_export               => OPEN,
+        reg_dp_offload_tx_hdr_dat_write_export               => reg_dp_offload_tx_hdr_dat_mosi.wr,
+        reg_dp_offload_tx_hdr_dat_writedata_export           => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_hdr_ovr_address_export             => reg_dp_offload_tx_hdr_ovr_mosi.address(4 downto 0),
+        reg_dp_offload_tx_hdr_ovr_clk_export                 => OPEN,
+        reg_dp_offload_tx_hdr_ovr_read_export                => reg_dp_offload_tx_hdr_ovr_mosi.rd,
+        reg_dp_offload_tx_hdr_ovr_readdata_export            => reg_dp_offload_tx_hdr_ovr_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_hdr_ovr_reset_export               => OPEN,
+        reg_dp_offload_tx_hdr_ovr_write_export               => reg_dp_offload_tx_hdr_ovr_mosi.wr,
+        reg_dp_offload_tx_hdr_ovr_writedata_export           => reg_dp_offload_tx_hdr_ovr_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_read_export                        => reg_dp_offload_tx_mosi.rd,
+        reg_dp_offload_tx_readdata_export                    => reg_dp_offload_tx_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_offload_tx_reset_export                       => OPEN,
+        reg_dp_offload_tx_write_export                       => reg_dp_offload_tx_mosi.wr,
+        reg_dp_offload_tx_writedata_export                   => reg_dp_offload_tx_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_address_export                         => reg_dp_xonoff_mosi.address(0),
+        reg_dp_xonoff_clk_export                             => OPEN,
+        reg_dp_xonoff_read_export                            => reg_dp_xonoff_mosi.rd,
+        reg_dp_xonoff_readdata_export                        => reg_dp_xonoff_miso.rddata(c_word_w - 1 downto 0),
+        reg_dp_xonoff_reset_export                           => OPEN,
+        reg_dp_xonoff_write_export                           => reg_dp_xonoff_mosi.wr,
+        reg_dp_xonoff_writedata_export                       => reg_dp_xonoff_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mdio_0_address_export                            => reg_mdio_0_mosi.address(2 downto 0),
+        reg_mdio_0_clk_export                                => OPEN,
+        reg_mdio_0_read_export                               => reg_mdio_0_mosi.rd,
+        reg_mdio_0_readdata_export                           => reg_mdio_0_miso.rddata(c_word_w - 1 downto 0),
+        reg_mdio_0_reset_export                              => OPEN,
+        reg_mdio_0_write_export                              => reg_mdio_0_mosi.wr,
+        reg_mdio_0_writedata_export                          => reg_mdio_0_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mdio_1_address_export                            => reg_mdio_1_mosi.address(2 downto 0),
+        reg_mdio_1_clk_export                                => OPEN,
+        reg_mdio_1_read_export                               => reg_mdio_1_mosi.rd,
+        reg_mdio_1_readdata_export                           => reg_mdio_1_miso.rddata(c_word_w - 1 downto 0),
+        reg_mdio_1_reset_export                              => OPEN,
+        reg_mdio_1_write_export                              => reg_mdio_1_mosi.wr,
+        reg_mdio_1_writedata_export                          => reg_mdio_1_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_mdio_2_address_export                            => reg_mdio_2_mosi.address(2 downto 0),
+        reg_mdio_2_clk_export                                => OPEN,
+        reg_mdio_2_read_export                               => reg_mdio_2_mosi.rd,
+        reg_mdio_2_readdata_export                           => reg_mdio_2_miso.rddata(c_word_w - 1 downto 0),
+        reg_mdio_2_reset_export                              => OPEN,
+        reg_mdio_2_write_export                              => reg_mdio_2_mosi.wr,
+        reg_mdio_2_writedata_export                          => reg_mdio_2_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_address_export                          => reg_tr_10gbe_mosi.address(14 downto 0),
+        reg_tr_10gbe_clk_export                              => OPEN,
+        reg_tr_10gbe_read_export                             => reg_tr_10gbe_mosi.rd,
+        reg_tr_10gbe_readdata_export                         => reg_tr_10gbe_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_10gbe_reset_export                            => OPEN,
+        reg_tr_10gbe_waitrequest_export                      => reg_tr_10gbe_miso.waitrequest,
+        reg_tr_10gbe_write_export                            => reg_tr_10gbe_mosi.wr,
+        reg_tr_10gbe_writedata_export                        => reg_tr_10gbe_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_tr_xaui_address_export                           => reg_tr_xaui_mosi.address(10 downto 0),
+        reg_tr_xaui_clk_export                               => OPEN,
+        reg_tr_xaui_read_export                              => reg_tr_xaui_mosi.rd,
+        reg_tr_xaui_readdata_export                          => reg_tr_xaui_miso.rddata(c_word_w - 1 downto 0),
+        reg_tr_xaui_reset_export                             => OPEN,
+        reg_tr_xaui_waitrequest_export                       => reg_tr_xaui_miso.waitrequest,
+        reg_tr_xaui_write_export                             => reg_tr_xaui_mosi.wr,
+        reg_tr_xaui_writedata_export                         => reg_tr_xaui_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_unb_sens_address_export                          => reg_unb_sens_mosi.address(2 downto 0),
+        reg_unb_sens_clk_export                              => OPEN,
+        reg_unb_sens_read_export                             => reg_unb_sens_mosi.rd,
+        reg_unb_sens_readdata_export                         => reg_unb_sens_miso.rddata(c_word_w - 1 downto 0),
+        reg_unb_sens_reset_export                            => OPEN,
+        reg_unb_sens_write_export                            => reg_unb_sens_mosi.wr,
+        reg_unb_sens_writedata_export                        => reg_unb_sens_mosi.wrdata(c_word_w - 1 downto 0),
+        reg_wdi_address_export                               => reg_wdi_mosi.address(0),
+        reg_wdi_clk_export                                   => OPEN,
+        reg_wdi_read_export                                  => reg_wdi_mosi.rd,
+        reg_wdi_readdata_export                              => reg_wdi_miso.rddata(c_word_w - 1 downto 0),
+        reg_wdi_reset_export                                 => OPEN,
+        reg_wdi_write_export                                 => reg_wdi_mosi.wr,
+        reg_wdi_writedata_export                             => reg_wdi_mosi.wrdata(c_word_w - 1 downto 0),
+        reset_in_reset_n                                     => mm_rst_n,
+        rom_system_info_address_export                       => rom_unb_system_info_mosi.address(9 downto 0),
+        rom_system_info_clk_export                           => OPEN,
+        rom_system_info_read_export                          => rom_unb_system_info_mosi.rd,
+        rom_system_info_readdata_export                      => rom_unb_system_info_miso.rddata(c_word_w - 1 downto 0),
+        rom_system_info_reset_export                         => OPEN,
+        rom_system_info_write_export                         => rom_unb_system_info_mosi.wr,
+        rom_system_info_writedata_export                     => rom_unb_system_info_mosi.wrdata(c_word_w - 1 downto 0)
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/unb1_eth_10g.vhd b/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/unb1_eth_10g.vhd
index c114651ec5..f5adbc7ee0 100644
--- a/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/unb1_eth_10g.vhd
+++ b/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/unb1_eth_10g.vhd
@@ -27,22 +27,22 @@
 --   instances via 10GbE (64b user interface)
 
 library IEEE, common_lib, unb1_board_lib, dp_lib, eth_lib, tech_tse_lib, diag_lib, tr_10GbE_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use unb1_board_lib.unb1_board_peripherals_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use diag_lib.diag_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use unb1_board_lib.unb1_board_peripherals_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity unb1_eth_10g is
   generic (
@@ -96,7 +96,7 @@ entity unb1_eth_10g is
     SI_FN_2_CNTRL : inout std_logic_vector(c_unb1_board_ci.tr.cntrl_w - 1 downto 0);
     SI_FN_3_CNTRL : inout std_logic_vector(c_unb1_board_ci.tr.cntrl_w - 1 downto 0);
     SI_FN_RSTN    : out   std_logic := '1'  -- ResetN is pulled up in the Vitesse chip, but pulled down again by external 1k resistor.
-                                           -- So we need to assign a '1' to it.
+  -- So we need to assign a '1' to it.
   );
 end unb1_eth_10g;
 
@@ -106,7 +106,7 @@ architecture str of unb1_eth_10g is
   -- Firmware version x.y
   constant c_fw_version                 : t_unb1_board_fw_version := (1, 0);  --
 
---  CONSTANT c_eth_packet_size --FIXME
+  --  CONSTANT c_eth_packet_size --FIXME
 
   -- Revision controlled constants
   constant c_use_1GbE                   : boolean := true;
@@ -122,14 +122,28 @@ architecture str of unb1_eth_10g is
   constant c_bg_gapsize                 : natural := c_bg_block_size / 2;  -- Full (no gaps in data) BG output rate = 200MHz * 64b = 12.8Gbps. Including gap size: (365/(365+182))*12.8Gbps=8.54Gbps.
   constant c_bg_calc_blocks_per_sync    : natural := 200000000 / (c_bg_block_size + c_bg_gapsize);
   constant c_bg_blocks_per_sync         : natural := sel_a_b(g_sim, 10, c_bg_calc_blocks_per_sync);  -- 200000*(900+100) = 200000000 cycles = 1 second
-  constant c_bg_ctrl                    : t_diag_block_gen := ('0',  -- enable
-                                                               '0',  -- enable_sync
-                                                              TO_UVEC(     c_bg_block_size, c_diag_bg_samples_per_packet_w),
-                                                              TO_UVEC(c_bg_blocks_per_sync, c_diag_bg_blocks_per_sync_w),
-                                                              TO_UVEC(        c_bg_gapsize, c_diag_bg_gapsize_w),
-                                                              TO_UVEC(                   0, c_diag_bg_mem_low_adrs_w),
-                                                              TO_UVEC(   c_bg_block_size-1, c_diag_bg_mem_high_adrs_w),
-                                                              TO_UVEC(                   0, c_diag_bg_bsn_init_w));
+  constant c_bg_ctrl                    : t_diag_block_gen := (
+    '0',  -- enable
+    '0',  -- enable_sync
+    TO_UVEC(
+                  c_bg_block_size,
+             c_diag_bg_samples_per_packet_w),
+    TO_UVEC(
+             c_bg_blocks_per_sync,
+             c_diag_bg_blocks_per_sync_w),
+    TO_UVEC(
+                     c_bg_gapsize,
+             c_diag_bg_gapsize_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_mem_low_adrs_w),
+    TO_UVEC(
+                c_bg_block_size-1,
+             c_diag_bg_mem_high_adrs_w),
+    TO_UVEC(
+                                0,
+             c_diag_bg_bsn_init_w)
+  );
 
   -- dp_offload_tx
   -- . IP total length : 2948 (UDP total lenth) + 20 (Ip header length) = 2968
@@ -138,34 +152,34 @@ architecture str of unb1_eth_10g is
   constant c_udp_length     : natural := c_bg_block_size * 8 + 30;  -- 2950;
   constant c_nof_hdr_fields : natural := 3 + 12 + 4 + 9 + 1;  -- Total header bits = 512
   constant c_hdr_field_arr  : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := ( ( field_name_pad("eth_dst_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
-                                                                                   ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
-                                                                                   ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
-                                                                                   ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
-                                                                                   ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(c_ip_length) ),  -- 1508) ),
-                                                                                   ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
-                                                                                   ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
-                                                                                   ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
-                                                                                   ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
-                                                                                   ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
-                                                                                   ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(c_udp_length) ),  -- 1488) ),
-                                                                                   ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
-                                                                                   ( field_name_pad("usr_sync"           ), "  ",  1, field_default(1) ),
-                                                                                   ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ),
-                                                                                   ( field_name_pad("usr_hdr_word_align" ), "  ", 16, field_default(0) ) );
+    ( field_name_pad("eth_src_mac"        ), "  ", 48, field_default(0) ),
+    ( field_name_pad("eth_type"           ), "  ", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"         ), "  ",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"   ), "  ",  4, field_default(5) ),
+    ( field_name_pad("ip_services"        ), "  ",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"    ), "  ", 16, field_default(c_ip_length) ),  -- 1508) ),
+    ( field_name_pad("ip_identification"  ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"           ), "  ",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset" ), "  ", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"    ), "  ",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"        ), "  ",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum" ), "  ", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"        ), "  ", 32, field_default(0) ),
+    ( field_name_pad("udp_src_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"   ), "  ", 16, field_default(c_udp_length) ),  -- 1488) ),
+    ( field_name_pad("udp_checksum"       ), "  ", 16, field_default(0) ),
+    ( field_name_pad("usr_sync"           ), "  ",  1, field_default(1) ),
+    ( field_name_pad("usr_bsn"            ), "  ", 60, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_0"    ), "  ",  7, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_1"    ), "  ",  9, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_2"    ), "  ", 10, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_3"    ), "  ", 33, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_4"    ), "  ",  5, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_5"    ), "  ",  8, field_default(0) ),
+    ( field_name_pad("usr_hdr_field_6"    ), "  ", 27, field_default(0) ),
+    ( field_name_pad("usr_hdr_word_align" ), "  ", 16, field_default(0) ) );
 
 
 
@@ -330,16 +344,16 @@ architecture str of unb1_eth_10g is
 
 begin
 
-    -----------------------------------------------------------------------------
-    -- Interface : 10GbE
-    -----------------------------------------------------------------------------
-    -- Wire together different types
-    gen_wires: for i in 0 to c_nof_10GbE_streams - 1 generate
-      unb_xaui_tx_arr(i) <= xaui_tx_arr(i);
-      xaui_rx_arr(i)     <= unb_xaui_rx_arr(i);
-    end generate;
+  -----------------------------------------------------------------------------
+  -- Interface : 10GbE
+  -----------------------------------------------------------------------------
+  -- Wire together different types
+  gen_wires: for i in 0 to c_nof_10GbE_streams - 1 generate
+    unb_xaui_tx_arr(i) <= xaui_tx_arr(i);
+    xaui_rx_arr(i)     <= unb_xaui_rx_arr(i);
+  end generate;
 
-    u_front_io : entity unb1_board_lib.unb1_board_front_io
+  u_front_io : entity unb1_board_lib.unb1_board_front_io
     generic map (
       g_nof_xaui => c_nof_10GbE_streams
     )
@@ -365,7 +379,7 @@ begin
       SI_FN_3_CNTRL     => SI_FN_3_CNTRL
     );
 
-    u_areset_sa_rst : entity common_lib.common_areset
+  u_areset_sa_rst : entity common_lib.common_areset
     generic map(
       g_rst_level => '1',
       g_delay_len => 4
@@ -376,7 +390,7 @@ begin
       out_rst => sa_rst
     );
 
-    u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
+  u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
     generic map(
       g_sim             => g_sim,
       g_sim_level       => 1,
@@ -432,51 +446,51 @@ begin
   -- TX: Block generator
   -----------------------------------------------------------------------------
   u_mms_diag_block_gen : entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => c_nof_streams,
-    g_buf_dat_w          => c_data_w,
-    g_buf_addr_w         => c_bg_addr_w,  -- ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
-    g_file_name_prefix   => "UNUSED",
-    g_diag_block_gen_rst => c_bg_ctrl
-  )
-  port map (
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-
-    dp_rst           => dp_rst,
-    dp_clk           => dp_clk,
-
-    out_sosi_arr     => block_gen_src_out_arr,
-    out_siso_arr     => block_gen_src_in_arr,
-
-    reg_bg_ctrl_mosi => reg_diag_bg_mosi,
-    reg_bg_ctrl_miso => reg_diag_bg_miso,
-    ram_bg_data_mosi => ram_diag_bg_mosi,
-    ram_bg_data_miso => ram_diag_bg_miso
-  );
-
-  gen_dp_fifo_sc : for i in 0 to c_nof_streams - 1 generate
-    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
     generic map (
-      g_bsn_w       => c_dp_stream_bsn_w,
-      g_use_bsn     => true,
-      g_use_empty   => true,
-      g_use_channel => true,
-      g_use_error   => true,
-      g_use_sync    => true,
-      g_data_w      => c_data_w,
-      g_fifo_size   => 3 * c_bg_block_size
+      g_nof_streams        => c_nof_streams,
+      g_buf_dat_w          => c_data_w,
+      g_buf_addr_w         => c_bg_addr_w,  -- ceil_log2(TO_UINT(c_bg_ctrl.samples_per_packet)),
+      g_file_name_prefix   => "UNUSED",
+      g_diag_block_gen_rst => c_bg_ctrl
     )
     port map (
-      rst         => dp_rst,
-      clk         => dp_clk,
-      -- ST sink (BG)
-      snk_out     => block_gen_src_in_arr(i),
-      snk_in      => block_gen_src_out_arr(i),
-      -- ST source (tx_offload)
-      src_in      => dp_offload_tx_snk_out_arr(i),
-      src_out     => dp_offload_tx_snk_in_arr(i)
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+
+      dp_rst           => dp_rst,
+      dp_clk           => dp_clk,
+
+      out_sosi_arr     => block_gen_src_out_arr,
+      out_siso_arr     => block_gen_src_in_arr,
+
+      reg_bg_ctrl_mosi => reg_diag_bg_mosi,
+      reg_bg_ctrl_miso => reg_diag_bg_miso,
+      ram_bg_data_mosi => ram_diag_bg_mosi,
+      ram_bg_data_miso => ram_diag_bg_miso
     );
+
+  gen_dp_fifo_sc : for i in 0 to c_nof_streams - 1 generate
+    u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
+      generic map (
+        g_bsn_w       => c_dp_stream_bsn_w,
+        g_use_bsn     => true,
+        g_use_empty   => true,
+        g_use_channel => true,
+        g_use_error   => true,
+        g_use_sync    => true,
+        g_data_w      => c_data_w,
+        g_fifo_size   => 3 * c_bg_block_size
+      )
+      port map (
+        rst         => dp_rst,
+        clk         => dp_clk,
+        -- ST sink (BG)
+        snk_out     => block_gen_src_in_arr(i),
+        snk_in      => block_gen_src_out_arr(i),
+        -- ST source (tx_offload)
+        src_in      => dp_offload_tx_snk_out_arr(i),
+        src_out     => dp_offload_tx_snk_in_arr(i)
+      );
   end generate;
 
 
@@ -484,81 +498,81 @@ begin
   -- TX: dp_offload_tx
   -----------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx
-  generic map (
-    g_nof_streams               => c_nof_10GbE_streams,
-    g_data_w                    => c_data_w,
-    g_use_complex               => false,
---    g_max_nof_words_per_block   => c_max_nof_words_per_block,
-    g_nof_words_per_block       => c_def_nof_words_per_block,
---    g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet,
-    g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
-    g_hdr_field_arr             => c_hdr_field_arr,
-    g_hdr_field_sel             => c_hdr_field_ovr_init,
-    g_use_post_split_fifo       => false
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
---    reg_mosi              => reg_dp_offload_tx_mosi,
---    reg_miso              => reg_dp_offload_tx_miso,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_tx_snk_in_arr,
-    snk_out_arr           => dp_offload_tx_snk_out_arr,
-
-    src_out_arr           => dp_offload_tx_src_out_arr,
-    src_in_arr            => dp_offload_tx_src_in_arr,
-
-    hdr_fields_in_arr     => hdr_fields_in_arr
-  );
+    generic map (
+      g_nof_streams               => c_nof_10GbE_streams,
+      g_data_w                    => c_data_w,
+      g_use_complex               => false,
+      --    g_max_nof_words_per_block   => c_max_nof_words_per_block,
+      g_nof_words_per_block       => c_def_nof_words_per_block,
+      --    g_max_nof_blocks_per_packet => c_max_nof_blocks_per_packet,
+      g_nof_blocks_per_packet     => c_def_nof_blocks_per_packet,
+      g_hdr_field_arr             => c_hdr_field_arr,
+      g_hdr_field_sel             => c_hdr_field_ovr_init,
+      g_use_post_split_fifo       => false
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      --    reg_mosi              => reg_dp_offload_tx_mosi,
+      --    reg_miso              => reg_dp_offload_tx_miso,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_tx_snk_in_arr,
+      snk_out_arr           => dp_offload_tx_snk_out_arr,
+
+      src_out_arr           => dp_offload_tx_src_out_arr,
+      src_in_arr            => dp_offload_tx_src_in_arr,
+
+      hdr_fields_in_arr     => hdr_fields_in_arr
+    );
 
   dp_offload_tx_src_in_arr <= eth_checksum_10G_snk_out_arr;
   eth_checksum_10G_snk_in_arr <= dp_offload_tx_src_out_arr;
 
   gen_insert_checksum : for i in 0 to c_nof_streams - 1 generate
     u_insert_checksum : entity eth_lib.eth_checksum_10G
-    port map (
-      rst      => dp_rst,
-      clk      => dp_clk,
+      port map (
+        rst      => dp_rst,
+        clk      => dp_clk,
 
-      src_out  => eth_checksum_10G_src_out_arr(i),
-      src_in   => eth_checksum_10G_src_in_arr(i),
+        src_out  => eth_checksum_10G_src_out_arr(i),
+        src_in   => eth_checksum_10G_src_in_arr(i),
 
-      snk_in   => eth_checksum_10G_snk_in_arr(i),
-      snk_out  => eth_checksum_10G_snk_out_arr(i)
-    );
+        snk_in   => eth_checksum_10G_snk_in_arr(i),
+        snk_out  => eth_checksum_10G_snk_out_arr(i)
+      );
   end generate;
 
   u_mms_dp_xonoff : entity dp_lib.mms_dp_xonoff
-  generic map(
-    g_nof_streams     => 1,
-    g_combine_streams => true,
-    g_bypass          => false,
-    g_timeout_time    => 10,
-    g_sim             => g_sim
-  )
-  port map(
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
-
-    reg_mosi     => reg_dp_xonoff_mosi,
-    reg_miso     => reg_dp_xonoff_miso,
-
-    dp_rst       => dp_rst,
-    dp_clk       => dp_clk,
-
-    snk_out_arr  => eth_checksum_10G_src_in_arr,
-    snk_in_arr   => eth_checksum_10G_src_out_arr,
-
-    src_in_arr   => mms_dp_xonoff_src_in_arr,
-    src_out_arr  => mms_dp_xonoff_src_out_arr
-  );
+    generic map(
+      g_nof_streams     => 1,
+      g_combine_streams => true,
+      g_bypass          => false,
+      g_timeout_time    => 10,
+      g_sim             => g_sim
+    )
+    port map(
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
+
+      reg_mosi     => reg_dp_xonoff_mosi,
+      reg_miso     => reg_dp_xonoff_miso,
+
+      dp_rst       => dp_rst,
+      dp_clk       => dp_clk,
+
+      snk_out_arr  => eth_checksum_10G_src_in_arr,
+      snk_in_arr   => eth_checksum_10G_src_out_arr,
+
+      src_in_arr   => mms_dp_xonoff_src_in_arr,
+      src_out_arr  => mms_dp_xonoff_src_out_arr
+    );
 
   gen_hdr_in_fields : for i in 0 to c_nof_streams - 1 generate
     -- dst = src
@@ -583,31 +597,31 @@ begin
   -- RX: dp_offload_rx
   -----------------------------------------------------------------------------
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => c_nof_streams,
-    g_data_w              => c_data_w,
-    g_hdr_field_arr       => c_hdr_field_arr,
-    g_remove_crc          => c_use_1GbE,
-    g_crc_nof_words       => c_nof_crc_words
-   )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_rx_snk_in_arr,
-    snk_out_arr           => dp_offload_rx_snk_out_arr,
-
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
-
-    hdr_fields_out_arr    => hdr_fields_out_arr
-  );
+    generic map (
+      g_nof_streams         => c_nof_streams,
+      g_data_w              => c_data_w,
+      g_hdr_field_arr       => c_hdr_field_arr,
+      g_remove_crc          => c_use_1GbE,
+      g_crc_nof_words       => c_nof_crc_words
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_rx_snk_in_arr,
+      snk_out_arr           => dp_offload_rx_snk_out_arr,
+
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => hdr_fields_out_arr
+    );
 
   -- Restore the sync and bsn signals to the offload_rx output
   gen_restore_sync_bsn : for i in 0 to c_nof_10GbE_streams - 1 generate
@@ -635,54 +649,54 @@ begin
   -- RX: dp_offload_rx_filter
   -----------------------------------------------------------------------------
   u_header_check : entity dp_lib.dp_offload_rx_filter_mm
-  generic map(
-    g_bypass							 => c_bypass_rx_filter,
-    g_nof_streams         => c_nof_streams,  -- : POSITIVE;
-    g_data_w              => c_data_w,  -- : NATURAL;
-    g_hdr_field_arr       => c_hdr_field_arr  -- : t_common_field_arr
-  )
-  port map(
+    generic map(
+      g_bypass               => c_bypass_rx_filter,
+      g_nof_streams         => c_nof_streams,  -- : POSITIVE;
+      g_data_w              => c_data_w,  -- : NATURAL;
+      g_hdr_field_arr       => c_hdr_field_arr  -- : t_common_field_arr
+    )
+    port map(
 
-    dp_rst             => dp_rst,
-    dp_clk             => dp_clk,
+      dp_rst             => dp_rst,
+      dp_clk             => dp_clk,
 
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
 
-    reg_dp_offload_rx_filter_hdr_fields_mosi => reg_dp_offload_rx_filter_hdr_fields_mosi,
-    reg_dp_offload_rx_filter_hdr_fields_miso => reg_dp_offload_rx_filter_hdr_fields_miso,
+      reg_dp_offload_rx_filter_hdr_fields_mosi => reg_dp_offload_rx_filter_hdr_fields_mosi,
+      reg_dp_offload_rx_filter_hdr_fields_miso => reg_dp_offload_rx_filter_hdr_fields_miso,
 
-    snk_in_arr              => dp_offload_rx_restored_src_out_arr,
-    snk_out_arr             => dp_offload_rx_src_in_arr,
+      snk_in_arr              => dp_offload_rx_restored_src_out_arr,
+      snk_out_arr             => dp_offload_rx_src_in_arr,
 
-    src_out_arr             => dp_offload_rx_filter_src_out_arr,
-    src_in_arr              => dp_offload_rx_filter_src_in_arr,
+      src_out_arr             => dp_offload_rx_filter_src_out_arr,
+      src_in_arr              => dp_offload_rx_filter_src_in_arr,
 
-    hdr_fields_to_check_arr => hdr_fields_out_arr,
+      hdr_fields_to_check_arr => hdr_fields_out_arr,
 
-    hdr_fields_val          => '1'
-  );
+      hdr_fields_val          => '1'
+    );
 
   u_dp_bsn_monitor : entity dp_lib.mms_dp_bsn_monitor
-  generic map (
-    g_nof_streams        => c_nof_bsn_mon_streams,
-    g_cross_clock_domain => true,
-    g_sync_timeout       => c_bg_blocks_per_sync * (c_bg_block_size + c_bg_gapsize),
-    g_cnt_sop_w          => ceil_log2(c_bg_blocks_per_sync + 1),
-    g_cnt_valid_w        => ceil_log2(c_bg_blocks_per_sync * c_bg_block_size+1),
-    g_log_first_bsn      => true
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    reg_mosi    => reg_bsn_monitor_mosi,
-    reg_miso    => reg_bsn_monitor_miso,
-
-    dp_rst      => dp_rst,
-    dp_clk      => dp_clk,
-    in_siso_arr => bsn_monitor_snk_out_arr,
-    in_sosi_arr => bsn_monitor_snk_in_arr
-  );
+    generic map (
+      g_nof_streams        => c_nof_bsn_mon_streams,
+      g_cross_clock_domain => true,
+      g_sync_timeout       => c_bg_blocks_per_sync * (c_bg_block_size + c_bg_gapsize),
+      g_cnt_sop_w          => ceil_log2(c_bg_blocks_per_sync + 1),
+      g_cnt_valid_w        => ceil_log2(c_bg_blocks_per_sync * c_bg_block_size+1),
+      g_log_first_bsn      => true
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      reg_mosi    => reg_bsn_monitor_mosi,
+      reg_miso    => reg_bsn_monitor_miso,
+
+      dp_rst      => dp_rst,
+      dp_clk      => dp_clk,
+      in_siso_arr => bsn_monitor_snk_out_arr,
+      in_sosi_arr => bsn_monitor_snk_in_arr
+    );
 
   bsn_monitor_snk_in_arr(0)  <= dp_offload_tx_snk_in_arr(0);
   bsn_monitor_snk_out_arr(0) <= dp_offload_tx_snk_out_arr(0);
@@ -703,182 +717,182 @@ begin
   dp_offload_rx_filter_src_in_arr <= (others => c_dp_siso_rdy);
 
   u_diag_data_buffer : entity diag_lib.mms_diag_data_buffer
-  generic map (
-    g_nof_streams  => c_nof_streams,
-    g_data_w       => c_data_w,
-    g_buf_nof_data => 1024,
-    g_buf_use_sync => false
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    dp_rst            => dp_rst,
-    dp_clk            => dp_clk,
-
-    ram_data_buf_mosi => ram_diag_data_buffer_mosi,
-    ram_data_buf_miso => ram_diag_data_buffer_miso,
-    reg_data_buf_mosi => reg_diag_data_buffer_mosi,
-    reg_data_buf_miso => reg_diag_data_buffer_miso,
-
-    in_sync           => dp_offload_rx_filter_src_out_arr(0).sync,  -- dp_offload_rx_src_out_arr(0).sync,
-    in_sosi_arr       => dp_offload_rx_filter_src_out_arr  -- dp_offload_rx_src_out_arr
-  );
+    generic map (
+      g_nof_streams  => c_nof_streams,
+      g_data_w       => c_data_w,
+      g_buf_nof_data => 1024,
+      g_buf_use_sync => false
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      dp_rst            => dp_rst,
+      dp_clk            => dp_clk,
+
+      ram_data_buf_mosi => ram_diag_data_buffer_mosi,
+      ram_data_buf_miso => ram_diag_data_buffer_miso,
+      reg_data_buf_mosi => reg_diag_data_buffer_mosi,
+      reg_data_buf_miso => reg_diag_data_buffer_miso,
+
+      in_sync           => dp_offload_rx_filter_src_out_arr(0).sync,  -- dp_offload_rx_src_out_arr(0).sync,
+      in_sosi_arr       => dp_offload_rx_filter_src_out_arr  -- dp_offload_rx_src_out_arr
+    );
 
   -----------------------------------------------------------------------------
   -- General control function
   -----------------------------------------------------------------------------
   u_ctrl_unb1_board : entity unb1_board_lib.ctrl_unb1_board
-  generic map (
-    g_sim                     => g_sim,
-    g_sim_flash_model         => false,
-    g_design_name             => g_design_name,
-    g_design_note             => g_design_note,
-    g_fw_version              => c_fw_version,
-    g_stamp_date              => g_stamp_date,
-    g_stamp_time              => g_stamp_time,
-    g_stamp_svn               => g_stamp_svn,
-    g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
-    g_udp_offload             => false,
-    g_udp_offload_nof_streams => c_nof_streams,
-    g_dp_clk_use_pll          => true,
-    g_xo_clk_use_pll          => true
-  )
-  port map (
-   -- Clock and reset signals
-
-    cs_sim                   => cs_sim,
-    xo_clk                   => xo_clk,
-    xo_rst                   => xo_rst,
-    xo_rst_n                 => xo_rst_n,
-
-    mm_clk_out               => mm_clk,
-    mm_clk                   => mm_clk,
-    mm_rst                   => mm_rst,
-
-    mm_locked                => mm_locked,
-    mm_locked_out            => mm_locked,
-
-    epcs_clk                 => epcs_clk,
-    epcs_clk_out             => epcs_clk,
-
-    dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
-    dp_pps                   => dp_pps,
-    dp_rst_in                => dp_rst,
-    dp_clk_in                => dp_clk,
-
-    -- PIOs
-    pout_wdi                 => pout_wdi,
-
-    -- Manual WDI override
-    reg_wdi_mosi             => reg_wdi_mosi,
-    reg_wdi_miso             => reg_wdi_miso,
-
-    reg_ppsh_mosi            => reg_ppsh_mosi,
-    reg_ppsh_miso            => reg_ppsh_miso,
-
-    -- eth1g
-    eth1g_tse_clk_out        => eth1g_tse_clk,
-    eth1g_tse_clk            => eth1g_tse_clk,
-    eth1g_mm_rst             => eth1g_mm_rst,
-    eth1g_tse_mosi           => eth1g_tse_mosi,
-    eth1g_tse_miso           => eth1g_tse_miso,
-    eth1g_reg_mosi           => eth1g_reg_mosi,
-    eth1g_reg_miso           => eth1g_reg_miso,
-    eth1g_reg_interrupt      => eth1g_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_ram_mosi,
-    eth1g_ram_miso           => eth1g_ram_miso,
-
-    -- system_info
-    reg_unb_system_info_mosi => reg_unb_system_info_mosi,
-    reg_unb_system_info_miso => reg_unb_system_info_miso,
-    rom_unb_system_info_mosi => rom_unb_system_info_mosi,
-    rom_unb_system_info_miso => rom_unb_system_info_miso,
-
-    -- UniBoard I2C sensors
-    reg_unb_sens_mosi        => reg_unb_sens_mosi,
-    reg_unb_sens_miso        => reg_unb_sens_miso,
-
-    -- UniBoard FPGA pins
-    CLK                      => CLK,
-    PPS                      => PPS,
-    WDI                      => WDI,
-    INTA                     => INTA,
-    INTB                     => INTB,
-    VERSION                  => VERSION,
-    ID                       => ID,
-    TESTIO                   => TESTIO,
-    sens_sc                  => sens_sc,
-    sens_sd                  => sens_sd,
-    ETH_clk                  => ETH_clk,
-    ETH_SGIN                 => ETH_SGIN,
-    ETH_SGOUT                => ETH_SGOUT
-  );
+    generic map (
+      g_sim                     => g_sim,
+      g_sim_flash_model         => false,
+      g_design_name             => g_design_name,
+      g_design_note             => g_design_note,
+      g_fw_version              => c_fw_version,
+      g_stamp_date              => g_stamp_date,
+      g_stamp_time              => g_stamp_time,
+      g_stamp_svn               => g_stamp_svn,
+      g_mm_clk_freq             => c_unb1_board_mm_clk_freq_50M,
+      g_udp_offload             => false,
+      g_udp_offload_nof_streams => c_nof_streams,
+      g_dp_clk_use_pll          => true,
+      g_xo_clk_use_pll          => true
+    )
+    port map (
+      -- Clock and reset signals
+
+      cs_sim                   => cs_sim,
+      xo_clk                   => xo_clk,
+      xo_rst                   => xo_rst,
+      xo_rst_n                 => xo_rst_n,
+
+      mm_clk_out               => mm_clk,
+      mm_clk                   => mm_clk,
+      mm_rst                   => mm_rst,
+
+      mm_locked                => mm_locked,
+      mm_locked_out            => mm_locked,
+
+      epcs_clk                 => epcs_clk,
+      epcs_clk_out             => epcs_clk,
+
+      dp_rst                   => dp_rst,
+      dp_clk                   => dp_clk,
+      dp_pps                   => dp_pps,
+      dp_rst_in                => dp_rst,
+      dp_clk_in                => dp_clk,
+
+      -- PIOs
+      pout_wdi                 => pout_wdi,
+
+      -- Manual WDI override
+      reg_wdi_mosi             => reg_wdi_mosi,
+      reg_wdi_miso             => reg_wdi_miso,
+
+      reg_ppsh_mosi            => reg_ppsh_mosi,
+      reg_ppsh_miso            => reg_ppsh_miso,
+
+      -- eth1g
+      eth1g_tse_clk_out        => eth1g_tse_clk,
+      eth1g_tse_clk            => eth1g_tse_clk,
+      eth1g_mm_rst             => eth1g_mm_rst,
+      eth1g_tse_mosi           => eth1g_tse_mosi,
+      eth1g_tse_miso           => eth1g_tse_miso,
+      eth1g_reg_mosi           => eth1g_reg_mosi,
+      eth1g_reg_miso           => eth1g_reg_miso,
+      eth1g_reg_interrupt      => eth1g_reg_interrupt,
+      eth1g_ram_mosi           => eth1g_ram_mosi,
+      eth1g_ram_miso           => eth1g_ram_miso,
+
+      -- system_info
+      reg_unb_system_info_mosi => reg_unb_system_info_mosi,
+      reg_unb_system_info_miso => reg_unb_system_info_miso,
+      rom_unb_system_info_mosi => rom_unb_system_info_mosi,
+      rom_unb_system_info_miso => rom_unb_system_info_miso,
+
+      -- UniBoard I2C sensors
+      reg_unb_sens_mosi        => reg_unb_sens_mosi,
+      reg_unb_sens_miso        => reg_unb_sens_miso,
+
+      -- UniBoard FPGA pins
+      CLK                      => CLK,
+      PPS                      => PPS,
+      WDI                      => WDI,
+      INTA                     => INTA,
+      INTB                     => INTB,
+      VERSION                  => VERSION,
+      ID                       => ID,
+      TESTIO                   => TESTIO,
+      sens_sc                  => sens_sc,
+      sens_sd                  => sens_sd,
+      ETH_clk                  => ETH_clk,
+      ETH_SGIN                 => ETH_SGIN,
+      ETH_SGOUT                => ETH_SGOUT
+    );
 
   -----------------------------------------------------------------------------
   -- MM master
   -----------------------------------------------------------------------------
- u_inst_mmm_unb1_eth_10g : entity work.mmm_unb1_eth_10g
-   generic map(
-     g_sim         => g_sim,
-     g_sim_unb_nr  => g_sim_unb_nr,
-     g_sim_node_nr => g_sim_node_nr
-   )
-   port map(
-     mm_clk                                   =>  mm_clk,
-     mm_rst                                   =>  mm_rst,
-     pout_wdi                                 =>  pout_wdi,
-     reg_wdi_mosi                             =>  reg_wdi_mosi,
-     reg_wdi_miso                             =>  reg_wdi_miso,
-     reg_unb_system_info_mosi                 =>  reg_unb_system_info_mosi,
-     reg_unb_system_info_miso                 =>  reg_unb_system_info_miso,
-     rom_unb_system_info_mosi                 =>  rom_unb_system_info_mosi,
-     rom_unb_system_info_miso                 =>  rom_unb_system_info_miso,
-     reg_unb_sens_mosi                        =>  reg_unb_sens_mosi,
-     reg_unb_sens_miso                        =>  reg_unb_sens_miso,
-     reg_ppsh_mosi                            =>  reg_ppsh_mosi,
-     reg_ppsh_miso                            =>  reg_ppsh_miso,
-     eth1g_mm_rst                             =>  eth1g_mm_rst,
-     eth1g_reg_interrupt                      =>  eth1g_reg_interrupt,
-     eth1g_ram_mosi                           =>  eth1g_ram_mosi,
-     eth1g_ram_miso                           =>  eth1g_ram_miso,
-     eth1g_reg_mosi                           =>  eth1g_reg_mosi,
-     eth1g_reg_miso                           =>  eth1g_reg_miso,
-     eth1g_tse_mosi                           =>  eth1g_tse_mosi,
-     eth1g_tse_miso                           =>  eth1g_tse_miso,
-     reg_bsn_monitor_mosi                     =>  reg_bsn_monitor_mosi,
-     reg_bsn_monitor_miso                     =>  reg_bsn_monitor_miso,
-     reg_dp_offload_tx_mosi                   =>  reg_dp_offload_tx_mosi,
-     reg_dp_offload_tx_miso                   =>  reg_dp_offload_tx_miso,
-     reg_dp_offload_tx_hdr_dat_mosi           =>  reg_dp_offload_tx_hdr_dat_mosi,
-     reg_dp_offload_tx_hdr_dat_miso           =>  reg_dp_offload_tx_hdr_dat_miso,
-     reg_dp_offload_tx_hdr_ovr_mosi           =>  reg_dp_offload_tx_hdr_ovr_mosi,
-     reg_dp_offload_tx_hdr_ovr_miso           =>  reg_dp_offload_tx_hdr_ovr_miso,
-     reg_dp_offload_rx_hdr_dat_mosi           =>  reg_dp_offload_rx_hdr_dat_mosi,
-     reg_dp_offload_rx_hdr_dat_miso           =>  reg_dp_offload_rx_hdr_dat_miso,
-     reg_dp_offload_rx_filter_hdr_fields_mosi =>  reg_dp_offload_rx_filter_hdr_fields_mosi,
-     reg_dp_offload_rx_filter_hdr_fields_miso =>  reg_dp_offload_rx_filter_hdr_fields_miso,
-     reg_diag_data_buffer_mosi                =>  reg_diag_data_buffer_mosi,
-     reg_diag_data_buffer_miso                =>  reg_diag_data_buffer_miso,
-     ram_diag_data_buffer_mosi                =>  ram_diag_data_buffer_mosi,
-     ram_diag_data_buffer_miso                =>  ram_diag_data_buffer_miso,
-     reg_diag_bg_mosi                         =>  reg_diag_bg_mosi,
-     reg_diag_bg_miso                         =>  reg_diag_bg_miso,
-     ram_diag_bg_mosi                         =>  ram_diag_bg_mosi,
-     ram_diag_bg_miso                         =>  ram_diag_bg_miso,
-     reg_mdio_0_mosi                          =>  reg_mdio_0_mosi,
-     reg_mdio_0_miso                          =>  reg_mdio_0_miso,
-     reg_mdio_1_mosi                          =>  reg_mdio_1_mosi,
-     reg_mdio_1_miso                          =>  reg_mdio_1_miso,
-     reg_mdio_2_mosi                          =>  reg_mdio_2_mosi,
-     reg_mdio_2_miso                          =>  reg_mdio_2_miso,
-     reg_tr_10gbe_mosi                        =>  reg_tr_10gbe_mosi,
-     reg_tr_10gbe_miso                        =>  reg_tr_10gbe_miso,
-     reg_tr_xaui_mosi                         =>  reg_tr_xaui_mosi,
-     reg_tr_xaui_miso                         =>  reg_tr_xaui_miso,
-     reg_dp_xonoff_mosi                       =>  reg_dp_xonoff_mosi,
-     reg_dp_xonoff_miso                       =>  reg_dp_xonoff_miso
-   );
+  u_inst_mmm_unb1_eth_10g : entity work.mmm_unb1_eth_10g
+    generic map(
+      g_sim         => g_sim,
+      g_sim_unb_nr  => g_sim_unb_nr,
+      g_sim_node_nr => g_sim_node_nr
+    )
+    port map(
+      mm_clk                                   =>  mm_clk,
+      mm_rst                                   =>  mm_rst,
+      pout_wdi                                 =>  pout_wdi,
+      reg_wdi_mosi                             =>  reg_wdi_mosi,
+      reg_wdi_miso                             =>  reg_wdi_miso,
+      reg_unb_system_info_mosi                 =>  reg_unb_system_info_mosi,
+      reg_unb_system_info_miso                 =>  reg_unb_system_info_miso,
+      rom_unb_system_info_mosi                 =>  rom_unb_system_info_mosi,
+      rom_unb_system_info_miso                 =>  rom_unb_system_info_miso,
+      reg_unb_sens_mosi                        =>  reg_unb_sens_mosi,
+      reg_unb_sens_miso                        =>  reg_unb_sens_miso,
+      reg_ppsh_mosi                            =>  reg_ppsh_mosi,
+      reg_ppsh_miso                            =>  reg_ppsh_miso,
+      eth1g_mm_rst                             =>  eth1g_mm_rst,
+      eth1g_reg_interrupt                      =>  eth1g_reg_interrupt,
+      eth1g_ram_mosi                           =>  eth1g_ram_mosi,
+      eth1g_ram_miso                           =>  eth1g_ram_miso,
+      eth1g_reg_mosi                           =>  eth1g_reg_mosi,
+      eth1g_reg_miso                           =>  eth1g_reg_miso,
+      eth1g_tse_mosi                           =>  eth1g_tse_mosi,
+      eth1g_tse_miso                           =>  eth1g_tse_miso,
+      reg_bsn_monitor_mosi                     =>  reg_bsn_monitor_mosi,
+      reg_bsn_monitor_miso                     =>  reg_bsn_monitor_miso,
+      reg_dp_offload_tx_mosi                   =>  reg_dp_offload_tx_mosi,
+      reg_dp_offload_tx_miso                   =>  reg_dp_offload_tx_miso,
+      reg_dp_offload_tx_hdr_dat_mosi           =>  reg_dp_offload_tx_hdr_dat_mosi,
+      reg_dp_offload_tx_hdr_dat_miso           =>  reg_dp_offload_tx_hdr_dat_miso,
+      reg_dp_offload_tx_hdr_ovr_mosi           =>  reg_dp_offload_tx_hdr_ovr_mosi,
+      reg_dp_offload_tx_hdr_ovr_miso           =>  reg_dp_offload_tx_hdr_ovr_miso,
+      reg_dp_offload_rx_hdr_dat_mosi           =>  reg_dp_offload_rx_hdr_dat_mosi,
+      reg_dp_offload_rx_hdr_dat_miso           =>  reg_dp_offload_rx_hdr_dat_miso,
+      reg_dp_offload_rx_filter_hdr_fields_mosi =>  reg_dp_offload_rx_filter_hdr_fields_mosi,
+      reg_dp_offload_rx_filter_hdr_fields_miso =>  reg_dp_offload_rx_filter_hdr_fields_miso,
+      reg_diag_data_buffer_mosi                =>  reg_diag_data_buffer_mosi,
+      reg_diag_data_buffer_miso                =>  reg_diag_data_buffer_miso,
+      ram_diag_data_buffer_mosi                =>  ram_diag_data_buffer_mosi,
+      ram_diag_data_buffer_miso                =>  ram_diag_data_buffer_miso,
+      reg_diag_bg_mosi                         =>  reg_diag_bg_mosi,
+      reg_diag_bg_miso                         =>  reg_diag_bg_miso,
+      ram_diag_bg_mosi                         =>  ram_diag_bg_mosi,
+      ram_diag_bg_miso                         =>  ram_diag_bg_miso,
+      reg_mdio_0_mosi                          =>  reg_mdio_0_mosi,
+      reg_mdio_0_miso                          =>  reg_mdio_0_miso,
+      reg_mdio_1_mosi                          =>  reg_mdio_1_mosi,
+      reg_mdio_1_miso                          =>  reg_mdio_1_miso,
+      reg_mdio_2_mosi                          =>  reg_mdio_2_mosi,
+      reg_mdio_2_miso                          =>  reg_mdio_2_miso,
+      reg_tr_10gbe_mosi                        =>  reg_tr_10gbe_mosi,
+      reg_tr_10gbe_miso                        =>  reg_tr_10gbe_miso,
+      reg_tr_xaui_mosi                         =>  reg_tr_xaui_mosi,
+      reg_tr_xaui_miso                         =>  reg_tr_xaui_miso,
+      reg_dp_xonoff_mosi                       =>  reg_dp_xonoff_mosi,
+      reg_dp_xonoff_miso                       =>  reg_dp_xonoff_miso
+    );
 
   reg_mdio_mosi_arr(0) <= reg_mdio_0_mosi;
   reg_mdio_mosi_arr(1) <= reg_mdio_1_mosi;
diff --git a/libraries/io/eth/designs/unb1_eth_10g/tb/vhdl/tb_unb1_eth_10g.vhd b/libraries/io/eth/designs/unb1_eth_10g/tb/vhdl/tb_unb1_eth_10g.vhd
index 6341dbe6cb..91d4a0cb86 100644
--- a/libraries/io/eth/designs/unb1_eth_10g/tb/vhdl/tb_unb1_eth_10g.vhd
+++ b/libraries/io/eth/designs/unb1_eth_10g/tb/vhdl/tb_unb1_eth_10g.vhd
@@ -39,11 +39,11 @@
 -- . run -a
 
 library IEEE, common_lib, unb1_board_lib, i2c_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use unb1_board_lib.unb1_board_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use unb1_board_lib.unb1_board_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_unb1_eth_10g is
 end tb_unb1_eth_10g;
@@ -81,8 +81,8 @@ architecture tb of tb_unb1_eth_10g is
   signal si_fn_3_lcu_rx      : std_logic_vector(c_unb1_board_ci.tr.bus_w - 1 downto 0);
 
   signal VERSION             : std_logic_vector(c_unb1_board_aux.version_w - 1 downto 0) := c_version;
-  signal ID_lcu          	   : std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0) := c_id_lcu;
-  signal ID_dut          	   : std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0) := c_id_dut;
+  signal ID_lcu               : std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0) := c_id_lcu;
+  signal ID_dut               : std_logic_vector(c_unb1_board_aux.id_w - 1 downto 0) := c_id_dut;
 begin
 
   ----------------------------------------------------------------------------
@@ -96,68 +96,68 @@ begin
   -- u_lcu
   ------------------------------------------------------------------------------
   u_lcu : entity work.unb1_eth_10g
-  generic map (
-    g_sim          => c_sim,
-    g_sim_unb_nr   => c_unb1_board_nr,
-    g_sim_node_nr  => c_node_nr_lcu
-  )
-  port map (
-    CLK         => clk,
-    PPS         => '0',
-    VERSION     => VERSION,
-    ID          => ID_lcu,
-
-    -- 1GbE Control Interface
-    ETH_clk     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK      => sa_clk,
-
-    -- Serial I/O
-    SI_FN_0_RX  => si_fn_0_lcu_rx,
-    SI_FN_1_RX  => si_fn_1_lcu_rx,
-    SI_FN_2_RX  => si_fn_2_lcu_rx,
-    SI_FN_3_RX  => si_fn_3_lcu_rx,
-    SI_FN_0_TX  => si_fn_0_lcu_tx,
-    SI_FN_1_TX  => si_fn_1_lcu_tx,
-    SI_FN_2_TX  => si_fn_2_lcu_tx,
-    SI_FN_3_TX  => si_fn_3_lcu_tx
-  );
+    generic map (
+      g_sim          => c_sim,
+      g_sim_unb_nr   => c_unb1_board_nr,
+      g_sim_node_nr  => c_node_nr_lcu
+    )
+    port map (
+      CLK         => clk,
+      PPS         => '0',
+      VERSION     => VERSION,
+      ID          => ID_lcu,
+
+      -- 1GbE Control Interface
+      ETH_clk     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK      => sa_clk,
+
+      -- Serial I/O
+      SI_FN_0_RX  => si_fn_0_lcu_rx,
+      SI_FN_1_RX  => si_fn_1_lcu_rx,
+      SI_FN_2_RX  => si_fn_2_lcu_rx,
+      SI_FN_3_RX  => si_fn_3_lcu_rx,
+      SI_FN_0_TX  => si_fn_0_lcu_tx,
+      SI_FN_1_TX  => si_fn_1_lcu_tx,
+      SI_FN_2_TX  => si_fn_2_lcu_tx,
+      SI_FN_3_TX  => si_fn_3_lcu_tx
+    );
 
   ------------------------------------------------------------------------------
   -- u_dut
   ------------------------------------------------------------------------------
   u_dut : entity work.unb1_eth_10g
-  generic map (
-    g_sim          => c_sim,
-    g_sim_unb_nr   => c_unb1_board_nr,
-    g_sim_node_nr  => c_node_nr_dut
-  )
-  port map (
-    CLK         => clk,
-    PPS         => '0',
-    VERSION     => VERSION,
-    ID          => ID_dut,
-
-    -- 1GbE Control Interface
-    ETH_clk     => eth_clk,
-    ETH_SGIN    => eth_rxp,
-    ETH_SGOUT   => eth_txp,
-
-    -- Transceiver clocks
-    SA_CLK      => sa_clk,
-
-    -- Serial I/O
-    SI_FN_0_RX  => si_fn_0_lcu_tx,
-    SI_FN_1_RX  => si_fn_1_lcu_tx,
-    SI_FN_2_RX  => si_fn_2_lcu_tx,
-    SI_FN_3_RX  => si_fn_3_lcu_tx,
-    SI_FN_0_TX  => si_fn_0_lcu_rx,
-    SI_FN_1_TX  => si_fn_1_lcu_rx,
-    SI_FN_2_TX  => si_fn_2_lcu_rx,
-    SI_FN_3_TX  => si_fn_3_lcu_rx
-  );
+    generic map (
+      g_sim          => c_sim,
+      g_sim_unb_nr   => c_unb1_board_nr,
+      g_sim_node_nr  => c_node_nr_dut
+    )
+    port map (
+      CLK         => clk,
+      PPS         => '0',
+      VERSION     => VERSION,
+      ID          => ID_dut,
+
+      -- 1GbE Control Interface
+      ETH_clk     => eth_clk,
+      ETH_SGIN    => eth_rxp,
+      ETH_SGOUT   => eth_txp,
+
+      -- Transceiver clocks
+      SA_CLK      => sa_clk,
+
+      -- Serial I/O
+      SI_FN_0_RX  => si_fn_0_lcu_tx,
+      SI_FN_1_RX  => si_fn_1_lcu_tx,
+      SI_FN_2_RX  => si_fn_2_lcu_tx,
+      SI_FN_3_RX  => si_fn_3_lcu_tx,
+      SI_FN_0_TX  => si_fn_0_lcu_rx,
+      SI_FN_1_TX  => si_fn_1_lcu_rx,
+      SI_FN_2_TX  => si_fn_2_lcu_rx,
+      SI_FN_3_TX  => si_fn_3_lcu_rx
+    );
 
 end tb;
diff --git a/libraries/io/eth/src/vhdl/avs2_eth.vhd b/libraries/io/eth/src/vhdl/avs2_eth.vhd
index 72286b281d..2036f7b61c 100644
--- a/libraries/io/eth/src/vhdl/avs2_eth.vhd
+++ b/libraries/io/eth/src/vhdl/avs2_eth.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity avs2_eth is
@@ -155,36 +155,36 @@ begin
   coe_led_col_export      <= tse_led.col;
 
   u_eth : entity work.eth
-  port map (
-    -- Clocks and reset
-    mm_rst            => csi_mm_reset,  -- reset synchronous with mm_clk
-    mm_clk            => csi_mm_clk,  -- memory-mapped bus clock
-    eth_clk           => coe_eth_clk_export,  -- ethernet phy reference clock
-    st_rst            => csi_mm_reset,  -- reset synchronous with st_clk
-    st_clk            => csi_mm_clk,  -- packet stream clock
-
-    -- UDP transmit interface
-    udp_tx_snk_in_arr  => udp_tx_snk_in_arr,
-    udp_tx_snk_out_arr => OPEN,
-    -- UDP receive interface
-    udp_rx_src_in_arr  => udp_rx_src_in_arr,
-    udp_rx_src_out_arr => OPEN,
-
-    -- Memory Mapped Slaves
-    tse_sla_in        => tse_sla_in,  -- ETH TSE MAC registers
-    tse_sla_out       => tse_sla_out,
-    reg_sla_in        => reg_sla_in,  -- ETH control and status registers
-    reg_sla_out       => reg_sla_out,
-    reg_sla_interrupt => ins_interrupt_irq,  -- ETH interrupt
-    ram_sla_in        => ram_sla_in,  -- ETH rx frame and tx frame memory
-    ram_sla_out       => ram_sla_out,
-
-    -- PHY interface
-    eth_txp           => coe_eth_txp_export,
-    eth_rxp           => coe_eth_rxp_export,
-
-    -- LED interface
-    tse_led           => tse_led
-  );
+    port map (
+      -- Clocks and reset
+      mm_rst            => csi_mm_reset,  -- reset synchronous with mm_clk
+      mm_clk            => csi_mm_clk,  -- memory-mapped bus clock
+      eth_clk           => coe_eth_clk_export,  -- ethernet phy reference clock
+      st_rst            => csi_mm_reset,  -- reset synchronous with st_clk
+      st_clk            => csi_mm_clk,  -- packet stream clock
+
+      -- UDP transmit interface
+      udp_tx_snk_in_arr  => udp_tx_snk_in_arr,
+      udp_tx_snk_out_arr => OPEN,
+      -- UDP receive interface
+      udp_rx_src_in_arr  => udp_rx_src_in_arr,
+      udp_rx_src_out_arr => OPEN,
+
+      -- Memory Mapped Slaves
+      tse_sla_in        => tse_sla_in,  -- ETH TSE MAC registers
+      tse_sla_out       => tse_sla_out,
+      reg_sla_in        => reg_sla_in,  -- ETH control and status registers
+      reg_sla_out       => reg_sla_out,
+      reg_sla_interrupt => ins_interrupt_irq,  -- ETH interrupt
+      ram_sla_in        => ram_sla_in,  -- ETH rx frame and tx frame memory
+      ram_sla_out       => ram_sla_out,
+
+      -- PHY interface
+      eth_txp           => coe_eth_txp_export,
+      eth_rxp           => coe_eth_rxp_export,
+
+      -- LED interface
+      tse_led           => tse_led
+    );
 
 end wrap;
diff --git a/libraries/io/eth/src/vhdl/avs2_eth_coe.vhd b/libraries/io/eth/src/vhdl/avs2_eth_coe.vhd
index 3c94c1901f..274d14a577 100644
--- a/libraries/io/eth/src/vhdl/avs2_eth_coe.vhd
+++ b/libraries/io/eth/src/vhdl/avs2_eth_coe.vhd
@@ -26,10 +26,10 @@
 -- . The avs2_eth_coe_hw.tcl determines the read latency per port
 
 library IEEE, common_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity avs2_eth_coe is
diff --git a/libraries/io/eth/src/vhdl/eth.vhd b/libraries/io/eth/src/vhdl/eth.vhd
index 35a68a8a3b..3f89ed8451 100644
--- a/libraries/io/eth/src/vhdl/eth.vhd
+++ b/libraries/io/eth/src/vhdl/eth.vhd
@@ -29,15 +29,15 @@
 --   number and all other packets are transfered to the default control channel.
 
 library IEEE, common_lib, technology_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity eth is
   generic (
@@ -96,11 +96,13 @@ architecture str of eth is
   ------------------------------------------------------------------------------
 
   -- Use MM bus data width = c_word_w = 32
-  constant c_mm_ram  : t_c_mem := (latency  => c_mem_ram_rd_latency,
-                                   adr_w    => c_eth_ram_addr_w,
-                                   dat_w    => c_word_w,
-                                   nof_dat  => c_eth_ram_nof_words,
-                                   init_sl  => '0');
+  constant c_mm_ram  : t_c_mem := (
+    latency  => c_mem_ram_rd_latency,
+    adr_w    => c_eth_ram_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => c_eth_ram_nof_words,
+    init_sl  => '0'
+  );
 
   signal mem_in         : t_mem_mosi;  -- big endian on ST and TSE MAC network side
   signal mem_out        : t_mem_miso;  -- big endian on ST and TSE MAC network side
@@ -115,7 +117,7 @@ architecture str of eth is
   constant c_mux_nof_ports    : natural := 1 + c_eth_nof_udp_ports;  -- One for control + nof UDP ports
   constant c_demux_nof_ports  : natural := c_mux_nof_ports;
   constant c_demux_combined   : boolean := false;  -- when TRUE then all downstream sinks must be ready, when FALSE then only the
-                                                   -- selected sink needs to be ready (see dp_demux for more explanation).
+  -- selected sink needs to be ready (see dp_demux for more explanation).
   -- All Rx (so UDP off-load and other ETH traffic)
   signal rx_adapt_siso        : t_dp_siso;
   signal rx_adapt_sosi        : t_dp_sosi;
@@ -207,56 +209,56 @@ begin
   ------------------------------------------------------------------------------
 
   u_mm_registers : entity work.eth_mm_registers
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_init_ip_address    => g_init_ip_address
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    -- Memory Mapped Slave
-    sla_in             => reg_sla_in,
-    sla_out            => reg_sla_out,
-    sla_interrupt      => reg_sla_interrupt,
-    -- MM registers in st_clk domain
-    -- . write/read back
-    st_reg_demux       => reg_demux,
-    st_reg_config      => reg_config,
-    st_reg_control     => reg_control,
-    st_reg_continue_wr => reg_continue_wr,
-    -- . read only
-    st_reg_frame       => reg_frame,
-    st_reg_status      => reg_status,
-    st_reg_status_wr   => reg_status_wr
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_init_ip_address    => g_init_ip_address
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      -- Memory Mapped Slave
+      sla_in             => reg_sla_in,
+      sla_out            => reg_sla_out,
+      sla_interrupt      => reg_sla_interrupt,
+      -- MM registers in st_clk domain
+      -- . write/read back
+      st_reg_demux       => reg_demux,
+      st_reg_config      => reg_config,
+      st_reg_control     => reg_control,
+      st_reg_continue_wr => reg_continue_wr,
+      -- . read only
+      st_reg_frame       => reg_frame,
+      st_reg_status      => reg_status,
+      st_reg_status_wr   => reg_status_wr
+    );
 
   -- Packet buffer
   u_mm_ram : entity common_lib.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_mm_ram
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-    wr_en_a   => ram_sla_in.wr,
-    adr_a     => ram_sla_in.address(c_mm_ram.adr_w - 1 downto 0),
-    wr_dat_a  => ram_sla_in.wrdata(c_mm_ram.dat_w - 1 downto 0),
-    rd_en_a   => ram_sla_in.rd,
-    rd_dat_a  => ram_sla_out.rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_a  => ram_sla_out.rdval,
-    rst_b     => st_rst,
-    clk_b     => st_clk,
-    wr_en_b   => mem_in_endian.wr,
-    adr_b     => mem_in_endian.address(c_mm_ram.adr_w - 1 downto 0),
-    wr_dat_b  => mem_in_endian.wrdata(c_mm_ram.dat_w - 1 downto 0),
-    rd_en_b   => mem_in_endian.rd,
-    rd_dat_b  => mem_out_endian.rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_b  => mem_out_endian.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => c_mm_ram
+    )
+    port map (
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
+      wr_en_a   => ram_sla_in.wr,
+      adr_a     => ram_sla_in.address(c_mm_ram.adr_w - 1 downto 0),
+      wr_dat_a  => ram_sla_in.wrdata(c_mm_ram.dat_w - 1 downto 0),
+      rd_en_a   => ram_sla_in.rd,
+      rd_dat_a  => ram_sla_out.rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_a  => ram_sla_out.rdval,
+      rst_b     => st_rst,
+      clk_b     => st_clk,
+      wr_en_b   => mem_in_endian.wr,
+      adr_b     => mem_in_endian.address(c_mm_ram.adr_w - 1 downto 0),
+      wr_dat_b  => mem_in_endian.wrdata(c_mm_ram.dat_w - 1 downto 0),
+      rd_en_b   => mem_in_endian.rd,
+      rd_dat_b  => mem_out_endian.rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_b  => mem_out_endian.rdval
+    );
 
   -- The Rx, Tx packet buffer is big-endian
   mem_in_endian <= func_mem_swap_endianess(mem_in, c_word_sz);
@@ -268,58 +270,58 @@ begin
   ------------------------------------------------------------------------------
 
   u_adapt : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => c_eth_rx_ready_latency,  -- = 2
-    g_out_latency => c_eth_ready_latency  -- = 1
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    -- ST sink
-    snk_out => tse_rx_siso,
-    snk_in  => tse_rx_sosi,
-    -- ST source
-    src_in  => rx_adapt_siso,
-    src_out => rx_adapt_sosi
-  );
+    generic map (
+      g_in_latency  => c_eth_rx_ready_latency,  -- = 2
+      g_out_latency => c_eth_ready_latency  -- = 1
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      -- ST sink
+      snk_out => tse_rx_siso,
+      snk_in  => tse_rx_sosi,
+      -- ST source
+      src_in  => rx_adapt_siso,
+      src_out => rx_adapt_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- RX : Replace the CRC word with the stream error field from the TSE MAC
   ------------------------------------------------------------------------------
 
   u_crc_ctrl : entity work.eth_crc_ctrl
-  port map (
-    rst            => st_rst,
-    clk            => st_clk,
-
-    -- Streaming Sink
-    snk_in_err     => rx_adapt_sosi.err(c_tech_tse_error_w - 1 downto 0),  -- preserve error field from TSE MAC stream
-    snk_in         => rx_adapt_sosi,
-    snk_out        => rx_adapt_siso,
-
-    -- Streaming Source
-    src_in         => rx_crc_siso,
-    src_out        => rx_crc_sosi,  -- replaced CRC word by snk_in_err, so CRC word /=0 indicates any TSE error
-    src_out_err    => open  -- flag snk_in_err/=0 at src_out.eop
-  );
-
-  ------------------------------------------------------------------------------
-  -- RX : Strip the option words from the IPv4 header
-  ------------------------------------------------------------------------------
-  gen_ihl20: if g_ihl20 generate
-    u_ihl20 : entity work.eth_ihl_to_20
     port map (
       rst            => st_rst,
       clk            => st_clk,
 
       -- Streaming Sink
-      snk_in         => rx_crc_sosi,
-      snk_out        => rx_crc_siso,
+      snk_in_err     => rx_adapt_sosi.err(c_tech_tse_error_w - 1 downto 0),  -- preserve error field from TSE MAC stream
+      snk_in         => rx_adapt_sosi,
+      snk_out        => rx_adapt_siso,
 
       -- Streaming Source
-      src_in         => rx_ihl20_siso,
-      src_out        => rx_ihl20_sosi
+      src_in         => rx_crc_siso,
+      src_out        => rx_crc_sosi,  -- replaced CRC word by snk_in_err, so CRC word /=0 indicates any TSE error
+      src_out_err    => open  -- flag snk_in_err/=0 at src_out.eop
     );
+
+  ------------------------------------------------------------------------------
+  -- RX : Strip the option words from the IPv4 header
+  ------------------------------------------------------------------------------
+  gen_ihl20: if g_ihl20 generate
+    u_ihl20 : entity work.eth_ihl_to_20
+      port map (
+        rst            => st_rst,
+        clk            => st_clk,
+
+        -- Streaming Sink
+        snk_in         => rx_crc_sosi,
+        snk_out        => rx_crc_siso,
+
+        -- Streaming Source
+        src_in         => rx_ihl20_siso,
+        src_out        => rx_ihl20_sosi
+      );
   end generate;
 
   no_ihl20: if not g_ihl20 generate
@@ -333,57 +335,57 @@ begin
   ------------------------------------------------------------------------------
 
   u_rx_frame : entity work.eth_hdr
-  generic map (
-    g_header_store_and_forward     => true,
-    g_ip_header_checksum_calculate => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_header_store_and_forward     => true,
+      g_ip_header_checksum_calculate => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Sink
-    snk_in          => rx_ihl20_sosi,
-    snk_out         => rx_ihl20_siso,
+      -- Streaming Sink
+      snk_in          => rx_ihl20_sosi,
+      snk_out         => rx_ihl20_siso,
 
-    -- Streaming Source
-    src_in          => rx_hdr_siso,
-    src_out         => rx_hdr_sosi,
+      -- Streaming Source
+      src_in          => rx_hdr_siso,
+      src_out         => rx_hdr_sosi,
 
-    -- Frame control
-    frm_discard     => rx_eth_discard,
-    frm_discard_val => rx_eth_discard_val,
+      -- Frame control
+      frm_discard     => rx_eth_discard,
+      frm_discard_val => rx_eth_discard_val,
 
-    -- Header info
-    hdr_status          => rx_hdr_status,
-    hdr_status_complete => rx_hdr_status_complete
-  );
+      -- Header info
+      hdr_status          => rx_hdr_status,
+      hdr_status_complete => rx_hdr_status_complete
+    );
 
   rx_eth_discard     <= rx_frm_discard      when g_frm_discard_en = true else '0';
   rx_eth_discard_val <= rx_frm_discard_val  when g_frm_discard_en = true else '1';
 
   u_frm_discard : entity work.eth_frm_discard
-  generic map (
-    g_support_dhcp       => true,
-    g_support_udp_onload => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_support_dhcp       => true,
+      g_support_udp_onload => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- MM control
-    reg_config      => reg_config,
-    reg_demux       => reg_demux,
+      -- MM control
+      reg_config      => reg_config,
+      reg_demux       => reg_demux,
 
-    -- ST info
-    hdr_status          => rx_hdr_status,
-    hdr_status_complete => rx_hdr_status_complete,
+      -- ST info
+      hdr_status          => rx_hdr_status,
+      hdr_status_complete => rx_hdr_status_complete,
 
-    -- Frame discard decision
-    frm_discard     => rx_frm_discard,
-    frm_discard_val => rx_frm_discard_val
-  );
+      -- Frame discard decision
+      frm_discard     => rx_frm_discard,
+      frm_discard_val => rx_frm_discard_val
+    );
 
 
   ------------------------------------------------------------------------------
@@ -393,40 +395,40 @@ begin
   -- Put UDP off-load traffic on channel > 0
   -- Put other ETH    traffic on channel = 0 for further internal processing
   u_udp_channel : entity work.eth_udp_channel
-  port map (
-    -- Clocks and reset
-    rst            => st_rst,
-    clk            => st_clk,
+    port map (
+      -- Clocks and reset
+      rst            => st_rst,
+      clk            => st_clk,
 
-    -- Streaming Sink
-    snk_in         => rx_hdr_sosi,
-    snk_out        => rx_hdr_siso,
+      -- Streaming Sink
+      snk_in         => rx_hdr_sosi,
+      snk_out        => rx_hdr_siso,
 
-    -- Streaming Source with channel field
-    src_in         => rx_channel_siso,
-    src_out        => rx_channel_sosi,
+      -- Streaming Source with channel field
+      src_in         => rx_channel_siso,
+      src_out        => rx_channel_sosi,
 
-    -- Demux control
-    reg_demux      => reg_demux,
-    hdr_status     => rx_hdr_status
-  );
+      -- Demux control
+      reg_demux      => reg_demux,
+      hdr_status     => rx_hdr_status
+    );
 
   -- Demultiplex channel 0 for internal handling and the other channels > 0 for external UDP off-load.
   u_rx_demux : entity dp_lib.dp_demux
-  generic map (
-    g_nof_output    => c_demux_nof_ports,
-    g_combined      => c_demux_combined
-  )
-  port map (
-    rst         => st_rst,
-    clk         => st_clk,
-    -- ST sinks
-    snk_out     => rx_channel_siso,
-    snk_in      => rx_channel_sosi,
-    -- ST source
-    src_in_arr  => demux_siso_arr,
-    src_out_arr => demux_sosi_arr
-  );
+    generic map (
+      g_nof_output    => c_demux_nof_ports,
+      g_combined      => c_demux_combined
+    )
+    port map (
+      rst         => st_rst,
+      clk         => st_clk,
+      -- ST sinks
+      snk_out     => rx_channel_siso,
+      snk_in      => rx_channel_sosi,
+      -- ST source
+      src_in_arr  => demux_siso_arr,
+      src_out_arr => demux_sosi_arr
+    );
 
   -- Fixed local ETH port
   eth_rx_sosi       <= demux_sosi_arr(0);
@@ -444,28 +446,28 @@ begin
   ------------------------------------------------------------------------------
 
   u_rx_buffer : entity work.eth_buffer
-  generic map (
-    g_technology   => g_technology
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
-
-    -- Streaming Sink
-    snk_in          => eth_rx_sosi,
-    snk_out         => eth_rx_siso,
+    generic map (
+      g_technology   => g_technology
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Source
-    -- . The src_rd, src_ack and src_done act instead of src_in.ready to have src_in ready per frame instead of per data word
-    src_rd          => rx_frame_rd,  -- request frame pulse
-    src_ack         => rx_frame_ack,  -- acknowledge request
-    src_done        => rx_frame_done,  -- signal frame received
-    src_out         => rx_frame_sosi,
+      -- Streaming Sink
+      snk_in          => eth_rx_sosi,
+      snk_out         => eth_rx_siso,
 
-    -- Monitoring
-    flushed_frm_cnt => rx_flushed_frm_cnt
-  );
+      -- Streaming Source
+      -- . The src_rd, src_ack and src_done act instead of src_in.ready to have src_in ready per frame instead of per data word
+      src_rd          => rx_frame_rd,  -- request frame pulse
+      src_ack         => rx_frame_ack,  -- acknowledge request
+      src_done        => rx_frame_done,  -- signal frame received
+      src_out         => rx_frame_sosi,
+
+      -- Monitoring
+      flushed_frm_cnt => rx_flushed_frm_cnt
+    );
 
 
   ------------------------------------------------------------------------------
@@ -474,53 +476,53 @@ begin
 
   -- Extract total header
   u_rx_hdr_info : entity work.eth_hdr
-  generic map (
-    g_header_store_and_forward     => false,
-    g_ip_header_checksum_calculate => false
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_header_store_and_forward     => false,
+      g_ip_header_checksum_calculate => false
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Sink
-    snk_in          => rx_frame_sosi,
+      -- Streaming Sink
+      snk_in          => rx_frame_sosi,
 
-    -- Header info
-    hdr_words_arr   => rx_frame_hdr_words_arr,
-    hdr_fields      => rx_frame_hdr_fields,
-    hdr_status      => rx_frame_hdr_status
-  );
+      -- Header info
+      hdr_words_arr   => rx_frame_hdr_words_arr,
+      hdr_fields      => rx_frame_hdr_fields,
+      hdr_status      => rx_frame_hdr_status
+    );
 
   -- Extract CRC word (enumerate: 0=OK, >0 AND odd = Error)
   u_rx_crc_word : entity work.eth_crc_word
-  port map (
-    rst            => st_rst,
-    clk            => st_clk,
+    port map (
+      rst            => st_rst,
+      clk            => st_clk,
 
-    -- Streaming Sink
-    snk_in         => rx_frame_sosi,
+      -- Streaming Sink
+      snk_in         => rx_frame_sosi,
 
-    -- CRC word
-    crc_word       => rx_frame_crc_word,
-    crc_word_val   => open
-  );
+      -- CRC word
+      crc_word       => rx_frame_crc_word,
+      crc_word_val   => open
+    );
 
   u_mm_reg_frame : entity work.eth_mm_reg_frame
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
-
-    -- Inputs need for the frame register
-    hdr_fields      => rx_frame_hdr_fields,
-    hdr_status      => rx_frame_hdr_status,
-    erc_word        => rx_frame_crc_word,
-    reg_config      => reg_config,
-
-    -- Frame register
-    reg_frame       => reg_frame
-  );
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
+
+      -- Inputs need for the frame register
+      hdr_fields      => rx_frame_hdr_fields,
+      hdr_status      => rx_frame_hdr_status,
+      erc_word        => rx_frame_crc_word,
+      reg_config      => reg_config,
+
+      -- Frame register
+      reg_frame       => reg_frame
+    );
 
 
   ------------------------------------------------------------------------------
@@ -528,34 +530,34 @@ begin
   ------------------------------------------------------------------------------
 
   u_control : entity work.eth_control
-  port map (
-    -- Clocks and reset
-    rst               => st_rst,
-    clk               => st_clk,
-
-    -- Control register
-    reg_config        => reg_config,
-    reg_control       => reg_control,
-    reg_continue_wr   => reg_continue_wr,
-    reg_status        => reg_status,
-    reg_status_wr     => reg_status_wr,
-
-    -- Streaming sink Rx frame
-    rcv_rd            => rx_frame_rd,
-    rcv_ack           => rx_frame_ack,
-    rcv_done          => rx_frame_done,
-    rcv_in            => rx_frame_sosi,
-    rcv_hdr_words_arr => rx_frame_hdr_words_arr,
-    rcv_hdr_status    => rx_frame_hdr_status,
-
-    -- Streaming source Tx frame
-    xmt_in            => eth_tx_siso,
-    xmt_out           => eth_tx_sosi,
-
-    -- MM frame memory
-    mem_in            => mem_in,
-    mem_out           => mem_out
-  );
+    port map (
+      -- Clocks and reset
+      rst               => st_rst,
+      clk               => st_clk,
+
+      -- Control register
+      reg_config        => reg_config,
+      reg_control       => reg_control,
+      reg_continue_wr   => reg_continue_wr,
+      reg_status        => reg_status,
+      reg_status_wr     => reg_status_wr,
+
+      -- Streaming sink Rx frame
+      rcv_rd            => rx_frame_rd,
+      rcv_ack           => rx_frame_ack,
+      rcv_done          => rx_frame_done,
+      rcv_in            => rx_frame_sosi,
+      rcv_hdr_words_arr => rx_frame_hdr_words_arr,
+      rcv_hdr_status    => rx_frame_hdr_status,
+
+      -- Streaming source Tx frame
+      xmt_in            => eth_tx_siso,
+      xmt_out           => eth_tx_sosi,
+
+      -- MM frame memory
+      mem_in            => mem_in,
+      mem_out           => mem_out
+    );
 
 
   ------------------------------------------------------------------------------
@@ -574,53 +576,53 @@ begin
 
   -- Multiplex the two input streams on to the single ETH stream
   u_tx_mux : entity dp_lib.dp_mux
-  generic map (
-    g_technology      => g_technology,
-    g_data_w          => c_eth_data_w,
-    g_empty_w         => c_eth_empty_w,
-    g_in_channel_w    => 1,
-    g_error_w         => 1,
-    g_use_empty       => true,
-    g_use_in_channel  => false,
-    g_use_error       => false,
-    g_nof_input       => c_mux_nof_ports,
-    g_use_fifo        => false,
-    g_fifo_size       => array_init(1024, c_mux_nof_ports),  -- input FIFOs are not used, but generic must match g_nof_input
-    g_fifo_fill       => array_init(   0, c_mux_nof_ports)  -- input FIFOs are not used, but generic must match g_nof_input
-  )
-  port map (
-    rst         => st_rst,
-    clk         => st_clk,
-    -- ST sinks
-    snk_out_arr => mux_siso_arr,  -- OUT = request to upstream ST source
-    snk_in_arr  => mux_sosi_arr,
-    -- ST source
-    src_in      => tx_mux_siso,  -- IN  = request from downstream ST sink
-    src_out     => tx_mux_sosi
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_data_w          => c_eth_data_w,
+      g_empty_w         => c_eth_empty_w,
+      g_in_channel_w    => 1,
+      g_error_w         => 1,
+      g_use_empty       => true,
+      g_use_in_channel  => false,
+      g_use_error       => false,
+      g_nof_input       => c_mux_nof_ports,
+      g_use_fifo        => false,
+      g_fifo_size       => array_init(1024, c_mux_nof_ports),  -- input FIFOs are not used, but generic must match g_nof_input
+      g_fifo_fill       => array_init(   0, c_mux_nof_ports)  -- input FIFOs are not used, but generic must match g_nof_input
+    )
+    port map (
+      rst         => st_rst,
+      clk         => st_clk,
+      -- ST sinks
+      snk_out_arr => mux_siso_arr,  -- OUT = request to upstream ST source
+      snk_in_arr  => mux_sosi_arr,
+      -- ST source
+      src_in      => tx_mux_siso,  -- IN  = request from downstream ST sink
+      src_out     => tx_mux_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- TX : For IP insert IP header checksum
   ------------------------------------------------------------------------------
 
   u_tx_frame : entity work.eth_hdr
-  generic map (
-    g_header_store_and_forward     => true,
-    g_ip_header_checksum_calculate => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_header_store_and_forward     => true,
+      g_ip_header_checksum_calculate => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Sink
-    snk_in          => tx_mux_sosi,
-    snk_out         => tx_mux_siso,
+      -- Streaming Sink
+      snk_in          => tx_mux_sosi,
+      snk_out         => tx_mux_siso,
 
-    -- Streaming Source
-    src_in          => tx_hdr_siso,
-    src_out         => tx_hdr_sosi
-  );
+      -- Streaming Source
+      src_in          => tx_hdr_siso,
+      src_out         => tx_hdr_sosi
+    );
 
 
   ------------------------------------------------------------------------------
@@ -632,43 +634,43 @@ begin
   tse_tx_mac_in.crc_fwd <= '0';  -- when '0' then TSE MAC generates the TX CRC field
 
   u_tech_tse : entity tech_tse_lib.tech_tse
-  generic map (
-    g_technology   => g_technology,
-    g_ETH_PHY      => g_ETH_PHY,
-    g_sim          => g_sim,
-    g_sim_level    => g_sim_level,
-    g_sim_tx       => true,
-    g_sim_rx       => true
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-    cal_rec_clk    => cal_rec_clk,
-    -- Memory Mapped Slave
-    mm_sla_in      => tse_sla_in,
-    mm_sla_out     => tse_sla_out,
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => tse_tx_sosi,
-    tx_snk_out     => tse_tx_siso,
-    -- . MAC specific
-    tx_mac_in      => tse_tx_mac_in,
-    tx_mac_out     => tse_tx_mac_out,  -- OPEN
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => tse_rx_siso,
-    rx_src_out     => tse_rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => tse_rx_mac_out,
-    -- PHY interface
-    eth_txp        => eth_txp,
-    eth_rxp        => eth_rxp,
-    -- LED interface
-    tse_led        => tse_led
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_ETH_PHY      => g_ETH_PHY,
+      g_sim          => g_sim,
+      g_sim_level    => g_sim_level,
+      g_sim_tx       => true,
+      g_sim_rx       => true
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+      cal_rec_clk    => cal_rec_clk,
+      -- Memory Mapped Slave
+      mm_sla_in      => tse_sla_in,
+      mm_sla_out     => tse_sla_out,
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => tse_tx_sosi,
+      tx_snk_out     => tse_tx_siso,
+      -- . MAC specific
+      tx_mac_in      => tse_tx_mac_in,
+      tx_mac_out     => tse_tx_mac_out,  -- OPEN
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => tse_rx_siso,
+      rx_src_out     => tse_rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => tse_rx_mac_out,
+      -- PHY interface
+      eth_txp        => eth_txp,
+      eth_rxp        => eth_rxp,
+      -- LED interface
+      tse_led        => tse_led
+    );
 
 end str;
diff --git a/libraries/io/eth/src/vhdl/eth_buffer.vhd b/libraries/io/eth/src/vhdl/eth_buffer.vhd
index 75802e20b2..60c00eb5f9 100644
--- a/libraries/io/eth/src/vhdl/eth_buffer.vhd
+++ b/libraries/io/eth/src/vhdl/eth_buffer.vhd
@@ -26,12 +26,12 @@
 -- . Output a frame from the FIFO on request when available
 
 library IEEE, common_lib, technology_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity eth_buffer is
   generic (
@@ -126,61 +126,61 @@ begin
   nxt_fifo_almost_full <= '1' when unsigned(fifo_usedw) > c_fifo_almost_full else '0';
 
   u_fifo : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_technology  => g_technology,
-    g_data_w      => c_eth_data_w,
-    g_empty_w     => c_eth_empty_w,
-    g_channel_w   => 1,
-    g_error_w     => 1,
-    g_use_empty   => true,
-    g_use_channel => false,
-    g_use_error   => false,
-    g_use_ctrl    => true,
-    g_fifo_size   => c_fifo_nof_words,
-    g_fifo_rl     => 1
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    -- ST sink
-    snk_out  => OPEN,  -- the frame FIFO can not get full, because frames will get flushed when it is almost full
-    snk_in   => snk_in,
-    usedw    => fifo_usedw,
-    -- ST source
-    src_in   => fifo_rd_siso,
-    src_out  => fifo_rd_sosi
-  );
+    generic map (
+      g_technology  => g_technology,
+      g_data_w      => c_eth_data_w,
+      g_empty_w     => c_eth_empty_w,
+      g_channel_w   => 1,
+      g_error_w     => 1,
+      g_use_empty   => true,
+      g_use_channel => false,
+      g_use_error   => false,
+      g_use_ctrl    => true,
+      g_fifo_size   => c_fifo_nof_words,
+      g_fifo_rl     => 1
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      -- ST sink
+      snk_out  => OPEN,  -- the frame FIFO can not get full, because frames will get flushed when it is almost full
+      snk_in   => snk_in,
+      usedw    => fifo_usedw,
+      -- ST source
+      src_in   => fifo_rd_siso,
+      src_out  => fifo_rd_sosi
+    );
 
 
   u_frame_rd : entity dp_lib.dp_frame_rd
-  generic map (
-    g_dat_w         => c_eth_data_w,
-    g_empty_w       => c_eth_empty_w
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
-
-    frm_req   => frm_req,
-    frm_flush => frm_flush,
-    frm_ack   => frm_ack,
-    frm_busy  => frm_busy,
-    frm_err   => OPEN,
-    frm_done  => frm_done,
-
-    rd_req    => fifo_rd_siso.ready,
-    rd_dat    => fifo_rd_sosi.data(c_eth_data_w - 1 downto 0),
-    rd_empty  => fifo_rd_sosi.empty(c_eth_empty_w - 1 downto 0),
-    rd_val    => fifo_rd_sosi.valid,
-    rd_sof    => fifo_rd_sosi.sop,
-    rd_eof    => fifo_rd_sosi.eop,
-
-    out_dat   => src_out.data(c_eth_data_w - 1 downto 0),
-    out_empty => src_out.empty(c_eth_empty_w - 1 downto 0),
-    out_val   => src_out.valid,
-    out_sof   => src_out.sop,
-    out_eof   => src_out.eop
-  );
+    generic map (
+      g_dat_w         => c_eth_data_w,
+      g_empty_w       => c_eth_empty_w
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
+
+      frm_req   => frm_req,
+      frm_flush => frm_flush,
+      frm_ack   => frm_ack,
+      frm_busy  => frm_busy,
+      frm_err   => OPEN,
+      frm_done  => frm_done,
+
+      rd_req    => fifo_rd_siso.ready,
+      rd_dat    => fifo_rd_sosi.data(c_eth_data_w - 1 downto 0),
+      rd_empty  => fifo_rd_sosi.empty(c_eth_empty_w - 1 downto 0),
+      rd_val    => fifo_rd_sosi.valid,
+      rd_sof    => fifo_rd_sosi.sop,
+      rd_eof    => fifo_rd_sosi.eop,
+
+      out_dat   => src_out.data(c_eth_data_w - 1 downto 0),
+      out_empty => src_out.empty(c_eth_empty_w - 1 downto 0),
+      out_val   => src_out.valid,
+      out_sof   => src_out.sop,
+      out_eof   => src_out.eop
+    );
 
 
   ------------------------------------------------------------------------------
diff --git a/libraries/io/eth/src/vhdl/eth_checksum.vhd b/libraries/io/eth/src/vhdl/eth_checksum.vhd
index 7f1f0d97ef..bf633097c1 100644
--- a/libraries/io/eth/src/vhdl/eth_checksum.vhd
+++ b/libraries/io/eth/src/vhdl/eth_checksum.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Purpose:
 --   Can be used to calculate the checksum for IPv4, ICMP and UDP, provided
@@ -147,7 +147,7 @@ begin
   sum_dat     <= sum(c_halfword_w - 1 downto 0);
   nxt_sum     <= (others => '0')                            when in_sop = '1'        else
                  ('0' & sum_dat) + word_sum_dat + sum_cin when prev_in_valid = '1' else
-                  sum;
+                 sum;
 
   -- Accumulate the last carry
   last_dat    <= sum(c_halfword_w - 1 downto 0) + sum_cin + word_sum_cin;  -- Also add word_sum_cin in the case that the last word has a carry.
@@ -155,6 +155,6 @@ begin
   -- Checksum is 1-complement of the sum
   nxt_checksum     <= not(std_logic_vector(last_dat)) when prev_in_eop_dly = '1' else i_checksum;
   nxt_checksum_val <=                             '1' when prev_in_eop_dly = '1' else
-                                                  '0' when in_sop = '1'          else i_checksum_val;
+                      '0' when in_sop = '1'          else i_checksum_val;
 
 end rtl;
diff --git a/libraries/io/eth/src/vhdl/eth_control.vhd b/libraries/io/eth/src/vhdl/eth_control.vhd
index 87984bf8b7..5aa17af189 100644
--- a/libraries/io/eth/src/vhdl/eth_control.vhd
+++ b/libraries/io/eth/src/vhdl/eth_control.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 entity eth_control is
   port (
@@ -258,20 +258,20 @@ begin
 
   -- adapt mem read latency to xmt ready latency
   u_xmt_out_adapter : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency   => c_mem_ram_rd_latency + 1,  -- = 2 + 1 latency for xmt_siso.ready -> nxt_mem_in.rd
-    g_out_latency  => c_this_src_latency  -- = 1
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    -- ST sink
-    snk_out => xmt_siso,
-    snk_in  => xmt_sosi,
-    -- ST source
-    src_in  => xmt_in,
-    src_out => i_xmt_out
-  );
+    generic map (
+      g_in_latency   => c_mem_ram_rd_latency + 1,  -- = 2 + 1 latency for xmt_siso.ready -> nxt_mem_in.rd
+      g_out_latency  => c_this_src_latency  -- = 1
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      -- ST sink
+      snk_out => xmt_siso,
+      snk_in  => xmt_sosi,
+      -- ST source
+      src_in  => xmt_in,
+      src_out => i_xmt_out
+    );
 
   nxt_xmt_done <= i_xmt_out.eop;
 
diff --git a/libraries/io/eth/src/vhdl/eth_crc_ctrl.vhd b/libraries/io/eth/src/vhdl/eth_crc_ctrl.vhd
index faf8952a29..734a76dc1a 100644
--- a/libraries/io/eth/src/vhdl/eth_crc_ctrl.vhd
+++ b/libraries/io/eth/src/vhdl/eth_crc_ctrl.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Purpose:
 -- Description:
@@ -90,17 +90,17 @@ begin
 
   -- Hold the snk_in_err for src_out_err.
   nxt_in_err_hold <= (others => '0')              when snk_in.sop = '1' else
-                      in_err(in_err_hold'range) when snk_in.eop = '1' else in_err_hold;
+                     in_err(in_err_hold'range) when snk_in.eop = '1' else in_err_hold;
 
   -- Output the src_out_err signal from src_out.eop until the next src_out.sop
   u_eop_extend : entity dp_lib.dp_eop_extend
-  port map (
-    rst        => rst,
-    clk        => clk,
-    in_sop     => i_src_out.sop,
-    in_eop     => in_err_hold(0),  -- Checking only bit [0] is sufficient, because bit [0] contains the logic OR of bits [5:1]
-    eop_extend => src_out_err
-  );
+    port map (
+      rst        => rst,
+      clk        => clk,
+      in_sop     => i_src_out.sop,
+      in_eop     => in_err_hold(0),  -- Checking only bit [0] is sufficient, because bit [0] contains the logic OR of bits [5:1]
+      eop_extend => src_out_err
+    );
 
   -- Replace the CRC word in the 1 or 2 words of the Ethernet tail by the snk_in_err status
   --in_err <= TO_SVEC(-1, c_eth_data_w);  -- use odd number for test purposes, because bit [0] must contain the logic OR of bits [1:5]
@@ -116,11 +116,11 @@ begin
       new_tail_inputs(0).data(c_eth_data_w - 1 downto 0) <= in_err;  -- cur_tail_inputs(0).empty = 0
       case to_integer(unsigned(cur_tail_inputs(0).empty(c_eth_empty_w - 1 downto 0))) is
         when 1 => new_tail_inputs(0).data(c_eth_data_w - 1 downto 0) <=                  in_err(3 * c_byte_w - 1 downto          0) & c_slv0(1 * c_byte_w - 1 downto          0);
-                  new_tail_inputs(1).data(c_eth_data_w - 1 downto 0) <= cur_tail_inputs(1).data(4 * c_byte_w - 1 downto 1 * c_byte_w) & in_err(4 * c_byte_w - 1 downto 3 * c_byte_w);
+          new_tail_inputs(1).data(c_eth_data_w - 1 downto 0) <= cur_tail_inputs(1).data(4 * c_byte_w - 1 downto 1 * c_byte_w) & in_err(4 * c_byte_w - 1 downto 3 * c_byte_w);
         when 2 => new_tail_inputs(0).data(c_eth_data_w - 1 downto 0) <=                  in_err(2 * c_byte_w - 1 downto          0) & c_slv0(2 * c_byte_w - 1 downto          0);
-                  new_tail_inputs(1).data(c_eth_data_w - 1 downto 0) <= cur_tail_inputs(1).data(4 * c_byte_w - 1 downto 2 * c_byte_w) & in_err(4 * c_byte_w - 1 downto 2 * c_byte_w);
+          new_tail_inputs(1).data(c_eth_data_w - 1 downto 0) <= cur_tail_inputs(1).data(4 * c_byte_w - 1 downto 2 * c_byte_w) & in_err(4 * c_byte_w - 1 downto 2 * c_byte_w);
         when 3 => new_tail_inputs(0).data(c_eth_data_w - 1 downto 0) <=                  in_err(1 * c_byte_w - 1 downto          0) & c_slv0(3 * c_byte_w - 1 downto          0);
-                  new_tail_inputs(1).data(c_eth_data_w - 1 downto 0) <= cur_tail_inputs(1).data(4 * c_byte_w - 1 downto 3 * c_byte_w) & in_err(4 * c_byte_w - 1 downto 1 * c_byte_w);
+          new_tail_inputs(1).data(c_eth_data_w - 1 downto 0) <= cur_tail_inputs(1).data(4 * c_byte_w - 1 downto 3 * c_byte_w) & in_err(4 * c_byte_w - 1 downto 1 * c_byte_w);
         when others => null;
       end case;
     end if;
@@ -128,23 +128,23 @@ begin
 
   -- The tail shift register
   u_tail_reg : entity dp_lib.dp_shiftreg
-  generic map (
-    g_output_reg     => false,
-    g_flush_eop      => true,
-    g_modify_support => true,
-    g_nof_words      => c_tail_nof_words
-  )
-  port map (
-    rst                 => rst,
-    clk                 => clk,
-    -- ST sink
-    snk_out             => OPEN,
-    snk_in              => snk_in,
-    -- Control shift register contents
-    cur_shiftreg_inputs => cur_tail_inputs,
-    new_shiftreg_inputs => new_tail_inputs,
-    -- ST source
-    src_in              => src_in,
-    src_out             => i_src_out
-  );
+    generic map (
+      g_output_reg     => false,
+      g_flush_eop      => true,
+      g_modify_support => true,
+      g_nof_words      => c_tail_nof_words
+    )
+    port map (
+      rst                 => rst,
+      clk                 => clk,
+      -- ST sink
+      snk_out             => OPEN,
+      snk_in              => snk_in,
+      -- Control shift register contents
+      cur_shiftreg_inputs => cur_tail_inputs,
+      new_shiftreg_inputs => new_tail_inputs,
+      -- ST source
+      src_in              => src_in,
+      src_out             => i_src_out
+    );
 end rtl;
diff --git a/libraries/io/eth/src/vhdl/eth_crc_word.vhd b/libraries/io/eth/src/vhdl/eth_crc_word.vhd
index 3b94d950f0..21d19755bc 100644
--- a/libraries/io/eth/src/vhdl/eth_crc_word.vhd
+++ b/libraries/io/eth/src/vhdl/eth_crc_word.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Purpose:
 --   Extract the (enumerated) CRC word from the Ethernet tail.
diff --git a/libraries/io/eth/src/vhdl/eth_frm_discard.vhd b/libraries/io/eth/src/vhdl/eth_frm_discard.vhd
index 416f9076f5..ca60856c4a 100644
--- a/libraries/io/eth/src/vhdl/eth_frm_discard.vhd
+++ b/libraries/io/eth/src/vhdl/eth_frm_discard.vhd
@@ -34,9 +34,9 @@
 --   discarded, but will these appear in the eth Rx data path at all?
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.eth_pkg.all;
 
 entity eth_frm_discard is
   generic (
diff --git a/libraries/io/eth/src/vhdl/eth_hdr.vhd b/libraries/io/eth/src/vhdl/eth_hdr.vhd
index 981d34d3b9..a2d40ea114 100644
--- a/libraries/io/eth/src/vhdl/eth_hdr.vhd
+++ b/libraries/io/eth/src/vhdl/eth_hdr.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Description:
 -- . Store the 11 word header and make the header info available for monitoring
@@ -106,25 +106,25 @@ begin
   gen_ctrl : if g_header_store_and_forward = true generate
     -- Replace IP header checksum with the calculated checksum in case of IPv4, else pass on unchanged but discard frames shorter than 11 words
     u_ip_hdr_ctrl : entity work.eth_hdr_ctrl
-    port map (
-      -- Clocks and reset
-      rst             => rst,
-      clk             => clk,
-
-      -- Stored header
-      hdr_words_arr   => i_hdr_words_arr,
-      hdr_status      => i_hdr_status,
-
-      frm_discard     => frm_discard,
-      frm_discard_val => frm_discard_val,
-
-      -- ST interface
-      snk_in_word_cnt => snk_in_word_cnt,
-      snk_in          => snk_in,
-      snk_out         => snk_out,
-      src_in          => src_in,
-      src_out         => src_out
-    );
+      port map (
+        -- Clocks and reset
+        rst             => rst,
+        clk             => clk,
+
+        -- Stored header
+        hdr_words_arr   => i_hdr_words_arr,
+        hdr_status      => i_hdr_status,
+
+        frm_discard     => frm_discard,
+        frm_discard_val => frm_discard_val,
+
+        -- ST interface
+        snk_in_word_cnt => snk_in_word_cnt,
+        snk_in          => snk_in,
+        snk_out         => snk_out,
+        src_in          => src_in,
+        src_out         => src_out
+      );
   end generate;
 
   no_ctrl : if g_header_store_and_forward = false generate
@@ -139,39 +139,39 @@ begin
 
   -- Store 11 header words
   u_hdr_store : entity work.eth_hdr_store
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- Streaming Sink
-    snk_in            => snk_in,
-    snk_in_word_cnt   => snk_in_word_cnt,
-    -- Total header
-    hdr_words_arr     => i_hdr_words_arr,
-    hdr_words_arr_val => i_hdr_words_arr_val,
-    hdr_fields        => i_hdr_fields,
-    hdr_fields_val    => i_hdr_fields_val,
-    hdr_data          => i_hdr_data,
-    hdr_data_val      => i_hdr_data_val
-  );
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- Streaming Sink
+      snk_in            => snk_in,
+      snk_in_word_cnt   => snk_in_word_cnt,
+      -- Total header
+      hdr_words_arr     => i_hdr_words_arr,
+      hdr_words_arr_val => i_hdr_words_arr_val,
+      hdr_fields        => i_hdr_fields,
+      hdr_fields_val    => i_hdr_fields_val,
+      hdr_data          => i_hdr_data,
+      hdr_data_val      => i_hdr_data_val
+    );
 
   -- Determine header status
   u_hdr_status : entity work.eth_hdr_status
-  generic map (
-    g_ip_header_checksum_calculate => g_ip_header_checksum_calculate
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    -- Total header
-    hdr_words_arr     => i_hdr_words_arr,
-    hdr_words_arr_val => i_hdr_words_arr_val,
-    hdr_fields        => i_hdr_fields,
-    hdr_fields_val    => i_hdr_fields_val,
-    hdr_data          => i_hdr_data,
-    hdr_data_val      => i_hdr_data_val,
-    -- Header status
-    hdr_status          => i_hdr_status,
-    hdr_status_complete => hdr_status_complete
-  );
+    generic map (
+      g_ip_header_checksum_calculate => g_ip_header_checksum_calculate
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      -- Total header
+      hdr_words_arr     => i_hdr_words_arr,
+      hdr_words_arr_val => i_hdr_words_arr_val,
+      hdr_fields        => i_hdr_fields,
+      hdr_fields_val    => i_hdr_fields_val,
+      hdr_data          => i_hdr_data,
+      hdr_data_val      => i_hdr_data_val,
+      -- Header status
+      hdr_status          => i_hdr_status,
+      hdr_status_complete => hdr_status_complete
+    );
 
 end str;
diff --git a/libraries/io/eth/src/vhdl/eth_hdr_ctrl.vhd b/libraries/io/eth/src/vhdl/eth_hdr_ctrl.vhd
index 70ce4ea309..b933bb912f 100644
--- a/libraries/io/eth/src/vhdl/eth_hdr_ctrl.vhd
+++ b/libraries/io/eth/src/vhdl/eth_hdr_ctrl.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Description:
 -- . for IP frames replace IP header checksum with the calculated value
@@ -112,18 +112,18 @@ begin
   ------------------------------------------------------------------------------
 
   u_snk_in : entity dp_lib.dp_hold_input
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST sink
-    snk_out       => OPEN,
-    snk_in        => snk_in,
-    -- ST source
-    src_in        => src_in,  -- must not use snk_out.ready here to avoid wrong first payload data
-    next_src_out  => next_src_out,
-    pend_src_out  => OPEN,
-    src_out_reg   => i_src_out
-  );
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST sink
+      snk_out       => OPEN,
+      snk_in        => snk_in,
+      -- ST source
+      src_in        => src_in,  -- must not use snk_out.ready here to avoid wrong first payload data
+      next_src_out  => next_src_out,
+      pend_src_out  => OPEN,
+      src_out_reg   => i_src_out
+    );
 
   ------------------------------------------------------------------------------
   -- Control state machine for the source output
diff --git a/libraries/io/eth/src/vhdl/eth_hdr_status.vhd b/libraries/io/eth/src/vhdl/eth_hdr_status.vhd
index 50d2f4d59f..0d1ddd9b20 100644
--- a/libraries/io/eth/src/vhdl/eth_hdr_status.vhd
+++ b/libraries/io/eth/src/vhdl/eth_hdr_status.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Purpose:
 --   Determine the Ethernet header status.
@@ -109,13 +109,13 @@ begin
 
   -- Calculate the IP header checksum for the packet header
   u_calc_checksum : entity work.eth_checksum
-  port map (
-    rst           => rst,
-    clk           => clk,
-    snk_in        => ip_header,
-    checksum      => calc_checksum,
-    checksum_val  => calc_checksum_val
-  );
+    port map (
+      rst           => rst,
+      clk           => clk,
+      snk_in        => ip_header,
+      checksum      => calc_checksum,
+      checksum_val  => calc_checksum_val
+    );
 
   -- Use calculated IP header checksum
   gen_calc : if g_ip_header_checksum_calculate = true generate
diff --git a/libraries/io/eth/src/vhdl/eth_hdr_store.vhd b/libraries/io/eth/src/vhdl/eth_hdr_store.vhd
index f81a6b7d3b..b2a3c3039f 100644
--- a/libraries/io/eth/src/vhdl/eth_hdr_store.vhd
+++ b/libraries/io/eth/src/vhdl/eth_hdr_store.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Purpose:
 --   Provide the total Ethernet header information for the user to decide upon.
diff --git a/libraries/io/eth/src/vhdl/eth_ihl_to_20.vhd b/libraries/io/eth/src/vhdl/eth_ihl_to_20.vhd
index 6e3eca5f07..6e1438d6b6 100644
--- a/libraries/io/eth/src/vhdl/eth_ihl_to_20.vhd
+++ b/libraries/io/eth/src/vhdl/eth_ihl_to_20.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 -- Purpose:
 -- Description:
@@ -39,23 +39,23 @@ use work.eth_pkg.all;
 --  . c_this_snk_latency = 1
 --  . c_this_src_latency = 1
 
-  ------------------------------------------------------------------------------
-  -- IPv4 Packet
-  --
-  --  0       3 4     7 8            15 16   18 19                        31  wi
-  -- |----------------------------------------------------------------------|
-  -- | Version |  ihl  |    Services    |    IPv4 Packet Total Length       |  4
-  -- |----------------------------------------------------------------------|
-  -- |       Identification             | Flags |    Fragment Offset        |  5
-  -- |----------------------------------------------------------------------|
-  -- |     TTL         |    Protocol    |      Header Checksum              |  6
-  -- |----------------------------------------------------------------------|
-  -- |              Source IP Address                                       |  7
-  -- |----------------------------------------------------------------------|
-  -- |              Destination IP Address                                  |  8
-  -- |----------------------------------------------------------------------|
-  -- |              Options ...                                             |
-  -- |----------------------------------------------------------------------|
+------------------------------------------------------------------------------
+-- IPv4 Packet
+--
+--  0       3 4     7 8            15 16   18 19                        31  wi
+-- |----------------------------------------------------------------------|
+-- | Version |  ihl  |    Services    |    IPv4 Packet Total Length       |  4
+-- |----------------------------------------------------------------------|
+-- |       Identification             | Flags |    Fragment Offset        |  5
+-- |----------------------------------------------------------------------|
+-- |     TTL         |    Protocol    |      Header Checksum              |  6
+-- |----------------------------------------------------------------------|
+-- |              Source IP Address                                       |  7
+-- |----------------------------------------------------------------------|
+-- |              Destination IP Address                                  |  8
+-- |----------------------------------------------------------------------|
+-- |              Options ...                                             |
+-- |----------------------------------------------------------------------|
 
 
 entity eth_ihl_to_20 is
@@ -97,22 +97,22 @@ begin
   snk_out.ready <= i_src_in.ready;
 
   u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_data_w    => c_eth_data_w,
-    g_use_bsn   => false,
-    g_use_sync  => false,
-    g_fifo_size => 10
-   )
-  port map (
-    rst        => rst,
-    clk        => clk,
-
-    snk_in     => i_src_out,
-    snk_out    => i_src_in,
-
-    src_out    => src_out,
-    src_in     => src_in
-  );
+    generic map (
+      g_data_w    => c_eth_data_w,
+      g_use_bsn   => false,
+      g_use_sync  => false,
+      g_fifo_size => 10
+    )
+    port map (
+      rst        => rst,
+      clk        => clk,
+
+      snk_in     => i_src_out,
+      snk_out    => i_src_in,
+
+      src_out    => src_out,
+      src_in     => src_in
+    );
 
 
 
@@ -156,9 +156,9 @@ begin
               state <= IPv4_lengths;
               i_src_out.data(27 downto 24) <= TO_UVEC(c_network_ip_header_length, c_network_ip_header_length_w);  -- make IHL = 5 words = 20 bytes
               i_src_out.data(c_network_ip_total_length_w - 1 downto 0) <= std_logic_vector(
-                                             unsigned(snk_in.data(c_network_ip_total_length_w - 1 downto 0)) -
-                                           ((unsigned(snk_in.data(27 downto 24)) - to_unsigned(c_network_ip_header_length, c_network_ip_header_length_w)) & "00")
-                                                                                        );  -- correct Total Length = input Total Length - (input IHL - fixed IHL),
+                                                                                           unsigned(snk_in.data(c_network_ip_total_length_w - 1 downto 0)) -
+                                                                                           ((unsigned(snk_in.data(27 downto 24)) - to_unsigned(c_network_ip_header_length, c_network_ip_header_length_w)) & "00")
+                                                                                         );  -- correct Total Length = input Total Length - (input IHL - fixed IHL),
               ihl <= unsigned(snk_in.data(27 downto 24));  -- save input IHL
             end if;
           end if;
diff --git a/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd b/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd
index 5f5067f952..af2197d89b 100644
--- a/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd
+++ b/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd
@@ -17,25 +17,25 @@
 -- --------------------------------------------------------------------------
 --
 -- Author: R. van der Walle
--- Purpose:                                                                     
---   Can be used to calculate and insert the checksum for the IP header         
---   in a network package, if the correct hdr_fields_slv_in is provided.                 
--- Description:                                                                 
---   Determine the 16 bit 1-complement checksum according IPv4 to for the 
---   hdr_fields_slv_in.                     
+-- Purpose:
+--   Can be used to calculate and insert the checksum for the IP header
+--   in a network package, if the correct hdr_fields_slv_in is provided.
+-- Description:
+--   Determine the 16 bit 1-complement checksum according IPv4 to for the
+--   hdr_fields_slv_in.
 --   After calculation, the checksum is inserted in the outgoing stream at
 --   corresponding position based on g_hdr_field_arr and g_data_w.
 -- Remarks:
 --  The hdr_fields_slv_in should be valid on the snk_in.sop
 
-   
+
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity eth_ip_header_checksum is
   generic (
@@ -63,10 +63,10 @@ architecture rtl of eth_ip_header_checksum is
   constant c_hdr_crc_bit_lo  : natural := field_lo(g_hdr_field_arr, "ip_header_checksum");
 
   -- calculate which word(s) of the incoming snk_in stream should contain the checksum.
-  constant c_hdr_crc_word_hi : natural := sel_a_b((c_hdr_crc_bit_hi / g_data_w) > 0, c_hdr_crc_bit_hi / g_data_w,  
-                                          sel_a_b( c_hdr_crc_bit_hi > (c_hdr_len mod g_data_w), 1, 0)); --special case as the last hdr word can be < g_data_w.
-  constant c_hdr_crc_word_lo : natural := sel_a_b((c_hdr_crc_bit_lo / g_data_w) > 0, c_hdr_crc_bit_lo / g_data_w,  
-                                          sel_a_b( c_hdr_crc_bit_lo > (c_hdr_len mod g_data_w), 1, 0)); --special case as the last hdr word can be < g_data_w.
+  constant c_hdr_crc_word_hi : natural := sel_a_b((c_hdr_crc_bit_hi / g_data_w) > 0, c_hdr_crc_bit_hi / g_data_w,
+                                                  sel_a_b( c_hdr_crc_bit_hi > (c_hdr_len mod g_data_w), 1, 0)); --special case as the last hdr word can be < g_data_w.
+  constant c_hdr_crc_word_lo : natural := sel_a_b((c_hdr_crc_bit_lo / g_data_w) > 0, c_hdr_crc_bit_lo / g_data_w,
+                                                  sel_a_b( c_hdr_crc_bit_lo > (c_hdr_len mod g_data_w), 1, 0)); --special case as the last hdr word can be < g_data_w.
 
   -- calculate in which bit range of the selected word(s) the checksum should go.
   constant c_crc_hi_bit_in_word : natural := g_data_w - ((c_hdr_len - c_hdr_crc_bit_hi) mod g_data_w);
@@ -86,9 +86,9 @@ begin
 
   -- calculate checksum
   checksum <= func_network_ip_header_checksum(g_hdr_field_arr, hdr_fields_slv_in) when rising_edge(clk);
-  
+
   -- register to know when crc has been inserted.
-  reg_done <= nxt_reg_done when rising_edge(clk); 
+  reg_done <= nxt_reg_done when rising_edge(clk);
 
   ---------------------------------------------------
   -- process to insert checksum in outgoing stream --
@@ -121,7 +121,7 @@ begin
       src_out <= dp_pipeline_src_out;
       nxt_reg_done <= reg_done;
       if reg_done = '0' and dp_pipeline_src_out.valid = '1' then
-        if v_count = c_hdr_crc_word_hi then 
+        if v_count = c_hdr_crc_word_hi then
           src_out.data(c_crc_hi_bit_in_word downto 0) <= checksum(c_network_ip_header_checksum_w - 1 downto c_network_ip_header_checksum_w - c_crc_hi_bit_in_word - 1);
         elsif v_count = c_hdr_crc_word_lo then
           src_out.data(g_data_w - 1 downto c_crc_lo_bit_in_word) <= checksum(g_data_w - c_crc_lo_bit_in_word - 1 downto 0);
@@ -132,7 +132,7 @@ begin
           src_out.data(g_data_w - 1 downto 0) <= checksum(v_hi downto v_lo);
         end if;
       end if;
-  
+
       if reg_done = '1' and dp_pipeline_src_out.eop = '1' then
         nxt_reg_done <= '0';
       end if;
@@ -143,34 +143,34 @@ begin
   -- using common_counter to keep track of the word alignment during checksum calculation --
   ------------------------------------------------------------------------------------------
   u_calc_counter : entity common_lib.common_counter
-  generic map (
-    g_init      => c_hdr_nof_words - 1,
-    g_step_size => -1
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_ld  => snk_in.sop,
-    cnt_en  => snk_in.valid,
-    count   => count
-  );
+    generic map (
+      g_init      => c_hdr_nof_words - 1,
+      g_step_size => -1
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_ld  => snk_in.sop,
+      cnt_en  => snk_in.valid,
+      count   => count
+    );
 
   -------------------------------------------------------------------------------
   -- using dp_pipeline to make room for the checksum calculation and insertion --
   -------------------------------------------------------------------------------
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline   => 1 -- fixed to 1 as common_counter has fixed latency of 1 (cannot be higher)
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => src_in,
-    src_out      => dp_pipeline_src_out
-  );
+    generic map (
+      g_pipeline   => 1 -- fixed to 1 as common_counter has fixed latency of 1 (cannot be higher)
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => src_in,
+      src_out      => dp_pipeline_src_out
+    );
 
 end rtl;
diff --git a/libraries/io/eth/src/vhdl/eth_mm_reg_frame.vhd b/libraries/io/eth/src/vhdl/eth_mm_reg_frame.vhd
index e03dff8231..75a881f7ed 100644
--- a/libraries/io/eth/src/vhdl/eth_mm_reg_frame.vhd
+++ b/libraries/io/eth/src/vhdl/eth_mm_reg_frame.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use work.eth_pkg.all;
 
 entity eth_mm_reg_frame is
   port (
diff --git a/libraries/io/eth/src/vhdl/eth_mm_registers.vhd b/libraries/io/eth/src/vhdl/eth_mm_registers.vhd
index 51432f701e..69f508251c 100644
--- a/libraries/io/eth/src/vhdl/eth_mm_registers.vhd
+++ b/libraries/io/eth/src/vhdl/eth_mm_registers.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity eth_mm_registers is
@@ -63,11 +63,13 @@ end eth_mm_registers;
 architecture str of eth_mm_registers is
 
   -- Use MM bus data width = c_word_w = 32
-  constant c_mm_reg  : t_c_mem := (latency  => 1,
-                                   adr_w    => c_eth_reg_addr_w,
-                                   dat_w    => c_word_w,
-                                   nof_dat  => c_eth_reg_nof_words,
-                                   init_sl  => '0');
+  constant c_mm_reg  : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_eth_reg_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => c_eth_reg_nof_words,
+    init_sl  => '0'
+  );
 
   -- Initial contents of the config register
   constant c_init_vec_udp_port    : std_logic_vector(    c_network_udp_port_w - 1 downto 0) := (others => '0');
@@ -143,25 +145,25 @@ begin
   -- Register store in MM clock domain
   ------------------------------------------------------------------------------
   u_mm_reg : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_mm_reg,
-    g_init_reg  => c_init_reg
-  )
-  port map (
-    rst         => mm_rst,
-    clk         => mm_clk,
-    -- control side
-    wr_en       => sla_in.wr,
-    wr_adr      => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
-    wr_dat      => sla_in.wrdata(c_mm_reg.dat_w - 1 downto 0),
-    rd_en       => sla_in.rd,
-    rd_adr      => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
-    rd_dat      => sla_out.rddata(c_mm_reg.dat_w - 1 downto 0),
-    rd_val      => OPEN,
-    -- data side
-    out_reg     => mm_vec_wr,
-    in_reg      => mm_vec_rd
-  );
+    generic map (
+      g_reg       => c_mm_reg,
+      g_init_reg  => c_init_reg
+    )
+    port map (
+      rst         => mm_rst,
+      clk         => mm_clk,
+      -- control side
+      wr_en       => sla_in.wr,
+      wr_adr      => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
+      wr_dat      => sla_in.wrdata(c_mm_reg.dat_w - 1 downto 0),
+      rd_en       => sla_in.rd,
+      rd_adr      => sla_in.address(c_mm_reg.adr_w - 1 downto 0),
+      rd_dat      => sla_out.rddata(c_mm_reg.dat_w - 1 downto 0),
+      rd_val      => OPEN,
+      -- data side
+      out_reg     => mm_vec_wr,
+      in_reg      => mm_vec_rd
+    );
 
 
   ------------------------------------------------------------------------------
@@ -221,26 +223,26 @@ begin
 
     -- MM -> ST
     u_reg_status_wr : entity common_lib.common_spulse
-    port map (
-      in_rst       => mm_rst,
-      in_clk       => mm_clk,
-      in_pulse     => mm_reg_status_wr,
-      in_busy      => OPEN,
-      out_rst      => st_rst,
-      out_clk      => st_clk,
-      out_pulse    => st_reg_status_wr
-    );
+      port map (
+        in_rst       => mm_rst,
+        in_clk       => mm_clk,
+        in_pulse     => mm_reg_status_wr,
+        in_busy      => OPEN,
+        out_rst      => st_rst,
+        out_clk      => st_clk,
+        out_pulse    => st_reg_status_wr
+      );
 
     u_reg_continue_wr : entity common_lib.common_spulse
-    port map (
-      in_rst       => mm_rst,
-      in_clk       => mm_clk,
-      in_pulse     => mm_reg_continue_wr,
-      in_busy      => OPEN,
-      out_rst      => st_rst,
-      out_clk      => st_clk,
-      out_pulse    => st_reg_continue_wr
-    );
+      port map (
+        in_rst       => mm_rst,
+        in_clk       => mm_clk,
+        in_pulse     => mm_reg_continue_wr,
+        in_busy      => OPEN,
+        out_rst      => st_rst,
+        out_clk      => st_clk,
+        out_pulse    => st_reg_continue_wr
+      );
 
     ------------------------------------------------------------------------------
     -- Cross the register data between the MM and the ST clock domain
@@ -248,65 +250,65 @@ begin
 
     -- MM -> ST
     u_demux_wr : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_vec_demux,
-      in_new      => sla_in_reg.wr,  -- using the slave wr is OK to trigger a cross clock domain
-      in_done     => OPEN,
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_vec_demux,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_vec_demux,
+        in_new      => sla_in_reg.wr,  -- using the slave wr is OK to trigger a cross clock domain
+        in_done     => OPEN,
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_vec_demux,
+        out_new     => open
+      );
 
     u_config_wr : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_vec_config,
-      in_new      => sla_in_reg.wr,  -- using the slave wr is OK to trigger a cross clock domain
-      in_done     => OPEN,
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_vec_config,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_vec_config,
+        in_new      => sla_in_reg.wr,  -- using the slave wr is OK to trigger a cross clock domain
+        in_done     => OPEN,
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_vec_config,
+        out_new     => open
+      );
 
     u_control_wr : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_vec_control,
-      in_new      => sla_in_reg.wr,  -- using the slave wr is OK to trigger a cross clock domain
-      in_done     => OPEN,
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_vec_control,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_vec_control,
+        in_new      => sla_in_reg.wr,  -- using the slave wr is OK to trigger a cross clock domain
+        in_done     => OPEN,
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_vec_control,
+        out_new     => open
+      );
 
     -- ST -> MM
     -- . no need to use in_new, continuously cross the clock domain
     u_frame_rd : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_vec_frame,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_vec_frame
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_vec_frame,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_vec_frame
+      );
 
     u_status_rd : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_vec_status,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_vec_status
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_vec_status,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_vec_status
+      );
 
   end generate;
 
diff --git a/libraries/io/eth/src/vhdl/eth_pkg.vhd b/libraries/io/eth/src/vhdl/eth_pkg.vhd
index 5b03a4aa47..64e136de16 100644
--- a/libraries/io/eth/src/vhdl/eth_pkg.vhd
+++ b/libraries/io/eth/src/vhdl/eth_pkg.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
 
 
 package eth_pkg is
@@ -46,12 +46,12 @@ package eth_pkg is
 
   -- Actual frame space in RAM <= c_eth_max_frame_sz, default >= 1520, <= 9020 for jumbo
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*3/2;  -- Use 1536 = 3/2 M9K, to benefit from Rx and Tx in single buffer of 2*1.5=3 M9K, but does
-                                                                 -- yield simulation warning: Address pointed at port A is out of bound!
+  -- yield simulation warning: Address pointed at port A is out of bound!
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*8;  -- Use 8192 = 8 M9K for jumbo frame support with payload size <= 8172
   --CONSTANT c_eth_frame_sz              : NATURAL := 1024*9;  -- Use 9216 = 9 M9K for jumbo frame support with payload size <= 9000
   constant c_eth_frame_sz              : natural := 1024 * 2;  -- Use 2048 = 2 M9K to avoid simulation warning: Address pointed at port A is out of bound!
-                                                               -- when the module is used in an Nios II SOPC system
-                                                               -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
+  -- when the module is used in an Nios II SOPC system
+  -- The FIFOs seem to require nof words to be a power of 2, but that is taken care of locally if necessary
   constant c_eth_frame_nof_words       : natural := c_eth_frame_sz / c_word_sz;
   constant c_eth_frame_nof_words_w     : natural := ceil_log2(c_eth_frame_nof_words);  -- >= 9 bit, <= 12 bit
 
@@ -74,9 +74,17 @@ package eth_pkg is
     is_dhcp           : std_logic;
   end record;
 
-  constant c_eth_hdr_status_rst : t_eth_hdr_status := ('0', '0', '0', '0',
-                                                       (others => '0'), '0', '0',
-                                                       (others => '0'), '0');
+  constant c_eth_hdr_status_rst : t_eth_hdr_status := (
+    '0',
+    '0',
+    '0',
+    '0',
+    (others => '0'),
+    '0',
+    '0',
+    (others => '0'),
+    '0'
+  );
 
   ------------------------------------------------------------------------------
   -- Definitions for eth demux udp
@@ -188,16 +196,16 @@ package eth_pkg is
   constant c_eth_mm_reg_status_rst : t_eth_mm_reg_status    := ((others => '0'), (others => '0'), '0', '0', '0');
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(  mm_reg : std_logic_vector)     return t_eth_mm_reg_demux;
-  function func_eth_mm_reg_demux(  mm_reg : t_eth_mm_reg_demux)   return std_logic_vector;
-  function func_eth_mm_reg_config( mm_reg : std_logic_vector)     return t_eth_mm_reg_config;
-  function func_eth_mm_reg_config( mm_reg : t_eth_mm_reg_config)  return std_logic_vector;
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector)     return t_eth_mm_reg_control;
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector;
-  function func_eth_mm_reg_frame(  mm_reg : std_logic_vector)     return t_eth_mm_reg_frame;
-  function func_eth_mm_reg_frame(  mm_reg : t_eth_mm_reg_frame)   return std_logic_vector;
-  function func_eth_mm_reg_status( mm_reg : std_logic_vector)     return t_eth_mm_reg_status;
-  function func_eth_mm_reg_status( mm_reg : t_eth_mm_reg_status)  return std_logic_vector;
+  function func_eth_mm_reg_demux (  mm_reg : std_logic_vector) return t_eth_mm_reg_demux;
+  function func_eth_mm_reg_demux (  mm_reg : t_eth_mm_reg_demux) return std_logic_vector;
+  function func_eth_mm_reg_config ( mm_reg : std_logic_vector) return t_eth_mm_reg_config;
+  function func_eth_mm_reg_config ( mm_reg : t_eth_mm_reg_config) return std_logic_vector;
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control;
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector;
+  function func_eth_mm_reg_frame (  mm_reg : std_logic_vector) return t_eth_mm_reg_frame;
+  function func_eth_mm_reg_frame (  mm_reg : t_eth_mm_reg_frame) return std_logic_vector;
+  function func_eth_mm_reg_status ( mm_reg : std_logic_vector) return t_eth_mm_reg_status;
+  function func_eth_mm_reg_status ( mm_reg : t_eth_mm_reg_status) return std_logic_vector;
 
   ------------------------------------------------------------------------------
   -- Definitions for eth_mm_registers
@@ -227,7 +235,7 @@ end eth_pkg;
 package body eth_pkg is
 
   -- Register mapping functions
-  function func_eth_mm_reg_demux(mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
+  function func_eth_mm_reg_demux (mm_reg : std_logic_vector) return t_eth_mm_reg_demux is
     variable v_reg : t_eth_mm_reg_demux;
   begin
     -- Demux UDP MM registers
@@ -238,7 +246,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_demux;
 
-  function func_eth_mm_reg_demux(mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
+  function func_eth_mm_reg_demux (mm_reg : t_eth_mm_reg_demux) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_demux_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg := (others => '0');  -- rsvd
@@ -250,7 +258,7 @@ package body eth_pkg is
   end func_eth_mm_reg_demux;
 
   -- MM config register
-  function func_eth_mm_reg_config(mm_reg : std_logic_vector) return t_eth_mm_reg_config is
+  function func_eth_mm_reg_config (mm_reg : std_logic_vector) return t_eth_mm_reg_config is
     variable v_reg : t_eth_mm_reg_config;
   begin
     v_reg.udp_port                        := mm_reg(c_network_udp_port_w + 3 * c_word_w - 1 downto 3 * c_word_w);  -- [15:0]  = control UDP port number
@@ -260,7 +268,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_config;
 
-  function func_eth_mm_reg_config(mm_reg : t_eth_mm_reg_config) return std_logic_vector is
+  function func_eth_mm_reg_config (mm_reg : t_eth_mm_reg_config) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_config_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                      := (others => '0');  -- rsvd
@@ -272,7 +280,7 @@ package body eth_pkg is
   end func_eth_mm_reg_config;
 
   -- MM control register
-  function func_eth_mm_reg_control(mm_reg : std_logic_vector) return t_eth_mm_reg_control is
+  function func_eth_mm_reg_control (mm_reg : std_logic_vector) return t_eth_mm_reg_control is
     variable v_reg : t_eth_mm_reg_control;
   begin
     v_reg.tx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -283,7 +291,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_control;
 
-  function func_eth_mm_reg_control(mm_reg : t_eth_mm_reg_control) return std_logic_vector is
+  function func_eth_mm_reg_control (mm_reg : t_eth_mm_reg_control) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_control_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
@@ -296,7 +304,7 @@ package body eth_pkg is
   end func_eth_mm_reg_control;
 
   -- MM frame register
-  function func_eth_mm_reg_frame(mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
+  function func_eth_mm_reg_frame (mm_reg : std_logic_vector) return t_eth_mm_reg_frame is
     variable v_reg : t_eth_mm_reg_frame;
   begin
     v_reg.is_dhcp           := mm_reg(              c_byte_w + 7);  -- [15]
@@ -312,7 +320,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_frame;
 
-  function func_eth_mm_reg_frame(mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
+  function func_eth_mm_reg_frame (mm_reg : t_eth_mm_reg_frame) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_frame_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                           := (others => '0');  -- rsvd
@@ -330,7 +338,7 @@ package body eth_pkg is
   end func_eth_mm_reg_frame;
 
   -- MM status register
-  function func_eth_mm_reg_status(mm_reg : std_logic_vector) return t_eth_mm_reg_status is
+  function func_eth_mm_reg_status (mm_reg : std_logic_vector) return t_eth_mm_reg_status is
     variable v_reg : t_eth_mm_reg_status;
   begin
     v_reg.rx_nof_words := mm_reg(c_eth_max_frame_nof_words_w + c_eth_empty_w + 16 - 1 downto c_eth_empty_w + 16);  -- [29:18]
@@ -341,7 +349,7 @@ package body eth_pkg is
     return v_reg;
   end func_eth_mm_reg_status;
 
-  function func_eth_mm_reg_status(mm_reg : t_eth_mm_reg_status) return std_logic_vector is
+  function func_eth_mm_reg_status (mm_reg : t_eth_mm_reg_status) return std_logic_vector is
     variable v_reg : std_logic_vector(c_eth_reg_status_nof_words * c_word_w - 1 downto 0);
   begin
     v_reg                                                                         := (others => '0');  -- rsvd
diff --git a/libraries/io/eth/src/vhdl/eth_statistics.vhd b/libraries/io/eth/src/vhdl/eth_statistics.vhd
index ab40c61a8c..597e7b3175 100644
--- a/libraries/io/eth/src/vhdl/eth_statistics.vhd
+++ b/libraries/io/eth/src/vhdl/eth_statistics.vhd
@@ -39,14 +39,14 @@
 --   this is why then 'red' signal level appears in Wave window.
 
 library IEEE, common_lib, work, technology_lib, dp_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity eth_statistics is
   generic (
@@ -93,33 +93,33 @@ begin
   -- Use tech_tse with internal simulation model as Ethernet receiver
   ------------------------------------------------------------------------------
   u_tech_tse : entity tech_tse_lib.tech_tse
-  generic map(
-    g_sim       => true,
-    g_sim_level => 1,
-    g_sim_tx    => false,
-    g_sim_rx    => true
-  )
-  port map (
-    mm_rst         => '0',
-    mm_clk         => '0',
-    cal_rec_clk    => '0',
+    generic map(
+      g_sim       => true,
+      g_sim_level => 1,
+      g_sim_tx    => false,
+      g_sim_rx    => true
+    )
+    port map (
+      mm_rst         => '0',
+      mm_clk         => '0',
+      cal_rec_clk    => '0',
 
-    eth_clk        => eth_clk,
+      eth_clk        => eth_clk,
 
-    mm_sla_in      => c_mem_mosi_rst,
+      mm_sla_in      => c_mem_mosi_rst,
 
-    tx_mac_in      => ('0','0','0','0','0'),
+      tx_mac_in      => ('0','0','0','0','0'),
 
-    tx_snk_clk     => eth_clk,
-    tx_snk_in      => c_dp_sosi_rst,
+      tx_snk_clk     => eth_clk,
+      tx_snk_in      => c_dp_sosi_rst,
 
-    rx_src_clk     => eth_clk,
-    rx_src_in      => c_dp_siso_rdy,
+      rx_src_clk     => eth_clk,
+      rx_src_in      => c_dp_siso_rdy,
 
-    rx_src_out     => tech_tse_rx_src_out,
+      rx_src_out     => tech_tse_rx_src_out,
 
-    eth_rxp        => eth_serial_in
-  );
+      eth_rxp        => eth_serial_in
+    );
 
   ------------------------------------------------------------------------------
   -- dp_statistics
@@ -132,14 +132,14 @@ begin
       g_check_nof_valid_ref      => g_check_nof_valid_ref,
       g_dp_word_w                => c_eth_word_w
     )
-  port map (
-    dp_clk => eth_clk,
-    dp_rst => eth_rst,
+    port map (
+      dp_clk => eth_clk,
+      dp_rst => eth_rst,
 
-    snk_in => tech_tse_rx_src_out,
+      snk_in => tech_tse_rx_src_out,
 
-    tb_end => i_tb_end
-  );
+      tb_end => i_tb_end
+    );
 
   -- Output the received decoded data, to support further external analysis
   eth_src_out <= tech_tse_rx_src_out;
diff --git a/libraries/io/eth/src/vhdl/eth_stream.vhd b/libraries/io/eth/src/vhdl/eth_stream.vhd
index 0bbb8f26f0..d9c0794629 100644
--- a/libraries/io/eth/src/vhdl/eth_stream.vhd
+++ b/libraries/io/eth/src/vhdl/eth_stream.vhd
@@ -40,13 +40,13 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+ETH+tester+unit+for+1GbE
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity eth_stream is
   generic (
@@ -107,73 +107,73 @@ architecture str of eth_stream is
 begin
 
   u_eth_stream_udp : entity work.eth_stream_udp
-  generic map (
-    g_rx_udp_port => g_rx_udp_port
-  )
-  port map (
-    -- Clocks and reset
-    st_rst        => st_rst,
-    st_clk        => st_clk,
-
-    -- User UDP interface
-    -- . Tx
-    udp_tx_sosi   => udp_tx_snk_in,
-    udp_tx_siso   => udp_tx_snk_out,
-    -- . Rx
-    udp_rx_sosi   => udp_rx_src_out,
-    udp_rx_siso   => udp_rx_src_in,
-
-    -- PHY interface
-    -- . Tx
-    tse_tx_sosi   => tse_tx_sosi,
-    tse_tx_siso   => tse_tx_siso,
-    -- . Rx
-    tse_rx_sosi   => tse_rx_sosi,
-    tse_rx_siso   => tse_rx_siso
-  );
+    generic map (
+      g_rx_udp_port => g_rx_udp_port
+    )
+    port map (
+      -- Clocks and reset
+      st_rst        => st_rst,
+      st_clk        => st_clk,
+
+      -- User UDP interface
+      -- . Tx
+      udp_tx_sosi   => udp_tx_snk_in,
+      udp_tx_siso   => udp_tx_snk_out,
+      -- . Rx
+      udp_rx_sosi   => udp_rx_src_out,
+      udp_rx_siso   => udp_rx_src_in,
+
+      -- PHY interface
+      -- . Tx
+      tse_tx_sosi   => tse_tx_sosi,
+      tse_tx_siso   => tse_tx_siso,
+      -- . Rx
+      tse_rx_sosi   => tse_rx_sosi,
+      tse_rx_siso   => tse_rx_siso
+    );
 
   u_tech_tse_with_setup : entity tech_tse_lib.tech_tse_with_setup
-  generic map (
-    g_technology   => g_technology,
-    g_ETH_PHY      => g_ETH_PHY,
-    g_jumbo_en     => g_jumbo_en,
-    g_sim          => g_sim,
-    g_sim_level    => g_sim_level
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,  -- MM
-    eth_clk        => eth_clk,  -- 125 MHz
-    tx_snk_clk     => st_clk,  -- DP
-    rx_src_clk     => st_clk,  -- DP
-
-    -- TSE setup
-    src_mac        => src_mac,
-    setup_done     => setup_done,
-
-    -- Calibration & reconfig clock
-    cal_rec_clk    => cal_rec_clk,
-
-    -- Memory Mapped Peripheral
-    mm_ctlr_copi   => tse_ctlr_copi,
-    mm_ctlr_cipo   => tse_ctlr_cipo,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => tse_tx_sosi,
-    tx_snk_out     => tse_tx_siso,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => tse_rx_siso,
-    rx_src_out     => tse_rx_sosi,
-
-    -- PHY interface
-    eth_txp        => eth_txp,
-    eth_rxp        => eth_rxp,
-
-    tse_led        => tse_led
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_ETH_PHY      => g_ETH_PHY,
+      g_jumbo_en     => g_jumbo_en,
+      g_sim          => g_sim,
+      g_sim_level    => g_sim_level
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,  -- MM
+      eth_clk        => eth_clk,  -- 125 MHz
+      tx_snk_clk     => st_clk,  -- DP
+      rx_src_clk     => st_clk,  -- DP
+
+      -- TSE setup
+      src_mac        => src_mac,
+      setup_done     => setup_done,
+
+      -- Calibration & reconfig clock
+      cal_rec_clk    => cal_rec_clk,
+
+      -- Memory Mapped Peripheral
+      mm_ctlr_copi   => tse_ctlr_copi,
+      mm_ctlr_cipo   => tse_ctlr_cipo,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => tse_tx_sosi,
+      tx_snk_out     => tse_tx_siso,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => tse_rx_siso,
+      rx_src_out     => tse_rx_sosi,
+
+      -- PHY interface
+      eth_txp        => eth_txp,
+      eth_rxp        => eth_rxp,
+
+      tse_led        => tse_led
+    );
 
 end str;
diff --git a/libraries/io/eth/src/vhdl/eth_stream_udp.vhd b/libraries/io/eth/src/vhdl/eth_stream_udp.vhd
index 9fe0b835c7..b1401dc64f 100644
--- a/libraries/io/eth/src/vhdl/eth_stream_udp.vhd
+++ b/libraries/io/eth/src/vhdl/eth_stream_udp.vhd
@@ -38,10 +38,10 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+ETH+tester+unit+for+1GbE
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 entity eth_stream_udp is
   generic (
@@ -95,23 +95,23 @@ begin
 
   -- Insert IP header checksum
   u_tx_ip : entity work.eth_hdr
-  generic map (
-    g_header_store_and_forward     => true,
-    g_ip_header_checksum_calculate => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
-
-    -- Streaming Sink
-    snk_in          => udp_tx_sosi,
-    snk_out         => udp_tx_siso,
-
-    -- Streaming Source
-    src_in          => tse_tx_siso,
-    src_out         => tse_tx_sosi  -- with err field value 0 for OK
-  );
+    generic map (
+      g_header_store_and_forward     => true,
+      g_ip_header_checksum_calculate => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
+
+      -- Streaming Sink
+      snk_in          => udp_tx_sosi,
+      snk_out         => udp_tx_siso,
+
+      -- Streaming Source
+      src_in          => tse_tx_siso,
+      src_out         => tse_tx_sosi  -- with err field value 0 for OK
+    );
 
   ------------------------------------------------------------------------------
   -- RX
@@ -119,49 +119,49 @@ begin
 
   -- Adapt the TSE RX source ready latency from 2 to 1
   u_adapt : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => c_eth_rx_ready_latency,  -- = 2
-    g_out_latency => c_eth_ready_latency  -- = 1
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    -- ST sink
-    snk_out => tse_rx_siso,
-    snk_in  => tse_rx_sosi,
-    -- ST source
-    src_in  => rx_adapt_siso,
-    src_out => rx_adapt_sosi
-  );
+    generic map (
+      g_in_latency  => c_eth_rx_ready_latency,  -- = 2
+      g_out_latency => c_eth_ready_latency  -- = 1
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      -- ST sink
+      snk_out => tse_rx_siso,
+      snk_in  => tse_rx_sosi,
+      -- ST source
+      src_in  => rx_adapt_siso,
+      src_out => rx_adapt_sosi
+    );
 
   -- Pass on UDP stream for g_rx_udp_port
   -- . Verify IP header checksum for IP
   u_rx_udp : entity work.eth_hdr
-  generic map (
-    g_header_store_and_forward     => true,
-    g_ip_header_checksum_calculate => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
-
-    -- Streaming Sink
-    snk_in          => rx_adapt_sosi,
-    snk_out         => rx_adapt_siso,
-
-    -- Streaming Source
-    src_in          => udp_rx_siso,
-    src_out         => udp_rx_sosi,
-
-    -- Frame control
-    frm_discard     => rx_eth_discard,
-    frm_discard_val => rx_eth_discard_val,
-
-    -- Header info
-    hdr_status          => rx_hdr_status,
-    hdr_status_complete => rx_hdr_status_complete
-  );
+    generic map (
+      g_header_store_and_forward     => true,
+      g_ip_header_checksum_calculate => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
+
+      -- Streaming Sink
+      snk_in          => rx_adapt_sosi,
+      snk_out         => rx_adapt_siso,
+
+      -- Streaming Source
+      src_in          => udp_rx_siso,
+      src_out         => udp_rx_sosi,
+
+      -- Frame control
+      frm_discard     => rx_eth_discard,
+      frm_discard_val => rx_eth_discard_val,
+
+      -- Header info
+      hdr_status          => rx_hdr_status,
+      hdr_status_complete => rx_hdr_status_complete
+    );
 
   -- Discard all Rx data that is not UDP for g_rx_udp_port
   p_rx_discard : process(st_rst, st_clk)
diff --git a/libraries/io/eth/src/vhdl/eth_tester.vhd b/libraries/io/eth/src/vhdl/eth_tester.vhd
index 51aeb7a357..26e3ad0618 100644
--- a/libraries/io/eth/src/vhdl/eth_tester.vhd
+++ b/libraries/io/eth/src/vhdl/eth_tester.vhd
@@ -25,16 +25,16 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+ETH+tester+unit+for+1GbE
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_components_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.eth_pkg.all;
-use work.eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_components_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.eth_pkg.all;
+  use work.eth_tester_pkg.all;
 
 entity eth_tester is
   generic (
@@ -50,7 +50,7 @@ entity eth_tester is
     g_hdr_field_sel      : std_logic_vector   := c_eth_tester_hdr_field_sel;
     g_hdr_app_len        : natural := c_eth_tester_app_hdr_len;
     g_remove_crc         : boolean := true  -- use TRUE when using sim_tse and tech_tse link interface,
-                                            -- use FALSE when streaming link interface
+  -- use FALSE when streaming link interface
   );
   port (
     -- Clocks and reset
@@ -124,79 +124,79 @@ begin
 
   gen_streams : for I in 0 to g_nof_streams - 1 generate
     u_tx : entity work.eth_tester_tx
-    generic map (
-      g_bg_sync_timeout    => g_bg_sync_timeout,
-      g_nof_octet_generate => g_nof_octet_generate,
-      g_nof_octet_output   => g_nof_octet_output,
-      g_use_eth_header     => g_use_eth_header,
-      g_use_ip_udp_header  => g_use_ip_udp_header,
-      g_use_dp_header      => g_use_dp_header,
-      g_hdr_calc_ip_crc    => g_hdr_calc_ip_crc,
-      g_hdr_field_arr      => g_hdr_field_arr,
-      g_hdr_field_sel      => g_hdr_field_sel,
-      g_hdr_app_len        => g_hdr_app_len
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      st_rst             => st_rst,
-      st_clk             => st_clk,
-      st_pps             => st_pps,
-      ref_sync           => ref_sync_arr(I),
-
-      -- UDP transmit interface
-      eth_src_mac        => eth_src_mac,
-      ip_src_addr        => ip_src_addr,
-      udp_src_port       => udp_src_port,
-
-      tx_length          => dp_length_arr(I),
-      tx_fifo_rd_emp     => tx_fifo_rd_emp_arr(I),
-
-      tx_udp_sosi        => tx_udp_sosi_arr(I),
-      tx_udp_siso        => tx_udp_siso_arr(I),
-
-      -- Memory Mapped Slaves (one per stream)
-      reg_bg_ctrl_copi               => reg_bg_ctrl_copi_arr(I),
-      reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo_arr(I),
-      reg_hdr_dat_copi               => reg_hdr_dat_copi_arr(I),
-      reg_hdr_dat_cipo               => reg_hdr_dat_cipo_arr(I),
-      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi_arr(I),
-      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo_arr(I),
-      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi_arr(I),
-      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo_arr(I),
-      reg_dp_split_copi              => reg_dp_split_copi_arr(I),
-      reg_dp_split_cipo              => reg_dp_split_cipo_arr(I)
-    );
+      generic map (
+        g_bg_sync_timeout    => g_bg_sync_timeout,
+        g_nof_octet_generate => g_nof_octet_generate,
+        g_nof_octet_output   => g_nof_octet_output,
+        g_use_eth_header     => g_use_eth_header,
+        g_use_ip_udp_header  => g_use_ip_udp_header,
+        g_use_dp_header      => g_use_dp_header,
+        g_hdr_calc_ip_crc    => g_hdr_calc_ip_crc,
+        g_hdr_field_arr      => g_hdr_field_arr,
+        g_hdr_field_sel      => g_hdr_field_sel,
+        g_hdr_app_len        => g_hdr_app_len
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        st_rst             => st_rst,
+        st_clk             => st_clk,
+        st_pps             => st_pps,
+        ref_sync           => ref_sync_arr(I),
+
+        -- UDP transmit interface
+        eth_src_mac        => eth_src_mac,
+        ip_src_addr        => ip_src_addr,
+        udp_src_port       => udp_src_port,
+
+        tx_length          => dp_length_arr(I),
+        tx_fifo_rd_emp     => tx_fifo_rd_emp_arr(I),
+
+        tx_udp_sosi        => tx_udp_sosi_arr(I),
+        tx_udp_siso        => tx_udp_siso_arr(I),
+
+        -- Memory Mapped Slaves (one per stream)
+        reg_bg_ctrl_copi               => reg_bg_ctrl_copi_arr(I),
+        reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo_arr(I),
+        reg_hdr_dat_copi               => reg_hdr_dat_copi_arr(I),
+        reg_hdr_dat_cipo               => reg_hdr_dat_cipo_arr(I),
+        reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi_arr(I),
+        reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo_arr(I),
+        reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi_arr(I),
+        reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo_arr(I),
+        reg_dp_split_copi              => reg_dp_split_copi_arr(I),
+        reg_dp_split_cipo              => reg_dp_split_cipo_arr(I)
+      );
 
     u_rx : entity work.eth_tester_rx
-    generic map (
-      g_bg_sync_timeout  => g_bg_sync_timeout,
-      g_nof_octet_unpack => g_nof_octet_generate,
-      g_nof_octet_input  => g_nof_octet_output,
-      g_use_dp_header    => g_use_dp_header,
-      g_hdr_field_arr    => g_hdr_field_arr,
-      g_remove_crc       => g_remove_crc
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      st_rst             => st_rst,
-      st_clk             => st_clk,
-      ref_sync           => ref_sync_arr(I),
-
-      exp_length         => dp_length_arr(I),
-
-      -- UDP transmit interface
-      rx_udp_sosi        => rx_udp_sosi_arr(I),
-
-      -- Memory Mapped Slaves (one per stream)
-      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi_arr(I),
-      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo_arr(I),
-      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi_arr(I),
-      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo_arr(I)
-    );
+      generic map (
+        g_bg_sync_timeout  => g_bg_sync_timeout,
+        g_nof_octet_unpack => g_nof_octet_generate,
+        g_nof_octet_input  => g_nof_octet_output,
+        g_use_dp_header    => g_use_dp_header,
+        g_hdr_field_arr    => g_hdr_field_arr,
+        g_remove_crc       => g_remove_crc
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        st_rst             => st_rst,
+        st_clk             => st_clk,
+        ref_sync           => ref_sync_arr(I),
+
+        exp_length         => dp_length_arr(I),
+
+        -- UDP transmit interface
+        rx_udp_sosi        => rx_udp_sosi_arr(I),
+
+        -- Memory Mapped Slaves (one per stream)
+        reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi_arr(I),
+        reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo_arr(I),
+        reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi_arr(I),
+        reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo_arr(I)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -205,88 +205,88 @@ begin
 
   -- Tx
   u_common_mem_mux_bg : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_diag_bg_reg_adr_w
-  )
-  port map (
-    mosi     => reg_bg_ctrl_copi,
-    miso     => reg_bg_ctrl_cipo,
-    mosi_arr => reg_bg_ctrl_copi_arr,
-    miso_arr => reg_bg_ctrl_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_diag_bg_reg_adr_w
+    )
+    port map (
+      mosi     => reg_bg_ctrl_copi,
+      miso     => reg_bg_ctrl_cipo,
+      mosi_arr => reg_bg_ctrl_copi_arr,
+      miso_arr => reg_bg_ctrl_cipo_arr
+    );
 
   u_common_mem_mux_hdr_dat : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_eth_tester_reg_hdr_dat_addr_w
-  )
-  port map (
-    mosi     => reg_hdr_dat_copi,
-    miso     => reg_hdr_dat_cipo,
-    mosi_arr => reg_hdr_dat_copi_arr,
-    miso_arr => reg_hdr_dat_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_eth_tester_reg_hdr_dat_addr_w
+    )
+    port map (
+      mosi     => reg_hdr_dat_copi,
+      miso     => reg_hdr_dat_cipo,
+      mosi_arr => reg_hdr_dat_copi_arr,
+      miso_arr => reg_hdr_dat_cipo_arr
+    );
 
   u_common_mem_mux_bsn_monitor_v2_tx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_dp_bsn_monitor_v2_reg_adr_w
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_tx_copi,
-    miso     => reg_bsn_monitor_v2_tx_cipo,
-    mosi_arr => reg_bsn_monitor_v2_tx_copi_arr,
-    miso_arr => reg_bsn_monitor_v2_tx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_dp_bsn_monitor_v2_reg_adr_w
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_tx_copi,
+      miso     => reg_bsn_monitor_v2_tx_cipo,
+      mosi_arr => reg_bsn_monitor_v2_tx_copi_arr,
+      miso_arr => reg_bsn_monitor_v2_tx_cipo_arr
+    );
 
   u_common_mem_mux_strobe_total_count_tx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_dp_strobe_total_count_reg_adr_w
-  )
-  port map (
-    mosi     => reg_strobe_total_count_tx_copi,
-    miso     => reg_strobe_total_count_tx_cipo,
-    mosi_arr => reg_strobe_total_count_tx_copi_arr,
-    miso_arr => reg_strobe_total_count_tx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_dp_strobe_total_count_reg_adr_w
+    )
+    port map (
+      mosi     => reg_strobe_total_count_tx_copi,
+      miso     => reg_strobe_total_count_tx_cipo,
+      mosi_arr => reg_strobe_total_count_tx_copi_arr,
+      miso_arr => reg_strobe_total_count_tx_cipo_arr
+    );
 
   u_common_mem_mux_dp_split : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_dp_split_reg_adr_w
-  )
-  port map (
-    mosi     => reg_dp_split_copi,
-    miso     => reg_dp_split_cipo,
-    mosi_arr => reg_dp_split_copi_arr,
-    miso_arr => reg_dp_split_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_dp_split_reg_adr_w
+    )
+    port map (
+      mosi     => reg_dp_split_copi,
+      miso     => reg_dp_split_cipo,
+      mosi_arr => reg_dp_split_copi_arr,
+      miso_arr => reg_dp_split_cipo_arr
+    );
 
   -- Rx
   u_common_mem_mux_bsn_monitor_v2_rx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_dp_bsn_monitor_v2_reg_adr_w
-  )
-  port map (
-    mosi     => reg_bsn_monitor_v2_rx_copi,
-    miso     => reg_bsn_monitor_v2_rx_cipo,
-    mosi_arr => reg_bsn_monitor_v2_rx_copi_arr,
-    miso_arr => reg_bsn_monitor_v2_rx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_dp_bsn_monitor_v2_reg_adr_w
+    )
+    port map (
+      mosi     => reg_bsn_monitor_v2_rx_copi,
+      miso     => reg_bsn_monitor_v2_rx_cipo,
+      mosi_arr => reg_bsn_monitor_v2_rx_copi_arr,
+      miso_arr => reg_bsn_monitor_v2_rx_cipo_arr
+    );
 
   u_common_mem_mux_strobe_total_count_rx : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_dp_strobe_total_count_reg_adr_w
-  )
-  port map (
-    mosi     => reg_strobe_total_count_rx_copi,
-    miso     => reg_strobe_total_count_rx_cipo,
-    mosi_arr => reg_strobe_total_count_rx_copi_arr,
-    miso_arr => reg_strobe_total_count_rx_cipo_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_dp_strobe_total_count_reg_adr_w
+    )
+    port map (
+      mosi     => reg_strobe_total_count_rx_copi,
+      miso     => reg_strobe_total_count_rx_cipo,
+      mosi_arr => reg_strobe_total_count_rx_copi_arr,
+      miso_arr => reg_strobe_total_count_rx_cipo_arr
+    );
 
 end str;
diff --git a/libraries/io/eth/src/vhdl/eth_tester_pkg.vhd b/libraries/io/eth/src/vhdl/eth_tester_pkg.vhd
index 233abb3703..7d7de2740d 100644
--- a/libraries/io/eth/src/vhdl/eth_tester_pkg.vhd
+++ b/libraries/io/eth/src/vhdl/eth_tester_pkg.vhd
@@ -23,11 +23,11 @@
 -- Description:
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
 
 package eth_tester_pkg is
 
@@ -64,33 +64,33 @@ package eth_tester_pkg is
   -- Default use destination MAC/IP/UDP = 0, so these have to be MM programmed
   -- before eth_tester packets can be send.
   constant c_eth_tester_hdr_field_arr : t_common_field_arr(c_eth_tester_nof_hdr_fields - 1 downto 0) := (
-      ( field_name_pad("word_align"                              ), "RW", 16, field_default(0) ),  -- Tx TSE IP will strip these 2 padding bytes
-      ( field_name_pad("eth_dst_mac"                             ), "RW", 48, field_default(0) ),  -- c_eth_tester_eth_dst_mac
-      ( field_name_pad("eth_src_mac"                             ), "RW", 48, field_default(0) ),
-      ( field_name_pad("eth_type"                                ), "RW", 16, field_default(x"0800") ),
-
-      ( field_name_pad("ip_version"                              ), "RW",  4, field_default(4) ),
-      ( field_name_pad("ip_header_length"                        ), "RW",  4, field_default(5) ),
-      ( field_name_pad("ip_services"                             ), "RW",  8, field_default(0) ),
-      ( field_name_pad("ip_total_length"                         ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
-      ( field_name_pad("ip_identification"                       ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_flags"                                ), "RW",  3, field_default(2) ),
-      ( field_name_pad("ip_fragment_offset"                      ), "RW", 13, field_default(0) ),
-      ( field_name_pad("ip_time_to_live"                         ), "RW",  8, field_default(127) ),
-      ( field_name_pad("ip_protocol"                             ), "RW",  8, field_default(17) ),
-      ( field_name_pad("ip_header_checksum"                      ), "RW", 16, field_default(0) ),
-      ( field_name_pad("ip_src_addr"                             ), "RW", 32, field_default(0) ),
-      ( field_name_pad("ip_dst_addr"                             ), "RW", 32, field_default(0) ),  -- c_eth_tester_ip_dst_addr
-
-      ( field_name_pad("udp_src_port"                            ), "RW", 16, field_default(0) ),
-      ( field_name_pad("udp_dst_port"                            ), "RW", 16, field_default(0) ),  -- c_eth_tester_udp_dst_port
-      ( field_name_pad("udp_total_length"                        ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
-      ( field_name_pad("udp_checksum"                            ), "RW", 16, field_default(0) ),
-
-      ( field_name_pad("dp_length"                               ), "RW", 16, field_default(0) ),
-      ( field_name_pad("dp_reserved"                             ), "RW", 15, field_default(0) ),
-      ( field_name_pad("dp_sync"                                 ), "RW",  1, field_default(0) ),
-      ( field_name_pad("dp_bsn"                                  ), "RW", 64, field_default(0) )
+    ( field_name_pad("word_align"                              ), "RW", 16, field_default(0) ),  -- Tx TSE IP will strip these 2 padding bytes
+    ( field_name_pad("eth_dst_mac"                             ), "RW", 48, field_default(0) ),  -- c_eth_tester_eth_dst_mac
+    ( field_name_pad("eth_src_mac"                             ), "RW", 48, field_default(0) ),
+    ( field_name_pad("eth_type"                                ), "RW", 16, field_default(x"0800") ),
+
+    ( field_name_pad("ip_version"                              ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"                        ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"                             ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"                         ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
+    ( field_name_pad("ip_identification"                       ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"                                ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"                      ), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"                         ), "RW",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"                             ), "RW",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum"                      ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"                             ), "RW", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"                             ), "RW", 32, field_default(0) ),  -- c_eth_tester_ip_dst_addr
+
+    ( field_name_pad("udp_src_port"                            ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"                            ), "RW", 16, field_default(0) ),  -- c_eth_tester_udp_dst_port
+    ( field_name_pad("udp_total_length"                        ), "RW", 16, field_default(0) ),  -- depends on BG block size, so set by data path
+    ( field_name_pad("udp_checksum"                            ), "RW", 16, field_default(0) ),
+
+    ( field_name_pad("dp_length"                               ), "RW", 16, field_default(0) ),
+    ( field_name_pad("dp_reserved"                             ), "RW", 15, field_default(0) ),
+    ( field_name_pad("dp_sync"                                 ), "RW",  1, field_default(0) ),
+    ( field_name_pad("dp_bsn"                                  ), "RW", 64, field_default(0) )
   );
   constant c_eth_tester_reg_hdr_dat_addr_w    : natural := ceil_log2(field_nof_words(c_eth_tester_hdr_field_arr, c_word_w));  -- = 5
   constant c_eth_tester_reg_hdr_dat_addr_span : natural := 2**c_eth_tester_reg_hdr_dat_addr_w;  -- = 32
@@ -127,22 +127,22 @@ package eth_tester_pkg is
   end record;
 
   -- Map global node index on UniBoard2 to node src MAC, IP and UDP port
-  function func_eth_tester_gn_index_to_mac_15_0(gn_index : natural; eth_port_index : natural) return std_logic_vector;
-  function func_eth_tester_gn_index_to_mac_15_0(gn_index : natural) return std_logic_vector;  -- default use 1GbE port I
-  function func_eth_tester_gn_index_to_ip_15_0(gn_index : natural; eth_port_index : natural) return std_logic_vector;
-  function func_eth_tester_gn_index_to_ip_15_0(gn_index : natural) return std_logic_vector;  -- default use 1GbE port I
-  function func_eth_tester_gn_index_to_udp_7_0(gn_index : natural; eth_port_index : natural) return std_logic_vector;
-  function func_eth_tester_gn_index_to_udp_7_0(gn_index : natural) return std_logic_vector;  -- default use 1GbE port I
+  function func_eth_tester_gn_index_to_mac_15_0 (gn_index : natural; eth_port_index : natural) return std_logic_vector;
+  function func_eth_tester_gn_index_to_mac_15_0 (gn_index : natural) return std_logic_vector;  -- default use 1GbE port I
+  function func_eth_tester_gn_index_to_ip_15_0 (gn_index : natural; eth_port_index : natural) return std_logic_vector;
+  function func_eth_tester_gn_index_to_ip_15_0 (gn_index : natural) return std_logic_vector;  -- default use 1GbE port I
+  function func_eth_tester_gn_index_to_udp_7_0 (gn_index : natural; eth_port_index : natural) return std_logic_vector;
+  function func_eth_tester_gn_index_to_udp_7_0 (gn_index : natural) return std_logic_vector;  -- default use 1GbE port I
 
   -- Map packet header fields to t_eth_tester_header record
-  function func_eth_tester_map_header(hdr_fields_raw : std_logic_vector) return t_eth_tester_header;
+  function func_eth_tester_map_header (hdr_fields_raw : std_logic_vector) return t_eth_tester_header;
 
 end eth_tester_pkg;
 
 
 package body eth_tester_pkg is
 
-  function func_eth_tester_gn_index_to_mac_15_0(gn_index : natural; eth_port_index : natural) return std_logic_vector is
+  function func_eth_tester_gn_index_to_mac_15_0 (gn_index : natural; eth_port_index : natural) return std_logic_vector is
     -- Assume gn_index < 256.
     -- Use default address for 1GbE II (eth_port_index = 0) and
     -- an address offset for 1GbE II (eth_port_index = 1)
@@ -154,13 +154,13 @@ package body eth_tester_pkg is
     return c_mac_15_0;
   end func_eth_tester_gn_index_to_mac_15_0;
 
-  function func_eth_tester_gn_index_to_mac_15_0(gn_index : natural) return std_logic_vector is
+  function func_eth_tester_gn_index_to_mac_15_0 (gn_index : natural) return std_logic_vector is
   begin
     return func_eth_tester_gn_index_to_mac_15_0(gn_index, 0);  -- default use 1GbE port I
   end func_eth_tester_gn_index_to_mac_15_0;
 
 
-  function func_eth_tester_gn_index_to_ip_15_0(gn_index : natural; eth_port_index : natural) return std_logic_vector is
+  function func_eth_tester_gn_index_to_ip_15_0 (gn_index : natural; eth_port_index : natural) return std_logic_vector is
     -- Assume gn_index < 256.
     -- Use default address for 1GbE II (eth_port_index = 0) and
     -- an address offset for 1GbE II (eth_port_index = 1)
@@ -172,13 +172,13 @@ package body eth_tester_pkg is
     return c_ip_15_0;
   end func_eth_tester_gn_index_to_ip_15_0;
 
-  function func_eth_tester_gn_index_to_ip_15_0(gn_index : natural) return std_logic_vector is
+  function func_eth_tester_gn_index_to_ip_15_0 (gn_index : natural) return std_logic_vector is
   begin
     return func_eth_tester_gn_index_to_ip_15_0(gn_index, 0);  -- default use 1GbE port I
   end func_eth_tester_gn_index_to_ip_15_0;
 
 
-  function func_eth_tester_gn_index_to_udp_7_0(gn_index : natural; eth_port_index : natural) return std_logic_vector is
+  function func_eth_tester_gn_index_to_udp_7_0 (gn_index : natural; eth_port_index : natural) return std_logic_vector is
     -- Assume gn_index < 128.
     -- Use default udp port for 1GbE I (eth_port_index = 0) and
     -- an increment udp port for 1GbE II (eth_port_index = 1)
@@ -188,13 +188,13 @@ package body eth_tester_pkg is
     return c_udp_7_0;
   end func_eth_tester_gn_index_to_udp_7_0;
 
-  function func_eth_tester_gn_index_to_udp_7_0(gn_index : natural) return std_logic_vector is
+  function func_eth_tester_gn_index_to_udp_7_0 (gn_index : natural) return std_logic_vector is
   begin
     return func_eth_tester_gn_index_to_udp_7_0(gn_index, 0);  -- default use 1GbE port I
   end func_eth_tester_gn_index_to_udp_7_0;
 
 
-  function func_eth_tester_map_header(hdr_fields_raw : std_logic_vector) return t_eth_tester_header is
+  function func_eth_tester_map_header (hdr_fields_raw : std_logic_vector) return t_eth_tester_header is
     variable v : t_eth_tester_header;
   begin
     -- eth header
diff --git a/libraries/io/eth/src/vhdl/eth_tester_rx.vhd b/libraries/io/eth/src/vhdl/eth_tester_rx.vhd
index b1baa38095..a277359f10 100644
--- a/libraries/io/eth/src/vhdl/eth_tester_rx.vhd
+++ b/libraries/io/eth/src/vhdl/eth_tester_rx.vhd
@@ -25,15 +25,15 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+ETH+tester+unit+for+1GbE
 
 library IEEE, common_lib, dp_lib, diag_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use work.eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use work.eth_tester_pkg.all;
 
 entity eth_tester_rx is
   generic (
@@ -43,7 +43,7 @@ entity eth_tester_rx is
     g_use_dp_header    : boolean  := true;
     g_hdr_field_arr    : t_common_field_arr := c_eth_tester_hdr_field_arr;
     g_remove_crc       : boolean := true  -- use TRUE when using sim_tse and tech_tse link interface,
-                                          -- use FALSE when streaming link interface
+  -- use FALSE when streaming link interface
   );
   port (
     -- Clocks and reset
@@ -119,27 +119,27 @@ begin
   -------------------------------------------------------------------------------
 
   u_dp_offload_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams   => 1,
-    g_data_w        => c_in_data_w,
-    g_symbol_w      => c_octet_w,
-    g_hdr_field_arr => g_hdr_field_arr,
-    g_remove_crc    => g_remove_crc,
-    g_crc_nof_words => 1
-  )
-  port map (
-    mm_rst    => mm_rst,
-    mm_clk    => mm_clk,
-
-    dp_rst    => st_rst,
-    dp_clk    => st_clk,
-
-    snk_in_arr(0)         => rx_udp_sosi,
-    src_out_arr(0)        => rx_offload_sosi,
-
-    hdr_fields_out_arr(0) => hdr_fields_out_slv,  -- Valid at src_out_arr(i).sop, use for sosi.sync
-    hdr_fields_raw_arr(0) => hdr_fields_raw_slv  -- Valid at src_out_arr(i).sop and beyond, use for sosi.bsn
-  );
+    generic map (
+      g_nof_streams   => 1,
+      g_data_w        => c_in_data_w,
+      g_symbol_w      => c_octet_w,
+      g_hdr_field_arr => g_hdr_field_arr,
+      g_remove_crc    => g_remove_crc,
+      g_crc_nof_words => 1
+    )
+    port map (
+      mm_rst    => mm_rst,
+      mm_clk    => mm_clk,
+
+      dp_rst    => st_rst,
+      dp_clk    => st_clk,
+
+      snk_in_arr(0)         => rx_udp_sosi,
+      src_out_arr(0)        => rx_offload_sosi,
+
+      hdr_fields_out_arr(0) => hdr_fields_out_slv,  -- Valid at src_out_arr(i).sop, use for sosi.sync
+      hdr_fields_raw_arr(0) => hdr_fields_raw_slv  -- Valid at src_out_arr(i).sop and beyond, use for sosi.bsn
+    );
 
   -- View record in Wave Window
   hdr_fields_out_rec <= func_eth_tester_map_header(hdr_fields_out_slv);
@@ -172,70 +172,70 @@ begin
   -- synthesis translate_on
 
   u_rx_fifo : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_data_w         => c_in_data_w,
-    g_bsn_w          => c_diag_bg_bsn_init_w,  -- = 64 bit
-    g_empty_w        => c_empty_w,
-    g_error_w        => c_error_w,
-    g_use_bsn        => true,
-    g_use_empty      => true,
-    g_use_sync       => true,
-    g_use_error      => true,
-    g_fifo_size      => c_fifo_size
-  )
-  port map (
-    rst         => st_rst,
-    clk         => st_clk,
-    -- Monitor FIFO filling
-    wr_ful      => rx_fifo_wr_ful,
-    usedw       => rx_fifo_usedw,
-    -- ST sink
-    snk_in      => decoded_sosi,
-    -- ST source
-    src_in      => rx_fifo_siso,
-    src_out     => rx_fifo_sosi
-  );
+    generic map (
+      g_data_w         => c_in_data_w,
+      g_bsn_w          => c_diag_bg_bsn_init_w,  -- = 64 bit
+      g_empty_w        => c_empty_w,
+      g_error_w        => c_error_w,
+      g_use_bsn        => true,
+      g_use_empty      => true,
+      g_use_sync       => true,
+      g_use_error      => true,
+      g_fifo_size      => c_fifo_size
+    )
+    port map (
+      rst         => st_rst,
+      clk         => st_clk,
+      -- Monitor FIFO filling
+      wr_ful      => rx_fifo_wr_ful,
+      usedw       => rx_fifo_usedw,
+      -- ST sink
+      snk_in      => decoded_sosi,
+      -- ST source
+      src_in      => rx_fifo_siso,
+      src_out     => rx_fifo_sosi
+    );
 
   u_unpack : entity dp_lib.dp_repack_data
-  generic map (
-    g_in_dat_w       => c_in_data_w,
-    g_in_nof_words   => 1,
-    g_in_symbol_w    => c_octet_w,
-    g_out_dat_w      => c_repack_data_w,
-    g_out_nof_words  => c_nof_repack_words,
-    g_out_symbol_w   => c_octet_w
-  )
-  port map (
-    rst              => st_rst,
-    clk              => st_clk,
-    snk_out          => rx_fifo_siso,
-    snk_in           => rx_fifo_sosi,
-    src_out          => unpacked_sosi
-  );
+    generic map (
+      g_in_dat_w       => c_in_data_w,
+      g_in_nof_words   => 1,
+      g_in_symbol_w    => c_octet_w,
+      g_out_dat_w      => c_repack_data_w,
+      g_out_nof_words  => c_nof_repack_words,
+      g_out_symbol_w   => c_octet_w
+    )
+    port map (
+      rst              => st_rst,
+      clk              => st_clk,
+      snk_out          => rx_fifo_siso,
+      snk_in           => rx_fifo_sosi,
+      src_out          => unpacked_sosi
+    );
 
   -------------------------------------------------------------------------------
   -- Rx packet monitors
   -------------------------------------------------------------------------------
 
   u_mms_dp_bsn_monitor_v2 : entity dp_lib.mms_dp_bsn_monitor_v2
-  generic map (
-    g_nof_streams  => 1,
-    g_sync_timeout => g_bg_sync_timeout
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    reg_mosi       => reg_bsn_monitor_v2_rx_copi,
-    reg_miso       => reg_bsn_monitor_v2_rx_cipo,
-
-    -- Streaming clock domain
-    dp_rst         => st_rst,
-    dp_clk         => st_clk,
-    ref_sync       => ref_sync,
-
-    in_sosi_arr(0) => unpacked_sosi
-  );
+    generic map (
+      g_nof_streams  => 1,
+      g_sync_timeout => g_bg_sync_timeout
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      reg_mosi       => reg_bsn_monitor_v2_rx_copi,
+      reg_miso       => reg_bsn_monitor_v2_rx_cipo,
+
+      -- Streaming clock domain
+      dp_rst         => st_rst,
+      dp_clk         => st_clk,
+      ref_sync       => ref_sync,
+
+      in_sosi_arr(0) => unpacked_sosi
+    );
 
   -- Rx CRC result is available at last octet
   p_crc_corrupt : process(st_clk)
@@ -253,23 +253,23 @@ begin
   in_strobe_arr(2) <= crc_corrupt;  -- count total nof corrupted Rx packets
 
   u_dp_strobe_total_count : entity dp_lib.dp_strobe_total_count
-  generic map (
-    g_nof_counts  => c_nof_total_counts,
-    g_count_w     => c_longword_w,
-    g_clip        => true
-  )
-  port map (
-    dp_rst        => st_rst,
-    dp_clk        => st_clk,
-
-    ref_sync      => unpacked_sosi.sync,
-    in_strobe_arr => in_strobe_arr,
-
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    reg_mosi      => reg_strobe_total_count_rx_copi,
-    reg_miso      => reg_strobe_total_count_rx_cipo
-  );
+    generic map (
+      g_nof_counts  => c_nof_total_counts,
+      g_count_w     => c_longword_w,
+      g_clip        => true
+    )
+    port map (
+      dp_rst        => st_rst,
+      dp_clk        => st_clk,
+
+      ref_sync      => unpacked_sosi.sync,
+      in_strobe_arr => in_strobe_arr,
+
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      reg_mosi      => reg_strobe_total_count_rx_copi,
+      reg_miso      => reg_strobe_total_count_rx_cipo
+    );
 
 end str;
diff --git a/libraries/io/eth/src/vhdl/eth_tester_tx.vhd b/libraries/io/eth/src/vhdl/eth_tester_tx.vhd
index 43d049dcd8..508897db27 100644
--- a/libraries/io/eth/src/vhdl/eth_tester_tx.vhd
+++ b/libraries/io/eth/src/vhdl/eth_tester_tx.vhd
@@ -34,14 +34,14 @@
 --   it can be repacked.
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.eth_tester_pkg.all;
 
 entity eth_tester_tx is
   generic (
@@ -168,27 +168,27 @@ begin
   -- Generate packed data blocks
   -------------------------------------------------------------------------------
   u_bg : entity diag_lib.mms_diag_block_gen
-  generic map (
-    g_nof_streams        => 1,
-    g_use_bg_buffer_ram  => false,
-    g_buf_addr_w         => c_diag_bg_mem_adrs_w,  -- = 24, use full range 2**24 for BG addr --> data values
-    g_buf_dat_w          => c_octet_w
-  )
-  port map (
-    -- System
-    mm_rst  => mm_rst,
-    mm_clk  => mm_clk,
-    dp_rst  => st_rst,
-    dp_clk  => st_clk,
-    en_sync => st_pps,  -- block generator enable sync pulse in ST clock domain
-    -- MM interface
-    reg_bg_ctrl_mosi    => reg_bg_ctrl_copi,  -- BG control register (one for all streams)
-    reg_bg_ctrl_miso    => reg_bg_ctrl_cipo,
-    -- ST interface
-    bg_ctrl_hold_arr(0) => bg_ctrl_hold,
-    out_sosi_arr(0)     => bg_sosi,
-    out_siso_arr(0)     => bg_siso
-  );
+    generic map (
+      g_nof_streams        => 1,
+      g_use_bg_buffer_ram  => false,
+      g_buf_addr_w         => c_diag_bg_mem_adrs_w,  -- = 24, use full range 2**24 for BG addr --> data values
+      g_buf_dat_w          => c_octet_w
+    )
+    port map (
+      -- System
+      mm_rst  => mm_rst,
+      mm_clk  => mm_clk,
+      dp_rst  => st_rst,
+      dp_clk  => st_clk,
+      en_sync => st_pps,  -- block generator enable sync pulse in ST clock domain
+      -- MM interface
+      reg_bg_ctrl_mosi    => reg_bg_ctrl_copi,  -- BG control register (one for all streams)
+      reg_bg_ctrl_miso    => reg_bg_ctrl_cipo,
+      -- ST interface
+      bg_ctrl_hold_arr(0) => bg_ctrl_hold,
+      out_sosi_arr(0)     => bg_sosi,
+      out_siso_arr(0)     => bg_siso
+    );
 
   -- Duplicate bg_sosi.data g_nof_octets_generate times
   p_duplicate : process(bg_sosi)
@@ -221,28 +221,28 @@ begin
   -- dp_split sets the empty field to indicate the unused bytes in the last word.
   gen_split : if c_use_split generate
     u_mms_dp_split : entity dp_lib.mms_dp_split
-    generic map (
-      g_data_w          => c_generate_data_w,
-      g_symbol_w        => c_octet_w,
-      g_nof_symbols_max => c_nof_symbols_max
-    )
-    port map (
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      dp_rst             => st_rst,
-      dp_clk             => st_clk,
-
-      reg_mosi           => reg_dp_split_copi,
-      reg_miso           => reg_dp_split_cipo,
-
-      snk_in_arr(0)      => in_split_sosi,
-      snk_out_arr(0)     => in_split_siso,
-
-      src_in_2arr(0)     => out_split_siso_arr,
-      src_out_2arr(0)    => out_split_sosi_arr,
-
-      out_nof_symbols(0) => split_nof_symbols
-    );
+      generic map (
+        g_data_w          => c_generate_data_w,
+        g_symbol_w        => c_octet_w,
+        g_nof_symbols_max => c_nof_symbols_max
+      )
+      port map (
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        dp_rst             => st_rst,
+        dp_clk             => st_clk,
+
+        reg_mosi           => reg_dp_split_copi,
+        reg_miso           => reg_dp_split_cipo,
+
+        snk_in_arr(0)      => in_split_sosi,
+        snk_out_arr(0)     => in_split_siso,
+
+        src_in_2arr(0)     => out_split_siso_arr,
+        src_out_2arr(0)    => out_split_sosi_arr,
+
+        out_nof_symbols(0) => split_nof_symbols
+      );
   end generate;
 
   gen_no_split : if not c_use_split generate
@@ -251,48 +251,48 @@ begin
   end generate;
 
   u_pack : entity dp_lib.dp_repack_data  -- repack generated words to output width.
-  generic map (
-    g_in_dat_w       => c_generate_data_w,
-    g_in_nof_words   => c_nof_repack_words,
-    g_in_symbol_w    => c_octet_w,
-    g_out_dat_w      => c_out_data_w,
-    g_out_nof_words  => 1,
-    g_out_symbol_w   => c_octet_w
-  )
-  port map (
-    rst              => st_rst,
-    clk              => st_clk,
-    snk_out          => out_split_siso_arr(1),  -- connect dp_split head part, tail is discarded.
-    snk_in           => out_split_sosi_arr(1),
-    src_out          => tx_packed_sosi
-  );
+    generic map (
+      g_in_dat_w       => c_generate_data_w,
+      g_in_nof_words   => c_nof_repack_words,
+      g_in_symbol_w    => c_octet_w,
+      g_out_dat_w      => c_out_data_w,
+      g_out_nof_words  => 1,
+      g_out_symbol_w   => c_octet_w
+    )
+    port map (
+      rst              => st_rst,
+      clk              => st_clk,
+      snk_out          => out_split_siso_arr(1),  -- connect dp_split head part, tail is discarded.
+      snk_in           => out_split_sosi_arr(1),
+      src_out          => tx_packed_sosi
+    );
 
   u_tx_fifo : entity dp_lib.dp_fifo_fill_eop
-  generic map (
-    g_data_w         => c_out_data_w,
-    g_bsn_w          => c_diag_bg_bsn_init_w,  -- = 64 bit
-    g_empty_w        => c_empty_w,
-    g_use_bsn        => true,
-    g_use_empty      => true,
-    g_use_sync       => true,
-    g_fifo_fill      => c_fifo_fill,
-    g_fifo_size      => c_fifo_size
-  )
-  port map (
-    wr_rst       => st_rst,
-    wr_clk       => st_clk,
-    rd_rst       => st_rst,
-    rd_clk       => st_clk,
-    -- Monitor FIFO filling
-    wr_ful       => tx_fifo_wr_ful,
-    wr_usedw     => tx_fifo_wr_usedw,
-    rd_emp       => i_tx_fifo_rd_emp,
-    -- ST sink
-    snk_in       => tx_packed_sosi,
-    -- ST source
-    src_in       => tx_fifo_siso,
-    src_out      => tx_fifo_sosi
-  );
+    generic map (
+      g_data_w         => c_out_data_w,
+      g_bsn_w          => c_diag_bg_bsn_init_w,  -- = 64 bit
+      g_empty_w        => c_empty_w,
+      g_use_bsn        => true,
+      g_use_empty      => true,
+      g_use_sync       => true,
+      g_fifo_fill      => c_fifo_fill,
+      g_fifo_size      => c_fifo_size
+    )
+    port map (
+      wr_rst       => st_rst,
+      wr_clk       => st_clk,
+      rd_rst       => st_rst,
+      rd_clk       => st_clk,
+      -- Monitor FIFO filling
+      wr_ful       => tx_fifo_wr_ful,
+      wr_usedw     => tx_fifo_wr_usedw,
+      rd_emp       => i_tx_fifo_rd_emp,
+      -- ST sink
+      snk_in       => tx_packed_sosi,
+      -- ST source
+      src_in       => tx_fifo_siso,
+      src_out      => tx_fifo_sosi
+    );
 
   -------------------------------------------------------------------------------
   -- Assemble header info
@@ -364,32 +364,32 @@ begin
   -- Tx ETH/UDP/IP packets with packed BG data
   -------------------------------------------------------------------------------
   u_dp_offload_tx : entity dp_lib.dp_offload_tx_v3
-  generic map (
-    g_nof_streams    => 1,
-    g_data_w         => c_out_data_w,
-    g_symbol_w       => c_octet_w,
-    g_hdr_field_arr  => g_hdr_field_arr,
-    g_hdr_field_sel  => g_hdr_field_sel,
-    g_pipeline_ready => true
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-    dp_rst                => st_rst,
-    dp_clk                => st_clk,
-
-    reg_hdr_dat_mosi      => reg_hdr_dat_copi,
-    reg_hdr_dat_miso      => reg_hdr_dat_cipo,
-
-    snk_in_arr(0)         => tx_fifo_sosi,
-    snk_out_arr(0)        => tx_fifo_siso,
-
-    src_out_arr(0)        => tx_offload_sosi,
-    src_in_arr(0)         => tx_offload_siso,
-
-    hdr_fields_in_arr(0)  => hdr_fields_slv_in,  -- hdr_fields_slv_in_arr(i) is considered valid @ snk_in_arr(i).sop
-    hdr_fields_out_arr(0) => hdr_fields_slv_tx
-  );
+    generic map (
+      g_nof_streams    => 1,
+      g_data_w         => c_out_data_w,
+      g_symbol_w       => c_octet_w,
+      g_hdr_field_arr  => g_hdr_field_arr,
+      g_hdr_field_sel  => g_hdr_field_sel,
+      g_pipeline_ready => true
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+      dp_rst                => st_rst,
+      dp_clk                => st_clk,
+
+      reg_hdr_dat_mosi      => reg_hdr_dat_copi,
+      reg_hdr_dat_miso      => reg_hdr_dat_cipo,
+
+      snk_in_arr(0)         => tx_fifo_sosi,
+      snk_out_arr(0)        => tx_fifo_siso,
+
+      src_out_arr(0)        => tx_offload_sosi,
+      src_in_arr(0)         => tx_offload_siso,
+
+      hdr_fields_in_arr(0)  => hdr_fields_slv_in,  -- hdr_fields_slv_in_arr(i) is considered valid @ snk_in_arr(i).sop
+      hdr_fields_out_arr(0) => hdr_fields_slv_tx
+    );
 
   -- View record in Wave Window
   hdr_fields_rec_in <= func_eth_tester_map_header(hdr_fields_slv_in);
@@ -400,22 +400,22 @@ begin
   -------------------------------------------------------------------------------
   gen_ip_crc : if c_hdr_calc_ip_crc generate
     u_eth_ip_header_checksum : entity work.eth_ip_header_checksum
-    generic map (
-      g_data_w        => c_out_data_w,
-      g_hdr_field_arr => g_hdr_field_arr
-    )
-    port map (
-      rst               => st_rst,
-      clk               => st_clk,
-  
-      snk_in            => tx_offload_sosi,
-      snk_out           => tx_offload_siso, 
-  
-      src_out           => tx_offload_frame_sosi,
-      src_in            => tx_offload_frame_siso, 
-  
-      hdr_fields_slv_in => hdr_fields_slv_tx
-    );
+      generic map (
+        g_data_w        => c_out_data_w,
+        g_hdr_field_arr => g_hdr_field_arr
+      )
+      port map (
+        rst               => st_rst,
+        clk               => st_clk,
+
+        snk_in            => tx_offload_sosi,
+        snk_out           => tx_offload_siso,
+
+        src_out           => tx_offload_frame_sosi,
+        src_in            => tx_offload_frame_siso,
+
+        hdr_fields_slv_in => hdr_fields_slv_tx
+      );
   end generate;
 
   gen_no_ip_crc : if not c_hdr_calc_ip_crc generate
@@ -427,15 +427,15 @@ begin
   -- dp_pipeline_ready to ease timing closure
   -------------------------------------------------------------------------------
   u_dp_pipeline_ready : entity dp_lib.dp_pipeline_ready
-  port map(
-    rst     => st_rst,
-    clk     => st_clk,
-
-    snk_out => tx_offload_frame_siso,
-    snk_in  => tx_offload_frame_sosi,
-    src_in  => tx_udp_siso,
-    src_out => i_tx_udp_sosi
-  );
+    port map(
+      rst     => st_rst,
+      clk     => st_clk,
+
+      snk_out => tx_offload_frame_siso,
+      snk_in  => tx_offload_frame_sosi,
+      src_in  => tx_udp_siso,
+      src_out => i_tx_udp_sosi
+    );
 
 
   -------------------------------------------------------------------------------
@@ -444,46 +444,46 @@ begin
   i_ref_sync <= tx_fifo_sosi.sync when rising_edge(st_clk);
 
   u_mms_dp_bsn_monitor_v2 : entity dp_lib.mms_dp_bsn_monitor_v2
-  generic map (
-    g_nof_streams  => 1,
-    g_sync_timeout => g_bg_sync_timeout
-  )
-  port map (
-    -- Memory-mapped clock domain
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    reg_mosi       => reg_bsn_monitor_v2_tx_copi,
-    reg_miso       => reg_bsn_monitor_v2_tx_cipo,
-
-    -- Streaming clock domain
-    dp_rst         => st_rst,
-    dp_clk         => st_clk,
-    ref_sync       => i_ref_sync,
-
-    in_siso_arr(0) => tx_fifo_siso,
-    in_sosi_arr(0) => tx_fifo_sosi
-  );
+    generic map (
+      g_nof_streams  => 1,
+      g_sync_timeout => g_bg_sync_timeout
+    )
+    port map (
+      -- Memory-mapped clock domain
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      reg_mosi       => reg_bsn_monitor_v2_tx_copi,
+      reg_miso       => reg_bsn_monitor_v2_tx_cipo,
+
+      -- Streaming clock domain
+      dp_rst         => st_rst,
+      dp_clk         => st_clk,
+      ref_sync       => i_ref_sync,
+
+      in_siso_arr(0) => tx_fifo_siso,
+      in_sosi_arr(0) => tx_fifo_sosi
+    );
 
   in_strobe_arr(0) <= tx_fifo_sosi.sop when rising_edge(st_clk);  -- count total nof Tx packets
 
   u_dp_strobe_total_count : entity dp_lib.dp_strobe_total_count
-  generic map (
-    g_nof_counts  => c_nof_total_counts,
-    g_count_w     => c_longword_w,
-    g_clip        => true
-  )
-  port map (
-    dp_rst        => st_rst,
-    dp_clk        => st_clk,
-
-    ref_sync      => i_ref_sync,
-    in_strobe_arr => in_strobe_arr,
-
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-
-    reg_mosi      => reg_strobe_total_count_tx_copi,
-    reg_miso      => reg_strobe_total_count_tx_cipo
-  );
+    generic map (
+      g_nof_counts  => c_nof_total_counts,
+      g_count_w     => c_longword_w,
+      g_clip        => true
+    )
+    port map (
+      dp_rst        => st_rst,
+      dp_clk        => st_clk,
+
+      ref_sync      => i_ref_sync,
+      in_strobe_arr => in_strobe_arr,
+
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+
+      reg_mosi      => reg_strobe_total_count_tx_copi,
+      reg_miso      => reg_strobe_total_count_tx_cipo
+    );
 
 end str;
diff --git a/libraries/io/eth/src/vhdl/eth_udp_channel.vhd b/libraries/io/eth/src/vhdl/eth_udp_channel.vhd
index 8ba3e39255..def114e8ef 100644
--- a/libraries/io/eth/src/vhdl/eth_udp_channel.vhd
+++ b/libraries/io/eth/src/vhdl/eth_udp_channel.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 entity eth_udp_channel is
   port (
diff --git a/libraries/io/eth/tb/vhdl/tb_eth.vhd b/libraries/io/eth/tb/vhdl/tb_eth.vhd
index f072da9d92..23aba66cc5 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth.vhd
@@ -41,19 +41,19 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use WORK.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use WORK.eth_pkg.all;
 
 
 entity tb_eth is
@@ -96,7 +96,7 @@ architecture tb of tb_eth is
   -- Payload user data
   constant c_tb_nof_data        : natural := 0;  -- nof UDP user data, nof ping padding data
   constant c_tb_ip_nof_data     : natural := c_network_udp_header_len + c_tb_nof_data;  -- nof IP data,
-                                          -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
+  -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
   constant c_tb_reply_payload   : boolean := true;  -- TRUE copy rx payload into response payload, else header only (e.g. for ARP)
 
   -- Packet headers
@@ -109,15 +109,21 @@ architecture tb of tb_eth is
   --                                                             symbols   counter               ARP=0x806               IP=0x800               IP=0x800
   constant c_dut_ethertype      : natural := sel_n(g_data_type, 16#07F0#, 16#07F1#, c_network_eth_type_arp, c_network_eth_type_ip, c_network_eth_type_ip);
 
-  constant c_tx_eth_header      : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w));
-  constant c_discard_eth_header : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w));
-  constant c_exp_eth_header     : t_network_eth_header := (dst_mac    => c_tx_eth_header.src_mac,  -- \/
-                                                           src_mac    => c_tx_eth_header.dst_mac,  -- /\
-                                                           eth_type   => c_tx_eth_header.eth_type);  -- =
+  constant c_tx_eth_header      : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w)
+  );
+  constant c_discard_eth_header : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w)
+  );
+  constant c_exp_eth_header     : t_network_eth_header := (
+    dst_mac    => c_tx_eth_header.src_mac,  -- \/
+    src_mac    => c_tx_eth_header.dst_mac,  -- /\
+    eth_type   => c_tx_eth_header.eth_type
+  );  -- =
 
   -- . IP header
   constant c_lcu_ip_addr        : natural := 16#05060708#;  -- = 05:06:07:08
@@ -128,68 +134,80 @@ architecture tb of tb_eth is
   --                                                          symbols counter  ARP                      ping=1                     UDP=17
   constant c_tb_ip_protocol     : natural := sel_n(g_data_type,    13,     14,  15, c_network_ip_protocol_icmp, c_network_ip_protocol_udp);
 
-  constant c_tx_ip_header       : t_network_ip_header := (version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
-                                                          header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
-                                                          services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
-                                                          total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
-                                                          identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
-                                                          flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
-                                                          fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
-                                                          time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
-                                                          protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
-                                                          header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
-                                                          src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
-                                                          dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w));
-
-  constant c_exp_ip_header      : t_network_ip_header := (version         => c_tx_ip_header.version,  -- =
-                                                          header_length   => c_tx_ip_header.header_length,  -- =
-                                                          services        => c_tx_ip_header.services,  -- =
-                                                          total_length    => c_tx_ip_header.total_length,  -- =
-                                                          identification  => c_tx_ip_header.identification,  -- =
-                                                          flags           => c_tx_ip_header.flags,  -- =
-                                                          fragment_offset => c_tx_ip_header.fragment_offset,  -- =
-                                                          time_to_live    => c_tx_ip_header.time_to_live,  -- =
-                                                          protocol        => c_tx_ip_header.protocol,  -- =
-                                                          header_checksum => c_tx_ip_header.header_checksum,  -- init value
-                                                          src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
-                                                          dst_ip_addr     => c_tx_ip_header.src_ip_addr);  -- /\
+  constant c_tx_ip_header       : t_network_ip_header := (
+    version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
+    header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
+    services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
+    total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
+    identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
+    flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
+    fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
+    time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
+    protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
+    header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
+    src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
+    dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w)
+  );
+
+  constant c_exp_ip_header      : t_network_ip_header := (
+    version         => c_tx_ip_header.version,  -- =
+    header_length   => c_tx_ip_header.header_length,  -- =
+    services        => c_tx_ip_header.services,  -- =
+    total_length    => c_tx_ip_header.total_length,  -- =
+    identification  => c_tx_ip_header.identification,  -- =
+    flags           => c_tx_ip_header.flags,  -- =
+    fragment_offset => c_tx_ip_header.fragment_offset,  -- =
+    time_to_live    => c_tx_ip_header.time_to_live,  -- =
+    protocol        => c_tx_ip_header.protocol,  -- =
+    header_checksum => c_tx_ip_header.header_checksum,  -- init value
+    src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
+    dst_ip_addr     => c_tx_ip_header.src_ip_addr
+  );  -- /\
 
   -- . ARP packet
-  constant c_tx_arp_packet      : t_network_arp_packet := (htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
-                                                           ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
-                                                           hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
-                                                           plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
-                                                           oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
-                                                           sha   => c_lcu_src_mac,
-                                                           spa   => TO_UVEC(c_lcu_ip_addr,              c_network_ip_addr_w),
-                                                           tha   => c_dut_src_mac,
-                                                           tpa   => TO_UVEC(c_dut_ip_addr,              c_network_ip_addr_w));
-
-  constant c_exp_arp_packet     : t_network_arp_packet := (htype => c_tx_arp_packet.htype,
-                                                           ptype => c_tx_arp_packet.ptype,
-                                                           hlen  => c_tx_arp_packet.hlen,
-                                                           plen  => c_tx_arp_packet.plen,
-                                                           oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
-                                                           sha   => c_tx_arp_packet.tha,  -- \/
-                                                           spa   => c_tx_arp_packet.tpa,  -- /\  \/
-                                                           tha   => c_tx_arp_packet.sha,  -- /  \ /\
-                                                           tpa   => c_tx_arp_packet.spa);  -- /  \
+  constant c_tx_arp_packet      : t_network_arp_packet := (
+    htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
+    ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
+    hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
+    plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
+    oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
+    sha   => c_lcu_src_mac,
+    spa   => TO_UVEC(c_lcu_ip_addr,              c_network_ip_addr_w),
+    tha   => c_dut_src_mac,
+    tpa   => TO_UVEC(c_dut_ip_addr,              c_network_ip_addr_w)
+  );
+
+  constant c_exp_arp_packet     : t_network_arp_packet := (
+    htype => c_tx_arp_packet.htype,
+    ptype => c_tx_arp_packet.ptype,
+    hlen  => c_tx_arp_packet.hlen,
+    plen  => c_tx_arp_packet.plen,
+    oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
+    sha   => c_tx_arp_packet.tha,  -- \/
+    spa   => c_tx_arp_packet.tpa,  -- /\  \/
+    tha   => c_tx_arp_packet.sha,  -- /  \ /\
+    tpa   => c_tx_arp_packet.spa
+  );  -- /  \
 
   -- . ICMP header
-  constant c_tx_icmp_header      : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
-                                                             code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
-                                                             checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
-                                                             id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
-                                                             sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w));
+  constant c_tx_icmp_header      : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
+    code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
+    checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
+    id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
+    sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w)
+  );
 
   -- checksum is 0x0800 + original checksum
   constant c_exp_icmp_checksum   : std_logic_vector(c_network_icmp_checksum_w - 1 downto 0) := TO_UVEC( 2048 + TO_UINT(c_tx_icmp_header.checksum), c_network_icmp_checksum_w);
 
-  constant c_exp_icmp_header     : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
-                                                             code     => c_tx_icmp_header.code,
-                                                             checksum => c_exp_icmp_checksum,
-                                                             id       => c_tx_icmp_header.id,
-                                                             sequence => c_tx_icmp_header.sequence);
+  constant c_exp_icmp_header     : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
+    code     => c_tx_icmp_header.code,
+    checksum => c_exp_icmp_checksum,
+    id       => c_tx_icmp_header.id,
+    sequence => c_tx_icmp_header.sequence
+  );
 
   -- . UDP header
   constant c_dut_udp_port_ctrl   : natural := 11;  -- ETH demux UDP for control
@@ -200,15 +218,19 @@ architecture tb of tb_eth is
   constant c_lcu_udp_port        : natural := 10;  -- UDP port used for src_port
   constant c_dut_udp_port_st     : natural := c_dut_udp_port_st0;  -- UDP port used for dst_port
   constant c_tb_udp_total_length : natural := c_network_udp_total_length + c_tb_nof_data;
-  constant c_tx_udp_header       : t_network_udp_header := (src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
-                                                            dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
-                                                            total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
-                                                            checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w));  -- init value
-
-  constant c_exp_udp_header      : t_network_udp_header := (src_port     => c_tx_udp_header.dst_port,  -- \/
-                                                            dst_port     => c_tx_udp_header.src_port,  -- /\
-                                                            total_length => c_tx_udp_header.total_length,  -- =
-                                                            checksum     => c_tx_udp_header.checksum);  -- init value
+  constant c_tx_udp_header       : t_network_udp_header := (
+    src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
+    dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
+    total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
+    checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w)
+  );  -- init value
+
+  constant c_exp_udp_header      : t_network_udp_header := (
+    src_port     => c_tx_udp_header.dst_port,  -- \/
+    dst_port     => c_tx_udp_header.src_port,  -- /\
+    total_length => c_tx_udp_header.total_length,  -- =
+    checksum     => c_tx_udp_header.checksum
+  );  -- init value
 
   signal tx_total_header     : t_network_total_header;  -- transmitted packet header
   signal discard_total_header: t_network_total_header;  -- transmitted packet header for to be discarded packet
@@ -413,7 +435,7 @@ begin
             proc_mem_mm_bus_wr(c_eth_ram_tx_offset + I, TO_SINT(eth_ram_miso.rddata(c_word_w - 1 downto 0)), mm_clk, eth_ram_miso, eth_ram_mosi);
           end loop;
         --ELSE
-          -- . only reply header
+        -- . only reply header
         end if;
         v_eth_control_word := func_eth_mm_reg_control(eth_mm_reg_control);
         proc_mem_mm_bus_wr(c_eth_reg_control_wi + 0, TO_UINT(v_eth_control_word),  mm_clk, eth_reg_miso, eth_reg_mosi);
@@ -469,7 +491,7 @@ begin
 
     for I in 0 to 40 loop
       proc_tech_tse_tx_packet(tx_total_header, I, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
-      --FOR J IN 0 TO 9 LOOP WAIT UNTIL rising_edge(st_clk); END LOOP;
+    --FOR J IN 0 TO 9 LOOP WAIT UNTIL rising_edge(st_clk); END LOOP;
     end loop;
 
     if g_frm_discard_en = true then
@@ -499,17 +521,17 @@ begin
       proc_tech_tse_tx_packet(tx_total_header,    2, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
     end if;
 
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
 
     tx_end <= '1';
     wait;
@@ -545,85 +567,85 @@ begin
   end generate;
 
   dut : entity work.eth
-  generic map (
-    g_technology         => g_technology_dut,
-    g_cross_clock_domain => c_cross_clock_domain,
-    g_frm_discard_en     => g_frm_discard_en,
-    g_sim                => g_sim,
-    g_sim_level          => g_sim_level
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    eth_clk           => eth_clk,
-    st_rst            => st_rst,
-    st_clk            => st_clk,
-    -- UDP transmit interfaceg_frm_discard_en
-    -- . ST sink
-    udp_tx_snk_in_arr  => udp_tx_sosi_arr,
-    udp_tx_snk_out_arr => udp_tx_siso_arr,
-    -- UDP receive interface
-    -- . ST source
-    udp_rx_src_in_arr  => udp_rx_siso_arr,
-    udp_rx_src_out_arr => udp_rx_sosi_arr,
-    -- Control Memory Mapped Slaves
-    tse_sla_in        => eth_tse_mosi,
-    tse_sla_out       => eth_tse_miso,
-    reg_sla_in        => eth_reg_mosi,
-    reg_sla_out       => eth_reg_miso,
-    reg_sla_interrupt => eth_reg_interrupt,
-    ram_sla_in        => eth_ram_mosi,
-    ram_sla_out       => eth_ram_miso,
-    -- Monitoring
-    rx_flushed_frm_cnt => rx_pkt_flushed_cnt,
-    -- PHY interface
-    eth_txp           => eth_txp,
-    eth_rxp           => eth_rxp,
-    -- LED interface
-    tse_led           => eth_led
-  );
+    generic map (
+      g_technology         => g_technology_dut,
+      g_cross_clock_domain => c_cross_clock_domain,
+      g_frm_discard_en     => g_frm_discard_en,
+      g_sim                => g_sim,
+      g_sim_level          => g_sim_level
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      eth_clk           => eth_clk,
+      st_rst            => st_rst,
+      st_clk            => st_clk,
+      -- UDP transmit interfaceg_frm_discard_en
+      -- . ST sink
+      udp_tx_snk_in_arr  => udp_tx_sosi_arr,
+      udp_tx_snk_out_arr => udp_tx_siso_arr,
+      -- UDP receive interface
+      -- . ST source
+      udp_rx_src_in_arr  => udp_rx_siso_arr,
+      udp_rx_src_out_arr => udp_rx_sosi_arr,
+      -- Control Memory Mapped Slaves
+      tse_sla_in        => eth_tse_mosi,
+      tse_sla_out       => eth_tse_miso,
+      reg_sla_in        => eth_reg_mosi,
+      reg_sla_out       => eth_reg_miso,
+      reg_sla_interrupt => eth_reg_interrupt,
+      ram_sla_in        => eth_ram_mosi,
+      ram_sla_out       => eth_ram_miso,
+      -- Monitoring
+      rx_flushed_frm_cnt => rx_pkt_flushed_cnt,
+      -- PHY interface
+      eth_txp           => eth_txp,
+      eth_rxp           => eth_rxp,
+      -- LED interface
+      tse_led           => eth_led
+    );
 
   lcu : entity tech_tse_lib.tech_tse
-  generic map (
-    g_sim          => g_sim,
-    g_sim_level    => g_sim_level,
-    g_sim_tx       => true,
-    g_sim_rx       => true
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-
-    -- Memory Mapped Slave
-    mm_sla_in      => lcu_tse_mosi,
-    mm_sla_out     => lcu_tse_miso,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => lcu_tx_sosi,
-    tx_snk_out     => lcu_tx_siso,
-    -- . MAC specific
-    tx_mac_in      => lcu_tx_mac_in,
-    tx_mac_out     => lcu_tx_mac_out,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => lcu_rx_siso,
-    rx_src_out     => lcu_rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => lcu_rx_mac_out,
-
-    -- PHY interface
-    eth_txp        => lcu_txp,
-    eth_rxp        => lcu_rxp,
-
-    tse_led        => lcu_led
-  );
+    generic map (
+      g_sim          => g_sim,
+      g_sim_level    => g_sim_level,
+      g_sim_tx       => true,
+      g_sim_rx       => true
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+
+      -- Memory Mapped Slave
+      mm_sla_in      => lcu_tse_mosi,
+      mm_sla_out     => lcu_tse_miso,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => lcu_tx_sosi,
+      tx_snk_out     => lcu_tx_siso,
+      -- . MAC specific
+      tx_mac_in      => lcu_tx_mac_in,
+      tx_mac_out     => lcu_tx_mac_out,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => lcu_rx_siso,
+      rx_src_out     => lcu_rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => lcu_rx_mac_out,
+
+      -- PHY interface
+      eth_txp        => lcu_txp,
+      eth_rxp        => lcu_rxp,
+
+      tse_led        => lcu_led
+    );
 
   -- Verification
   tx_pkt_cnt <= tx_pkt_cnt + 1 when lcu_tx_sosi.sop = '1' and rising_edge(st_clk);
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_checksum.vhd b/libraries/io/eth/tb/vhdl/tb_eth_checksum.vhd
index 8d6fcbf815..a133925fd8 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_checksum.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_checksum.vhd
@@ -37,11 +37,11 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity tb_eth_checksum is
@@ -143,10 +143,10 @@ begin
     wait until rising_edge(clk);
     src_out.sop   <= '0';
     src_out.data  <= RESIZE_DP_DATA(X"44224000");
---     WAIT UNTIL rising_edge(clk);
---     src_out.data  <= RESIZE_DP_DATA(X"80060000");
---     WAIT UNTIL rising_edge(clk);
---     src_out.data  <= RESIZE_DP_DATA(X"8c7c19ac");
+    --     WAIT UNTIL rising_edge(clk);
+    --     src_out.data  <= RESIZE_DP_DATA(X"80060000");
+    --     WAIT UNTIL rising_edge(clk);
+    --     src_out.data  <= RESIZE_DP_DATA(X"8c7c19ac");
     wait until rising_edge(clk);
     src_out.data  <= RESIZE_DP_DATA(X"80068c7c");
     wait until rising_edge(clk);
@@ -209,14 +209,14 @@ begin
   end process;
 
   u_dut : entity work.eth_checksum
-  port map (
-    rst           => rst,
-    clk           => clk,
+    port map (
+      rst           => rst,
+      clk           => clk,
 
-    snk_in        => src_out,
+      snk_in        => src_out,
 
-    checksum      => checksum,
-    checksum_val  => checksum_val
-  );
+      checksum      => checksum,
+      checksum_val  => checksum_val
+    );
 
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_crc_ctrl.vhd b/libraries/io/eth/tb/vhdl/tb_eth_crc_ctrl.vhd
index 037db6b962..982f4e05b0 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_crc_ctrl.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_crc_ctrl.vhd
@@ -29,12 +29,12 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity tb_eth_crc_ctrl is
@@ -164,19 +164,19 @@ begin
   out_empty    <= src_out.empty(c_eth_empty_w - 1 downto 0);
 
   dut : entity work.eth_crc_ctrl
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    -- Streaming Sink
-    snk_in_err  => snk_in_error,  -- error vector from TSE MAC t_eth_stream
-    snk_in      => snk_in,
-    snk_out     => snk_out,
-
-    -- Streaming Source
-    src_in      => src_in,
-    src_out     => src_out,
-    src_out_err => src_out_err
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      -- Streaming Sink
+      snk_in_err  => snk_in_error,  -- error vector from TSE MAC t_eth_stream
+      snk_in      => snk_in,
+      snk_out     => snk_out,
+
+      -- Streaming Source
+      src_in      => src_in,
+      src_out     => src_out,
+      src_out_err => src_out_err
+    );
 
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_hdr.vhd b/libraries/io/eth/tb/vhdl/tb_eth_hdr.vhd
index aa1b572822..bb638386c7 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_hdr.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_hdr.vhd
@@ -29,12 +29,12 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use work.eth_pkg.all;
 
 entity tb_eth_hdr is
 end tb_eth_hdr;
@@ -148,17 +148,17 @@ begin
   -- Note there is no need to disable the ip_hdr_checksum replacement, because the change that this test bench
   -- generates a IP packet is very small.
   dut : entity work.eth_hdr
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    -- Streaming Sink
-    snk_in      => snk_in,
-    snk_out     => snk_out,
-
-    -- Streaming Source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      -- Streaming Sink
+      snk_in      => snk_in,
+      snk_out     => snk_out,
+
+      -- Streaming Source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd b/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd
index ff27d79426..9a41e60b22 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd
@@ -27,12 +27,12 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use work.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use work.eth_pkg.all;
 
 
 entity tb_eth_IHL_to_20 is
@@ -60,10 +60,11 @@ architecture tb of tb_eth_IHL_to_20 is
   constant c_len_to_test : int_arr(1 to 5) := (0,1,16,20,3000);
 
 
-  procedure gen_eth_frame (constant IHL             : natural;
-                           constant UDP_payload_len : natural;
-                           signal   clk             : in std_logic;
-                           signal   snk_in          : inout t_dp_sosi) is
+  procedure gen_eth_frame (
+    constant IHL             : natural;
+    constant UDP_payload_len : natural;
+    signal   clk             : in std_logic;
+    signal   snk_in          : inout t_dp_sosi) is
   begin
     snk_in.sop   <= '1';
     snk_in.valid <= '1';
@@ -115,13 +116,14 @@ architecture tb of tb_eth_IHL_to_20 is
     wait until rising_edge(clk);
   end procedure gen_eth_frame;
 
-  procedure check_eth_frame ( constant UDP_payload_len : natural;
-                              signal   clk             : in std_logic;
-                              signal   src_out         : in t_dp_sosi) is
+  procedure check_eth_frame (
+    constant UDP_payload_len : natural;
+    signal   clk             : in std_logic;
+    signal   src_out         : in t_dp_sosi) is
     constant c_IHL : natural := 5;
   begin
 
-     -- Eth header
+    -- Eth header
     wait until falling_edge(clk) and src_out.valid = '1' and src_out.sop = '1';
     assert src_out.data(31 downto 0) = X"0000FFFF" report "Wrong word align and Destination MAC"       severity FAILURE;
     wait until falling_edge(clk) and src_out.valid = '1';
@@ -137,7 +139,7 @@ architecture tb of tb_eth_IHL_to_20 is
                                        TO_UVEC(c_IHL,c_network_ip_header_length_w) &
                                        X"00" &
                                        TO_UVEC((c_IHL + UDP_payload_len + 2) * 4,c_network_ip_total_length_w)
-                                                   report "Wrong Version / IHL / Total Length"         severity FAILURE;
+      report "Wrong Version / IHL / Total Length"         severity FAILURE;
 
     wait until falling_edge(clk) and src_out.valid = '1';
     assert src_out.data(31 downto 0) = X"00004000" report "Wrong identification / Flags / Frag Offset" severity FAILURE;
@@ -155,12 +157,12 @@ architecture tb of tb_eth_IHL_to_20 is
     wait until falling_edge(clk) and src_out.valid = '1';
     assert src_out.data(31 downto 0) = TO_UVEC((UDP_payload_len + 2) * 4,c_network_udp_total_length_w) &
                                        X"0000"
-                                                   report "Wrong UDP length / CRC"                     severity FAILURE;
+      report "Wrong UDP length / CRC"                     severity FAILURE;
     -- UDP payload
     for I in 0 to UDP_payload_len - 1 loop
       wait until falling_edge(clk) and src_out.valid = '1';
       assert src_out.data(31 downto 0) = X"BEEF" & TO_UVEC(I,16) report "Wrong UDP Payload"            severity FAILURE;
---      ASSERT src_out.data(31 downto 0) = X"BEEF" & TO_UVEC(I,16) REPORT "Wrong UDP Payload: 0xBEEF" & TO_UVEC(I,16)'IMAGE                SEVERITY FAILURE;
+    --      ASSERT src_out.data(31 downto 0) = X"BEEF" & TO_UVEC(I,16) REPORT "Wrong UDP Payload: 0xBEEF" & TO_UVEC(I,16)'IMAGE                SEVERITY FAILURE;
     end loop;
 
     -- Eth CRC
@@ -198,21 +200,21 @@ begin
 
 
   dut : entity work.eth_IHL_to_20
-  generic map (
-    incoming_IHL => 24
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-
-    -- Streaming Sink
-    snk_in      => snk_in,
-    snk_out     => snk_out,
-
-    -- Streaming Source
-    src_in      => src_in,
-    src_out     => src_out
-  );
+    generic map (
+      incoming_IHL => 24
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+
+      -- Streaming Sink
+      snk_in      => snk_in,
+      snk_out     => snk_out,
+
+      -- Streaming Source
+      src_in      => src_in,
+      src_out     => src_out
+    );
 
 
   check_frame: process
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd b/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd
index 58c8d41dfe..df87d83079 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd
@@ -51,17 +51,17 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_eth_ip_header_checksum is
   generic (
@@ -134,143 +134,143 @@ architecture tb of tb_eth_ip_header_checksum is
   -- . pre-calculated ip_header_checksum is valid only for UNB0, FN0 targeting IP 10.10.10.10
   -- . udp_total_length = 176 beamlets * 64b / 8b = 1408B + 14 DP bytes + 8 UDP bytes = 1430B
   constant c_udp_offload_hdr_field_arr : t_common_field_arr(c_udp_offload_nof_hdr_fields - 1 downto 0) := (  -- index
-         ( field_name_pad("eth_dst_mac"            ), "RW",      48, field_default(x"001B214368AC") ),  -- 21
-         ( field_name_pad("eth_src_mac"            ), "RW",      48, field_default(x"0123456789AB") ),  -- 20
-         ( field_name_pad("eth_type"               ), "RW",      16, field_default(x"0800") ),  -- 19
-         ( field_name_pad("ip_version"             ), "RW",       4, field_default(4) ),  -- 18
-         ( field_name_pad("ip_header_length"       ), "RW",       4, field_default(5) ),  -- 17
-         ( field_name_pad("ip_services"            ), "RW",       8, field_default(0) ),  -- 16
-         ( field_name_pad("ip_total_length"        ), "RW",      16, field_default(1450) ),  -- 15
-         ( field_name_pad("ip_identification"      ), "RW",      16, field_default(0) ),  -- 14
-         ( field_name_pad("ip_flags"               ), "RW",       3, field_default(2) ),  -- 13
-         ( field_name_pad("ip_fragment_offset"     ), "RW",      13, field_default(0) ),  -- 12
-         ( field_name_pad("ip_time_to_live"        ), "RW",       8, field_default(127) ),  -- 11
-         ( field_name_pad("ip_protocol"            ), "RW",       8, field_default(17) ),  -- 10
-         ( field_name_pad("ip_header_checksum"     ), "RW",      16, field_default(0) ),  -- 9, will be calculated by DUT
-         ( field_name_pad("ip_src_addr"            ), "RW",      32, field_default(x"C0A80009") ),  -- 8
-         ( field_name_pad("ip_dst_addr"            ), "RW",      32, field_default(x"C0A80001") ),  -- 7
-         ( field_name_pad("udp_src_port"           ), "RW",      16, field_default(0) ),  -- 6
-         ( field_name_pad("udp_dst_port"           ), "RW",      16, field_default(0) ),  -- 5
-         ( field_name_pad("udp_total_length"       ), "RW",      16, field_default(1430) ),  -- 4
-         ( field_name_pad("udp_checksum"           ), "RW",      16, field_default(0) ),  -- 3
-         ( field_name_pad("dp_reserved"            ), "RW",      47, field_default(x"010203040506") ),  -- 2
-         ( field_name_pad("dp_sync"                ), "RW",       1, field_default(0) ),  -- 1
-         ( field_name_pad("dp_bsn"                 ), "RW", c_bsn_w, field_default(0) ) );  -- 0
+    ( field_name_pad("eth_dst_mac"            ), "RW",      48, field_default(x"001B214368AC") ),  -- 21
+    ( field_name_pad("eth_src_mac"            ), "RW",      48, field_default(x"0123456789AB") ),  -- 20
+    ( field_name_pad("eth_type"               ), "RW",      16, field_default(x"0800") ),  -- 19
+    ( field_name_pad("ip_version"             ), "RW",       4, field_default(4) ),  -- 18
+    ( field_name_pad("ip_header_length"       ), "RW",       4, field_default(5) ),  -- 17
+    ( field_name_pad("ip_services"            ), "RW",       8, field_default(0) ),  -- 16
+    ( field_name_pad("ip_total_length"        ), "RW",      16, field_default(1450) ),  -- 15
+    ( field_name_pad("ip_identification"      ), "RW",      16, field_default(0) ),  -- 14
+    ( field_name_pad("ip_flags"               ), "RW",       3, field_default(2) ),  -- 13
+    ( field_name_pad("ip_fragment_offset"     ), "RW",      13, field_default(0) ),  -- 12
+    ( field_name_pad("ip_time_to_live"        ), "RW",       8, field_default(127) ),  -- 11
+    ( field_name_pad("ip_protocol"            ), "RW",       8, field_default(17) ),  -- 10
+    ( field_name_pad("ip_header_checksum"     ), "RW",      16, field_default(0) ),  -- 9, will be calculated by DUT
+    ( field_name_pad("ip_src_addr"            ), "RW",      32, field_default(x"C0A80009") ),  -- 8
+    ( field_name_pad("ip_dst_addr"            ), "RW",      32, field_default(x"C0A80001") ),  -- 7
+    ( field_name_pad("udp_src_port"           ), "RW",      16, field_default(0) ),  -- 6
+    ( field_name_pad("udp_dst_port"           ), "RW",      16, field_default(0) ),  -- 5
+    ( field_name_pad("udp_total_length"       ), "RW",      16, field_default(1430) ),  -- 4
+    ( field_name_pad("udp_checksum"           ), "RW",      16, field_default(0) ),  -- 3
+    ( field_name_pad("dp_reserved"            ), "RW",      47, field_default(x"010203040506") ),  -- 2
+    ( field_name_pad("dp_sync"                ), "RW",       1, field_default(0) ),  -- 1
+    ( field_name_pad("dp_bsn"                 ), "RW", c_bsn_w, field_default(0) ) );  -- 0
 
   -- TX: Corresponding storage of c_udp_offload_hdr_field_arr in MM register words
   -- . Note: It appears that the tx_hdr_word read values are the MM write values, so read of value from logic fields (with MM override '0', e.g. dp_bsn, eth_src_mac) is not supported.
   constant c_expected_tx_hdr_word_arr_default : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_default - 1) := (  -- word address
-                                                                             X"00000000",  -- 0   = dp_bsn[31:0]        -- readback is MM value, not the logic value
-                                                                             X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
-                                                                             X"00000000",  -- 2   = dp_sync
-                                                                             X"03040506",  -- 3   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 4   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 5   = udp_checksum
-                                                                             X"00000596",  -- 6   = udp_total_length
-                                                                             X"00000000",  -- 7   = udp_dst_port
-                                                                             X"00000000",  -- 8   = udp_src_port        -- readback is MM value, not the logic value
-                                                                             X"C0A80001",  -- 9   = ip_dst_addr
-                                                                             X"C0A80009",  -- 10  = ip_src_addr
-                                                                             X"00000000",  -- 11  = ip_header_checksum
-                                                                             X"00000011",  -- 12  = ip_protocol
-                                                                             X"0000007F",  -- 13  = ip_time_to_live
-                                                                             X"00000000",  -- 14  = ip_fragment_offset
-                                                                             X"00000002",  -- 15  = ip_flags
-                                                                             X"00000000",  -- 16  = ip_identification
-                                                                             X"000005AA",  -- 17  = ip_total_length
-                                                                             X"00000000",  -- 18  = ip_services
-                                                                             X"00000005",  -- 19  = ip_header_length
-                                                                             X"00000004",  -- 20  = ip_version
-                                                                             X"00000800",  -- 21  = eth_type[15:0]
-                                                                             X"456789AB",  -- 22  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
-                                                                             X"00000123",  -- 23  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 24  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 25  = eth_dst_mac[47:32]
+    X"00000000",  -- 0   = dp_bsn[31:0]        -- readback is MM value, not the logic value
+    X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
+    X"00000000",  -- 2   = dp_sync
+    X"03040506",  -- 3   = dp_reserved[31:0]
+    X"00000102",  -- 4   = dp_reserved[47:32]
+    X"00000000",  -- 5   = udp_checksum
+    X"00000596",  -- 6   = udp_total_length
+    X"00000000",  -- 7   = udp_dst_port
+    X"00000000",  -- 8   = udp_src_port        -- readback is MM value, not the logic value
+    X"C0A80001",  -- 9   = ip_dst_addr
+    X"C0A80009",  -- 10  = ip_src_addr
+    X"00000000",  -- 11  = ip_header_checksum
+    X"00000011",  -- 12  = ip_protocol
+    X"0000007F",  -- 13  = ip_time_to_live
+    X"00000000",  -- 14  = ip_fragment_offset
+    X"00000002",  -- 15  = ip_flags
+    X"00000000",  -- 16  = ip_identification
+    X"000005AA",  -- 17  = ip_total_length
+    X"00000000",  -- 18  = ip_services
+    X"00000005",  -- 19  = ip_header_length
+    X"00000004",  -- 20  = ip_version
+    X"00000800",  -- 21  = eth_type[15:0]
+    X"456789AB",  -- 22  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
+    X"00000123",  -- 23  = eth_src_mac[47:32]
+    X"214368AC",  -- 24  = eth_dst_mac[31:0]
+    X"0000001B");  -- 25  = eth_dst_mac[47:32]
 
   constant c_expected_tx_hdr_word_arr_shortened : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_shortened - 1) := (  -- word address
-                                                                             X"00000000",  -- 0   = dp_bsn[c_bsn_w-1:0] -- readback is MM value, not the logic value
-                                                                             X"00000000",  -- 1   = dp_sync
-                                                                             X"03040506",  -- 2   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 3   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 4   = udp_checksum
-                                                                             X"00000596",  -- 5   = udp_total_length
-                                                                             X"00000000",  -- 6   = udp_dst_port
-                                                                             X"00000000",  -- 7   = udp_src_port        -- readback is MM value, not the logic value
-                                                                             X"C0A80001",  -- 8   = ip_dst_addr
-                                                                             X"C0A80009",  -- 9   = ip_src_addr
-                                                                             X"00000000",  -- 10  = ip_header_checksum
-                                                                             X"00000011",  -- 11  = ip_protocol
-                                                                             X"0000007F",  -- 12  = ip_time_to_live
-                                                                             X"00000000",  -- 13  = ip_fragment_offset
-                                                                             X"00000002",  -- 14  = ip_flags
-                                                                             X"00000000",  -- 15  = ip_identification
-                                                                             X"000005AA",  -- 16  = ip_total_length
-                                                                             X"00000000",  -- 17  = ip_services
-                                                                             X"00000005",  -- 18  = ip_header_length
-                                                                             X"00000004",  -- 19  = ip_version
-                                                                             X"00000800",  -- 20  = eth_type[15:0]
-                                                                             X"456789AB",  -- 21  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
-                                                                             X"00000123",  -- 22  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 23  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 24  = eth_dst_mac[47:32]
+    X"00000000",  -- 0   = dp_bsn[c_bsn_w-1:0] -- readback is MM value, not the logic value
+    X"00000000",  -- 1   = dp_sync
+    X"03040506",  -- 2   = dp_reserved[31:0]
+    X"00000102",  -- 3   = dp_reserved[47:32]
+    X"00000000",  -- 4   = udp_checksum
+    X"00000596",  -- 5   = udp_total_length
+    X"00000000",  -- 6   = udp_dst_port
+    X"00000000",  -- 7   = udp_src_port        -- readback is MM value, not the logic value
+    X"C0A80001",  -- 8   = ip_dst_addr
+    X"C0A80009",  -- 9   = ip_src_addr
+    X"00000000",  -- 10  = ip_header_checksum
+    X"00000011",  -- 11  = ip_protocol
+    X"0000007F",  -- 12  = ip_time_to_live
+    X"00000000",  -- 13  = ip_fragment_offset
+    X"00000002",  -- 14  = ip_flags
+    X"00000000",  -- 15  = ip_identification
+    X"000005AA",  -- 16  = ip_total_length
+    X"00000000",  -- 17  = ip_services
+    X"00000005",  -- 18  = ip_header_length
+    X"00000004",  -- 19  = ip_version
+    X"00000800",  -- 20  = eth_type[15:0]
+    X"456789AB",  -- 21  = eth_src_mac[31:0]   -- readback is MM value, not the logic value
+    X"00000123",  -- 22  = eth_src_mac[47:32]
+    X"214368AC",  -- 23  = eth_dst_mac[31:0]
+    X"0000001B");  -- 24  = eth_dst_mac[47:32]
 
   -- RX: Corresponding storage of c_udp_offload_hdr_field_arr in MM register words
   constant c_expected_rx_hdr_word_arr_default : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_default - 1) := (  -- word address
-                                                                             X"00000002",  -- 0   = dp_bsn[31:0]        -- dynamic value obtained from simulation
-                                                                             X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
-                                                                             X"00000001",  -- 2   = dp_sync             -- dynamic value obtained from simulation
-                                                                             X"03040506",  -- 3   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 4   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 5   = udp_checksum
-                                                                             X"00000596",  -- 6   = udp_total_length
-                                                                             X"00000000",  -- 7   = udp_dst_port
-                                                                             X"00000000",  -- 8   = udp_src_port
-                                                                             X"C0A80001",  -- 9   = ip_dst_addr
-                                                                             X"C0A80009",  -- 10  = ip_src_addr
-                                                                             X"000074E8",  -- 11  = ip_header_checksum
-                                                                             X"00000011",  -- 12  = ip_protocol
-                                                                             X"0000007F",  -- 13  = ip_time_to_live
-                                                                             X"00000000",  -- 14  = ip_fragment_offset
-                                                                             X"00000002",  -- 15  = ip_flags
-                                                                             X"00000000",  -- 16  = ip_identification
-                                                                             X"000005AA",  -- 17  = ip_total_length
-                                                                             X"00000000",  -- 18  = ip_services
-                                                                             X"00000005",  -- 19  = ip_header_length
-                                                                             X"00000004",  -- 20  = ip_version
-                                                                             X"00000800",  -- 21  = eth_type[15:0]
-                                                                             X"86080000",  -- 22  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
-                                                                             X"00000022",  -- 23  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 24  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 25  = eth_dst_mac[47:32]
+    X"00000002",  -- 0   = dp_bsn[31:0]        -- dynamic value obtained from simulation
+    X"00000000",  -- 1   = dp_bsn[c_bsn_w-1:32]
+    X"00000001",  -- 2   = dp_sync             -- dynamic value obtained from simulation
+    X"03040506",  -- 3   = dp_reserved[31:0]
+    X"00000102",  -- 4   = dp_reserved[47:32]
+    X"00000000",  -- 5   = udp_checksum
+    X"00000596",  -- 6   = udp_total_length
+    X"00000000",  -- 7   = udp_dst_port
+    X"00000000",  -- 8   = udp_src_port
+    X"C0A80001",  -- 9   = ip_dst_addr
+    X"C0A80009",  -- 10  = ip_src_addr
+    X"000074E8",  -- 11  = ip_header_checksum
+    X"00000011",  -- 12  = ip_protocol
+    X"0000007F",  -- 13  = ip_time_to_live
+    X"00000000",  -- 14  = ip_fragment_offset
+    X"00000002",  -- 15  = ip_flags
+    X"00000000",  -- 16  = ip_identification
+    X"000005AA",  -- 17  = ip_total_length
+    X"00000000",  -- 18  = ip_services
+    X"00000005",  -- 19  = ip_header_length
+    X"00000004",  -- 20  = ip_version
+    X"00000800",  -- 21  = eth_type[15:0]
+    X"86080000",  -- 22  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
+    X"00000022",  -- 23  = eth_src_mac[47:32]
+    X"214368AC",  -- 24  = eth_dst_mac[31:0]
+    X"0000001B");  -- 25  = eth_dst_mac[47:32]
 
   constant c_expected_rx_hdr_word_arr_shortened : t_slv_32_arr(0 to c_udp_offload_nof_hdr_words_shortened - 1) := (  -- word address
-                                                                             X"00000002",  -- 0   = dp_bsn[c_bsn_w-1:0] -- dynamic value obtained from simulation
-                                                                             X"00000001",  -- 1   = dp_sync             -- dynamic value obtained from simulation
-                                                                             X"03040506",  -- 2   = dp_reserved[31:0]
-                                                                             X"00000102",  -- 3   = dp_reserved[47:32]
-                                                                             X"00000000",  -- 4   = udp_checksum
-                                                                             X"00000596",  -- 5   = udp_total_length
-                                                                             X"00000000",  -- 6   = udp_dst_port
-                                                                             X"00000000",  -- 7   = udp_src_port
-                                                                             X"C0A80001",  -- 8   = ip_dst_addr
-                                                                             X"C0A80009",  -- 9   = ip_src_addr
-                                                                             X"000074E8",  -- 10  = ip_header_checksum
-                                                                             X"00000011",  -- 11  = ip_protocol
-                                                                             X"0000007F",  -- 12  = ip_time_to_live
-                                                                             X"00000000",  -- 13  = ip_fragment_offset
-                                                                             X"00000002",  -- 14  = ip_flags
-                                                                             X"00000000",  -- 15  = ip_identification
-                                                                             X"000005AA",  -- 16  = ip_total_length
-                                                                             X"00000000",  -- 17  = ip_services
-                                                                             X"00000005",  -- 18  = ip_header_length
-                                                                             X"00000004",  -- 19  = ip_version
-                                                                             X"00000800",  -- 20  = eth_type[15:0]
-                                                                             X"86080000",  -- 21  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
-                                                                             X"00000022",  -- 22  = eth_src_mac[47:32]
-                                                                             X"214368AC",  -- 23  = eth_dst_mac[31:0]
-                                                                             X"0000001B");  -- 24  = eth_dst_mac[47:32]
-
-  -- Override ('1') only the Ethernet fields so we can use MM defaults there 
+    X"00000002",  -- 0   = dp_bsn[c_bsn_w-1:0] -- dynamic value obtained from simulation
+    X"00000001",  -- 1   = dp_sync             -- dynamic value obtained from simulation
+    X"03040506",  -- 2   = dp_reserved[31:0]
+    X"00000102",  -- 3   = dp_reserved[47:32]
+    X"00000000",  -- 4   = udp_checksum
+    X"00000596",  -- 5   = udp_total_length
+    X"00000000",  -- 6   = udp_dst_port
+    X"00000000",  -- 7   = udp_src_port
+    X"C0A80001",  -- 8   = ip_dst_addr
+    X"C0A80009",  -- 9   = ip_src_addr
+    X"000074E8",  -- 10  = ip_header_checksum
+    X"00000011",  -- 11  = ip_protocol
+    X"0000007F",  -- 12  = ip_time_to_live
+    X"00000000",  -- 13  = ip_fragment_offset
+    X"00000002",  -- 14  = ip_flags
+    X"00000000",  -- 15  = ip_identification
+    X"000005AA",  -- 16  = ip_total_length
+    X"00000000",  -- 17  = ip_services
+    X"00000005",  -- 18  = ip_header_length
+    X"00000004",  -- 19  = ip_version
+    X"00000800",  -- 20  = eth_type[15:0]
+    X"86080000",  -- 21  = eth_src_mac[31:0]   -- readback is the logic value x"00228608" & id_backplane = 0 & id_chip = 0 (c_NODE_ID = 0)
+    X"00000022",  -- 22  = eth_src_mac[47:32]
+    X"214368AC",  -- 23  = eth_dst_mac[31:0]
+    X"0000001B");  -- 24  = eth_dst_mac[47:32]
+
+  -- Override ('1') only the Ethernet fields so we can use MM defaults there
   constant c_hdr_field_ovr_init : std_logic_vector(c_udp_offload_nof_hdr_fields - 1 downto 0) := "101" & "111111111111" & "1111" & "100";
 
   constant c_NODE_ID                    : std_logic_vector(7 downto 0) := TO_UVEC(0, 8);
@@ -353,38 +353,38 @@ begin
   ------------------------------------------------------------------------------
 
   u_dp_stream_stimuli : entity dp_lib.dp_stream_stimuli
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_stimuli_pulse_active,
-    g_pulse_period   => c_stimuli_pulse_period,
-    g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_data_init      => c_data_init,
-    g_bsn_init       => c_bsn_init,
-    -- specific
-    g_in_dat_w       => g_data_w,
-    g_nof_repeat     => c_nof_packets,
-    g_pkt_len        => g_pkt_len,
-    g_pkt_gap        => g_pkt_gap,
-    g_wait_last_evt  => c_wait_last_evt
-  )
-  port map (
-    rst                 => dp_rst,
-    clk                 => dp_clk,
-
-    -- Generate stimuli
-    src_in              => stimuli_src_in,
-    src_out             => stimuli_src_out,
-
-    -- End of stimuli
-    last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
-    tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 15,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_stimuli_pulse_active,
+      g_pulse_period   => c_stimuli_pulse_period,
+      g_flow_control   => g_flow_control_stimuli,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_data_init      => c_data_init,
+      g_bsn_init       => c_bsn_init,
+      -- specific
+      g_in_dat_w       => g_data_w,
+      g_nof_repeat     => c_nof_packets,
+      g_pkt_len        => g_pkt_len,
+      g_pkt_gap        => g_pkt_gap,
+      g_wait_last_evt  => c_wait_last_evt
+    )
+    port map (
+      rst                 => dp_rst,
+      clk                 => dp_clk,
+
+      -- Generate stimuli
+      src_in              => stimuli_src_in,
+      src_out             => stimuli_src_out,
+
+      -- End of stimuli
+      last_snk_in         => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      last_snk_in_evt     => last_snk_in_evt,  -- trigger verify to verify the last_snk_in
+      tb_end              => tb_end  -- signal end of tb as far as this dp_stream_stimuli is concerned
+    );
 
 
   ------------------------------------------------------------------------------
@@ -419,37 +419,37 @@ begin
   verify_last_snk_in_evt.err     <= '0';
 
   u_dp_stream_verify : entity dp_lib.dp_stream_verify
-  generic map (
-    g_instance_nr    => 0,  -- only one stream so choose index 0
-    -- flow control
-    g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
-    g_pulse_active   => c_verify_pulse_active,
-    g_pulse_period   => c_verify_pulse_period,
-    g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
-    -- initializations
-    g_sync_period    => c_sync_period,
-    g_sync_offset    => c_sync_offset,
-    g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
-    g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
-    -- specific
-    g_in_dat_w       => g_data_w,
-    g_pkt_len        => c_expected_pkt_len
-  )
-  port map (
-    rst                        => dp_rst,
-    clk                        => dp_clk,
-
-    -- Verify data
-    snk_out                    => verify_snk_out,
-    snk_in                     => verify_snk_in,
-
-    -- During stimuli
-    verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
-
-    -- End of stimuli
-    expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
-    verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
-  );
+    generic map (
+      g_instance_nr    => 0,  -- only one stream so choose index 0
+      -- flow control
+      g_random_w       => 14,  -- use different random width for stimuli and for verify to have different random sequences
+      g_pulse_active   => c_verify_pulse_active,
+      g_pulse_period   => c_verify_pulse_period,
+      g_flow_control   => g_flow_control_verify,  -- always active, random or pulse flow control
+      -- initializations
+      g_sync_period    => c_sync_period,
+      g_sync_offset    => c_sync_offset,
+      g_snk_in_cnt_max => c_verify_snk_in_cnt_max,
+      g_snk_in_cnt_gap => c_verify_snk_in_cnt_gap,
+      -- specific
+      g_in_dat_w       => g_data_w,
+      g_pkt_len        => c_expected_pkt_len
+    )
+    port map (
+      rst                        => dp_rst,
+      clk                        => dp_clk,
+
+      -- Verify data
+      snk_out                    => verify_snk_out,
+      snk_in                     => verify_snk_in,
+
+      -- During stimuli
+      verify_snk_in_enable       => verify_snk_in_enable,  -- enable verify to verify that the verify_snk_in fields are incrementing
+
+      -- End of stimuli
+      expected_snk_in            => last_snk_in,  -- expected verify_snk_in after end of stimuli
+      verify_expected_snk_in_evt => verify_last_snk_in_evt  -- trigger verify to verify the last_snk_in
+    );
 
   ------------------------------------------------------------------------------
   -- offload Tx
@@ -458,23 +458,23 @@ begin
 
   -- Use FIFO to handle backpressure just like in a network design.
   u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_data_w         => g_data_w,
-    g_bsn_w          => 64,
-    g_use_sync       => true,
-    g_use_bsn        => true,
-    g_fifo_size      => 1024
-  )
-  port map (
-    rst         => dp_rst,
-    clk         => dp_clk,
-
-    snk_out     => OPEN,  -- stimuli_src_in
-    snk_in      => stimuli_src_out,
-
-    src_in      => dp_fifo_sc_src_in,
-    src_out     => dp_fifo_sc_src_out
-  );
+    generic map (
+      g_data_w         => g_data_w,
+      g_bsn_w          => 64,
+      g_use_sync       => true,
+      g_use_bsn        => true,
+      g_fifo_size      => 1024
+    )
+    port map (
+      rst         => dp_rst,
+      clk         => dp_clk,
+
+      snk_out     => OPEN,  -- stimuli_src_in
+      snk_in      => stimuli_src_out,
+
+      src_in      => dp_fifo_sc_src_in,
+      src_out     => dp_fifo_sc_src_out
+    );
 
   dp_offload_tx_snk_in_arr(0) <= dp_fifo_sc_src_out;
   dp_fifo_sc_src_in           <= dp_offload_tx_snk_out_arr(0);
@@ -493,53 +493,53 @@ begin
   tx_hdr_fields_in_arr(0)(field_hi(c_udp_offload_hdr_field_arr, "dp_bsn"      ) downto field_lo(c_udp_offload_hdr_field_arr, "dp_bsn"          )) <=     dp_offload_tx_snk_in_arr(0).bsn(c_bsn_w - 1 downto 0);
 
   u_tx : entity dp_lib.dp_offload_tx_v3
-  generic map (
-    g_nof_streams    => 1,
-    g_data_w         => g_data_w,
-    g_symbol_w       => g_symbol_w,
-    g_hdr_field_arr  => c_udp_offload_hdr_field_arr,
-    g_hdr_field_sel  => c_hdr_field_ovr_init
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
-
-    snk_in_arr            => dp_offload_tx_snk_in_arr,
-    snk_out_arr           => dp_offload_tx_snk_out_arr,
-
-    src_out_arr           => tx_offload_sosi_arr,
-    src_in_arr            => tx_offload_siso_arr,
-
-    hdr_fields_in_arr     => tx_hdr_fields_in_arr,
-    hdr_fields_out_arr    => tx_hdr_fields_out_arr
-  );
+    generic map (
+      g_nof_streams    => 1,
+      g_data_w         => g_data_w,
+      g_symbol_w       => g_symbol_w,
+      g_hdr_field_arr  => c_udp_offload_hdr_field_arr,
+      g_hdr_field_sel  => c_hdr_field_ovr_init
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_tx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_tx_hdr_dat_miso,
+
+      snk_in_arr            => dp_offload_tx_snk_in_arr,
+      snk_out_arr           => dp_offload_tx_snk_out_arr,
+
+      src_out_arr           => tx_offload_sosi_arr,
+      src_in_arr            => tx_offload_siso_arr,
+
+      hdr_fields_in_arr     => tx_hdr_fields_in_arr,
+      hdr_fields_out_arr    => tx_hdr_fields_out_arr
+    );
 
   ------------------------------------------------------------------------------
-  -- DUT: IP header CRC checksum 
+  -- DUT: IP header CRC checksum
   ------------------------------------------------------------------------------
   u_dut : entity work.eth_ip_header_checksum
-  generic map (
-    g_data_w => g_data_w,
-    g_hdr_field_arr => c_udp_offload_hdr_field_arr
-  )
-  port map (
-    rst               => dp_rst, 
-    clk               => dp_clk, 
+    generic map (
+      g_data_w => g_data_w,
+      g_hdr_field_arr => c_udp_offload_hdr_field_arr
+    )
+    port map (
+      rst               => dp_rst,
+      clk               => dp_clk,
 
-    src_out           => link_offload_sosi_arr(0), 
-    snk_in            => tx_offload_sosi_arr(0), 
+      src_out           => link_offload_sosi_arr(0),
+      snk_in            => tx_offload_sosi_arr(0),
 
-    src_in            => link_offload_siso_arr(0), 
-    snk_out           => tx_offload_siso_arr(0), 
+      src_in            => link_offload_siso_arr(0),
+      snk_out           => tx_offload_siso_arr(0),
 
-    hdr_fields_slv_in => tx_hdr_fields_out_arr(0)
-  );
+      hdr_fields_slv_in => tx_hdr_fields_out_arr(0)
+    );
 
 
   p_rd_tx_hdr_words : process
@@ -571,33 +571,33 @@ begin
   -- offload Rx
   ------------------------------------------------------------------------------
   u_rx : entity dp_lib.dp_offload_rx
-  generic map (
-    g_nof_streams         => 1,
-    g_data_w              => g_data_w,
-    g_symbol_w            => g_symbol_w,
-    g_hdr_field_arr       => c_udp_offload_hdr_field_arr,
-    g_remove_crc          => false,
-    g_crc_nof_words       => 0
-  )
-  port map (
-    mm_rst                => mm_rst,
-    mm_clk                => mm_clk,
-
-    dp_rst                => dp_rst,
-    dp_clk                => dp_clk,
-
-    reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
-    reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
-
-    snk_in_arr            => link_offload_sosi_arr,
-    snk_out_arr           => link_offload_siso_arr,
-
-    src_out_arr           => dp_offload_rx_src_out_arr,
-    src_in_arr            => dp_offload_rx_src_in_arr,
-
-    hdr_fields_out_arr    => rx_hdr_fields_out_arr,
-    hdr_fields_raw_arr    => rx_hdr_fields_raw_arr
-  );
+    generic map (
+      g_nof_streams         => 1,
+      g_data_w              => g_data_w,
+      g_symbol_w            => g_symbol_w,
+      g_hdr_field_arr       => c_udp_offload_hdr_field_arr,
+      g_remove_crc          => false,
+      g_crc_nof_words       => 0
+    )
+    port map (
+      mm_rst                => mm_rst,
+      mm_clk                => mm_clk,
+
+      dp_rst                => dp_rst,
+      dp_clk                => dp_clk,
+
+      reg_hdr_dat_mosi      => reg_dp_offload_rx_hdr_dat_mosi,
+      reg_hdr_dat_miso      => reg_dp_offload_rx_hdr_dat_miso,
+
+      snk_in_arr            => link_offload_sosi_arr,
+      snk_out_arr           => link_offload_siso_arr,
+
+      src_out_arr           => dp_offload_rx_src_out_arr,
+      src_in_arr            => dp_offload_rx_src_in_arr,
+
+      hdr_fields_out_arr    => rx_hdr_fields_out_arr,
+      hdr_fields_raw_arr    => rx_hdr_fields_raw_arr
+    );
 
   p_restore_sync_bsn : process(dp_offload_rx_src_out_arr, rx_hdr_fields_out_arr)
   begin
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd b/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd
index 926f3e7069..3f977912a2 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd
@@ -31,19 +31,19 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+ETH+tester+unit+for+1GbE
 
 library IEEE, common_lib, dp_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.eth_pkg.all;
-use work.eth_tester_pkg.all;
-use work.tb_eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.eth_pkg.all;
+  use work.eth_tester_pkg.all;
+  use work.tb_eth_tester_pkg.all;
 
 entity tb_eth_stream_udp is
   generic (
@@ -358,76 +358,76 @@ begin
   end process;
 
   u_eth_tester : entity work.eth_tester
-  generic map (
-    g_nof_streams      => 1,
-    g_bg_sync_timeout  => c_eth_tester_sync_timeout,
-    g_remove_crc       => false  -- no CRC with streaming loopback
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    st_pps             => st_pps,
-
-    -- UDP transmit interface
-    eth_src_mac        => c_gn_eth_src_mac,
-    ip_src_addr        => c_gn_ip_src_addr,
-    udp_src_port       => c_gn_udp_src_port,
-
-    sl(tx_fifo_rd_emp_arr) => tx_fifo_rd_emp,
-
-    TO_DP_ONE(tx_udp_sosi_arr) => tx_udp_sosi,
-    tx_udp_siso_arr    => TO_DP_ARR(tx_udp_siso),
-
-    -- UDP receive interface
-    rx_udp_sosi_arr    => TO_DP_ARR(rx_udp_sosi),
-
-    -- Memory Mapped Slaves (one per stream)
-    -- . Tx
-    reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
-    reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
-    reg_hdr_dat_copi               => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
-    reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
-    reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
-    reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
-    reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
-    -- . Rx
-    reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
-    reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
-    reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
-    reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
-  );
+    generic map (
+      g_nof_streams      => 1,
+      g_bg_sync_timeout  => c_eth_tester_sync_timeout,
+      g_remove_crc       => false  -- no CRC with streaming loopback
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      st_pps             => st_pps,
+
+      -- UDP transmit interface
+      eth_src_mac        => c_gn_eth_src_mac,
+      ip_src_addr        => c_gn_ip_src_addr,
+      udp_src_port       => c_gn_udp_src_port,
+
+      sl(tx_fifo_rd_emp_arr) => tx_fifo_rd_emp,
+
+      TO_DP_ONE(tx_udp_sosi_arr) => tx_udp_sosi,
+      tx_udp_siso_arr    => TO_DP_ARR(tx_udp_siso),
+
+      -- UDP receive interface
+      rx_udp_sosi_arr    => TO_DP_ARR(rx_udp_sosi),
+
+      -- Memory Mapped Slaves (one per stream)
+      -- . Tx
+      reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
+      reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
+      -- . Rx
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
+    );
 
 
   -- ETH stream
   u_dut : entity work.eth_stream_udp
-  generic map (
-    g_rx_udp_port => c_rx_udp_port
-  )
-  port map (
-    -- Clocks and reset
-    st_rst        => st_rst,
-    st_clk        => st_clk,
-
-    -- User UDP interface
-    -- . Tx
-    udp_tx_sosi   => tx_udp_sosi,
-    udp_tx_siso   => tx_udp_siso,
-    -- . Rx
-    udp_rx_sosi   => rx_udp_sosi,
-    udp_rx_siso   => c_dp_siso_rdy,
-
-    -- PHY interface
-    -- . Tx
-    tse_tx_sosi   => tse_tx_sosi,
-    tse_tx_siso   => tse_tx_siso,
-    -- . Rx
-    tse_rx_sosi   => tse_rx_sosi,
-    tse_rx_siso   => tse_rx_siso
-  );
+    generic map (
+      g_rx_udp_port => c_rx_udp_port
+    )
+    port map (
+      -- Clocks and reset
+      st_rst        => st_rst,
+      st_clk        => st_clk,
+
+      -- User UDP interface
+      -- . Tx
+      udp_tx_sosi   => tx_udp_sosi,
+      udp_tx_siso   => tx_udp_siso,
+      -- . Rx
+      udp_rx_sosi   => rx_udp_sosi,
+      udp_rx_siso   => c_dp_siso_rdy,
+
+      -- PHY interface
+      -- . Tx
+      tse_tx_sosi   => tse_tx_sosi,
+      tse_tx_siso   => tse_tx_siso,
+      -- . Rx
+      tse_rx_sosi   => tse_rx_sosi,
+      tse_rx_siso   => tse_rx_siso
+    );
 
   -- Loopback wire Tx to Rx, register to increasy ready latency from 1 to 2
   tse_rx_sosi <= tse_tx_sosi when rising_edge(st_clk);
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd b/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd
index 554b3462b7..ed6e1182eb 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd
@@ -36,23 +36,23 @@
 -- [2] https://support.astron.nl/confluence/display/L2M/L4+SDPFW+Decision%3A+Application+header+size+in+Ethernet+packets
 
 library IEEE, common_lib, dp_lib, diag_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_components_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.eth_pkg.all;
-use work.eth_tester_pkg.all;
-use work.tb_eth_tester_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_components_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.eth_pkg.all;
+  use work.eth_tester_pkg.all;
+  use work.tb_eth_tester_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity tb_eth_tester is
   generic (
@@ -354,7 +354,7 @@ begin
       end if;
     end loop;
     if g_nof_streams > 1 then
-        print_str(c_tb_str &
+      print_str(c_tb_str &
             "ETH bit rate total :" &
             " c_bg_nof_bps_total = " & real'image(c_bg_nof_bps_total) & " bps");
     end if;
@@ -430,15 +430,15 @@ begin
               "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
               " /= " & natural'image(rx_total_count_nof_packet_arr(I)) &
               " = Rx count" severity ERROR;
-         end if;
-       else
-          -- g_corrupted_en = TRUE
-          assert rx_total_count_nof_corrupted_arr(I) = rx_exp_total_count_nof_corrupted_arr(I) report c_tb_str &
+        end if;
+      else
+        -- g_corrupted_en = TRUE
+        assert rx_total_count_nof_corrupted_arr(I) = rx_exp_total_count_nof_corrupted_arr(I) report c_tb_str &
               "Wrong Rx total nof corrupted count(" & natural'image(I) &
               "), Rx count = " & natural'image(rx_total_count_nof_corrupted_arr(I)) &
               " /= " & natural'image(rx_exp_total_count_nof_corrupted_arr(I)) &
               " = Expected count" severity ERROR;
-       end if;
+      end if;
     end loop;
 
     -------------------------------------------------------------------------
@@ -538,48 +538,48 @@ begin
   end process;
 
   dut : entity work.eth_tester
-  generic map (
-    g_nof_streams      => g_nof_streams,
-    g_bg_sync_timeout  => c_eth_tester_sync_timeout,
-    g_remove_crc       => g_loopback_eth  -- remove CRC inserted by TSE (sim or tech)
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    st_pps             => st_pps,
-
-    -- UDP transmit interface
-    eth_src_mac        => c_gn_eth_src_mac,
-    ip_src_addr        => c_gn_ip_src_addr,
-    udp_src_port       => c_gn_udp_src_port,
-
-    tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
-
-    tx_udp_sosi_arr    => tx_udp_sosi_arr,
-    tx_udp_siso_arr    => tx_udp_siso_arr,
-
-    -- UDP receive interface
-    rx_udp_sosi_arr    => rx_udp_sosi_arr,
-
-    -- Memory Mapped Slaves (one per stream)
-    -- . Tx
-    reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
-    reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
-    reg_hdr_dat_copi               => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
-    reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
-    reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
-    reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
-    reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
-    -- . Rx
-    reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
-    reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
-    reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
-    reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
-  );
+    generic map (
+      g_nof_streams      => g_nof_streams,
+      g_bg_sync_timeout  => c_eth_tester_sync_timeout,
+      g_remove_crc       => g_loopback_eth  -- remove CRC inserted by TSE (sim or tech)
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      st_pps             => st_pps,
+
+      -- UDP transmit interface
+      eth_src_mac        => c_gn_eth_src_mac,
+      ip_src_addr        => c_gn_ip_src_addr,
+      udp_src_port       => c_gn_udp_src_port,
+
+      tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
+
+      tx_udp_sosi_arr    => tx_udp_sosi_arr,
+      tx_udp_siso_arr    => tx_udp_siso_arr,
+
+      -- UDP receive interface
+      rx_udp_sosi_arr    => rx_udp_sosi_arr,
+
+      -- Memory Mapped Slaves (one per stream)
+      -- . Tx
+      reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
+      reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
+      -- . Rx
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
+    );
 
   -- Wire Tx to Rx
   gen_loopback_st : if g_loopback_eth = false generate
@@ -649,39 +649,39 @@ begin
 
     -- ETH module, see [1]
     u_eth : entity work.eth
-    generic map (
-      g_init_ip_address    => X"0A630000",
-      g_cross_clock_domain => true,
-      g_sim                => true,
-      g_sim_level          => g_eth_sim_level
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst             => mm_rst,
-      mm_clk             => mm_clk,
-      eth_clk            => eth_clk,  -- ethernet phy reference clock
-      st_rst             => st_rst,
-      st_clk             => st_clk,
-
-      -- UDP transmit interface
-      udp_tx_snk_in_arr  => eth_tx_udp_sosi_arr,
-      udp_tx_snk_out_arr => eth_tx_udp_siso_arr,
-      -- UDP receive interface
-      udp_rx_src_in_arr  => eth_rx_udp_siso_arr,
-      udp_rx_src_out_arr => eth_rx_udp_sosi_arr,
-
-      -- Memory Mapped Slaves
-      tse_sla_in         => tse_copi,  -- ETH TSE MAC registers
-      tse_sla_out        => tse_cipo,
-      reg_sla_in         => reg_eth_copi,  -- ETH control and status registers
-      reg_sla_out        => reg_eth_cipo,
-      reg_sla_interrupt  => OPEN,  -- Interrupt
-      ram_sla_in         => c_mem_copi_rst,  -- ETH rx frame and tx frame memory
-      ram_sla_out        => OPEN,
-
-      -- PHY interface
-      eth_txp            => eth_txp,
-      eth_rxp            => eth_rxp
-    );
+      generic map (
+        g_init_ip_address    => X"0A630000",
+        g_cross_clock_domain => true,
+        g_sim                => true,
+        g_sim_level          => g_eth_sim_level
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst             => mm_rst,
+        mm_clk             => mm_clk,
+        eth_clk            => eth_clk,  -- ethernet phy reference clock
+        st_rst             => st_rst,
+        st_clk             => st_clk,
+
+        -- UDP transmit interface
+        udp_tx_snk_in_arr  => eth_tx_udp_sosi_arr,
+        udp_tx_snk_out_arr => eth_tx_udp_siso_arr,
+        -- UDP receive interface
+        udp_rx_src_in_arr  => eth_rx_udp_siso_arr,
+        udp_rx_src_out_arr => eth_rx_udp_sosi_arr,
+
+        -- Memory Mapped Slaves
+        tse_sla_in         => tse_copi,  -- ETH TSE MAC registers
+        tse_sla_out        => tse_cipo,
+        reg_sla_in         => reg_eth_copi,  -- ETH control and status registers
+        reg_sla_out        => reg_eth_cipo,
+        reg_sla_interrupt  => OPEN,  -- Interrupt
+        ram_sla_in         => c_mem_copi_rst,  -- ETH rx frame and tx frame memory
+        ram_sla_out        => OPEN,
+
+        -- PHY interface
+        eth_txp            => eth_txp,
+        eth_rxp            => eth_rxp
+      );
   end generate;
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd b/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd
index 92a1714925..38fba024dc 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd
@@ -33,23 +33,23 @@
 -- [1] https://support.astron.nl/confluence/display/L2M/L6+FWLIB+Design+Document%3A+ETH+tester+unit+for+1GbE
 
 library IEEE, common_lib, dp_lib, diag_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.dp_components_pkg.all;
-use diag_lib.diag_pkg.all;
-use work.eth_pkg.all;
-use work.eth_tester_pkg.all;
-use work.tb_eth_tester_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.dp_components_pkg.all;
+  use diag_lib.diag_pkg.all;
+  use work.eth_pkg.all;
+  use work.eth_tester_pkg.all;
+  use work.tb_eth_tester_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 entity tb_eth_tester_high_bw is
   generic (
@@ -318,7 +318,7 @@ begin
       end if;
     end loop;
     if g_nof_streams > 1 then
-        print_str(c_tb_str &
+      print_str(c_tb_str &
             "ETH bit rate total :" &
             " c_bg_nof_bps_total = " & real'image(c_bg_nof_bps_total) & " bps");
     end if;
@@ -475,53 +475,53 @@ begin
   end process;
 
   dut : entity work.eth_tester
-  generic map (
-    g_nof_streams        => g_nof_streams,
-    g_bg_sync_timeout    => c_eth_tester_sync_timeout,
-    g_nof_octet_generate => g_nof_octet_generate,
-    g_nof_octet_output   => g_nof_octet_output,
-    g_remove_crc         => false
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    st_pps             => st_pps,
-
-    -- UDP transmit interface
-    eth_src_mac        => c_gn_eth_src_mac,
-    ip_src_addr        => c_gn_ip_src_addr,
-    udp_src_port       => c_gn_udp_src_port,
-
-    tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
-
-    tx_udp_sosi_arr    => tx_udp_sosi_arr,
-    tx_udp_siso_arr    => tx_udp_siso_arr,
-
-    -- UDP receive interface
-    rx_udp_sosi_arr    => rx_udp_sosi_arr,
-
-    -- Memory Mapped Slaves (one per stream)
-    -- . Tx
-    reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
-    reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
-    reg_hdr_dat_copi               => reg_hdr_dat_copi,
-    reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
-    reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
-    reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
-    reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
-    reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
-    reg_dp_split_copi              => reg_dp_split_copi,
-    reg_dp_split_cipo              => reg_dp_split_cipo,
-    -- . Rx
-    reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
-    reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
-    reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
-    reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
-  );
-
-    -- Loop back at streaming sosi level
-    rx_udp_sosi_arr <= tx_udp_sosi_arr;
+    generic map (
+      g_nof_streams        => g_nof_streams,
+      g_bg_sync_timeout    => c_eth_tester_sync_timeout,
+      g_nof_octet_generate => g_nof_octet_generate,
+      g_nof_octet_output   => g_nof_octet_output,
+      g_remove_crc         => false
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      st_pps             => st_pps,
+
+      -- UDP transmit interface
+      eth_src_mac        => c_gn_eth_src_mac,
+      ip_src_addr        => c_gn_ip_src_addr,
+      udp_src_port       => c_gn_udp_src_port,
+
+      tx_fifo_rd_emp_arr => tx_fifo_rd_emp_arr,
+
+      tx_udp_sosi_arr    => tx_udp_sosi_arr,
+      tx_udp_siso_arr    => tx_udp_siso_arr,
+
+      -- UDP receive interface
+      rx_udp_sosi_arr    => rx_udp_sosi_arr,
+
+      -- Memory Mapped Slaves (one per stream)
+      -- . Tx
+      reg_bg_ctrl_copi               => reg_bg_ctrl_copi,
+      reg_bg_ctrl_cipo               => reg_bg_ctrl_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_cipo,
+      reg_dp_split_copi              => reg_dp_split_copi,
+      reg_dp_split_cipo              => reg_dp_split_cipo,
+      -- . Rx
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_cipo
+    );
+
+  -- Loop back at streaming sosi level
+  rx_udp_sosi_arr <= tx_udp_sosi_arr;
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_tester_pkg.vhd b/libraries/io/eth/tb/vhdl/tb_eth_tester_pkg.vhd
index b2260a9785..2be02b3bbc 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_tester_pkg.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_tester_pkg.vhd
@@ -26,12 +26,12 @@
 -- . [1] https://support.astron.nl/confluence/display/L2M/L3+SDP+Decision%3A+SDP+Parameter+definitions
 --
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use work.eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use work.eth_tester_pkg.all;
 
 package tb_eth_tester_pkg is
 
@@ -40,17 +40,17 @@ package tb_eth_tester_pkg is
   constant c_eth_tester_udp_dst_port      : std_logic_vector(15 downto 0) := c_eth_tester_udp_port;
 
   -- Ethernet packet length in octets inclduing eth header and CRC
-  function func_eth_tester_eth_packet_length(block_len : natural) return natural;
+  function func_eth_tester_eth_packet_length (block_len : natural) return natural;
 
   -- Ethernet packet lenght on link including c_network_eth_preamble_len and one idle word
-  function func_eth_tester_eth_packet_on_link_length(block_len : natural) return natural;
+  function func_eth_tester_eth_packet_on_link_length (block_len : natural) return natural;
 
 end tb_eth_tester_pkg;
 
 
 package body tb_eth_tester_pkg is
 
-  function func_eth_tester_eth_packet_length(block_len : natural) return natural is
+  function func_eth_tester_eth_packet_length (block_len : natural) return natural is
     constant c_app_len : natural := c_eth_tester_app_hdr_len + block_len;
     constant c_udp_len : natural := c_network_udp_header_len + c_app_len;
     constant c_ip_len  : natural := c_network_ip_header_len + c_udp_len;
@@ -59,7 +59,7 @@ package body tb_eth_tester_pkg is
     return c_eth_len;
   end func_eth_tester_eth_packet_length;
 
-  function func_eth_tester_eth_packet_on_link_length(block_len : natural) return natural is
+  function func_eth_tester_eth_packet_on_link_length (block_len : natural) return natural is
   begin
     return c_network_eth_preamble_len + func_eth_tester_eth_packet_length(block_len) + c_word_sz;
   end func_eth_tester_eth_packet_on_link_length;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_udp_offload.vhd b/libraries/io/eth/tb/vhdl/tb_eth_udp_offload.vhd
index 12968aa8fe..37da250c45 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_udp_offload.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_udp_offload.vhd
@@ -21,22 +21,22 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_str_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use WORK.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use WORK.eth_pkg.all;
 
 entity tb_eth_udp_offload is
   generic (
@@ -83,27 +83,31 @@ architecture tb of tb_eth_udp_offload is
   constant c_dut_src_mac_hi     : natural := TO_UINT(c_dut_src_mac(c_network_eth_mac_addr_w - 1 downto c_word_w));
   constant c_dut_src_mac_lo     : natural := TO_UINT(c_dut_src_mac(                c_word_w - 1 downto        0));
 
-  constant c_tx_eth_header      : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(c_network_eth_type_ip, c_network_eth_type_w));  -- TO_UVEC(c_dut_ethertype, c_network_eth_type_w));
+  constant c_tx_eth_header      : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(c_network_eth_type_ip, c_network_eth_type_w)
+  );  -- TO_UVEC(c_dut_ethertype, c_network_eth_type_w));
   -- . IP header
   constant c_lcu_ip_addr        : natural := 16#05060708#;  -- = 05:06:07:08
   constant c_dut_ip_addr        : natural := 16#01020304#;
   constant c_tb_ip_total_length : natural := c_network_ip_total_length + c_tb_ip_nof_data;
   constant c_tb_ip_protocol     : natural := c_network_ip_protocol_udp;  -- sel_a_b(g_data_type-c_tb_tech_tse_data_type_ping, c_network_ip_protocol_udp, c_network_ip_protocol_icmp);  -- support only ping protocol or UDP protocol over IP
 
-  constant c_tx_ip_header       : t_network_ip_header := (version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
-                                                          header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
-                                                          services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
-                                                          total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
-                                                          identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
-                                                          flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
-                                                          fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
-                                                          time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
-                                                          protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
-                                                          header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
-                                                          src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
-                                                          dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w));
+  constant c_tx_ip_header       : t_network_ip_header := (
+    version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
+    header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
+    services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
+    total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
+    identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
+    flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
+    fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
+    time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
+    protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
+    header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
+    src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
+    dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w)
+  );
 
   -- . UDP header
   constant c_dut_udp_port_ctrl   : natural := 11;  -- ETH demux UDP for control
@@ -114,32 +118,34 @@ architecture tb of tb_eth_udp_offload is
   constant c_lcu_udp_port        : natural := 10;  -- UDP port used for src_port
   constant c_dut_udp_port_st     : natural := c_dut_udp_port_st0;  -- UDP port used for dst_port
   constant c_tb_udp_total_length : natural := c_network_udp_total_length + c_tb_nof_data;
-  constant c_tx_udp_header       : t_network_udp_header := (src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
-                                                            dst_port     => TO_UVEC(c_dut_udp_port_st,      c_network_udp_port_w),  -- or use c_dut_udp_port_ctrl
-                                                            total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
-                                                            checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w));  -- init value
+  constant c_tx_udp_header       : t_network_udp_header := (
+    src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
+    dst_port     => TO_UVEC(c_dut_udp_port_st,      c_network_udp_port_w),  -- or use c_dut_udp_port_ctrl
+    total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
+    checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w)
+  );  -- init value
 
   constant c_word_align          : std_logic_vector(c_network_total_header_32b_align_w - 1 downto 0) := (others => '0');
   constant c_total_hdr_slv       : std_logic_vector(c_network_total_header_32b_nof_words * c_word_w - 1 downto 0) := c_word_align                   &
-                                                                                                                 c_tx_eth_header.dst_mac        &
-                                                                                                                 c_tx_eth_header.src_mac        &
-                                                                                                                 c_tx_eth_header.eth_type       &
-                                                                                                                 c_tx_ip_header.version         &
-                                                                                                                 c_tx_ip_header.header_length   &
-                                                                                                                 c_tx_ip_header.services        &
-                                                                                                                 c_tx_ip_header.total_length    &
-                                                                                                                 c_tx_ip_header.identification  &
-                                                                                                                 c_tx_ip_header.flags           &
-                                                                                                                 c_tx_ip_header.fragment_offset &
-                                                                                                                 c_tx_ip_header.time_to_live    &
-                                                                                                                 c_tx_ip_header.protocol        &
-                                                                                                                 c_tx_ip_header.header_checksum &
-                                                                                                                 c_tx_ip_header.src_ip_addr     &
-                                                                                                                 c_tx_ip_header.dst_ip_addr     &
-                                                                                                                 c_tx_udp_header.src_port       &
-                                                                                                                 c_tx_udp_header.dst_port       &
-                                                                                                                 c_tx_udp_header.total_length   &
-                                                                                                                 c_tx_udp_header.checksum;
+                                                                                                                     c_tx_eth_header.dst_mac        &
+                                                                                                                     c_tx_eth_header.src_mac        &
+                                                                                                                     c_tx_eth_header.eth_type       &
+                                                                                                                     c_tx_ip_header.version         &
+                                                                                                                     c_tx_ip_header.header_length   &
+                                                                                                                     c_tx_ip_header.services        &
+                                                                                                                     c_tx_ip_header.total_length    &
+                                                                                                                     c_tx_ip_header.identification  &
+                                                                                                                     c_tx_ip_header.flags           &
+                                                                                                                     c_tx_ip_header.fragment_offset &
+                                                                                                                     c_tx_ip_header.time_to_live    &
+                                                                                                                     c_tx_ip_header.protocol        &
+                                                                                                                     c_tx_ip_header.header_checksum &
+                                                                                                                     c_tx_ip_header.src_ip_addr     &
+                                                                                                                     c_tx_ip_header.dst_ip_addr     &
+                                                                                                                     c_tx_udp_header.src_port       &
+                                                                                                                     c_tx_udp_header.dst_port       &
+                                                                                                                     c_tx_udp_header.total_length   &
+                                                                                                                     c_tx_udp_header.checksum;
 
   -- ===========================================================================================================================================================
 
@@ -246,8 +252,8 @@ begin
                     random_0(random_0'high) when g_in_en = e_random      else
                     pulse_0                 when g_in_en = e_pulse;
 
-   udp_rx_siso.ready <= '1';
-   udp_rx_siso.xon   <= '1';
+  udp_rx_siso.ready <= '1';
+  udp_rx_siso.xon   <= '1';
 
   ------------------------------------------------------------------------------
   -- TSE SETUP
@@ -257,7 +263,7 @@ begin
     dut_tse_init <= '1';
     eth_tse_mosi.wr <= '0';
     eth_tse_mosi.rd <= '0';
-     -- Wait for ETH init
+    -- Wait for ETH init
     while dut_eth_init = '1' loop wait until rising_edge(mm_clk); end loop;
     -- Setup the TSE MAC
     proc_tech_tse_setup(c_technology_dut,
@@ -333,7 +339,7 @@ begin
       v_bsn     := INCR_UVEC(v_bsn, 1);
       v_symbol  := (v_symbol + c_tb_nof_data) mod c_symbol_mod;
       v_err     := v_err + 1;
-      --proc_common_wait_some_cycles(st_clk, 10);               -- create gap between frames
+    --proc_common_wait_some_cycles(st_clk, 10);               -- create gap between frames
     end loop;
 
     -- End of stimuli
@@ -386,115 +392,115 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   dut : entity work.eth
-  generic map (
-    g_technology         => c_technology_dut,
-    g_cross_clock_domain => true
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    eth_clk           => eth_clk,
-    st_rst            => st_rst,
-    st_clk            => st_clk,
-    -- UDP transmit interface
-    -- . ST sink
-    udp_tx_snk_in_arr  => udp_tx_hdr_pkt_sosi_arr,
-    udp_tx_snk_out_arr => udp_tx_hdr_pkt_siso_arr,
-    -- UDP receive interface
-    -- . ST source
-    udp_rx_src_in_arr  => udp_rx_frame_pkt_siso_arr,
-    udp_rx_src_out_arr => udp_rx_frame_pkt_sosi_arr,
-    -- Control Memory Mapped Slaves
-    tse_sla_in        => eth_tse_mosi,
-    tse_sla_out       => eth_tse_miso,
-    reg_sla_in        => eth_reg_mosi,
-    reg_sla_out       => eth_reg_miso,
-    reg_sla_interrupt => eth_reg_interrupt,
-    ram_sla_in        => eth_ram_mosi,
-    ram_sla_out       => eth_ram_miso,
-    -- PHY interface
-    eth_txp           => eth_serial_loopback,
-    eth_rxp           => eth_serial_loopback,
-    -- LED interface
-    tse_led           => open
-  );
+    generic map (
+      g_technology         => c_technology_dut,
+      g_cross_clock_domain => true
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      eth_clk           => eth_clk,
+      st_rst            => st_rst,
+      st_clk            => st_clk,
+      -- UDP transmit interface
+      -- . ST sink
+      udp_tx_snk_in_arr  => udp_tx_hdr_pkt_sosi_arr,
+      udp_tx_snk_out_arr => udp_tx_hdr_pkt_siso_arr,
+      -- UDP receive interface
+      -- . ST source
+      udp_rx_src_in_arr  => udp_rx_frame_pkt_siso_arr,
+      udp_rx_src_out_arr => udp_rx_frame_pkt_sosi_arr,
+      -- Control Memory Mapped Slaves
+      tse_sla_in        => eth_tse_mosi,
+      tse_sla_out       => eth_tse_miso,
+      reg_sla_in        => eth_reg_mosi,
+      reg_sla_out       => eth_reg_miso,
+      reg_sla_interrupt => eth_reg_interrupt,
+      ram_sla_in        => eth_ram_mosi,
+      ram_sla_out       => eth_ram_miso,
+      -- PHY interface
+      eth_txp           => eth_serial_loopback,
+      eth_rxp           => eth_serial_loopback,
+      -- LED interface
+      tse_led           => open
+    );
 
   u_hdr_insert : entity dp_lib.dp_hdr_insert
-  generic map (
-    g_data_w        => g_data_w,
-    g_symbol_w      => g_symbol_w,
-    g_hdr_nof_words => c_network_total_header_32b_nof_words
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    reg_mosi    => reg_hdr_mosi,
-    ram_mosi    => ram_hdr_mosi,
-
-    snk_out     => udp_tx_pkt_siso,
-    snk_in      => udp_tx_pkt_sosi,
-
-    src_in      => udp_tx_hdr_pkt_siso_arr(0),
-    src_out     => udp_tx_hdr_pkt_sosi_arr(0)
-  );
+    generic map (
+      g_data_w        => g_data_w,
+      g_symbol_w      => g_symbol_w,
+      g_hdr_nof_words => c_network_total_header_32b_nof_words
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      reg_mosi    => reg_hdr_mosi,
+      ram_mosi    => ram_hdr_mosi,
+
+      snk_out     => udp_tx_pkt_siso,
+      snk_in      => udp_tx_pkt_sosi,
+
+      src_in      => udp_tx_hdr_pkt_siso_arr(0),
+      src_out     => udp_tx_hdr_pkt_sosi_arr(0)
+    );
 
   u_dp_packet_enc : entity dp_lib.dp_packet_enc
-  generic map (
-    g_data_w => g_data_w
-  )
-  port map (
-    rst       => st_rst,
-    clk       => st_clk,
-
-    snk_out   => udp_tx_siso,
-    snk_in    => udp_tx_sosi,
-
-    src_in    => udp_tx_pkt_siso,
-    src_out   => udp_tx_pkt_sosi
-  );
+    generic map (
+      g_data_w => g_data_w
+    )
+    port map (
+      rst       => st_rst,
+      clk       => st_clk,
+
+      snk_out   => udp_tx_siso,
+      snk_in    => udp_tx_sosi,
+
+      src_in    => udp_tx_pkt_siso,
+      src_out   => udp_tx_pkt_sosi
+    );
 
   u_frame_remove : entity dp_lib.dp_frame_remove
-  generic map (
-    g_data_w        => g_data_w,
-    g_symbol_w      => g_symbol_w,
-    g_hdr_nof_words => c_network_total_header_32b_nof_words,
-    g_tail_nof_words => (c_network_eth_crc_len * g_symbol_w) / c_word_w
-  )
-  port map (
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-
-    st_rst      => st_rst,
-    st_clk      => st_clk,
-
-    snk_out     => udp_rx_frame_pkt_siso_arr(0),
-    snk_in      => udp_rx_frame_pkt_sosi_arr(0),
-
-    sla_in      => ram_hdr_mosi,
-    sla_out     => ram_hdr_miso,
-
-    src_in      => udp_rx_pkt_siso,
-    src_out     => udp_rx_pkt_sosi
-  );
+    generic map (
+      g_data_w        => g_data_w,
+      g_symbol_w      => g_symbol_w,
+      g_hdr_nof_words => c_network_total_header_32b_nof_words,
+      g_tail_nof_words => (c_network_eth_crc_len * g_symbol_w) / c_word_w
+    )
+    port map (
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+
+      st_rst      => st_rst,
+      st_clk      => st_clk,
+
+      snk_out     => udp_rx_frame_pkt_siso_arr(0),
+      snk_in      => udp_rx_frame_pkt_sosi_arr(0),
+
+      sla_in      => ram_hdr_mosi,
+      sla_out     => ram_hdr_miso,
+
+      src_in      => udp_rx_pkt_siso,
+      src_out     => udp_rx_pkt_sosi
+    );
 
   u_dp_packet_dec : entity dp_lib.dp_packet_dec
-  generic map (
-    g_data_w => g_data_w
-  )
-  port map (
-    rst       => st_rst,
-    clk       => st_clk,
-
-    snk_out   => udp_rx_pkt_siso,
-    snk_in    => udp_rx_pkt_sosi,
-
-    src_in    => udp_rx_siso,
-    src_out   => udp_rx_sosi
-  );
+    generic map (
+      g_data_w => g_data_w
+    )
+    port map (
+      rst       => st_rst,
+      clk       => st_clk,
+
+      snk_out   => udp_rx_pkt_siso,
+      snk_in    => udp_rx_pkt_sosi,
+
+      src_in    => udp_rx_siso,
+      src_out   => udp_rx_sosi
+    );
 
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_eth.vhd b/libraries/io/eth/tb/vhdl/tb_tb_eth.vhd
index 7ee620708c..cb6be23a6f 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_eth.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_eth.vhd
@@ -28,10 +28,10 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 
 entity tb_tb_eth is
@@ -51,19 +51,19 @@ architecture tb of tb_tb_eth is
 
 begin
 
--- g_technology_dut : NATURAL := c_tech_select_default;
--- g_technology_lcu : NATURAL := c_tech_select_default;
--- g_sim            : BOOLEAN := FALSE;
--- g_sim_level      : NATURAL := 0;      -- when g_sim = TRUE, then 0 = use IP; 1 = use fast serdes model
--- g_frm_discard_en : BOOLEAN := TRUE;   -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
--- g_flush_test_en  : BOOLEAN := FALSE;  -- when TRUE send many large frames to enforce flush in eth_buffer
--- g_tb_end         : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
--- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
--- --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
--- --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
--- --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
--- g_data_type : NATURAL := c_tb_tech_tse_data_type_udp
+  -- g_technology_dut : NATURAL := c_tech_select_default;
+  -- g_technology_lcu : NATURAL := c_tech_select_default;
+  -- g_sim            : BOOLEAN := FALSE;
+  -- g_sim_level      : NATURAL := 0;      -- when g_sim = TRUE, then 0 = use IP; 1 = use fast serdes model
+  -- g_frm_discard_en : BOOLEAN := TRUE;   -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
+  -- g_flush_test_en  : BOOLEAN := FALSE;  -- when TRUE send many large frames to enforce flush in eth_buffer
+  -- g_tb_end         : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
+  -- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
+  -- --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
+  -- --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
+  -- --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
+  -- g_data_type : NATURAL := c_tb_tech_tse_data_type_udp
 
   u_use_symbols     : entity work.tb_eth generic map (g_technology_dut, c_technology_lcu, false, 0, false, false, false, c_tb_tech_tse_data_type_symbols) port map (tb_end_vec(0));
   u_use_counter     : entity work.tb_eth generic map (g_technology_dut, c_technology_lcu, false, 0, false, false, false, c_tb_tech_tse_data_type_counter) port map (tb_end_vec(1));
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd b/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd
index fe15112818..ca60f6f899 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd
@@ -24,8 +24,8 @@
 -- > run -all
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.tb_dp_pkg.all;  -- for t_dp_flow_control_enum
+  use IEEE.std_logic_1164.all;
+  use dp_lib.tb_dp_pkg.all;  -- for t_dp_flow_control_enum
 
 entity tb_tb_eth_ip_header_checksum is
 end tb_tb_eth_ip_header_checksum;
@@ -49,7 +49,7 @@ begin
   -- g_pkt_gap                : NATURAL := 16
 
   u_pls_act_data_w_256                : entity work.tb_eth_ip_header_checksum generic map (e_pulse,  e_active, false, 256, 64, 0, 240, 16);
-  u_act_act_data_w_512_no_gap         : entity work.tb_eth_ip_header_checksum generic map (e_active, e_active, false, 512,  8, 0, 240,  0); 
+  u_act_act_data_w_512_no_gap         : entity work.tb_eth_ip_header_checksum generic map (e_active, e_active, false, 512,  8, 0, 240,  0);
   u_pls_act_data_w_64_no_gap          : entity work.tb_eth_ip_header_checksum generic map (e_pulse,  e_active, false, 64,  64, 0, 240,  0);
   u_rnd_act_data_w_32                 : entity work.tb_eth_ip_header_checksum generic map (e_random, e_active, false, 32,   8, 0, 240, 16);
   u_rnd_act_data_w_8                  : entity work.tb_eth_ip_header_checksum generic map (e_random, e_active, false,  8,   8, 0, 240, 16);
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_eth_stream_udp.vhd b/libraries/io/eth/tb/vhdl/tb_tb_eth_stream_udp.vhd
index cc880667d1..76d007bbe3 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_eth_stream_udp.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_eth_stream_udp.vhd
@@ -26,9 +26,9 @@
 --   > run -all
 
 library IEEE, diag_lib;
-use IEEE.std_logic_1164.all;
-use diag_lib.diag_pkg.all;
-use work.tb_eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use diag_lib.diag_pkg.all;
+  use work.tb_eth_tester_pkg.all;
 
 entity tb_tb_eth_stream_udp is
 end tb_tb_eth_stream_udp;
@@ -59,20 +59,20 @@ architecture tb of tb_tb_eth_stream_udp is
 
 begin
 
---  g_tb_index         : NATURAL := 0;  -- use to incremental delay logging from tb instances in tb_tb
---  g_nof_sync         : NATURAL := 2;  -- number of BG sync intervals to set c_run_time
---  g_udp_port_match   : BOOLEAN := TRUE;
---
---  -- t_diag_block_gen_integer =
---  --   sl:  enable
---  --   sl:  enable_sync
---  --   nat: samples_per_packet
---  --   nat: blocks_per_sync
---  --   nat: gapsize
---  --   nat: mem_low_adrs
---  --   nat: mem_high_adrs
---  --   nat: bsn_init
---  g_bg_ctrl    : t_diag_block_gen_integer := ('1', '1', 50, 3, 200, 0, c_diag_bg_mem_max_adr, 0)  -- for first stream
+  --  g_tb_index         : NATURAL := 0;  -- use to incremental delay logging from tb instances in tb_tb
+  --  g_nof_sync         : NATURAL := 2;  -- number of BG sync intervals to set c_run_time
+  --  g_udp_port_match   : BOOLEAN := TRUE;
+  --
+  --  -- t_diag_block_gen_integer =
+  --  --   sl:  enable
+  --  --   sl:  enable_sync
+  --  --   nat: samples_per_packet
+  --  --   nat: blocks_per_sync
+  --  --   nat: gapsize
+  --  --   nat: mem_low_adrs
+  --  --   nat: mem_high_adrs
+  --  --   nat: bsn_init
+  --  g_bg_ctrl    : t_diag_block_gen_integer := ('1', '1', 50, 3, 200, 0, c_diag_bg_mem_max_adr, 0)  -- for first stream
 
   u_udp          : entity work.tb_eth_stream_udp generic map (0, c_nof_sync,  true, c_bg_ctrl);
   u_udp_mismatch : entity work.tb_eth_stream_udp generic map (1, c_nof_sync, false, c_bg_ctrl);
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_eth_tester.vhd b/libraries/io/eth/tb/vhdl/tb_tb_eth_tester.vhd
index 05b5190526..4079d750f7 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_eth_tester.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_eth_tester.vhd
@@ -31,9 +31,9 @@
 --   Takes about 25 m
 
 library IEEE, diag_lib;
-use IEEE.std_logic_1164.all;
-use diag_lib.diag_pkg.all;
-use work.tb_eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use diag_lib.diag_pkg.all;
+  use work.tb_eth_tester_pkg.all;
 
 entity tb_tb_eth_tester is
 end tb_tb_eth_tester;
@@ -98,24 +98,24 @@ architecture tb of tb_tb_eth_tester is
 
 begin
 
---  g_tb_index         : NATURAL := 0;  -- use to incremental delay logging from tb instances in tb_tb
---  g_nof_sync         : NATURAL := 3;  -- number of BG sync intervals to set c_run_time
---  g_nof_streams      : NATURAL := 2;
---  g_loopback_eth     : BOOLEAN := FALSE;  -- FALSE = sosi loopback, TRUE = eth loopback
---  g_eth_sim_level    : NATURAL := 0;  -- when g_loopback_eth = TRUE, then 0 = use IP; 1 = use fast serdes model
---  g_corrupted_en     : BOOLEAN := FALSE;  -- when TRUE cause a corrupted Rx packet
---
---  -- t_diag_block_gen_integer =
---  --   sl:  enable
---  --   sl:  enable_sync
---  --   nat: samples_per_packet
---  --   nat: blocks_per_sync
---  --   nat: gapsize
---  --   nat: mem_low_adrs
---  --   nat: mem_high_adrs
---  --   nat: bsn_init
---  g_bg_ctrl_first    : t_diag_block_gen_integer := ('1', '1', 50, c_nof_blk, 100, 0, 30, 0);  -- for first stream
---  g_bg_ctrl_others   : t_diag_block_gen_integer := ('1', '1', 30, c_nof_blk, 10, 0, 30, 0)   -- for other streams
+  --  g_tb_index         : NATURAL := 0;  -- use to incremental delay logging from tb instances in tb_tb
+  --  g_nof_sync         : NATURAL := 3;  -- number of BG sync intervals to set c_run_time
+  --  g_nof_streams      : NATURAL := 2;
+  --  g_loopback_eth     : BOOLEAN := FALSE;  -- FALSE = sosi loopback, TRUE = eth loopback
+  --  g_eth_sim_level    : NATURAL := 0;  -- when g_loopback_eth = TRUE, then 0 = use IP; 1 = use fast serdes model
+  --  g_corrupted_en     : BOOLEAN := FALSE;  -- when TRUE cause a corrupted Rx packet
+  --
+  --  -- t_diag_block_gen_integer =
+  --  --   sl:  enable
+  --  --   sl:  enable_sync
+  --  --   nat: samples_per_packet
+  --  --   nat: blocks_per_sync
+  --  --   nat: gapsize
+  --  --   nat: mem_low_adrs
+  --  --   nat: mem_high_adrs
+  --  --   nat: bsn_init
+  --  g_bg_ctrl_first    : t_diag_block_gen_integer := ('1', '1', 50, c_nof_blk, 100, 0, 30, 0);  -- for first stream
+  --  g_bg_ctrl_others   : t_diag_block_gen_integer := ('1', '1', 30, c_nof_blk, 10, 0, 30, 0)   -- for other streams
 
   -- Tb instance prefix:
   -- . u_st   : uses streaming Tx-Rx interface
@@ -134,23 +134,23 @@ begin
   u_st_jumbo1   : entity work.tb_eth_tester generic map (10, c_nof_sync, 1, false, 1, false,
                                                          ('1', '1', c_block_len_jumbo, 1, c_zero_gap, 0, c_high, 0),
                                                          c_bg_ctrl_rst)
-                                            port map (tb_end_vec(10));
+    port map (tb_end_vec(10));
 
   -- Try large block sizes
   u_st_jumbo2   : entity work.tb_eth_tester generic map (11, c_nof_sync, 1, false, 1, false,
                                                          ('1', '1', c_block_len_jumbo, 2, c_zero_gap, 0, c_high, 0),
                                                          c_bg_ctrl_rst)
-                                            port map (tb_end_vec(11));
+    port map (tb_end_vec(11));
 
   u_sim_tse_jumbo : entity work.tb_eth_tester generic map (12, c_nof_sync, 1, true, 1, false,
                                                            ('1', '1', c_block_len_jumbo, 2, c_zero_gap, 0, c_high, 0),
                                                            c_bg_ctrl_rst)
-                                              port map (tb_end_vec(12));
+    port map (tb_end_vec(12));
 
   u_tech_tse_jumbo : entity work.tb_eth_tester generic map (13, c_nof_sync, 1, true, 0, false,
                                                            ('1', '1', c_block_len_jumbo, 2, c_zero_gap, 0, c_high, 0),
                                                              c_bg_ctrl_rst)
-                                               port map (tb_end_vec(13));
+    port map (tb_end_vec(13));
 
   -- Try small block sizes
   -- . BG supports samples_per_packet >= 2, BG treats samples_per_packet = 1 as 2
@@ -159,15 +159,15 @@ begin
   u_st_len2 : entity work.tb_eth_tester generic map (20, c_nof_sync, 1, false, 1, false,
                                                      ('1', '1', 2, c_nof_blk, c_gap_len, 0, c_high, 0),
                                                      c_bg_ctrl_rst)
-                                        port map (tb_end_vec(20));
+    port map (tb_end_vec(20));
   u_sim_tse_len2 : entity work.tb_eth_tester generic map (21, c_nof_sync, 1, true, 1, false,
                                                           ('1', '1', 2, c_nof_blk, c_gap_len, 0, c_high, 0),
                                                           c_bg_ctrl_rst)
-                                             port map (tb_end_vec(21));
+    port map (tb_end_vec(21));
   u_tech_tse_len6 : entity work.tb_eth_tester generic map (22, c_nof_sync, 1, true, 0, false,
                                                            ('1', '1', 6, c_nof_blk, c_gap_len, 0, c_high, 0),
                                                            c_bg_ctrl_rst)
-                                              port map (tb_end_vec(22));
+    port map (tb_end_vec(22));
 
   -- Try different BG block lengths to verify sosi.empty nof octets in last word
   u_st_bg_len_0 : entity work.tb_eth_tester generic map (30, c_nof_sync, 1, false, 1, false, c_bg_ctrl_len_0, c_bg_ctrl_rst) port map (tb_end_vec(30));
@@ -182,16 +182,16 @@ begin
   -- sosi.empy /= 0 and use zero gapsize to have BG blocks directly after
   -- each other.
   u_sim_tse_bg_flow_control : entity work.tb_eth_tester
-                              generic map (40, c_nof_sync_many, 1, true, 1, false,
+    generic map (40, c_nof_sync_many, 1, true, 1, false,
                                            ('1', '1', c_block_len_odd, c_nof_blk, c_zero_gap, 0, c_high, 0),
                                            c_bg_ctrl_rst)
-                              port map (tb_end_vec(40));
+    port map (tb_end_vec(40));
 
   u_tech_tse_bg_flow_control : entity work.tb_eth_tester
-                               generic map (41, c_nof_sync_many, 1, true, 0, false,
+    generic map (41, c_nof_sync_many, 1, true, 0, false,
                                             ('1', '1', c_block_len_odd, c_nof_blk, c_zero_gap, 0, c_high, 0),
                                             c_bg_ctrl_rst)
-                               port map (tb_end_vec(41));
+    port map (tb_end_vec(41));
 
   -- Try corrupted packet
   u_tech_tse_corrupted : entity work.tb_eth_tester generic map (50, c_nof_sync, 1,  true, 0, true, c_bg_ctrl_corrupted, c_bg_ctrl_rst) port map (tb_end_vec(50));
@@ -200,23 +200,23 @@ begin
   -- Multiple streams
   -----------------------------------------------------------------------------
   u_st_multiple_streams : entity work.tb_eth_tester
-                          generic map (80, c_nof_sync, c_nof_streams, false, 1, false,
+    generic map (80, c_nof_sync, c_nof_streams, false, 1, false,
                                        c_bg_ctrl_multiple_first,
                                        c_bg_ctrl_multiple_others)
-                          port map (tb_end_vec(80));
+    port map (tb_end_vec(80));
 
   -- Use tse to verify dp_mux and dp_demux in ETH module [1]
   u_sim_tse_multiple_streams : entity work.tb_eth_tester
-                               generic map (81, c_nof_sync, c_nof_streams, true, 1, false,
+    generic map (81, c_nof_sync, c_nof_streams, true, 1, false,
                                             c_bg_ctrl_multiple_first,
                                             c_bg_ctrl_multiple_others)
-                               port map (tb_end_vec(81));
+    port map (tb_end_vec(81));
 
   u_sim_tse_multiple_bg_flow_control : entity work.tb_eth_tester
-                                       generic map (82, c_nof_sync_many, c_nof_streams, true, 1, false,
+    generic map (82, c_nof_sync_many, c_nof_streams, true, 1, false,
                                                     ('1', '1', c_block_len,  c_nof_blk, c_short_gap, 0, c_high, 0),
                                                     ('1', '1', c_others_len, c_nof_blk, c_short_gap, 0, c_high, 0))
-                                       port map (tb_end_vec(82));
+    port map (tb_end_vec(82));
 
   tb_end <= '1' when tb_end_vec = c_tb_end_vec else '0';
 
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_eth_tester_high_bw.vhd b/libraries/io/eth/tb/vhdl/tb_tb_eth_tester_high_bw.vhd
index b96f739e0f..f4e741b67e 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_eth_tester_high_bw.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_eth_tester_high_bw.vhd
@@ -30,9 +30,9 @@
 --   Takes about 10 m
 
 library IEEE, diag_lib;
-use IEEE.std_logic_1164.all;
-use diag_lib.diag_pkg.all;
-use work.tb_eth_tester_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use diag_lib.diag_pkg.all;
+  use work.tb_eth_tester_pkg.all;
 
 entity tb_tb_eth_tester_high_bw is
 end tb_tb_eth_tester_high_bw;
@@ -94,23 +94,23 @@ architecture tb of tb_tb_eth_tester_high_bw is
 
 begin
 
---  g_tb_index         : NATURAL := 0;  -- use to incremental delay logging from tb instances in tb_tb
---  g_nof_sync         : NATURAL := 3;  -- number of BG sync intervals to set c_run_time
---  g_nof_streams      : NATURAL := 2;
---  g_nof_octet_output   : NATURAL := 96; -- maximum = 96 bytes as max dp.data field = 768 bits.
---  g_nof_octet_generate : NATURAL := 96;
---
---  -- t_diag_block_gen_integer =
---  --   sl:  enable
---  --   sl:  enable_sync
---  --   nat: samples_per_packet
---  --   nat: blocks_per_sync
---  --   nat: gapsize
---  --   nat: mem_low_adrs
---  --   nat: mem_high_adrs
---  --   nat: bsn_init
---  g_bg_ctrl_first    : t_diag_block_gen_integer := ('1', '1', 50, c_nof_blk, 100, 0, 30, 0);  -- for first stream
---  g_bg_ctrl_others   : t_diag_block_gen_integer := ('1', '1', 30, c_nof_blk, 10, 0, 30, 0)   -- for other streams
+  --  g_tb_index         : NATURAL := 0;  -- use to incremental delay logging from tb instances in tb_tb
+  --  g_nof_sync         : NATURAL := 3;  -- number of BG sync intervals to set c_run_time
+  --  g_nof_streams      : NATURAL := 2;
+  --  g_nof_octet_output   : NATURAL := 96; -- maximum = 96 bytes as max dp.data field = 768 bits.
+  --  g_nof_octet_generate : NATURAL := 96;
+  --
+  --  -- t_diag_block_gen_integer =
+  --  --   sl:  enable
+  --  --   sl:  enable_sync
+  --  --   nat: samples_per_packet
+  --  --   nat: blocks_per_sync
+  --  --   nat: gapsize
+  --  --   nat: mem_low_adrs
+  --  --   nat: mem_high_adrs
+  --  --   nat: bsn_init
+  --  g_bg_ctrl_first    : t_diag_block_gen_integer := ('1', '1', 50, c_nof_blk, 100, 0, 30, 0);  -- for first stream
+  --  g_bg_ctrl_others   : t_diag_block_gen_integer := ('1', '1', 30, c_nof_blk, 10, 0, 30, 0)   -- for other streams
 
   -- Tb instance prefix:
   -- . u_st   : uses streaming Tx-Rx interface
@@ -128,13 +128,13 @@ begin
   u_st_jumbo1   : entity work.tb_eth_tester_high_bw generic map (10, c_nof_sync, 1, 96, 96,
                                                          ('1', '1', c_block_len_jumbo, 1, c_zero_gap, 0, c_high, 0),
                                                          c_bg_ctrl_rst)
-                                            port map (tb_end_vec(10));
+    port map (tb_end_vec(10));
 
   -- Try large block sizes
   u_st_jumbo2   : entity work.tb_eth_tester_high_bw generic map (11, c_nof_sync, 1, 64, 64,
                                                          ('1', '1', c_block_len_jumbo, 2, c_zero_gap, 0, c_high, 0),
                                                          c_bg_ctrl_rst)
-                                            port map (tb_end_vec(11));
+    port map (tb_end_vec(11));
 
 
 
@@ -145,7 +145,7 @@ begin
   u_st_len2 : entity work.tb_eth_tester_high_bw generic map (20, c_nof_sync, 1, 64, 64,
                                                      ('1', '1', 2, c_nof_blk, c_gap_len, 0, c_high, 0),
                                                      c_bg_ctrl_rst)
-                                        port map (tb_end_vec(20));
+    port map (tb_end_vec(20));
 
 
   -- Try different BG block lengths and data widths to verify sosi.empty nof octets in last word and repack.
@@ -158,10 +158,10 @@ begin
   -- Multiple streams
   -----------------------------------------------------------------------------
   u_st_multiple_streams : entity work.tb_eth_tester_high_bw
-                          generic map (80, c_nof_sync, c_nof_streams, 8, 8,
+    generic map (80, c_nof_sync, c_nof_streams, 8, 8,
                                        c_bg_ctrl_multiple_first,
                                        c_bg_ctrl_multiple_others)
-                          port map (tb_end_vec(80));
+    port map (tb_end_vec(80));
 
 
   tb_end <= '1' when tb_end_vec = c_tb_end_vec else '0';
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_tb_eth_regression.vhd b/libraries/io/eth/tb/vhdl/tb_tb_tb_eth_regression.vhd
index a4627b1e2c..4d5ca5946e 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_tb_eth_regression.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_tb_eth_regression.vhd
@@ -27,7 +27,7 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_tb_eth_regression is
 end tb_tb_tb_eth_regression;
diff --git a/libraries/io/eth1g/src/vhdl/eth1g.vhd b/libraries/io/eth1g/src/vhdl/eth1g.vhd
index 79d3543ff8..38cdbe6ecd 100644
--- a/libraries/io/eth1g/src/vhdl/eth1g.vhd
+++ b/libraries/io/eth1g/src/vhdl/eth1g.vhd
@@ -29,15 +29,15 @@
 --   number and all other packets are transfered to the default control channel.
 
 library IEEE, common_lib, technology_lib, dp_lib, eth_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity eth1g is
   generic (
@@ -94,11 +94,13 @@ architecture str of eth1g is
   ------------------------------------------------------------------------------
 
   -- Use MM bus data width = c_word_w = 32
-  constant c_mm_ram  : t_c_mem := (latency  => c_mem_ram_rd_latency,
-                                   adr_w    => c_eth_ram_addr_w,
-                                   dat_w    => c_word_w,
-                                   nof_dat  => c_eth_ram_nof_words,
-                                   init_sl  => '0');
+  constant c_mm_ram  : t_c_mem := (
+    latency  => c_mem_ram_rd_latency,
+    adr_w    => c_eth_ram_addr_w,
+    dat_w    => c_word_w,
+    nof_dat  => c_eth_ram_nof_words,
+    init_sl  => '0'
+  );
 
   signal mem_in         : t_mem_mosi;  -- big endian on ST and TSE MAC network side
   signal mem_out        : t_mem_miso;  -- big endian on ST and TSE MAC network side
@@ -113,7 +115,7 @@ architecture str of eth1g is
   constant c_mux_nof_ports    : natural := 1 + c_eth_nof_udp_ports;  -- One for control + nof UDP ports
   constant c_demux_nof_ports  : natural := c_mux_nof_ports;
   constant c_demux_combined   : boolean := false;  -- when TRUE then all downstream sinks must be ready, when FALSE then only the
-                                                   -- selected sink needs to be ready (see dp_demux for more explanation).
+  -- selected sink needs to be ready (see dp_demux for more explanation).
   -- All Rx (so UDP off-load and other ETH traffic)
   signal rx_adapt_siso        : t_dp_siso;
   signal rx_adapt_sosi        : t_dp_sosi;
@@ -205,56 +207,56 @@ begin
   ------------------------------------------------------------------------------
 
   u_mm_registers : entity eth_lib.eth_mm_registers
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_init_ip_address    => g_init_ip_address
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-    -- Memory Mapped Slave
-    sla_in             => reg_sla_in,
-    sla_out            => reg_sla_out,
-    sla_interrupt      => reg_sla_interrupt,
-    -- MM registers in st_clk domain
-    -- . write/read back
-    st_reg_demux       => reg_demux,
-    st_reg_config      => reg_config,
-    st_reg_control     => reg_control,
-    st_reg_continue_wr => reg_continue_wr,
-    -- . read only
-    st_reg_frame       => reg_frame,
-    st_reg_status      => reg_status,
-    st_reg_status_wr   => reg_status_wr
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_init_ip_address    => g_init_ip_address
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+      -- Memory Mapped Slave
+      sla_in             => reg_sla_in,
+      sla_out            => reg_sla_out,
+      sla_interrupt      => reg_sla_interrupt,
+      -- MM registers in st_clk domain
+      -- . write/read back
+      st_reg_demux       => reg_demux,
+      st_reg_config      => reg_config,
+      st_reg_control     => reg_control,
+      st_reg_continue_wr => reg_continue_wr,
+      -- . read only
+      st_reg_frame       => reg_frame,
+      st_reg_status      => reg_status,
+      st_reg_status_wr   => reg_status_wr
+    );
 
   -- Packet buffer
   u_mm_ram : entity common_lib.common_ram_crw_crw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_mm_ram
-  )
-  port map (
-    rst_a     => mm_rst,
-    clk_a     => mm_clk,
-    wr_en_a   => ram_sla_in.wr,
-    adr_a     => ram_sla_in.address(c_mm_ram.adr_w - 1 downto 0),
-    wr_dat_a  => ram_sla_in.wrdata(c_mm_ram.dat_w - 1 downto 0),
-    rd_en_a   => ram_sla_in.rd,
-    rd_dat_a  => ram_sla_out.rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_a  => ram_sla_out.rdval,
-    rst_b     => st_rst,
-    clk_b     => st_clk,
-    wr_en_b   => mem_in_endian.wr,
-    adr_b     => mem_in_endian.address(c_mm_ram.adr_w - 1 downto 0),
-    wr_dat_b  => mem_in_endian.wrdata(c_mm_ram.dat_w - 1 downto 0),
-    rd_en_b   => mem_in_endian.rd,
-    rd_dat_b  => mem_out_endian.rddata(c_mm_ram.dat_w - 1 downto 0),
-    rd_val_b  => mem_out_endian.rdval
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => c_mm_ram
+    )
+    port map (
+      rst_a     => mm_rst,
+      clk_a     => mm_clk,
+      wr_en_a   => ram_sla_in.wr,
+      adr_a     => ram_sla_in.address(c_mm_ram.adr_w - 1 downto 0),
+      wr_dat_a  => ram_sla_in.wrdata(c_mm_ram.dat_w - 1 downto 0),
+      rd_en_a   => ram_sla_in.rd,
+      rd_dat_a  => ram_sla_out.rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_a  => ram_sla_out.rdval,
+      rst_b     => st_rst,
+      clk_b     => st_clk,
+      wr_en_b   => mem_in_endian.wr,
+      adr_b     => mem_in_endian.address(c_mm_ram.adr_w - 1 downto 0),
+      wr_dat_b  => mem_in_endian.wrdata(c_mm_ram.dat_w - 1 downto 0),
+      rd_en_b   => mem_in_endian.rd,
+      rd_dat_b  => mem_out_endian.rddata(c_mm_ram.dat_w - 1 downto 0),
+      rd_val_b  => mem_out_endian.rdval
+    );
 
   -- The Rx, Tx packet buffer is big-endian
   mem_in_endian <= func_mem_swap_endianess(mem_in, c_word_sz);
@@ -266,58 +268,58 @@ begin
   ------------------------------------------------------------------------------
 
   u_adapt : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => c_eth_rx_ready_latency,  -- = 2
-    g_out_latency => c_eth_ready_latency  -- = 1
-  )
-  port map (
-    rst     => st_rst,
-    clk     => st_clk,
-    -- ST sink
-    snk_out => tse_rx_siso,
-    snk_in  => tse_rx_sosi,
-    -- ST source
-    src_in  => rx_adapt_siso,
-    src_out => rx_adapt_sosi
-  );
+    generic map (
+      g_in_latency  => c_eth_rx_ready_latency,  -- = 2
+      g_out_latency => c_eth_ready_latency  -- = 1
+    )
+    port map (
+      rst     => st_rst,
+      clk     => st_clk,
+      -- ST sink
+      snk_out => tse_rx_siso,
+      snk_in  => tse_rx_sosi,
+      -- ST source
+      src_in  => rx_adapt_siso,
+      src_out => rx_adapt_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- RX : Replace the CRC word with the stream error field from the TSE MAC
   ------------------------------------------------------------------------------
 
   u_crc_ctrl : entity eth_lib.eth_crc_ctrl
-  port map (
-    rst            => st_rst,
-    clk            => st_clk,
-
-    -- Streaming Sink
-    snk_in_err     => rx_adapt_sosi.err(c_tech_tse_error_w - 1 downto 0),  -- preserve error field from TSE MAC stream
-    snk_in         => rx_adapt_sosi,
-    snk_out        => rx_adapt_siso,
-
-    -- Streaming Source
-    src_in         => rx_crc_siso,
-    src_out        => rx_crc_sosi,  -- replaced CRC word by snk_in_err, so CRC word /=0 indicates any TSE error
-    src_out_err    => open  -- flag snk_in_err/=0 at src_out.eop
-  );
-
-  ------------------------------------------------------------------------------
-  -- RX : Strip the option words from the IPv4 header
-  ------------------------------------------------------------------------------
-  gen_ihl20: if g_ihl20 generate
-    u_ihl20 : entity eth_lib.eth_ihl_to_20
     port map (
       rst            => st_rst,
       clk            => st_clk,
 
       -- Streaming Sink
-      snk_in         => rx_crc_sosi,
-      snk_out        => rx_crc_siso,
+      snk_in_err     => rx_adapt_sosi.err(c_tech_tse_error_w - 1 downto 0),  -- preserve error field from TSE MAC stream
+      snk_in         => rx_adapt_sosi,
+      snk_out        => rx_adapt_siso,
 
       -- Streaming Source
-      src_in         => rx_ihl20_siso,
-      src_out        => rx_ihl20_sosi
+      src_in         => rx_crc_siso,
+      src_out        => rx_crc_sosi,  -- replaced CRC word by snk_in_err, so CRC word /=0 indicates any TSE error
+      src_out_err    => open  -- flag snk_in_err/=0 at src_out.eop
     );
+
+  ------------------------------------------------------------------------------
+  -- RX : Strip the option words from the IPv4 header
+  ------------------------------------------------------------------------------
+  gen_ihl20: if g_ihl20 generate
+    u_ihl20 : entity eth_lib.eth_ihl_to_20
+      port map (
+        rst            => st_rst,
+        clk            => st_clk,
+
+        -- Streaming Sink
+        snk_in         => rx_crc_sosi,
+        snk_out        => rx_crc_siso,
+
+        -- Streaming Source
+        src_in         => rx_ihl20_siso,
+        src_out        => rx_ihl20_sosi
+      );
   end generate;
 
   no_ihl20: if not g_ihl20 generate
@@ -331,57 +333,57 @@ begin
   ------------------------------------------------------------------------------
 
   u_rx_frame : entity eth_lib.eth_hdr
-  generic map (
-    g_header_store_and_forward     => true,
-    g_ip_header_checksum_calculate => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_header_store_and_forward     => true,
+      g_ip_header_checksum_calculate => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Sink
-    snk_in          => rx_ihl20_sosi,
-    snk_out         => rx_ihl20_siso,
+      -- Streaming Sink
+      snk_in          => rx_ihl20_sosi,
+      snk_out         => rx_ihl20_siso,
 
-    -- Streaming Source
-    src_in          => rx_hdr_siso,
-    src_out         => rx_hdr_sosi,
+      -- Streaming Source
+      src_in          => rx_hdr_siso,
+      src_out         => rx_hdr_sosi,
 
-    -- Frame control
-    frm_discard     => rx_eth_discard,
-    frm_discard_val => rx_eth_discard_val,
+      -- Frame control
+      frm_discard     => rx_eth_discard,
+      frm_discard_val => rx_eth_discard_val,
 
-    -- Header info
-    hdr_status          => rx_hdr_status,
-    hdr_status_complete => rx_hdr_status_complete
-  );
+      -- Header info
+      hdr_status          => rx_hdr_status,
+      hdr_status_complete => rx_hdr_status_complete
+    );
 
   rx_eth_discard     <= rx_frm_discard      when g_frm_discard_en = true else '0';
   rx_eth_discard_val <= rx_frm_discard_val  when g_frm_discard_en = true else '1';
 
   u_frm_discard : entity eth_lib.eth_frm_discard
-  generic map (
-    g_support_dhcp       => true,
-    g_support_udp_onload => false
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_support_dhcp       => true,
+      g_support_udp_onload => false
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- MM control
-    reg_config      => reg_config,
-    reg_demux       => reg_demux,
+      -- MM control
+      reg_config      => reg_config,
+      reg_demux       => reg_demux,
 
-    -- ST info
-    hdr_status          => rx_hdr_status,
-    hdr_status_complete => rx_hdr_status_complete,
+      -- ST info
+      hdr_status          => rx_hdr_status,
+      hdr_status_complete => rx_hdr_status_complete,
 
-    -- Frame discard decision
-    frm_discard     => rx_frm_discard,
-    frm_discard_val => rx_frm_discard_val
-  );
+      -- Frame discard decision
+      frm_discard     => rx_frm_discard,
+      frm_discard_val => rx_frm_discard_val
+    );
 
 
   ------------------------------------------------------------------------------
@@ -391,40 +393,40 @@ begin
   -- Put UDP off-load traffic on channel > 0
   -- Put other ETH    traffic on channel = 0 for further internal processing
   u_udp_channel : entity eth_lib.eth_udp_channel
-  port map (
-    -- Clocks and reset
-    rst            => st_rst,
-    clk            => st_clk,
+    port map (
+      -- Clocks and reset
+      rst            => st_rst,
+      clk            => st_clk,
 
-    -- Streaming Sink
-    snk_in         => rx_hdr_sosi,
-    snk_out        => rx_hdr_siso,
+      -- Streaming Sink
+      snk_in         => rx_hdr_sosi,
+      snk_out        => rx_hdr_siso,
 
-    -- Streaming Source with channel field
-    src_in         => rx_channel_siso,
-    src_out        => rx_channel_sosi,
+      -- Streaming Source with channel field
+      src_in         => rx_channel_siso,
+      src_out        => rx_channel_sosi,
 
-    -- Demux control
-    reg_demux      => reg_demux,
-    hdr_status     => rx_hdr_status
-  );
+      -- Demux control
+      reg_demux      => reg_demux,
+      hdr_status     => rx_hdr_status
+    );
 
   -- Demultiplex channel 0 for internal handling and the other channels > 0 for external UDP off-load.
   u_rx_demux : entity dp_lib.dp_demux
-  generic map (
-    g_nof_output    => c_demux_nof_ports,
-    g_combined      => c_demux_combined
-  )
-  port map (
-    rst         => st_rst,
-    clk         => st_clk,
-    -- ST sinks
-    snk_out     => rx_channel_siso,
-    snk_in      => rx_channel_sosi,
-    -- ST source
-    src_in_arr  => demux_siso_arr,
-    src_out_arr => demux_sosi_arr
-  );
+    generic map (
+      g_nof_output    => c_demux_nof_ports,
+      g_combined      => c_demux_combined
+    )
+    port map (
+      rst         => st_rst,
+      clk         => st_clk,
+      -- ST sinks
+      snk_out     => rx_channel_siso,
+      snk_in      => rx_channel_sosi,
+      -- ST source
+      src_in_arr  => demux_siso_arr,
+      src_out_arr => demux_sosi_arr
+    );
 
   -- Fixed local ETH port
   eth_rx_sosi       <= demux_sosi_arr(0);
@@ -442,28 +444,28 @@ begin
   ------------------------------------------------------------------------------
 
   u_rx_buffer : entity eth_lib.eth_buffer
-  generic map (
-    g_technology   => g_technology
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
-
-    -- Streaming Sink
-    snk_in          => eth_rx_sosi,
-    snk_out         => eth_rx_siso,
+    generic map (
+      g_technology   => g_technology
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Source
-    -- . The src_rd, src_ack and src_done act instead of src_in.ready to have src_in ready per frame instead of per data word
-    src_rd          => rx_frame_rd,  -- request frame pulse
-    src_ack         => rx_frame_ack,  -- acknowledge request
-    src_done        => rx_frame_done,  -- signal frame received
-    src_out         => rx_frame_sosi,
+      -- Streaming Sink
+      snk_in          => eth_rx_sosi,
+      snk_out         => eth_rx_siso,
 
-    -- Monitoring
-    flushed_frm_cnt => rx_flushed_frm_cnt
-  );
+      -- Streaming Source
+      -- . The src_rd, src_ack and src_done act instead of src_in.ready to have src_in ready per frame instead of per data word
+      src_rd          => rx_frame_rd,  -- request frame pulse
+      src_ack         => rx_frame_ack,  -- acknowledge request
+      src_done        => rx_frame_done,  -- signal frame received
+      src_out         => rx_frame_sosi,
+
+      -- Monitoring
+      flushed_frm_cnt => rx_flushed_frm_cnt
+    );
 
 
   ------------------------------------------------------------------------------
@@ -472,53 +474,53 @@ begin
 
   -- Extract total header
   u_rx_hdr_info : entity eth_lib.eth_hdr
-  generic map (
-    g_header_store_and_forward     => false,
-    g_ip_header_checksum_calculate => false
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_header_store_and_forward     => false,
+      g_ip_header_checksum_calculate => false
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Sink
-    snk_in          => rx_frame_sosi,
+      -- Streaming Sink
+      snk_in          => rx_frame_sosi,
 
-    -- Header info
-    hdr_words_arr   => rx_frame_hdr_words_arr,
-    hdr_fields      => rx_frame_hdr_fields,
-    hdr_status      => rx_frame_hdr_status
-  );
+      -- Header info
+      hdr_words_arr   => rx_frame_hdr_words_arr,
+      hdr_fields      => rx_frame_hdr_fields,
+      hdr_status      => rx_frame_hdr_status
+    );
 
   -- Extract CRC word (enumerate: 0=OK, >0 AND odd = Error)
   u_rx_crc_word : entity eth_lib.eth_crc_word
-  port map (
-    rst            => st_rst,
-    clk            => st_clk,
+    port map (
+      rst            => st_rst,
+      clk            => st_clk,
 
-    -- Streaming Sink
-    snk_in         => rx_frame_sosi,
+      -- Streaming Sink
+      snk_in         => rx_frame_sosi,
 
-    -- CRC word
-    crc_word       => rx_frame_crc_word,
-    crc_word_val   => open
-  );
+      -- CRC word
+      crc_word       => rx_frame_crc_word,
+      crc_word_val   => open
+    );
 
   u_mm_reg_frame : entity eth_lib.eth_mm_reg_frame
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
-
-    -- Inputs need for the frame register
-    hdr_fields      => rx_frame_hdr_fields,
-    hdr_status      => rx_frame_hdr_status,
-    erc_word        => rx_frame_crc_word,
-    reg_config      => reg_config,
-
-    -- Frame register
-    reg_frame       => reg_frame
-  );
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
+
+      -- Inputs need for the frame register
+      hdr_fields      => rx_frame_hdr_fields,
+      hdr_status      => rx_frame_hdr_status,
+      erc_word        => rx_frame_crc_word,
+      reg_config      => reg_config,
+
+      -- Frame register
+      reg_frame       => reg_frame
+    );
 
 
   ------------------------------------------------------------------------------
@@ -526,34 +528,34 @@ begin
   ------------------------------------------------------------------------------
 
   u_control : entity eth_lib.eth_control
-  port map (
-    -- Clocks and reset
-    rst               => st_rst,
-    clk               => st_clk,
-
-    -- Control register
-    reg_config        => reg_config,
-    reg_control       => reg_control,
-    reg_continue_wr   => reg_continue_wr,
-    reg_status        => reg_status,
-    reg_status_wr     => reg_status_wr,
-
-    -- Streaming sink Rx frame
-    rcv_rd            => rx_frame_rd,
-    rcv_ack           => rx_frame_ack,
-    rcv_done          => rx_frame_done,
-    rcv_in            => rx_frame_sosi,
-    rcv_hdr_words_arr => rx_frame_hdr_words_arr,
-    rcv_hdr_status    => rx_frame_hdr_status,
-
-    -- Streaming source Tx frame
-    xmt_in            => eth_tx_siso,
-    xmt_out           => eth_tx_sosi,
-
-    -- MM frame memory
-    mem_in            => mem_in,
-    mem_out           => mem_out
-  );
+    port map (
+      -- Clocks and reset
+      rst               => st_rst,
+      clk               => st_clk,
+
+      -- Control register
+      reg_config        => reg_config,
+      reg_control       => reg_control,
+      reg_continue_wr   => reg_continue_wr,
+      reg_status        => reg_status,
+      reg_status_wr     => reg_status_wr,
+
+      -- Streaming sink Rx frame
+      rcv_rd            => rx_frame_rd,
+      rcv_ack           => rx_frame_ack,
+      rcv_done          => rx_frame_done,
+      rcv_in            => rx_frame_sosi,
+      rcv_hdr_words_arr => rx_frame_hdr_words_arr,
+      rcv_hdr_status    => rx_frame_hdr_status,
+
+      -- Streaming source Tx frame
+      xmt_in            => eth_tx_siso,
+      xmt_out           => eth_tx_sosi,
+
+      -- MM frame memory
+      mem_in            => mem_in,
+      mem_out           => mem_out
+    );
 
 
   ------------------------------------------------------------------------------
@@ -572,53 +574,53 @@ begin
 
   -- Multiplex the two input streams on to the single ETH stream
   u_tx_mux : entity dp_lib.dp_mux
-  generic map (
-    g_technology      => g_technology,
-    g_data_w          => c_eth_data_w,
-    g_empty_w         => c_eth_empty_w,
-    g_in_channel_w    => 1,
-    g_error_w         => 1,
-    g_use_empty       => true,
-    g_use_in_channel  => false,
-    g_use_error       => false,
-    g_nof_input       => c_mux_nof_ports,
-    g_use_fifo        => false,
-    g_fifo_size       => array_init(1024, c_mux_nof_ports),  -- input FIFOs are not used, but generic must match g_nof_input
-    g_fifo_fill       => array_init(   0, c_mux_nof_ports)  -- input FIFOs are not used, but generic must match g_nof_input
-  )
-  port map (
-    rst         => st_rst,
-    clk         => st_clk,
-    -- ST sinks
-    snk_out_arr => mux_siso_arr,  -- OUT = request to upstream ST source
-    snk_in_arr  => mux_sosi_arr,
-    -- ST source
-    src_in      => tx_mux_siso,  -- IN  = request from downstream ST sink
-    src_out     => tx_mux_sosi
-  );
+    generic map (
+      g_technology      => g_technology,
+      g_data_w          => c_eth_data_w,
+      g_empty_w         => c_eth_empty_w,
+      g_in_channel_w    => 1,
+      g_error_w         => 1,
+      g_use_empty       => true,
+      g_use_in_channel  => false,
+      g_use_error       => false,
+      g_nof_input       => c_mux_nof_ports,
+      g_use_fifo        => false,
+      g_fifo_size       => array_init(1024, c_mux_nof_ports),  -- input FIFOs are not used, but generic must match g_nof_input
+      g_fifo_fill       => array_init(   0, c_mux_nof_ports)  -- input FIFOs are not used, but generic must match g_nof_input
+    )
+    port map (
+      rst         => st_rst,
+      clk         => st_clk,
+      -- ST sinks
+      snk_out_arr => mux_siso_arr,  -- OUT = request to upstream ST source
+      snk_in_arr  => mux_sosi_arr,
+      -- ST source
+      src_in      => tx_mux_siso,  -- IN  = request from downstream ST sink
+      src_out     => tx_mux_sosi
+    );
 
   ------------------------------------------------------------------------------
   -- TX : For IP insert IP header checksum
   ------------------------------------------------------------------------------
 
   u_tx_frame : entity eth_lib.eth_hdr
-  generic map (
-    g_header_store_and_forward     => true,
-    g_ip_header_checksum_calculate => true
-  )
-  port map (
-    -- Clocks and reset
-    rst             => st_rst,
-    clk             => st_clk,
+    generic map (
+      g_header_store_and_forward     => true,
+      g_ip_header_checksum_calculate => true
+    )
+    port map (
+      -- Clocks and reset
+      rst             => st_rst,
+      clk             => st_clk,
 
-    -- Streaming Sink
-    snk_in          => tx_mux_sosi,
-    snk_out         => tx_mux_siso,
+      -- Streaming Sink
+      snk_in          => tx_mux_sosi,
+      snk_out         => tx_mux_siso,
 
-    -- Streaming Source
-    src_in          => tx_hdr_siso,
-    src_out         => tx_hdr_sosi
-  );
+      -- Streaming Source
+      src_in          => tx_hdr_siso,
+      src_out         => tx_hdr_sosi
+    );
 
 
   ------------------------------------------------------------------------------
@@ -630,39 +632,39 @@ begin
   tse_tx_mac_in.crc_fwd <= '0';  -- when '0' then TSE MAC generates the TX CRC field
 
   u_tech_tse : entity tech_tse_lib.tech_tse
-  generic map (
-    g_technology   => g_technology,
-    g_ETH_PHY      => g_ETH_PHY
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-    cal_rec_clk    => cal_rec_clk,
-    -- Memory Mapped Slave
-    mm_sla_in      => tse_sla_in,
-    mm_sla_out     => tse_sla_out,
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => tse_tx_sosi,
-    tx_snk_out     => tse_tx_siso,
-    -- . MAC specific
-    tx_mac_in      => tse_tx_mac_in,
-    tx_mac_out     => tse_tx_mac_out,  -- OPEN
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => tse_rx_siso,
-    rx_src_out     => tse_rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => tse_rx_mac_out,
-    -- PHY interface
-    eth_txp        => eth_txp,
-    eth_rxp        => eth_rxp,
-    -- LED interface
-    tse_led        => tse_led
-  );
+    generic map (
+      g_technology   => g_technology,
+      g_ETH_PHY      => g_ETH_PHY
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+      cal_rec_clk    => cal_rec_clk,
+      -- Memory Mapped Slave
+      mm_sla_in      => tse_sla_in,
+      mm_sla_out     => tse_sla_out,
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => tse_tx_sosi,
+      tx_snk_out     => tse_tx_siso,
+      -- . MAC specific
+      tx_mac_in      => tse_tx_mac_in,
+      tx_mac_out     => tse_tx_mac_out,  -- OPEN
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => tse_rx_siso,
+      rx_src_out     => tse_rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => tse_rx_mac_out,
+      -- PHY interface
+      eth_txp        => eth_txp,
+      eth_rxp        => eth_rxp,
+      -- LED interface
+      tse_led        => tse_led
+    );
 
 end str;
diff --git a/libraries/io/eth1g/src/vhdl/eth1g_master.vhd b/libraries/io/eth1g/src/vhdl/eth1g_master.vhd
index 8b090c53b8..6ad2af8851 100644
--- a/libraries/io/eth1g/src/vhdl/eth1g_master.vhd
+++ b/libraries/io/eth1g/src/vhdl/eth1g_master.vhd
@@ -30,16 +30,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, eth_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
---USE common_lib.tb_common_mem_pkg.ALL;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.eth1g_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  --USE common_lib.tb_common_mem_pkg.ALL;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.eth1g_mem_pkg.all;
 
 
 entity eth1g_master is
@@ -115,25 +115,25 @@ architecture rtl of eth1g_master is
   signal mm_wr_request   : std_logic := '0';
 
   type t_state is (s_rst,
-                   s_wr_demux_0, s_wr_demux_1, s_wr_demux_2, s_rd_demux_0, s_rd_demux_1, s_rd_demux_2,
-                   s_wr_config_0, s_wr_config_1, s_wr_config_2, s_wr_config_3, s_wr_control_0,
-                   s_rd_tse_rev, s_wr_tse_if_mode, s_rd_tse_control, s_rd_tse_status, s_wr_tse_control, s_wr_tse_promis_en, s_wr_tse_mac_0, s_wr_tse_mac_1, s_wr_tse_tx_ipg_len, s_wr_tse_frm_len,
-                   s_wr_tse_rx_section_empty, s_wr_tse_rx_section_full, s_wr_tse_tx_section_empty, s_wr_tse_tx_section_full,
-                   s_wr_tse_rx_almost_empty, s_wr_tse_rx_almost_full, s_wr_tse_tx_almost_empty, s_wr_tse_tx_almost_full,
-                   s_wait_interrupt_1, s_wait_interrupt_0, s_rd_payload, s_wr_payload, s_wr_control, s_eth_continue);
+    s_wr_demux_0, s_wr_demux_1, s_wr_demux_2, s_rd_demux_0, s_rd_demux_1, s_rd_demux_2,
+    s_wr_config_0, s_wr_config_1, s_wr_config_2, s_wr_config_3, s_wr_control_0,
+    s_rd_tse_rev, s_wr_tse_if_mode, s_rd_tse_control, s_rd_tse_status, s_wr_tse_control, s_wr_tse_promis_en, s_wr_tse_mac_0, s_wr_tse_mac_1, s_wr_tse_tx_ipg_len, s_wr_tse_frm_len,
+    s_wr_tse_rx_section_empty, s_wr_tse_rx_section_full, s_wr_tse_tx_section_empty, s_wr_tse_tx_section_full,
+    s_wr_tse_rx_almost_empty, s_wr_tse_rx_almost_full, s_wr_tse_tx_almost_empty, s_wr_tse_tx_almost_full,
+    s_wait_interrupt_1, s_wait_interrupt_0, s_rd_payload, s_wr_payload, s_wr_control, s_eth_continue);
 
   type t_reg is record
-      -- outputs
-      tse_mosi       : t_mem_mosi;
-      reg_mosi       : t_mem_mosi;
-      ram_mosi       : t_mem_mosi;
-      --internals
-      eth_init       : std_logic;
-      tse_init       : std_logic;
-      tse_psc_access : std_logic;  -- debug signal to view when PSC registers in TSE are accessed
-      ram_offset     : natural;
-      state          : t_state;
-    end record t_reg;
+    -- outputs
+    tse_mosi       : t_mem_mosi;
+    reg_mosi       : t_mem_mosi;
+    ram_mosi       : t_mem_mosi;
+    --internals
+    eth_init       : std_logic;
+    tse_init       : std_logic;
+    tse_psc_access : std_logic;  -- debug signal to view when PSC registers in TSE are accessed
+    ram_offset     : natural;
+    state          : t_state;
+  end record t_reg;
 
   signal r     : t_reg;
   signal nxt_r : t_reg;
@@ -150,9 +150,10 @@ architecture rtl of eth1g_master is
 
 
   -- Write data to the MM bus
-  procedure proc_eth1g_mem_mm_bus_wr(constant wr_addr : in  natural;
-                                     constant wr_data : in  integer;
-                                     variable mm_mosi : out t_mem_mosi) is
+  procedure proc_eth1g_mem_mm_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  integer;
+    variable mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address := TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  := TO_MEM_DATA(wr_data);
@@ -160,17 +161,19 @@ architecture rtl of eth1g_master is
   end proc_eth1g_mem_mm_bus_wr;
 
 
-  procedure proc_eth1g_mem_mm_bus_wr(constant wr_addr : in  natural;
-                                     signal   wr_data : in  std_logic_vector;
-                                     variable mm_mosi : out t_mem_mosi) is
+  procedure proc_eth1g_mem_mm_bus_wr (
+    constant wr_addr : in  natural;
+    signal   wr_data : in  std_logic_vector;
+    variable mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address := TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  := RESIZE_MEM_DATA(wr_data);
     mm_mosi.wr      := '1';
   end proc_eth1g_mem_mm_bus_wr;
 
-  procedure proc_eth1g_mem_mm_bus_rd(constant wr_addr : in  natural;
-                                     variable mm_mosi : out t_mem_mosi) is
+  procedure proc_eth1g_mem_mm_bus_rd (
+    constant wr_addr : in  natural;
+    variable mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address := TO_MEM_ADDRESS(wr_addr);
     mm_mosi.rd      := '1';
@@ -310,7 +313,7 @@ begin
             proc_eth1g_mem_mm_bus_wr(func_tech_tse_map_pcs_addr(16#00#), 16#0140#, v.tse_mosi);  -- PSC control, Auto negotiate disable
           else
             proc_eth1g_mem_mm_bus_wr(func_tech_tse_map_pcs_addr(16#00#), 16#0140#, v.tse_mosi);  -- PSC control, Auto negotiate disable
-            --proc_eth1g_mem_mm_bus_wr(func_tech_tse_map_pcs_addr(16#00#), 16#1140#, v.tse_mosi);  -- PSC control, Auto negotiate enable
+          --proc_eth1g_mem_mm_bus_wr(func_tech_tse_map_pcs_addr(16#00#), 16#1140#, v.tse_mosi);  -- PSC control, Auto negotiate enable
           end if;
           v.state := s_wr_tse_promis_en;
         end if;
diff --git a/libraries/io/eth1g/src/vhdl/eth1g_mem_pkg.vhd b/libraries/io/eth1g/src/vhdl/eth1g_mem_pkg.vhd
index 5dbb11176f..1347f9bff1 100644
--- a/libraries/io/eth1g/src/vhdl/eth1g_mem_pkg.vhd
+++ b/libraries/io/eth1g/src/vhdl/eth1g_mem_pkg.vhd
@@ -30,12 +30,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
 
 
 package eth1g_mem_pkg is
@@ -48,47 +48,52 @@ package eth1g_mem_pkg is
   -- as signal).
 
   -- Write data to the MM bus
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;  -- [31:0]
-                               constant wr_data : in  integer;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
-                               signal   mm_mosi : out t_mem_mosi);
-
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  integer;  -- [31:0]
-                               signal   wr_data : in  std_logic_vector;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
-                               signal   mm_mosi : out t_mem_mosi);
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;  -- [31:0]
+    constant wr_data : in  integer;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
+    signal   mm_mosi : out t_mem_mosi);
+
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  integer;  -- [31:0]
+    signal   wr_data : in  std_logic_vector;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
+    signal   mm_mosi : out t_mem_mosi);
 
   -- Read data request to the MM bus
-  procedure proc_mem_mm_bus_rd(constant rd_addr : in  natural;  -- [31:0]
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
-                               signal   mm_mosi : out t_mem_mosi);
+  procedure proc_mem_mm_bus_rd (
+    constant rd_addr : in  natural;  -- [31:0]
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;  -- used for waitrequest
+    signal   mm_mosi : out t_mem_mosi);
 
   -- Wait for read data valid after read latency mm_clk cycles
-  procedure proc_mem_mm_bus_rd_latency(constant c_rd_latency : in natural;
-                                       signal   mm_clk       : in std_logic);
+  procedure proc_mem_mm_bus_rd_latency (
+    constant c_rd_latency : in natural;
+    signal   mm_clk       : in std_logic);
 
--- supported packet data types
+  -- supported packet data types
   constant c_tech_tse_data_type_symbols : natural := 0;
   constant c_tech_tse_data_type_counter : natural := 1;
   constant c_tech_tse_data_type_arp     : natural := 2;
   constant c_tech_tse_data_type_ping    : natural := 3;  -- over IP/ICMP
   constant c_tech_tse_data_type_udp     : natural := 4;  -- over IP
 
-  function func_tech_tse_header_size(data_type : natural) return natural;  -- raw ethernet: 4 header words, protocol ethernet: 11 header words
+  function func_tech_tse_header_size (data_type : natural) return natural;  -- raw ethernet: 4 header words, protocol ethernet: 11 header words
 
   -- Configure the TSE MAC
-  procedure proc_tech_tse_setup(constant c_promis_en         : in  boolean;
-                                constant c_tse_tx_fifo_depth : in  natural;
-                                constant c_tse_rx_fifo_depth : in  natural;
-                                constant c_tx_ready_latency  : in  natural;
-                                constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                signal   psc_access          : out std_logic;
-                                signal   mm_clk              : in  std_logic;
-                                signal   mm_miso             : in  t_mem_miso;
-                                signal   mm_mosi             : out t_mem_mosi);
+  procedure proc_tech_tse_setup (
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
 
 end eth1g_mem_pkg;
 
@@ -99,8 +104,9 @@ package body eth1g_mem_pkg is
   ------------------------------------------------------------------------------
 
   -- Issues a rd or a wr MM access
-  procedure proc_mm_access(signal mm_clk    : in  std_logic;
-                           signal mm_access : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk    : in  std_logic;
+    signal mm_access : out std_logic) is
   begin
     mm_access <= '1';
     if rising_edge(mm_clk) then
@@ -110,9 +116,10 @@ package body eth1g_mem_pkg is
 
 
   -- Issues a rd or a wr MM access and wait for it to have finished
-  procedure proc_mm_access(signal mm_clk     : in  std_logic;
-                           signal mm_waitreq : in  std_logic;
-                           signal mm_access  : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk     : in  std_logic;
+    signal mm_waitreq : in  std_logic;
+    signal mm_access  : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -124,7 +131,7 @@ package body eth1g_mem_pkg is
   end proc_mm_access;
 
 
-  function func_map_pcs_addr(pcs_addr : natural) return natural is
+  function func_map_pcs_addr (pcs_addr : natural) return natural is
   begin
     return pcs_addr * 2 + c_tech_tse_byte_addr_pcs_offset;
   end func_map_pcs_addr;
@@ -134,11 +141,12 @@ package body eth1g_mem_pkg is
   ------------------------------------------------------------------------------
 
   -- Write data to the MM bus
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  natural;
-                               constant wr_data : in  integer;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  natural;
+    constant wr_data : in  integer;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  <= TO_MEM_DATA(wr_data);
@@ -147,11 +155,12 @@ package body eth1g_mem_pkg is
   end proc_mem_mm_bus_wr;
 
 
-  procedure proc_mem_mm_bus_wr(constant wr_addr : in  integer;
-                                 signal   wr_data : in  std_logic_vector;
-                                 signal   mm_clk  : in  std_logic;
-                                 signal   mm_miso : in  t_mem_miso;
-                                 signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_wr (
+    constant wr_addr : in  integer;
+    signal   wr_data : in  std_logic_vector;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(wr_addr);
     mm_mosi.wrdata  <= RESIZE_MEM_DATA(wr_data);
@@ -162,10 +171,11 @@ package body eth1g_mem_pkg is
   -- Read data request to the MM bus
   -- Use proc_mem_mm_bus_rd_latency() to wait for the MM MISO rd_data signal
   -- to show the data after some read latency
-  procedure proc_mem_mm_bus_rd(constant rd_addr : in  natural;
-                               signal   mm_clk  : in  std_logic;
-                               signal   mm_miso : in  t_mem_miso;
-                               signal   mm_mosi : out t_mem_mosi) is
+  procedure proc_mem_mm_bus_rd (
+    constant rd_addr : in  natural;
+    signal   mm_clk  : in  std_logic;
+    signal   mm_miso : in  t_mem_miso;
+    signal   mm_mosi : out t_mem_mosi) is
   begin
     mm_mosi.address <= TO_MEM_ADDRESS(rd_addr);
     mm_mosi.rd <= '1';
@@ -176,14 +186,15 @@ package body eth1g_mem_pkg is
 
   -- Wait for read data valid after read latency mm_clk cycles
   -- Directly assign mm_miso.rddata to capture the read data
-  procedure proc_mem_mm_bus_rd_latency(constant c_rd_latency : in natural;
-                                       signal   mm_clk       : in std_logic) is
+  procedure proc_mem_mm_bus_rd_latency (
+    constant c_rd_latency : in natural;
+    signal   mm_clk       : in std_logic) is
   begin
     for I in 0 to c_rd_latency - 1 loop wait until rising_edge(mm_clk); end loop;
   end proc_mem_mm_bus_rd_latency;
 
 
-  function func_tech_tse_header_size(data_type : natural) return natural is
+  function func_tech_tse_header_size (data_type : natural) return natural is
   begin
     case data_type is
       when c_tech_tse_data_type_symbols => return c_network_total_header_32b_eth_nof_words;
@@ -195,15 +206,16 @@ package body eth1g_mem_pkg is
 
 
   -- . The src_mac[47:0] = 0x123456789ABC for MAC address 12-34-56-78-9A-BC
-  procedure proc_tech_tse_setup(constant c_promis_en         : in  boolean;
-                                constant c_tse_tx_fifo_depth : in  natural;
-                                constant c_tse_rx_fifo_depth : in  natural;
-                                constant c_tx_ready_latency  : in  natural;
-                                constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                signal   psc_access          : out std_logic;
-                                signal   mm_clk              : in  std_logic;
-                                signal   mm_miso             : in  t_mem_miso;
-                                signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_tse_setup (
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
     constant c_mac0       : integer := TO_SINT(hton(src_mac(47 downto 16), 4));
     constant c_mac1       : integer := TO_SINT(hton(src_mac(15 downto  0), 2));
   begin
@@ -224,37 +236,37 @@ package body eth1g_mem_pkg is
     else
       proc_mem_mm_bus_wr(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_mem_mm_bus_wr(16#00C#,       c_mac0, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_mem_mm_bus_wr(16#010#,       c_mac1, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_mem_mm_bus_wr(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
diff --git a/libraries/io/eth1g/tb/vhdl/tb_eth1g.vhd b/libraries/io/eth1g/tb/vhdl/tb_eth1g.vhd
index 6a8a5081ff..f1d3912ea2 100644
--- a/libraries/io/eth1g/tb/vhdl/tb_eth1g.vhd
+++ b/libraries/io/eth1g/tb/vhdl/tb_eth1g.vhd
@@ -41,19 +41,19 @@
 
 
 library IEEE, common_lib, dp_lib, technology_lib, eth_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tech_tse_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
-use eth_lib.eth_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tech_tse_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
+  use eth_lib.eth_pkg.all;
 
 
 entity tb_eth1g is
@@ -96,7 +96,7 @@ architecture tb of tb_eth1g is
   -- Payload user data
   constant c_tb_nof_data        : natural := 0;  -- nof UDP user data, nof ping padding data
   constant c_tb_ip_nof_data     : natural := c_network_udp_header_len + c_tb_nof_data;  -- nof IP data,
-                                          -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
+  -- also suits ICMP, because c_network_icmp_header_len = c_network_udp_header_len
   constant c_tb_reply_payload   : boolean := true;  -- TRUE copy rx payload into response payload, else header only (e.g. for ARP)
 
   -- Packet headers
@@ -109,15 +109,21 @@ architecture tb of tb_eth1g is
   --                                                             symbols   counter               ARP=0x806               IP=0x800               IP=0x800
   constant c_dut_ethertype      : natural := sel_n(g_data_type, 16#07F0#, 16#07F1#, c_network_eth_type_arp, c_network_eth_type_ip, c_network_eth_type_ip);
 
-  constant c_tx_eth_header      : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w));
-  constant c_discard_eth_header : t_network_eth_header := (dst_mac    => c_dut_src_mac,
-                                                           src_mac    => c_lcu_src_mac,
-                                                           eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w));
-  constant c_exp_eth_header     : t_network_eth_header := (dst_mac    => c_tx_eth_header.src_mac,  -- \/
-                                                           src_mac    => c_tx_eth_header.dst_mac,  -- /\
-                                                           eth_type   => c_tx_eth_header.eth_type);  -- =
+  constant c_tx_eth_header      : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(c_dut_ethertype, c_network_eth_type_w)
+  );
+  constant c_discard_eth_header : t_network_eth_header := (
+    dst_mac    => c_dut_src_mac,
+    src_mac    => c_lcu_src_mac,
+    eth_type   => TO_UVEC(16#07F0#, c_network_eth_type_w)
+  );
+  constant c_exp_eth_header     : t_network_eth_header := (
+    dst_mac    => c_tx_eth_header.src_mac,  -- \/
+    src_mac    => c_tx_eth_header.dst_mac,  -- /\
+    eth_type   => c_tx_eth_header.eth_type
+  );  -- =
 
   -- . IP header
   constant c_lcu_ip_addr        : natural := 16#05060708#;  -- = 05:06:07:08
@@ -128,68 +134,80 @@ architecture tb of tb_eth1g is
   --                                                          symbols counter  ARP                      ping=1                     UDP=17
   constant c_tb_ip_protocol     : natural := sel_n(g_data_type,    13,     14,  15, c_network_ip_protocol_icmp, c_network_ip_protocol_udp);
 
-  constant c_tx_ip_header       : t_network_ip_header := (version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
-                                                          header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
-                                                          services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
-                                                          total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
-                                                          identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
-                                                          flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
-                                                          fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
-                                                          time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
-                                                          protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
-                                                          header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
-                                                          src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
-                                                          dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w));
-
-  constant c_exp_ip_header      : t_network_ip_header := (version         => c_tx_ip_header.version,  -- =
-                                                          header_length   => c_tx_ip_header.header_length,  -- =
-                                                          services        => c_tx_ip_header.services,  -- =
-                                                          total_length    => c_tx_ip_header.total_length,  -- =
-                                                          identification  => c_tx_ip_header.identification,  -- =
-                                                          flags           => c_tx_ip_header.flags,  -- =
-                                                          fragment_offset => c_tx_ip_header.fragment_offset,  -- =
-                                                          time_to_live    => c_tx_ip_header.time_to_live,  -- =
-                                                          protocol        => c_tx_ip_header.protocol,  -- =
-                                                          header_checksum => c_tx_ip_header.header_checksum,  -- init value
-                                                          src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
-                                                          dst_ip_addr     => c_tx_ip_header.src_ip_addr);  -- /\
+  constant c_tx_ip_header       : t_network_ip_header := (
+    version         => TO_UVEC(c_network_ip_version,         c_network_ip_version_w),
+    header_length   => TO_UVEC(c_network_ip_header_length,   c_network_ip_header_length_w),
+    services        => TO_UVEC(c_network_ip_services,        c_network_ip_services_w),
+    total_length    => TO_UVEC(c_tb_ip_total_length,         c_network_ip_total_length_w),
+    identification  => TO_UVEC(c_network_ip_identification,  c_network_ip_identification_w),
+    flags           => TO_UVEC(c_network_ip_flags,           c_network_ip_flags_w),
+    fragment_offset => TO_UVEC(c_network_ip_fragment_offset, c_network_ip_fragment_offset_w),
+    time_to_live    => TO_UVEC(c_network_ip_time_to_live,    c_network_ip_time_to_live_w),
+    protocol        => TO_UVEC(c_tb_ip_protocol,             c_network_ip_protocol_w),
+    header_checksum => TO_UVEC(c_network_ip_header_checksum, c_network_ip_header_checksum_w),  -- init value (or try 0xEBBD = 60349)
+    src_ip_addr     => TO_UVEC(c_lcu_ip_addr,                c_network_ip_addr_w),
+    dst_ip_addr     => TO_UVEC(c_dut_ip_addr,                c_network_ip_addr_w)
+  );
+
+  constant c_exp_ip_header      : t_network_ip_header := (
+    version         => c_tx_ip_header.version,  -- =
+    header_length   => c_tx_ip_header.header_length,  -- =
+    services        => c_tx_ip_header.services,  -- =
+    total_length    => c_tx_ip_header.total_length,  -- =
+    identification  => c_tx_ip_header.identification,  -- =
+    flags           => c_tx_ip_header.flags,  -- =
+    fragment_offset => c_tx_ip_header.fragment_offset,  -- =
+    time_to_live    => c_tx_ip_header.time_to_live,  -- =
+    protocol        => c_tx_ip_header.protocol,  -- =
+    header_checksum => c_tx_ip_header.header_checksum,  -- init value
+    src_ip_addr     => c_tx_ip_header.dst_ip_addr,  -- \/
+    dst_ip_addr     => c_tx_ip_header.src_ip_addr
+  );  -- /\
 
   -- . ARP packet
-  constant c_tx_arp_packet      : t_network_arp_packet := (htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
-                                                           ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
-                                                           hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
-                                                           plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
-                                                           oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
-                                                           sha   => c_lcu_src_mac,
-                                                           spa   => TO_UVEC(c_lcu_ip_addr,              c_network_ip_addr_w),
-                                                           tha   => c_dut_src_mac,
-                                                           tpa   => TO_UVEC(c_dut_ip_addr,              c_network_ip_addr_w));
-
-  constant c_exp_arp_packet     : t_network_arp_packet := (htype => c_tx_arp_packet.htype,
-                                                           ptype => c_tx_arp_packet.ptype,
-                                                           hlen  => c_tx_arp_packet.hlen,
-                                                           plen  => c_tx_arp_packet.plen,
-                                                           oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
-                                                           sha   => c_tx_arp_packet.tha,  -- \/
-                                                           spa   => c_tx_arp_packet.tpa,  -- /\  \/
-                                                           tha   => c_tx_arp_packet.sha,  -- /  \ /\
-                                                           tpa   => c_tx_arp_packet.spa);  -- /  \
+  constant c_tx_arp_packet      : t_network_arp_packet := (
+    htype => TO_UVEC(c_network_arp_htype,        c_network_arp_htype_w),
+    ptype => TO_UVEC(c_network_arp_ptype,        c_network_arp_ptype_w),
+    hlen  => TO_UVEC(c_network_arp_hlen,         c_network_arp_hlen_w),
+    plen  => TO_UVEC(c_network_arp_plen,         c_network_arp_plen_w),
+    oper  => TO_UVEC(c_network_arp_oper_request, c_network_arp_oper_w),
+    sha   => c_lcu_src_mac,
+    spa   => TO_UVEC(c_lcu_ip_addr,              c_network_ip_addr_w),
+    tha   => c_dut_src_mac,
+    tpa   => TO_UVEC(c_dut_ip_addr,              c_network_ip_addr_w)
+  );
+
+  constant c_exp_arp_packet     : t_network_arp_packet := (
+    htype => c_tx_arp_packet.htype,
+    ptype => c_tx_arp_packet.ptype,
+    hlen  => c_tx_arp_packet.hlen,
+    plen  => c_tx_arp_packet.plen,
+    oper  => TO_UVEC(c_network_arp_oper_reply, c_network_arp_oper_w),  -- reply
+    sha   => c_tx_arp_packet.tha,  -- \/
+    spa   => c_tx_arp_packet.tpa,  -- /\  \/
+    tha   => c_tx_arp_packet.sha,  -- /  \ /\
+    tpa   => c_tx_arp_packet.spa
+  );  -- /  \
 
   -- . ICMP header
-  constant c_tx_icmp_header      : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
-                                                             code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
-                                                             checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
-                                                             id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
-                                                             sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w));
+  constant c_tx_icmp_header      : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_request, c_network_icmp_msg_type_w),  -- ping request
+    code     => TO_UVEC(c_network_icmp_code,             c_network_icmp_code_w),
+    checksum => TO_UVEC(c_network_icmp_checksum,         c_network_icmp_checksum_w),  -- init value
+    id       => TO_UVEC(c_network_icmp_id,               c_network_icmp_id_w),
+    sequence => TO_UVEC(c_network_icmp_sequence,         c_network_icmp_sequence_w)
+  );
 
   -- checksum is 0x0800 + original checksum
   constant c_exp_icmp_checksum   : std_logic_vector(c_network_icmp_checksum_w - 1 downto 0) := TO_UVEC( 2048 + TO_UINT(c_tx_icmp_header.checksum), c_network_icmp_checksum_w);
 
-  constant c_exp_icmp_header     : t_network_icmp_header := (msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
-                                                             code     => c_tx_icmp_header.code,
-                                                             checksum => c_exp_icmp_checksum,
-                                                             id       => c_tx_icmp_header.id,
-                                                             sequence => c_tx_icmp_header.sequence);
+  constant c_exp_icmp_header     : t_network_icmp_header := (
+    msg_type => TO_UVEC(c_network_icmp_msg_type_reply,   c_network_icmp_msg_type_w),  -- ping reply
+    code     => c_tx_icmp_header.code,
+    checksum => c_exp_icmp_checksum,
+    id       => c_tx_icmp_header.id,
+    sequence => c_tx_icmp_header.sequence
+  );
 
   -- . UDP header
   constant c_dut_udp_port_ctrl   : natural := 11;  -- ETH demux UDP for control
@@ -200,15 +218,19 @@ architecture tb of tb_eth1g is
   constant c_lcu_udp_port        : natural := 10;  -- UDP port used for src_port
   constant c_dut_udp_port_st     : natural := c_dut_udp_port_st0;  -- UDP port used for dst_port
   constant c_tb_udp_total_length : natural := c_network_udp_total_length + c_tb_nof_data;
-  constant c_tx_udp_header       : t_network_udp_header := (src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
-                                                            dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
-                                                            total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
-                                                            checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w));  -- init value
-
-  constant c_exp_udp_header      : t_network_udp_header := (src_port     => c_tx_udp_header.dst_port,  -- \/
-                                                            dst_port     => c_tx_udp_header.src_port,  -- /\
-                                                            total_length => c_tx_udp_header.total_length,  -- =
-                                                            checksum     => c_tx_udp_header.checksum);  -- init value
+  constant c_tx_udp_header       : t_network_udp_header := (
+    src_port     => TO_UVEC(c_lcu_udp_port,         c_network_udp_port_w),
+    dst_port     => TO_UVEC(c_dut_udp_port_ctrl,    c_network_udp_port_w),  -- or use c_dut_udp_port_st#
+    total_length => TO_UVEC(c_tb_udp_total_length,  c_network_udp_total_length_w),
+    checksum     => TO_UVEC(c_network_udp_checksum, c_network_udp_checksum_w)
+  );  -- init value
+
+  constant c_exp_udp_header      : t_network_udp_header := (
+    src_port     => c_tx_udp_header.dst_port,  -- \/
+    dst_port     => c_tx_udp_header.src_port,  -- /\
+    total_length => c_tx_udp_header.total_length,  -- =
+    checksum     => c_tx_udp_header.checksum
+  );  -- init value
 
   signal tx_total_header     : t_network_total_header;  -- transmitted packet header
   signal discard_total_header: t_network_total_header;  -- transmitted packet header for to be discarded packet
@@ -411,7 +433,7 @@ begin
             proc_mem_mm_bus_wr(c_eth_ram_tx_offset + I, TO_SINT(eth_ram_miso.rddata(c_word_w - 1 downto 0)), mm_clk, eth_ram_miso, eth_ram_mosi);
           end loop;
         --ELSE
-          -- . only reply header
+        -- . only reply header
         end if;
         v_eth_control_word := func_eth_mm_reg_control(eth_mm_reg_control);
         proc_mem_mm_bus_wr(c_eth_reg_control_wi + 0, TO_UINT(v_eth_control_word),  mm_clk, eth_reg_miso, eth_reg_mosi);
@@ -462,7 +484,7 @@ begin
 
     for I in 0 to 40 loop
       proc_tech_tse_tx_packet(tx_total_header, I, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
-      --FOR J IN 0 TO 9 LOOP WAIT UNTIL rising_edge(st_clk); END LOOP;
+    --FOR J IN 0 TO 9 LOOP WAIT UNTIL rising_edge(st_clk); END LOOP;
     end loop;
 
     if g_frm_discard_en = true then
@@ -492,17 +514,17 @@ begin
       proc_tech_tse_tx_packet(tx_total_header,    2, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
     end if;
 
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
---     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1472, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1500, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  101, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  102, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header, 1000, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  103, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  104, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
+    --     proc_tech_tse_tx_packet(tx_total_header,  105, g_data_type, c_tx_ready_latency, c_nof_tx_not_valid, st_clk, lcu_tx_en, lcu_tx_siso, lcu_tx_sosi);
 
     tx_end <= '1';
     wait;
@@ -538,84 +560,84 @@ begin
   end generate;
 
   dut : entity eth_lib.eth
-  generic map (
-    g_technology         => g_technology_dut,
-    g_cross_clock_domain => c_cross_clock_domain,
-    g_frm_discard_en     => g_frm_discard_en,
-    g_sim                => c_sim,
-    g_sim_level          => c_sim_level
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-    eth_clk           => eth_clk,
-    st_rst            => st_rst,
-    st_clk            => st_clk,
-    -- UDP transmit interfaceg_frm_discard_en
-    -- . ST sink
-    udp_tx_snk_in_arr  => udp_tx_sosi_arr,
-    udp_tx_snk_out_arr => udp_tx_siso_arr,
-    -- UDP receive interface
-    -- . ST source
-    udp_rx_src_in_arr  => udp_rx_siso_arr,
-    udp_rx_src_out_arr => udp_rx_sosi_arr,
-    -- Control Memory Mapped Slaves
-    tse_sla_in        => eth_tse_mosi,
-    tse_sla_out       => eth_tse_miso,
-    reg_sla_in        => eth_reg_mosi,
-    reg_sla_out       => eth_reg_miso,
-    reg_sla_interrupt => eth_reg_interrupt,
-    ram_sla_in        => eth_ram_mosi,
-    ram_sla_out       => eth_ram_miso,
-    -- Monitoring
-    rx_flushed_frm_cnt => rx_pkt_flushed_cnt,
-
-    -- PHY interface
-    eth_txp           => eth_txp,
-    eth_rxp           => eth_rxp,
-    -- LED interface
-    tse_led           => eth_led
-  );
+    generic map (
+      g_technology         => g_technology_dut,
+      g_cross_clock_domain => c_cross_clock_domain,
+      g_frm_discard_en     => g_frm_discard_en,
+      g_sim                => c_sim,
+      g_sim_level          => c_sim_level
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+      eth_clk           => eth_clk,
+      st_rst            => st_rst,
+      st_clk            => st_clk,
+      -- UDP transmit interfaceg_frm_discard_en
+      -- . ST sink
+      udp_tx_snk_in_arr  => udp_tx_sosi_arr,
+      udp_tx_snk_out_arr => udp_tx_siso_arr,
+      -- UDP receive interface
+      -- . ST source
+      udp_rx_src_in_arr  => udp_rx_siso_arr,
+      udp_rx_src_out_arr => udp_rx_sosi_arr,
+      -- Control Memory Mapped Slaves
+      tse_sla_in        => eth_tse_mosi,
+      tse_sla_out       => eth_tse_miso,
+      reg_sla_in        => eth_reg_mosi,
+      reg_sla_out       => eth_reg_miso,
+      reg_sla_interrupt => eth_reg_interrupt,
+      ram_sla_in        => eth_ram_mosi,
+      ram_sla_out       => eth_ram_miso,
+      -- Monitoring
+      rx_flushed_frm_cnt => rx_pkt_flushed_cnt,
+
+      -- PHY interface
+      eth_txp           => eth_txp,
+      eth_rxp           => eth_rxp,
+      -- LED interface
+      tse_led           => eth_led
+    );
 
   lcu : entity tech_tse_lib.tech_tse
-  generic map (
-    g_sim          => c_sim,
-    g_sim_level    => c_sim_level
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-
-    -- Memory Mapped Slave
-    mm_sla_in      => lcu_tse_mosi,
-    mm_sla_out     => lcu_tse_miso,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => lcu_tx_sosi,
-    tx_snk_out     => lcu_tx_siso,
-    -- . MAC specific
-    tx_mac_in      => lcu_tx_mac_in,
-    tx_mac_out     => lcu_tx_mac_out,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => lcu_rx_siso,
-    rx_src_out     => lcu_rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => lcu_rx_mac_out,
-
-    -- PHY interface
-    eth_txp        => lcu_txp,
-    eth_rxp        => lcu_rxp,
-
-    tse_led        => lcu_led
-  );
+    generic map (
+      g_sim          => c_sim,
+      g_sim_level    => c_sim_level
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+
+      -- Memory Mapped Slave
+      mm_sla_in      => lcu_tse_mosi,
+      mm_sla_out     => lcu_tse_miso,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => lcu_tx_sosi,
+      tx_snk_out     => lcu_tx_siso,
+      -- . MAC specific
+      tx_mac_in      => lcu_tx_mac_in,
+      tx_mac_out     => lcu_tx_mac_out,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => lcu_rx_siso,
+      rx_src_out     => lcu_rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => lcu_rx_mac_out,
+
+      -- PHY interface
+      eth_txp        => lcu_txp,
+      eth_rxp        => lcu_rxp,
+
+      tse_led        => lcu_led
+    );
 
   -- Verification
   tx_pkt_cnt <= tx_pkt_cnt + 1 when lcu_tx_sosi.sop = '1' and rising_edge(st_clk);
diff --git a/libraries/io/eth1g/tb/vhdl/tb_tb_eth1g.vhd b/libraries/io/eth1g/tb/vhdl/tb_tb_eth1g.vhd
index 49c109dd90..bdd2baffc9 100644
--- a/libraries/io/eth1g/tb/vhdl/tb_tb_eth1g.vhd
+++ b/libraries/io/eth1g/tb/vhdl/tb_tb_eth1g.vhd
@@ -28,10 +28,10 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 
 entity tb_tb_eth1g is
@@ -51,17 +51,17 @@ architecture tb of tb_tb_eth1g is
 
 begin
 
--- g_technology_dut : NATURAL := c_tech_select_default;
--- g_technology_lcu : NATURAL := c_tech_select_default;
--- g_frm_discard_en : BOOLEAN := TRUE;   -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
--- g_flush_test_en  : BOOLEAN := FALSE;  -- when TRUE send many large frames to enforce flush in eth_buffer
--- g_tb_end         : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
--- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
--- --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
--- --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
--- --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
--- g_data_type : NATURAL := c_tb_tech_tse_data_type_udp
+  -- g_technology_dut : NATURAL := c_tech_select_default;
+  -- g_technology_lcu : NATURAL := c_tech_select_default;
+  -- g_frm_discard_en : BOOLEAN := TRUE;   -- when TRUE discard frame types that would otherwise have to be discarded by the Nios MM master
+  -- g_flush_test_en  : BOOLEAN := FALSE;  -- when TRUE send many large frames to enforce flush in eth_buffer
+  -- g_tb_end         : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
+  -- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
+  -- --   g_data_type = c_tb_tech_tse_data_type_arp      = 2
+  -- --   g_data_type = c_tb_tech_tse_data_type_ping     = 3
+  -- --   g_data_type = c_tb_tech_tse_data_type_udp      = 4
+  -- g_data_type : NATURAL := c_tb_tech_tse_data_type_udp
 
   u_use_symbols     : entity work.tb_eth1g generic map (g_technology_dut, c_technology_lcu, false, false, false, c_tb_tech_tse_data_type_symbols) port map (tb_end_vec(0));
   u_use_counter     : entity work.tb_eth1g generic map (g_technology_dut, c_technology_lcu, false, false, false, c_tb_tech_tse_data_type_counter) port map (tb_end_vec(1));
diff --git a/libraries/io/fpga_sense/src/vhdl/fpga_sense.vhd b/libraries/io/fpga_sense/src/vhdl/fpga_sense.vhd
index b986b1dc12..798bfe7ba6 100644
--- a/libraries/io/fpga_sense/src/vhdl/fpga_sense.vhd
+++ b/libraries/io/fpga_sense/src/vhdl/fpga_sense.vhd
@@ -28,12 +28,12 @@
 
 
 library IEEE, common_lib, technology_lib, tech_fpga_temp_sens_lib, tech_fpga_voltage_sens_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
 --USE tech_temp_sense_lib.tech_temp_sense_component_pkg.ALL;
 
 
@@ -85,9 +85,9 @@ architecture str of fpga_sense is
   signal start_sense_mm_d2 : std_logic := '0';
   signal controller_csr_write : std_logic := '0';
   signal controller_csr_writedata : std_logic_vector(31 downto 0) := X"00000001";
-                                        -- bits 9:8 = "00" select channels 2-7
-                                        -- bits 2:1 = "00" select single conversion
-                                        -- bit 0 = '1' set the self-clearing run bit
+-- bits 9:8 = "00" select channels 2-7
+-- bits 2:1 = "00" select single conversion
+-- bit 0 = '1' set the self-clearing run bit
 
 begin
 
@@ -95,18 +95,18 @@ begin
   temp_alarm <= '1' when (unsigned(temp_data) > unsigned(c_temp_high_raw)) else '0';
   gen_tech_fpga_temp_sens: if g_sim = false generate
     u_tech_fpga_temp_sens : entity tech_fpga_temp_sens_lib.tech_fpga_temp_sens
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      corectl => start_sense,
-      eoc     => eoc,  -- : OUT STD_LOGIC;
-      reset   => mm_rst,
-      tempout => temp_data  -- : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
-    );
-
---  The eoc signal goes high for one clock cycle of the 1-MHz internal oscillator clock,
---  indicating end of conversion. You can latch the data on tempout at the falling edge of eoc.
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        corectl => start_sense,
+        eoc     => eoc,  -- : OUT STD_LOGIC;
+        reset   => mm_rst,
+        tempout => temp_data  -- : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
+      );
+
+    --  The eoc signal goes high for one clock cycle of the 1-MHz internal oscillator clock,
+    --  indicating end of conversion. You can latch the data on tempout at the falling edge of eoc.
     process(eoc, mm_rst)
     begin
       if mm_rst = '1' then
@@ -125,31 +125,31 @@ begin
   end generate;
 
   u_reg_map : entity common_lib.common_reg_r_w_dc
-  generic map (
-    g_cross_clock_domain => false,
-    g_in_new_latency     => 0,
-    g_readback           => false,
-    g_reg                => c_mem_reg_temp_data,
-    g_init_reg           => (others => '0')
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst      => mm_rst,
-    mm_clk      => mm_clk,
-    st_rst      => mm_rst,
-    st_clk      => mm_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in      => reg_temp_mosi,
-    sla_out     => reg_temp_miso,
-
-    -- MM registers in st_clk domain
-    reg_wr_arr  => OPEN,
-    reg_rd_arr  => OPEN,
-    in_new      => '1',
-    in_reg      => mm_reg_temp_data,
-    out_reg     => open
-  );
+    generic map (
+      g_cross_clock_domain => false,
+      g_in_new_latency     => 0,
+      g_readback           => false,
+      g_reg                => c_mem_reg_temp_data,
+      g_init_reg           => (others => '0')
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst      => mm_rst,
+      mm_clk      => mm_clk,
+      st_rst      => mm_rst,
+      st_clk      => mm_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in      => reg_temp_mosi,
+      sla_out     => reg_temp_miso,
+
+      -- MM registers in st_clk domain
+      reg_wr_arr  => OPEN,
+      reg_rd_arr  => OPEN,
+      in_new      => '1',
+      in_reg      => mm_reg_temp_data,
+      out_reg     => open
+    );
 
 
   -- voltage sensor
@@ -177,25 +177,25 @@ begin
         sample_store_csr_writedata => reg_voltage_store_mosi.wrdata(31 downto 0),
         sample_store_csr_readdata  => reg_voltage_store_miso.rddata(31 downto 0),
         sample_store_irq_irq       => open
-    );
+      );
 
     process(mm_clk, mm_rst)
-      begin
-        if mm_rst = '1' then
+    begin
+      if mm_rst = '1' then
+        controller_csr_write <= '0';
+        start_sense_mm       <= '0';
+        start_sense_mm_d1    <= '0';
+        start_sense_mm_d2    <= '0';
+      elsif rising_edge(mm_clk) then
+        start_sense_mm <= start_sense;
+        start_sense_mm_d1 <= start_sense_mm;
+        start_sense_mm_d2 <= start_sense_mm_d1;
+        if start_sense_mm_d1 = '1' and start_sense_mm_d2 = '0' then
+          controller_csr_write <= '1';
+        else
           controller_csr_write <= '0';
-          start_sense_mm       <= '0';
-          start_sense_mm_d1    <= '0';
-          start_sense_mm_d2    <= '0';
-        elsif rising_edge(mm_clk) then
-          start_sense_mm <= start_sense;
-          start_sense_mm_d1 <= start_sense_mm;
-          start_sense_mm_d2 <= start_sense_mm_d1;
-          if start_sense_mm_d1 = '1' and start_sense_mm_d2 = '0' then
-            controller_csr_write <= '1';
-          else
-            controller_csr_write <= '0';
-          end if;
         end if;
+      end if;
     end process;
 
   end generate;
diff --git a/libraries/io/i2c/src/vhdl/avs_i2c_master.vhd b/libraries/io/i2c/src/vhdl/avs_i2c_master.vhd
index 5330d16ea9..0377b783d6 100644
--- a/libraries/io/i2c/src/vhdl/avs_i2c_master.vhd
+++ b/libraries/io/i2c/src/vhdl/avs_i2c_master.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
 
 
 -- Need to wrap i2c_master with this avs_i2c_master, because the SOPC Component
@@ -125,48 +125,48 @@ begin
   i_avs_control_address(0) <= avs_control_address;
 
   u_i2c_master : entity work.i2c_master
-  generic map (
-    g_i2c_mm                 => c_avs_i2c_mm,
-    g_i2c_phy                => c_avs_i2c_phy
-  )
-  port map (
-    -- GENERIC Signal
-    gs_sim                   => cs_sim,
-
-    rst                      => csi_system_reset,
-    clk                      => csi_system_clk,
-    sync                     => coe_sync_export,
-
-    ---------------------------------------------------------------------------
-    -- Memory Mapped Slave interface with Interrupt
-    ---------------------------------------------------------------------------
-    -- MM slave I2C control register
-    mms_control_address      => i_avs_control_address,
-    mms_control_write        => avs_control_write,
-    mms_control_read         => avs_control_read,
-    mms_control_writedata    => avs_control_writedata,
-    mms_control_readdata     => avs_control_readdata,
-    -- MM slave I2C protocol register
-    mms_protocol_address     => avs_protocol_address,
-    mms_protocol_write       => avs_protocol_write,
-    mms_protocol_read        => avs_protocol_read,
-    mms_protocol_writedata   => avs_protocol_writedata,
-    mms_protocol_readdata    => avs_protocol_readdata,
-    -- MM slave I2C result register
-    mms_result_address       => avs_result_address,
-    mms_result_write         => avs_result_write,
-    mms_result_read          => avs_result_read,
-    mms_result_writedata     => avs_result_writedata,
-    mms_result_readdata      => avs_result_readdata,
-    -- Interrupt
-    ins_result_rdy           => ins_interrupt_irq,
-
-    ---------------------------------------------------------------------------
-    -- I2C interface
-    ---------------------------------------------------------------------------
-    scl                      => coe_i2c_scl_export,
-    sda	                     => coe_i2c_sda_export
-  );
+    generic map (
+      g_i2c_mm                 => c_avs_i2c_mm,
+      g_i2c_phy                => c_avs_i2c_phy
+    )
+    port map (
+      -- GENERIC Signal
+      gs_sim                   => cs_sim,
+
+      rst                      => csi_system_reset,
+      clk                      => csi_system_clk,
+      sync                     => coe_sync_export,
+
+      ---------------------------------------------------------------------------
+      -- Memory Mapped Slave interface with Interrupt
+      ---------------------------------------------------------------------------
+      -- MM slave I2C control register
+      mms_control_address      => i_avs_control_address,
+      mms_control_write        => avs_control_write,
+      mms_control_read         => avs_control_read,
+      mms_control_writedata    => avs_control_writedata,
+      mms_control_readdata     => avs_control_readdata,
+      -- MM slave I2C protocol register
+      mms_protocol_address     => avs_protocol_address,
+      mms_protocol_write       => avs_protocol_write,
+      mms_protocol_read        => avs_protocol_read,
+      mms_protocol_writedata   => avs_protocol_writedata,
+      mms_protocol_readdata    => avs_protocol_readdata,
+      -- MM slave I2C result register
+      mms_result_address       => avs_result_address,
+      mms_result_write         => avs_result_write,
+      mms_result_read          => avs_result_read,
+      mms_result_writedata     => avs_result_writedata,
+      mms_result_readdata      => avs_result_readdata,
+      -- Interrupt
+      ins_result_rdy           => ins_interrupt_irq,
+
+      ---------------------------------------------------------------------------
+      -- I2C interface
+      ---------------------------------------------------------------------------
+      scl                      => coe_i2c_scl_export,
+      sda                       => coe_i2c_sda_export
+    );
 
 end wrap;
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_bit.vhd b/libraries/io/i2c/src/vhdl/i2c_bit.vhd
index 5fac1e5971..88e7e5d8bd 100644
--- a/libraries/io/i2c/src/vhdl/i2c_bit.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_bit.vhd
@@ -149,8 +149,8 @@
 --
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
 
 entity i2c_bit is
   port (
@@ -187,7 +187,7 @@ architecture rtl of i2c_bit is
   constant I2C_CMD_WRITE  : std_logic_vector(3 downto 0) := "1000";
 
   type states is (idle, start_a, start_b, start_c, start_d, start_e,
-                  stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d);
+    stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d);
   signal c_state : states;
 
   signal iscl_oen, isda_oen : std_logic;  -- internal I2C lines
@@ -196,7 +196,7 @@ architecture rtl of i2c_bit is
   signal sSCL, sSDA         : std_logic;  -- synchronized SCL and SDA inputs
   signal clk_en, slave_wait : std_logic;  -- clock generation signals
   signal ial                : std_logic;  -- internal arbitration lost signal
---  signal cnt : unsigned(15 downto 0) := clk_cnt;  -- clock divider counter (simulation)
+  --  signal cnt : unsigned(15 downto 0) := clk_cnt;  -- clock divider counter (simulation)
   signal cnt : unsigned(15 downto 0);  -- clock divider counter (synthesis)
 
 begin
@@ -204,39 +204,39 @@ begin
   -- delay scl_oen
   process (clk)
   begin
-      if (clk'event and clk = '1') then
-        dscl_oen <= iscl_oen;
-      end if;
+    if (clk'event and clk = '1') then
+      dscl_oen <= iscl_oen;
+    end if;
   end process;
   slave_wait <= dscl_oen and not sSCL;
 
   -- generate clk enable signal
   gen_clken: process(clk, nReset)
   begin
-      if (nReset = '0') then
+    if (nReset = '0') then
+      cnt    <= (others => '0');
+      clk_en <= '1';
+    elsif (clk'event and clk = '1') then
+      if (rst = '1') then
         cnt    <= (others => '0');
         clk_en <= '1';
-      elsif (clk'event and clk = '1') then
-        if (rst = '1') then
-          cnt    <= (others => '0');
-          clk_en <= '1';
-        else
-          if ( (cnt = 0) or (ena = '0') ) then
-            if (slave_wait = '0') then
-              cnt    <= clk_cnt;
-              clk_en <= '1';
-            else
-              cnt    <= cnt;
-              clk_en <= '0';
-            end if;
+      else
+        if ( (cnt = 0) or (ena = '0') ) then
+          if (slave_wait = '0') then
+            cnt    <= clk_cnt;
+            clk_en <= '1';
           else
-            if (slave_wait = '0') then
-              cnt <= cnt - 1;
-            end if;
+            cnt    <= cnt;
             clk_en <= '0';
           end if;
+        else
+          if (slave_wait = '0') then
+            cnt <= cnt - 1;
+          end if;
+          clk_en <= '0';
         end if;
       end if;
+    end if;
   end process gen_clken;
 
 
@@ -248,262 +248,262 @@ begin
     signal cmd_stop            : std_logic;  -- STOP command
     signal ibusy               : std_logic;  -- internal busy signal
   begin
-      -- synchronize SCL and SDA inputs
-      synch_scl_sda: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            sSCL <= '1';
-            sSDA <= '1';
-
-            dSCL <= '1';
-            dSDA <= '1';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1') then
-              sSCL <= '1';
-              sSDA <= '1';
-
-              dSCL <= '1';
-              dSDA <= '1';
-            else
-              sSCL <= scl_i;
-              sSDA <= sda_i;
-
-              dSCL <= sSCL;
-              dSDA <= sSDA;
-            end if;
-          end if;
-      end process synch_SCL_SDA;
-
-      -- detect start condition => detect falling edge on SDA while SCL is high
-      -- detect stop condition  => detect rising edge on SDA while SCL is high
-      detect_sta_sto: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            sta_condition <= '0';
-            sto_condition <= '0';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1') then
-              sta_condition <= '0';
-              sto_condition <= '0';
---            else
---              sta_condition <= (not sSDA and dSDA) and sSCL;
---              sto_condition <= (sSDA and not dSDA) and sSCL;
-            end if;
-          end if;
-      end process detect_sta_sto;
-
-      -- generate i2c-bus busy signal
-      gen_busy: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            ibusy <= '0';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1') then
-              ibusy <= '0';
---            else
---              ibusy <= (sta_condition or ibusy) and not sto_condition;
-            end if;
-          end if;
-      end process gen_busy;
-      busy <= ibusy;
+    -- synchronize SCL and SDA inputs
+    synch_scl_sda: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        sSCL <= '1';
+        sSDA <= '1';
 
+        dSCL <= '1';
+        dSDA <= '1';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
+          sSCL <= '1';
+          sSDA <= '1';
+
+          dSCL <= '1';
+          dSDA <= '1';
+        else
+          sSCL <= scl_i;
+          sSDA <= sda_i;
 
-      -- generate arbitration lost signal
-      -- aribitration lost when:
-      -- 1) master drives SDA high, but the i2c bus is low
-      -- 2) stop detected while not requested (detect during 'idle' state)
-      gen_al: process(clk, nReset)
-      begin
-        if (nReset = '0') then
+          dSCL <= sSCL;
+          dSDA <= sSDA;
+        end if;
+      end if;
+    end process synch_SCL_SDA;
+
+    -- detect start condition => detect falling edge on SDA while SCL is high
+    -- detect stop condition  => detect rising edge on SDA while SCL is high
+    detect_sta_sto: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        sta_condition <= '0';
+        sto_condition <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
+          sta_condition <= '0';
+          sto_condition <= '0';
+        --            else
+        --              sta_condition <= (not sSDA and dSDA) and sSCL;
+        --              sto_condition <= (sSDA and not dSDA) and sSCL;
+        end if;
+      end if;
+    end process detect_sta_sto;
+
+    -- generate i2c-bus busy signal
+    gen_busy: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        ibusy <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
+          ibusy <= '0';
+        --            else
+        --              ibusy <= (sta_condition or ibusy) and not sto_condition;
+        end if;
+      end if;
+    end process gen_busy;
+    busy <= ibusy;
+
+
+    -- generate arbitration lost signal
+    -- aribitration lost when:
+    -- 1) master drives SDA high, but the i2c bus is low
+    -- 2) stop detected while not requested (detect during 'idle' state)
+    gen_al: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        cmd_stop  <= '0';
+        ial       <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
           cmd_stop  <= '0';
           ial       <= '0';
-        elsif (clk'event and clk = '1') then
-          if (rst = '1') then
-            cmd_stop  <= '0';
-            ial       <= '0';
---           else
---             if (clk_en = '1') then
---               if (cmd = I2C_CMD_STOP) then
---                 cmd_stop <= '1';
---               else
---                 cmd_stop <= '0';
---               end if;
---             end if;
-
---             if (c_state = idle) then
---               ial <= (sda_chk and not sSDA and isda_oen);
---             else
---               ial <= (sda_chk and not sSDA and isda_oen) or (sto_condition and not cmd_stop);
---             end if;
+        --           else
+        --             if (clk_en = '1') then
+        --               if (cmd = I2C_CMD_STOP) then
+        --                 cmd_stop <= '1';
+        --               else
+        --                 cmd_stop <= '0';
+        --               end if;
+        --             end if;
+
+        --             if (c_state = idle) then
+        --               ial <= (sda_chk and not sSDA and isda_oen);
+        --             else
+        --               ial <= (sda_chk and not sSDA and isda_oen) or (sto_condition and not cmd_stop);
+        --             end if;
 
-          end if;
         end if;
-      end process gen_al;
-      al <= ial;
-
-      -- generate dout signal, store dout on rising edge of SCL
-      gen_dout: process(clk)
-      begin
-        if (clk'event and clk = '1') then
-          if (sSCL /= '0' and dSCL = '0') then
-            dout <= sSDA;
-          end if;
+      end if;
+    end process gen_al;
+    al <= ial;
+
+    -- generate dout signal, store dout on rising edge of SCL
+    gen_dout: process(clk)
+    begin
+      if (clk'event and clk = '1') then
+        if (sSCL /= '0' and dSCL = '0') then
+          dout <= sSDA;
         end if;
-      end process gen_dout;
+      end if;
+    end process gen_dout;
   end block bus_status_ctrl;
 
 
   -- generate statemachine
   nxt_state_decoder : process (clk, nReset)
   begin
-      if (nReset = '0') then
+    if (nReset = '0') then
+      c_state  <= idle;
+      cmd_ack  <= '0';
+      iscl_oen <= '1';
+      isda_oen <= '1';
+      sda_chk  <= '0';
+    elsif (clk'event and clk = '1') then
+      if (rst = '1' or ial = '1') then
         c_state  <= idle;
         cmd_ack  <= '0';
         iscl_oen <= '1';
         isda_oen <= '1';
         sda_chk  <= '0';
-      elsif (clk'event and clk = '1') then
-        if (rst = '1' or ial = '1') then
-          c_state  <= idle;
-          cmd_ack  <= '0';
-          iscl_oen <= '1';
-          isda_oen <= '1';
-          sda_chk  <= '0';
-        else
-          cmd_ack <= '0';  -- default no acknowledge
-
-          if (clk_en = '1') then
-            case (c_state) is
-               -- idle
-               when idle =>
-                  case cmd is
-                    when I2C_CMD_START => c_state <= start_a;
-                    when I2C_CMD_STOP  => c_state <= stop_a;
-                    when I2C_CMD_WRITE => c_state <= wr_a;
-                    when I2C_CMD_READ  => c_state <= rd_a;
-                    when others        => c_state <= idle;  -- NOP command
-                  end case;
-
-                  iscl_oen <= iscl_oen;  -- keep SCL in same state
-                  isda_oen <= isda_oen;  -- keep SDA in same state
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- start
-               when start_a =>
-                  c_state  <= start_b;
-                  iscl_oen <= iscl_oen;  -- keep SCL in same state (for repeated start)
-                  isda_oen <= '1';  -- set SDA high
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_b =>
-                  c_state  <= start_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= '1';  -- keep SDA high
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_c =>
-                  c_state  <= start_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '0';  -- set SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_d =>
-                  c_state  <= start_e;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_e =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '0';  -- set SCL low
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- stop
-               when stop_a =>
-                  c_state  <= stop_b;
-                  iscl_oen <= '0';  -- keep SCL low
-                  isda_oen <= '0';  -- set SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when stop_b =>
-                  c_state  <= stop_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when stop_c =>
-                  c_state  <= stop_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when stop_d =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '1';  -- set SDA high
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- read
-               when rd_a =>
-                  c_state  <= rd_b;
-                  iscl_oen <= '0';  -- keep SCL low
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when rd_b =>
-                  c_state  <= rd_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when rd_c =>
-                  c_state  <= rd_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when rd_d =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '0';  -- set SCL low
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- write
-               when wr_a =>
-                  c_state  <= wr_b;
-                  iscl_oen <= '0';  -- keep SCL low
-                  isda_oen <= din;  -- set SDA
-                  sda_chk  <= '0';  -- don't check SDA (SCL low)
-
-               when wr_b =>
-                  c_state  <= wr_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= din;  -- keep SDA
-                  sda_chk  <= '1';  -- check SDA
-
-               when wr_c =>
-                  c_state  <= wr_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= din;  -- keep SDA
-                  sda_chk  <= '1';  -- check SDA
-
-               when wr_d =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '0';  -- set SCL low
-                  isda_oen <= din;  -- keep SDA
-                  sda_chk  <= '0';  -- don't check SDA (SCL low)
-
-               when others =>
-
-            end case;
-          end if;
+      else
+        cmd_ack <= '0';  -- default no acknowledge
+
+        if (clk_en = '1') then
+          case (c_state) is
+            -- idle
+            when idle =>
+              case cmd is
+                when I2C_CMD_START => c_state <= start_a;
+                when I2C_CMD_STOP  => c_state <= stop_a;
+                when I2C_CMD_WRITE => c_state <= wr_a;
+                when I2C_CMD_READ  => c_state <= rd_a;
+                when others        => c_state <= idle;  -- NOP command
+              end case;
+
+              iscl_oen <= iscl_oen;  -- keep SCL in same state
+              isda_oen <= isda_oen;  -- keep SDA in same state
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- start
+            when start_a =>
+              c_state  <= start_b;
+              iscl_oen <= iscl_oen;  -- keep SCL in same state (for repeated start)
+              isda_oen <= '1';  -- set SDA high
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_b =>
+              c_state  <= start_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= '1';  -- keep SDA high
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_c =>
+              c_state  <= start_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '0';  -- set SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_d =>
+              c_state  <= start_e;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_e =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '0';  -- set SCL low
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- stop
+            when stop_a =>
+              c_state  <= stop_b;
+              iscl_oen <= '0';  -- keep SCL low
+              isda_oen <= '0';  -- set SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when stop_b =>
+              c_state  <= stop_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when stop_c =>
+              c_state  <= stop_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when stop_d =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '1';  -- set SDA high
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- read
+            when rd_a =>
+              c_state  <= rd_b;
+              iscl_oen <= '0';  -- keep SCL low
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            when rd_b =>
+              c_state  <= rd_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            when rd_c =>
+              c_state  <= rd_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            when rd_d =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '0';  -- set SCL low
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- write
+            when wr_a =>
+              c_state  <= wr_b;
+              iscl_oen <= '0';  -- keep SCL low
+              isda_oen <= din;  -- set SDA
+              sda_chk  <= '0';  -- don't check SDA (SCL low)
+
+            when wr_b =>
+              c_state  <= wr_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= din;  -- keep SDA
+              sda_chk  <= '1';  -- check SDA
+
+            when wr_c =>
+              c_state  <= wr_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= din;  -- keep SDA
+              sda_chk  <= '1';  -- check SDA
+
+            when wr_d =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '0';  -- set SCL low
+              isda_oen <= din;  -- keep SDA
+              sda_chk  <= '0';  -- don't check SDA (SCL low)
+
+            when others =>
+
+          end case;
         end if;
       end if;
+    end if;
   end process nxt_state_decoder;
 
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_bit_scl_sense.vhd b/libraries/io/i2c/src/vhdl/i2c_bit_scl_sense.vhd
index 942246ebef..2e8630ef02 100644
--- a/libraries/io/i2c/src/vhdl/i2c_bit_scl_sense.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_bit_scl_sense.vhd
@@ -149,8 +149,8 @@
 --
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
 
 entity i2c_bit_scl_sense is
   port (
@@ -187,7 +187,7 @@ architecture rtl of i2c_bit_scl_sense is
   constant I2C_CMD_WRITE  : std_logic_vector(3 downto 0) := "1000";
 
   type states is (idle, start_a, start_b, start_c, start_d, start_e,
-                  stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d);
+    stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d);
   signal c_state : states;
 
   signal iscl_oen, isda_oen : std_logic;  -- internal I2C lines
@@ -196,7 +196,7 @@ architecture rtl of i2c_bit_scl_sense is
   signal sSCL, sSDA         : std_logic;  -- synchronized SCL and SDA inputs
   signal clk_en, slave_wait : std_logic;  -- clock generation signals
   signal ial                : std_logic;  -- internal arbitration lost signal
---  signal cnt : unsigned(15 downto 0) := clk_cnt;  -- clock divider counter (simulation)
+  --  signal cnt : unsigned(15 downto 0) := clk_cnt;  -- clock divider counter (simulation)
   signal cnt : unsigned(15 downto 0);  -- clock divider counter (synthesis)
 
 begin
@@ -204,39 +204,39 @@ begin
   -- delay scl_oen
   process (clk)
   begin
-      if (clk'event and clk = '1') then
-        dscl_oen <= iscl_oen;
-      end if;
+    if (clk'event and clk = '1') then
+      dscl_oen <= iscl_oen;
+    end if;
   end process;
   slave_wait <= dscl_oen and not sSCL;
 
   -- generate clk enable signal
   gen_clken: process(clk, nReset)
   begin
-      if (nReset = '0') then
+    if (nReset = '0') then
+      cnt    <= (others => '0');
+      clk_en <= '1';
+    elsif (clk'event and clk = '1') then
+      if (rst = '1') then
         cnt    <= (others => '0');
         clk_en <= '1';
-      elsif (clk'event and clk = '1') then
-        if (rst = '1') then
-          cnt    <= (others => '0');
-          clk_en <= '1';
-        else
-          if ( (cnt = 0) or (ena = '0') ) then
-            if (slave_wait = '0') then
-              cnt    <= clk_cnt;
-              clk_en <= '1';
-            else
-              cnt    <= cnt;
-              clk_en <= '0';
-            end if;
+      else
+        if ( (cnt = 0) or (ena = '0') ) then
+          if (slave_wait = '0') then
+            cnt    <= clk_cnt;
+            clk_en <= '1';
           else
-            if (slave_wait = '0') then
-              cnt <= cnt - 1;
-            end if;
+            cnt    <= cnt;
             clk_en <= '0';
           end if;
+        else
+          if (slave_wait = '0') then
+            cnt <= cnt - 1;
+          end if;
+          clk_en <= '0';
         end if;
       end if;
+    end if;
   end process gen_clken;
 
 
@@ -248,262 +248,262 @@ begin
     signal cmd_stop            : std_logic;  -- STOP command
     signal ibusy               : std_logic;  -- internal busy signal
   begin
-      -- synchronize SCL and SDA inputs
-      synch_scl_sda: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            sSCL <= '1';
-            sSDA <= '1';
-
-            dSCL <= '1';
-            dSDA <= '1';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1') then
-              sSCL <= '1';
-              sSDA <= '1';
-
-              dSCL <= '1';
-              dSDA <= '1';
-            else
-              sSCL <= scl_i;
-              sSDA <= sda_i;
+    -- synchronize SCL and SDA inputs
+    synch_scl_sda: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        sSCL <= '1';
+        sSDA <= '1';
 
-              dSCL <= sSCL;
-              dSDA <= sSDA;
-            end if;
-          end if;
-      end process synch_SCL_SDA;
-
-      -- detect start condition => detect falling edge on SDA while SCL is high
-      -- detect stop condition  => detect rising edge on SDA while SCL is high
-      detect_sta_sto: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            sta_condition <= '0';
-            sto_condition <= '0';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1') then
-              sta_condition <= '0';
-              sto_condition <= '0';
-            else
-              sta_condition <= (not sSDA and dSDA) and sSCL;
-              sto_condition <= (sSDA and not dSDA) and sSCL;
-            end if;
-          end if;
-      end process detect_sta_sto;
-
-      -- generate i2c-bus busy signal
-      gen_busy: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            ibusy <= '0';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1') then
-              ibusy <= '0';
-            else
-              ibusy <= (sta_condition or ibusy) and not sto_condition;
-            end if;
-          end if;
-      end process gen_busy;
-      busy <= ibusy;
+        dSCL <= '1';
+        dSDA <= '1';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
+          sSCL <= '1';
+          sSDA <= '1';
+
+          dSCL <= '1';
+          dSDA <= '1';
+        else
+          sSCL <= scl_i;
+          sSDA <= sda_i;
 
+          dSCL <= sSCL;
+          dSDA <= sSDA;
+        end if;
+      end if;
+    end process synch_SCL_SDA;
 
-      -- generate arbitration lost signal
-      -- aribitration lost when:
-      -- 1) master drives SDA high, but the i2c bus is low
-      -- 2) stop detected while not requested (detect during 'idle' state)
-      gen_al: process(clk, nReset)
-      begin
-        if (nReset = '0') then
+    -- detect start condition => detect falling edge on SDA while SCL is high
+    -- detect stop condition  => detect rising edge on SDA while SCL is high
+    detect_sta_sto: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        sta_condition <= '0';
+        sto_condition <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
+          sta_condition <= '0';
+          sto_condition <= '0';
+        else
+          sta_condition <= (not sSDA and dSDA) and sSCL;
+          sto_condition <= (sSDA and not dSDA) and sSCL;
+        end if;
+      end if;
+    end process detect_sta_sto;
+
+    -- generate i2c-bus busy signal
+    gen_busy: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        ibusy <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
+          ibusy <= '0';
+        else
+          ibusy <= (sta_condition or ibusy) and not sto_condition;
+        end if;
+      end if;
+    end process gen_busy;
+    busy <= ibusy;
+
+
+    -- generate arbitration lost signal
+    -- aribitration lost when:
+    -- 1) master drives SDA high, but the i2c bus is low
+    -- 2) stop detected while not requested (detect during 'idle' state)
+    gen_al: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        cmd_stop  <= '0';
+        ial       <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1') then
           cmd_stop  <= '0';
           ial       <= '0';
-        elsif (clk'event and clk = '1') then
-          if (rst = '1') then
-            cmd_stop  <= '0';
-            ial       <= '0';
-           else
-             if (clk_en = '1') then
-               if (cmd = I2C_CMD_STOP) then
-                 cmd_stop <= '1';
-               else
-                 cmd_stop <= '0';
-               end if;
-             end if;
-
-             if (c_state = idle) then
-               ial <= (sda_chk and not sSDA and isda_oen);
-             else
-               ial <= (sda_chk and not sSDA and isda_oen) or (sto_condition and not cmd_stop);
-             end if;
+        else
+          if (clk_en = '1') then
+            if (cmd = I2C_CMD_STOP) then
+              cmd_stop <= '1';
+            else
+              cmd_stop <= '0';
+            end if;
+          end if;
 
+          if (c_state = idle) then
+            ial <= (sda_chk and not sSDA and isda_oen);
+          else
+            ial <= (sda_chk and not sSDA and isda_oen) or (sto_condition and not cmd_stop);
           end if;
+
         end if;
-      end process gen_al;
-      al <= ial;
-
-      -- generate dout signal, store dout on rising edge of SCL
-      gen_dout: process(clk)
-      begin
-        if (clk'event and clk = '1') then
-          if (sSCL /= '0' and dSCL = '0') then
-            dout <= sSDA;
-          end if;
+      end if;
+    end process gen_al;
+    al <= ial;
+
+    -- generate dout signal, store dout on rising edge of SCL
+    gen_dout: process(clk)
+    begin
+      if (clk'event and clk = '1') then
+        if (sSCL /= '0' and dSCL = '0') then
+          dout <= sSDA;
         end if;
-      end process gen_dout;
+      end if;
+    end process gen_dout;
   end block bus_status_ctrl;
 
 
   -- generate statemachine
   nxt_state_decoder : process (clk, nReset)
   begin
-      if (nReset = '0') then
+    if (nReset = '0') then
+      c_state  <= idle;
+      cmd_ack  <= '0';
+      iscl_oen <= '1';
+      isda_oen <= '1';
+      sda_chk  <= '0';
+    elsif (clk'event and clk = '1') then
+      if (rst = '1' or ial = '1') then
         c_state  <= idle;
         cmd_ack  <= '0';
         iscl_oen <= '1';
         isda_oen <= '1';
         sda_chk  <= '0';
-      elsif (clk'event and clk = '1') then
-        if (rst = '1' or ial = '1') then
-          c_state  <= idle;
-          cmd_ack  <= '0';
-          iscl_oen <= '1';
-          isda_oen <= '1';
-          sda_chk  <= '0';
-        else
-          cmd_ack <= '0';  -- default no acknowledge
-
-          if (clk_en = '1') then
-            case (c_state) is
-               -- idle
-               when idle =>
-                  case cmd is
-                    when I2C_CMD_START => c_state <= start_a;
-                    when I2C_CMD_STOP  => c_state <= stop_a;
-                    when I2C_CMD_WRITE => c_state <= wr_a;
-                    when I2C_CMD_READ  => c_state <= rd_a;
-                    when others        => c_state <= idle;  -- NOP command
-                  end case;
-
-                  iscl_oen <= iscl_oen;  -- keep SCL in same state
-                  isda_oen <= isda_oen;  -- keep SDA in same state
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- start
-               when start_a =>
-                  c_state  <= start_b;
-                  iscl_oen <= iscl_oen;  -- keep SCL in same state (for repeated start)
-                  isda_oen <= '1';  -- set SDA high
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_b =>
-                  c_state  <= start_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= '1';  -- keep SDA high
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_c =>
-                  c_state  <= start_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '0';  -- set SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_d =>
-                  c_state  <= start_e;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when start_e =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '0';  -- set SCL low
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- stop
-               when stop_a =>
-                  c_state  <= stop_b;
-                  iscl_oen <= '0';  -- keep SCL low
-                  isda_oen <= '0';  -- set SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when stop_b =>
-                  c_state  <= stop_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when stop_c =>
-                  c_state  <= stop_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '0';  -- keep SDA low
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when stop_d =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '1';  -- set SDA high
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- read
-               when rd_a =>
-                  c_state  <= rd_b;
-                  iscl_oen <= '0';  -- keep SCL low
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when rd_b =>
-                  c_state  <= rd_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when rd_c =>
-                  c_state  <= rd_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               when rd_d =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '0';  -- set SCL low
-                  isda_oen <= '1';  -- tri-state SDA
-                  sda_chk  <= '0';  -- don't check SDA
-
-               -- write
-               when wr_a =>
-                  c_state  <= wr_b;
-                  iscl_oen <= '0';  -- keep SCL low
-                  isda_oen <= din;  -- set SDA
-                  sda_chk  <= '0';  -- don't check SDA (SCL low)
-
-               when wr_b =>
-                  c_state  <= wr_c;
-                  iscl_oen <= '1';  -- set SCL high
-                  isda_oen <= din;  -- keep SDA
-                  sda_chk  <= '1';  -- check SDA
-
-               when wr_c =>
-                  c_state  <= wr_d;
-                  iscl_oen <= '1';  -- keep SCL high
-                  isda_oen <= din;  -- keep SDA
-                  sda_chk  <= '1';  -- check SDA
-
-               when wr_d =>
-                  c_state  <= idle;
-                  cmd_ack  <= '1';  -- command completed
-                  iscl_oen <= '0';  -- set SCL low
-                  isda_oen <= din;  -- keep SDA
-                  sda_chk  <= '0';  -- don't check SDA (SCL low)
-
-               when others =>
-
-            end case;
-          end if;
+      else
+        cmd_ack <= '0';  -- default no acknowledge
+
+        if (clk_en = '1') then
+          case (c_state) is
+            -- idle
+            when idle =>
+              case cmd is
+                when I2C_CMD_START => c_state <= start_a;
+                when I2C_CMD_STOP  => c_state <= stop_a;
+                when I2C_CMD_WRITE => c_state <= wr_a;
+                when I2C_CMD_READ  => c_state <= rd_a;
+                when others        => c_state <= idle;  -- NOP command
+              end case;
+
+              iscl_oen <= iscl_oen;  -- keep SCL in same state
+              isda_oen <= isda_oen;  -- keep SDA in same state
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- start
+            when start_a =>
+              c_state  <= start_b;
+              iscl_oen <= iscl_oen;  -- keep SCL in same state (for repeated start)
+              isda_oen <= '1';  -- set SDA high
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_b =>
+              c_state  <= start_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= '1';  -- keep SDA high
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_c =>
+              c_state  <= start_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '0';  -- set SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_d =>
+              c_state  <= start_e;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when start_e =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '0';  -- set SCL low
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- stop
+            when stop_a =>
+              c_state  <= stop_b;
+              iscl_oen <= '0';  -- keep SCL low
+              isda_oen <= '0';  -- set SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when stop_b =>
+              c_state  <= stop_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when stop_c =>
+              c_state  <= stop_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '0';  -- keep SDA low
+              sda_chk  <= '0';  -- don't check SDA
+
+            when stop_d =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '1';  -- set SDA high
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- read
+            when rd_a =>
+              c_state  <= rd_b;
+              iscl_oen <= '0';  -- keep SCL low
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            when rd_b =>
+              c_state  <= rd_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            when rd_c =>
+              c_state  <= rd_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            when rd_d =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '0';  -- set SCL low
+              isda_oen <= '1';  -- tri-state SDA
+              sda_chk  <= '0';  -- don't check SDA
+
+            -- write
+            when wr_a =>
+              c_state  <= wr_b;
+              iscl_oen <= '0';  -- keep SCL low
+              isda_oen <= din;  -- set SDA
+              sda_chk  <= '0';  -- don't check SDA (SCL low)
+
+            when wr_b =>
+              c_state  <= wr_c;
+              iscl_oen <= '1';  -- set SCL high
+              isda_oen <= din;  -- keep SDA
+              sda_chk  <= '1';  -- check SDA
+
+            when wr_c =>
+              c_state  <= wr_d;
+              iscl_oen <= '1';  -- keep SCL high
+              isda_oen <= din;  -- keep SDA
+              sda_chk  <= '1';  -- check SDA
+
+            when wr_d =>
+              c_state  <= idle;
+              cmd_ack  <= '1';  -- command completed
+              iscl_oen <= '0';  -- set SCL low
+              isda_oen <= din;  -- keep SDA
+              sda_chk  <= '0';  -- don't check SDA (SCL low)
+
+            when others =>
+
+          end case;
         end if;
       end if;
+    end if;
   end process nxt_state_decoder;
 
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_byte.vhd b/libraries/io/i2c/src/vhdl/i2c_byte.vhd
index 66b08fb84b..65f262d530 100644
--- a/libraries/io/i2c/src/vhdl/i2c_byte.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_byte.vhd
@@ -90,8 +90,8 @@
 ------------------------------------------
 --
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
 
 entity i2c_byte is
   generic (
@@ -132,56 +132,56 @@ end entity i2c_byte;
 
 architecture structural of i2c_byte is
   component i2c_bit is
-  port (
-    clk    : in std_logic;
-    rst    : in std_logic;
-    nReset : in std_logic;
-    ena    : in std_logic;  -- core enable signal
-
-    clk_cnt : in unsigned(15 downto 0);  -- clock prescale value
-
-    cmd     : in std_logic_vector(3 downto 0);
-    cmd_ack : out std_logic;  -- command done
-    busy    : out std_logic;  -- i2c bus busy
-    al      : out std_logic;  -- arbitration lost
-
-    din  : in std_logic;
-    dout : out std_logic;
-
-    -- i2c lines
-    scl_i   : in std_logic;  -- i2c clock line input
-    scl_o   : out std_logic;  -- i2c clock line output
-    scl_oen : out std_logic;  -- i2c clock line output enable, active low
-    sda_i   : in std_logic;  -- i2c data line input
-    sda_o   : out std_logic;  -- i2c data line output
-    sda_oen : out std_logic  -- i2c data line output enable, active low
-  );
+    port (
+      clk    : in std_logic;
+      rst    : in std_logic;
+      nReset : in std_logic;
+      ena    : in std_logic;  -- core enable signal
+
+      clk_cnt : in unsigned(15 downto 0);  -- clock prescale value
+
+      cmd     : in std_logic_vector(3 downto 0);
+      cmd_ack : out std_logic;  -- command done
+      busy    : out std_logic;  -- i2c bus busy
+      al      : out std_logic;  -- arbitration lost
+
+      din  : in std_logic;
+      dout : out std_logic;
+
+      -- i2c lines
+      scl_i   : in std_logic;  -- i2c clock line input
+      scl_o   : out std_logic;  -- i2c clock line output
+      scl_oen : out std_logic;  -- i2c clock line output enable, active low
+      sda_i   : in std_logic;  -- i2c data line input
+      sda_o   : out std_logic;  -- i2c data line output
+      sda_oen : out std_logic  -- i2c data line output enable, active low
+    );
   end component i2c_bit;
   component i2c_bit_scl_sense is
-  port (
-    clk    : in std_logic;
-    rst    : in std_logic;
-    nReset : in std_logic;
-    ena    : in std_logic;  -- core enable signal
-
-    clk_cnt : in unsigned(15 downto 0);  -- clock prescale value
-
-    cmd     : in std_logic_vector(3 downto 0);
-    cmd_ack : out std_logic;  -- command done
-    busy    : out std_logic;  -- i2c bus busy
-    al      : out std_logic;  -- arbitration lost
-
-    din  : in std_logic;
-    dout : out std_logic;
-
-    -- i2c lines
-    scl_i   : in std_logic;  -- i2c clock line input
-    scl_o   : out std_logic;  -- i2c clock line output
-    scl_oen : out std_logic;  -- i2c clock line output enable, active low
-    sda_i   : in std_logic;  -- i2c data line input
-    sda_o   : out std_logic;  -- i2c data line output
-    sda_oen : out std_logic  -- i2c data line output enable, active low
-  );
+    port (
+      clk    : in std_logic;
+      rst    : in std_logic;
+      nReset : in std_logic;
+      ena    : in std_logic;  -- core enable signal
+
+      clk_cnt : in unsigned(15 downto 0);  -- clock prescale value
+
+      cmd     : in std_logic_vector(3 downto 0);
+      cmd_ack : out std_logic;  -- command done
+      busy    : out std_logic;  -- i2c bus busy
+      al      : out std_logic;  -- arbitration lost
+
+      din  : in std_logic;
+      dout : out std_logic;
+
+      -- i2c lines
+      scl_i   : in std_logic;  -- i2c clock line input
+      scl_o   : out std_logic;  -- i2c clock line output
+      scl_oen : out std_logic;  -- i2c clock line output enable, active low
+      sda_i   : in std_logic;  -- i2c data line input
+      sda_o   : out std_logic;  -- i2c data line output
+      sda_oen : out std_logic  -- i2c data line output enable, active low
+    );
   end component i2c_bit_scl_sense;
 
   -- commands for bit_controller block
@@ -209,45 +209,45 @@ begin
   -- hookup bit_controller
   gen_bit_ctrl : if g_clock_stretch_sense_scl = false generate
     bit_ctrl: i2c_bit port map(
-      clk     => clk,
-      rst     => rst,
-      nReset  => nReset,
-      ena     => ena,
-      clk_cnt => clk_cnt,
-      cmd     => core_cmd,
-      cmd_ack => core_ack,
-      busy    => i2c_busy,
-      al      => al,
-      din     => core_txd,
-      dout    => core_rxd,
-      scl_i   => scl_i,
-      scl_o   => scl_o,
-      scl_oen => scl_oen,
-      sda_i   => sda_i,
-      sda_o   => sda_o,
-      sda_oen => sda_oen
-    );
+        clk     => clk,
+        rst     => rst,
+        nReset  => nReset,
+        ena     => ena,
+        clk_cnt => clk_cnt,
+        cmd     => core_cmd,
+        cmd_ack => core_ack,
+        busy    => i2c_busy,
+        al      => al,
+        din     => core_txd,
+        dout    => core_rxd,
+        scl_i   => scl_i,
+        scl_o   => scl_o,
+        scl_oen => scl_oen,
+        sda_i   => sda_i,
+        sda_o   => sda_o,
+        sda_oen => sda_oen
+      );
   end generate;
   gen_bit_ctrl_scl_sense : if g_clock_stretch_sense_scl = true generate
     bit_ctrl_scl_sense: i2c_bit_scl_sense port map(
-      clk     => clk,
-      rst     => rst,
-      nReset  => nReset,
-      ena     => ena,
-      clk_cnt => clk_cnt,
-      cmd     => core_cmd,
-      cmd_ack => core_ack,
-      busy    => i2c_busy,
-      al      => al,
-      din     => core_txd,
-      dout    => core_rxd,
-      scl_i   => scl_i,
-      scl_o   => scl_o,
-      scl_oen => scl_oen,
-      sda_i   => sda_i,
-      sda_o   => sda_o,
-      sda_oen => sda_oen
-    );
+        clk     => clk,
+        rst     => rst,
+        nReset  => nReset,
+        ena     => ena,
+        clk_cnt => clk_cnt,
+        cmd     => core_cmd,
+        cmd_ack => core_ack,
+        busy    => i2c_busy,
+        al      => al,
+        din     => core_txd,
+        dout    => core_rxd,
+        scl_i   => scl_i,
+        scl_o   => scl_o,
+        scl_oen => scl_oen,
+        sda_i   => sda_i,
+        sda_o   => sda_o,
+        sda_oen => sda_oen
+      );
   end generate;
   i2c_al <= al;
 
@@ -263,33 +263,33 @@ begin
   -- generate shift register
   shift_register: process(clk, nReset)
   begin
-      if (nReset = '0') then
+    if (nReset = '0') then
+      sr <= (others => '0');
+    elsif (clk'event and clk = '1') then
+      if (rst = '1') then
         sr <= (others => '0');
-      elsif (clk'event and clk = '1') then
-        if (rst = '1') then
-          sr <= (others => '0');
-        elsif (ld = '1') then
-          sr <= din;
-        elsif (shift = '1') then
-          sr <= (sr(6 downto 0) & core_rxd);
-        end if;
+      elsif (ld = '1') then
+        sr <= din;
+      elsif (shift = '1') then
+        sr <= (sr(6 downto 0) & core_rxd);
       end if;
+    end if;
   end process shift_register;
 
   -- generate data-counter
   data_cnt: process(clk, nReset)
   begin
-      if (nReset = '0') then
+    if (nReset = '0') then
+      dcnt <= (others => '0');
+    elsif (clk'event and clk = '1') then
+      if (rst = '1') then
         dcnt <= (others => '0');
-      elsif (clk'event and clk = '1') then
-        if (rst = '1') then
-          dcnt <= (others => '0');
-        elsif (ld = '1') then
-          dcnt <= (others => '1');  -- load counter with 7
-        elsif (shift = '1') then
-          dcnt <= dcnt - 1;
-        end if;
+      elsif (ld = '1') then
+        dcnt <= (others => '1');  -- load counter with 7
+      elsif (shift = '1') then
+        dcnt <= dcnt - 1;
       end if;
+    end if;
   end process data_cnt;
 
   cnt_done <= '1' when (dcnt = 0) else '0';
@@ -298,138 +298,138 @@ begin
   -- state machine
   --
   statemachine : block
-      type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop);
-      signal c_state : states;
+    type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop);
+    signal c_state : states;
   begin
-      --
-      -- command interpreter, translate complex commands into simpler I2C commands
-      --
-      nxt_state_decoder: process(clk, nReset)
-      begin
-          if (nReset = '0') then
-            core_cmd <= I2C_CMD_NOP;
-            core_txd <= '0';
-            shift    <= '0';
-            ld       <= '0';
-            host_ack <= '0';
-            c_state  <= st_idle;
-            ack_out  <= '0';
-          elsif (clk'event and clk = '1') then
-            if (rst = '1' or al = '1') then
-              core_cmd <= I2C_CMD_NOP;
-              core_txd <= '0';
-              shift    <= '0';
-              ld       <= '0';
-              host_ack <= '0';
+    --
+    -- command interpreter, translate complex commands into simpler I2C commands
+    --
+    nxt_state_decoder: process(clk, nReset)
+    begin
+      if (nReset = '0') then
+        core_cmd <= I2C_CMD_NOP;
+        core_txd <= '0';
+        shift    <= '0';
+        ld       <= '0';
+        host_ack <= '0';
+        c_state  <= st_idle;
+        ack_out  <= '0';
+      elsif (clk'event and clk = '1') then
+        if (rst = '1' or al = '1') then
+          core_cmd <= I2C_CMD_NOP;
+          core_txd <= '0';
+          shift    <= '0';
+          ld       <= '0';
+          host_ack <= '0';
+          c_state  <= st_idle;
+          ack_out  <= '0';
+        else
+          -- initialy reset all signal
+          core_txd <= sr(7);
+          shift    <= '0';
+          ld       <= '0';
+          host_ack <= '0';
+
+          case c_state is
+            when st_idle =>
+              if (go = '1') then
+                if (start = '1') then
+                  c_state  <= st_start;
+                  core_cmd <= I2C_CMD_START;
+                elsif (read = '1') then
+                  c_state  <= st_read;
+                  core_cmd <= I2C_CMD_READ;
+                elsif (write = '1') then
+                  c_state  <= st_write;
+                  core_cmd <= I2C_CMD_WRITE;
+                else  -- stop
+                  c_state  <= st_stop;
+                  core_cmd <= I2C_CMD_STOP;
+                end if;
+
+                ld <= '1';
+              end if;
+
+            when st_start =>
+              if (core_ack = '1') then
+                if (read = '1') then
+                  c_state  <= st_read;
+                  core_cmd <= I2C_CMD_READ;
+                else
+                  c_state  <= st_write;
+                  core_cmd <= I2C_CMD_WRITE;
+                end if;
+
+                ld <= '1';
+              end if;
+
+            when st_write =>
+              if (core_ack = '1') then
+                if (cnt_done = '1') then
+                  c_state  <= st_ack;
+                  core_cmd <= I2C_CMD_READ;
+                else
+                  c_state  <= st_write;  -- stay in same state
+                  core_cmd <= I2C_CMD_WRITE;  -- write next bit
+                  shift    <= '1';
+                end if;
+              end if;
+
+            when st_read =>
+              if (core_ack = '1') then
+                if (cnt_done = '1') then
+                  c_state  <= st_ack;
+                  core_cmd <= I2C_CMD_WRITE;
+                else
+                  c_state  <= st_read;  -- stay in same state
+                  core_cmd <= I2C_CMD_READ;  -- read next bit
+                end if;
+
+                shift    <= '1';
+                core_txd <= ack_in;
+              end if;
+
+            when st_ack =>
+              if (core_ack = '1') then
+                -- check for stop; Should a STOP command be generated ?
+                if (stop = '1') then
+                  c_state  <= st_stop;
+                  core_cmd <= I2C_CMD_STOP;
+                else
+                  c_state  <= st_idle;
+                  core_cmd <= I2C_CMD_NOP;
+
+                  -- generate command acknowledge signal
+                  host_ack <= '1';
+                end if;
+
+                -- assign ack_out output to core_rxd (contains last received bit)
+                ack_out  <= core_rxd;
+
+                core_txd <= '1';
+              else
+                core_txd <= ack_in;
+              end if;
+
+            when st_stop =>
+              if (core_ack = '1') then
+                c_state  <= st_idle;
+                core_cmd <= I2C_CMD_NOP;
+
+                -- generate command acknowledge signal
+                host_ack <= '1';
+              end if;
+
+            when others =>  -- illegal states
               c_state  <= st_idle;
-              ack_out  <= '0';
-            else
-              -- initialy reset all signal
-              core_txd <= sr(7);
-              shift    <= '0';
-              ld       <= '0';
-              host_ack <= '0';
-
-              case c_state is
-                when st_idle =>
-                   if (go = '1') then
-                     if (start = '1') then
-                       c_state  <= st_start;
-                       core_cmd <= I2C_CMD_START;
-                     elsif (read = '1') then
-                       c_state  <= st_read;
-                       core_cmd <= I2C_CMD_READ;
-                     elsif (write = '1') then
-                       c_state  <= st_write;
-                       core_cmd <= I2C_CMD_WRITE;
-                     else  -- stop
-                       c_state  <= st_stop;
-                       core_cmd <= I2C_CMD_STOP;
-                     end if;
-
-                     ld <= '1';
-                   end if;
-
-                when st_start =>
-                   if (core_ack = '1') then
-                     if (read = '1') then
-                       c_state  <= st_read;
-                       core_cmd <= I2C_CMD_READ;
-                     else
-                       c_state  <= st_write;
-                       core_cmd <= I2C_CMD_WRITE;
-                     end if;
-
-                     ld <= '1';
-                   end if;
-
-                when st_write =>
-                   if (core_ack = '1') then
-                     if (cnt_done = '1') then
-                       c_state  <= st_ack;
-                       core_cmd <= I2C_CMD_READ;
-                     else
-                       c_state  <= st_write;  -- stay in same state
-                       core_cmd <= I2C_CMD_WRITE;  -- write next bit
-                       shift    <= '1';
-                     end if;
-                   end if;
-
-                when st_read =>
-                   if (core_ack = '1') then
-                     if (cnt_done = '1') then
-                       c_state  <= st_ack;
-                       core_cmd <= I2C_CMD_WRITE;
-                     else
-                       c_state  <= st_read;  -- stay in same state
-                       core_cmd <= I2C_CMD_READ;  -- read next bit
-                     end if;
-
-                     shift    <= '1';
-                     core_txd <= ack_in;
-                   end if;
-
-                when st_ack =>
-                   if (core_ack = '1') then
-                     -- check for stop; Should a STOP command be generated ?
-                     if (stop = '1') then
-                       c_state  <= st_stop;
-                       core_cmd <= I2C_CMD_STOP;
-                     else
-                       c_state  <= st_idle;
-                       core_cmd <= I2C_CMD_NOP;
-
-                       -- generate command acknowledge signal
-                       host_ack <= '1';
-                     end if;
-
-                     -- assign ack_out output to core_rxd (contains last received bit)
-                     ack_out  <= core_rxd;
-
-                     core_txd <= '1';
-                   else
-                     core_txd <= ack_in;
-                   end if;
-
-                when st_stop =>
-                   if (core_ack = '1') then
-                     c_state  <= st_idle;
-                     core_cmd <= I2C_CMD_NOP;
-
-                     -- generate command acknowledge signal
-                     host_ack <= '1';
-                   end if;
-
-                when others =>  -- illegal states
-                   c_state  <= st_idle;
-                   core_cmd <= I2C_CMD_NOP;
-                   report ("Byte controller entered illegal state.");
-
-              end case;
-
-            end if;
-          end if;
-      end process nxt_state_decoder;
+              core_cmd <= I2C_CMD_NOP;
+              report ("Byte controller entered illegal state.");
+
+          end case;
+
+        end if;
+      end if;
+    end process nxt_state_decoder;
 
   end block statemachine;
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander.vhd b/libraries/io/i2c/src/vhdl/i2c_commander.vhd
index 6e48c42a83..134589abda 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander.vhd
@@ -66,12 +66,12 @@
 
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity i2c_commander is
   generic (
@@ -116,16 +116,20 @@ architecture str of i2c_commander is
   -- Use MM bus data width = SMBus data width = c_byte_w
   constant c_ram_rd_latency : natural := 1;  -- instead of c_mem_ram_rd_latency = 2
 
-  constant c_protocol_ram : t_c_mem := (latency  => c_ram_rd_latency,
-                                        adr_w    => g_i2c_mm.protocol_adr_w,
-                                        dat_w    => c_byte_w,
-                                        nof_dat  => g_i2c_mm.protocol_nof_dat,
-                                        init_sl  => 'X');
-  constant c_result_ram   : t_c_mem := (latency  => c_ram_rd_latency,
-                                        adr_w    => g_i2c_mm.result_adr_w,
-                                        dat_w    => c_byte_w,
-                                        nof_dat  => g_i2c_mm.result_nof_dat,
-                                        init_sl  => 'X');
+  constant c_protocol_ram : t_c_mem := (
+    latency  => c_ram_rd_latency,
+    adr_w    => g_i2c_mm.protocol_adr_w,
+    dat_w    => c_byte_w,
+    nof_dat  => g_i2c_mm.protocol_nof_dat,
+    init_sl  => 'X'
+  );
+  constant c_result_ram   : t_c_mem := (
+    latency  => c_ram_rd_latency,
+    adr_w    => g_i2c_mm.result_adr_w,
+    dat_w    => c_byte_w,
+    nof_dat  => g_i2c_mm.result_nof_dat,
+    init_sl  => 'X'
+  );
 
   -- Commander control interface
   signal protocol_wr             : std_logic;
@@ -165,174 +169,174 @@ architecture str of i2c_commander is
 begin
 
   u_commander_reg : entity work.i2c_commander_reg
-  generic map (
-    g_i2c_cmdr  => g_i2c_cmdr,
-    g_i2c_mm    => g_i2c_mm
-  )
-  port map (
-    -- Clocks and reset
-    rst                 => rst,
-    clk                 => clk,
-
-    -- Memory Mapped Slave
-    sla_in              => commander_mosi,
-    sla_out             => commander_miso,
-
-    -- MM registers
-    protocol_wr         => protocol_wr,
-    protocol_index      => protocol_index,
-    protocol_offset_arr => protocol_offset_arr,
-    result_expected_arr => result_expected_arr,
-    protocol_status_rd  => protocol_status_rd,
-    protocol_status     => protocol_status,
-    result_error_cnt    => result_error_cnt,
-    result_data_arr     => result_data_arr
-  );
+    generic map (
+      g_i2c_cmdr  => g_i2c_cmdr,
+      g_i2c_mm    => g_i2c_mm
+    )
+    port map (
+      -- Clocks and reset
+      rst                 => rst,
+      clk                 => clk,
+
+      -- Memory Mapped Slave
+      sla_in              => commander_mosi,
+      sla_out             => commander_miso,
+
+      -- MM registers
+      protocol_wr         => protocol_wr,
+      protocol_index      => protocol_index,
+      protocol_offset_arr => protocol_offset_arr,
+      result_expected_arr => result_expected_arr,
+      protocol_status_rd  => protocol_status_rd,
+      protocol_status     => protocol_status,
+      result_error_cnt    => result_error_cnt,
+      result_data_arr     => result_data_arr
+    );
 
   u_commander_ctrl : entity work.i2c_commander_ctrl
-  generic map (
-    g_i2c_cmdr  => g_i2c_cmdr,
-    g_i2c_mm    => g_i2c_mm
-  )
-  port map (
-    -- Clocks and reset
-    rst                   => rst,
-    clk                   => clk,
-
-    -- MM registers
-    protocol_wr           => protocol_wr,
-    protocol_index        => protocol_index,
-    protocol_offset_arr   => protocol_offset_arr,
-    result_expected_arr   => result_expected_arr,
-    protocol_status_rd    => protocol_status_rd,
-    protocol_status       => protocol_status,
-    result_error_cnt      => result_error_cnt,
-    result_data_arr       => result_data_arr,
-
-    -- I2C protocol control
-    protocol_offset       => protocol_offset,
-    protocol_activate     => protocol_activate_pend,
-    protocol_activate_ack => protocol_activate_evt,
-    result_wr_en          => result_wr_en,
-    result_wr_adr         => result_wr_adr,
-    result_wr_dat         => result_wr_dat,
-    result_ready_evt      => result_ready_evt
-  );
+    generic map (
+      g_i2c_cmdr  => g_i2c_cmdr,
+      g_i2c_mm    => g_i2c_mm
+    )
+    port map (
+      -- Clocks and reset
+      rst                   => rst,
+      clk                   => clk,
+
+      -- MM registers
+      protocol_wr           => protocol_wr,
+      protocol_index        => protocol_index,
+      protocol_offset_arr   => protocol_offset_arr,
+      result_expected_arr   => result_expected_arr,
+      protocol_status_rd    => protocol_status_rd,
+      protocol_status       => protocol_status,
+      result_error_cnt      => result_error_cnt,
+      result_data_arr       => result_data_arr,
+
+      -- I2C protocol control
+      protocol_offset       => protocol_offset,
+      protocol_activate     => protocol_activate_pend,
+      protocol_activate_ack => protocol_activate_evt,
+      result_wr_en          => result_wr_en,
+      result_wr_adr         => result_wr_adr,
+      result_wr_dat         => result_wr_dat,
+      result_ready_evt      => result_ready_evt
+    );
 
 
   -- Activate pending protocol at sync control
   u_protocol_activate : entity common_lib.common_request
-  port map (
-    rst         => rst,
-    clk         => clk,
-    sync        => sync,
-    in_req      => protocol_activate_pend,
-    out_req_evt => protocol_activate_evt
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      sync        => sync,
+      in_req      => protocol_activate_pend,
+      out_req_evt => protocol_activate_evt
+    );
 
   -- Result ready control
   result_ready_evt <= smbus_st_end;
 
 
   u_protocol_ctrl : entity work.i2c_list_ctrl
-  generic map (
-    g_protocol_adr_w => g_i2c_mm.protocol_adr_w,
-    g_result_adr_w   => g_i2c_mm.result_adr_w
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-    activate         => protocol_activate_evt,
-    busy             => OPEN,
-    protocol_rd_en   => protocol_rd_en,
-    protocol_rd_adr  => protocol_rd_adr,
-    protocol_rd_ofs  => protocol_offset,
-    protocol_rd_dat  => protocol_rd_dat,
-    protocol_rd_val  => protocol_rd_val,
-    result_wr_en     => result_wr_en,
-    result_wr_adr    => result_wr_adr,
-    result_wr_dat    => result_wr_dat,
-    smbus_out_dat    => smbus_in_dat,
-    smbus_out_req    => smbus_in_req,
-    smbus_in_dat     => smbus_out_dat,
-    smbus_in_val     => smbus_out_val,
-    smbus_in_err     => smbus_out_err,
-    smbus_in_ack     => smbus_out_ack,
-    smbus_st_idle    => smbus_st_idle,
-    smbus_st_end     => smbus_st_end
-  );
+    generic map (
+      g_protocol_adr_w => g_i2c_mm.protocol_adr_w,
+      g_result_adr_w   => g_i2c_mm.result_adr_w
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+      activate         => protocol_activate_evt,
+      busy             => OPEN,
+      protocol_rd_en   => protocol_rd_en,
+      protocol_rd_adr  => protocol_rd_adr,
+      protocol_rd_ofs  => protocol_offset,
+      protocol_rd_dat  => protocol_rd_dat,
+      protocol_rd_val  => protocol_rd_val,
+      result_wr_en     => result_wr_en,
+      result_wr_adr    => result_wr_adr,
+      result_wr_dat    => result_wr_dat,
+      smbus_out_dat    => smbus_in_dat,
+      smbus_out_req    => smbus_in_req,
+      smbus_in_dat     => smbus_out_dat,
+      smbus_in_val     => smbus_out_val,
+      smbus_in_err     => smbus_out_err,
+      smbus_in_ack     => smbus_out_ack,
+      smbus_st_idle    => smbus_st_idle,
+      smbus_st_end     => smbus_st_end
+    );
 
   u_smbus : entity work.i2c_smbus
-  generic map (
-    g_i2c_phy        => g_i2c_phy
-  )
-  port map (
-    gs_sim           => g_sim,
-    rst              => rst,
-    clk              => clk,
-    in_dat           => smbus_in_dat,
-    in_req           => smbus_in_req,
-    out_dat          => smbus_out_dat,
-    out_val          => smbus_out_val,
-    out_err          => smbus_out_err,
-    out_ack          => smbus_out_ack,
-    st_idle          => smbus_st_idle,
-    st_end           => smbus_st_end,
-    scl              => scl,
-    sda              => sda
-  );
+    generic map (
+      g_i2c_phy        => g_i2c_phy
+    )
+    port map (
+      gs_sim           => g_sim,
+      rst              => rst,
+      clk              => clk,
+      in_dat           => smbus_in_dat,
+      in_req           => smbus_in_req,
+      out_dat          => smbus_out_dat,
+      out_val          => smbus_out_val,
+      out_err          => smbus_out_err,
+      out_ack          => smbus_out_ack,
+      st_idle          => smbus_st_idle,
+      st_end           => smbus_st_end,
+      scl              => scl,
+      sda              => sda
+    );
 
   -- I2C protocol list register
   u_protocol_ram : entity common_lib.common_ram_rw_rw
-  generic map (
-    g_technology => g_technology,
-    g_ram       => c_protocol_ram,
-    g_init_file => g_protocol_ram_init_file
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    wr_en_a     => protocol_mosi.wr,
-    wr_dat_a    => protocol_mosi.wrdata(c_protocol_ram.dat_w - 1 downto 0),
-    adr_a       => protocol_mosi.address(c_protocol_ram.adr_w - 1 downto 0),
-    rd_en_a     => protocol_mosi.rd,
-    rd_dat_a    => protocol_miso.rddata(c_protocol_ram.dat_w - 1 downto 0),
-    rd_val_a    => OPEN,
-    wr_en_b     => '0',
-    --wr_dat_b    => (OTHERS => '0'),
-    adr_b       => protocol_rd_adr,
-    rd_en_b     => protocol_rd_en,
-    rd_dat_b    => protocol_rd_dat,
-    rd_val_b    => protocol_rd_val
-  );
-
-  no_result_ram : if g_use_result_ram = false generate
-    result_miso <= c_mem_miso_rst;
-  end generate;
-
-  gen_result_ram : if g_use_result_ram = true generate
-    -- I2C result register
-    u_result_ram : entity common_lib.common_ram_rw_rw
     generic map (
       g_technology => g_technology,
-      g_ram       => c_result_ram
+      g_ram       => c_protocol_ram,
+      g_init_file => g_protocol_ram_init_file
     )
     port map (
       rst         => rst,
       clk         => clk,
-      wr_en_a     => result_mosi.wr,
-      wr_dat_a    => result_mosi.wrdata(c_result_ram.dat_w - 1 downto 0),
-      adr_a       => result_mosi.address(c_result_ram.adr_w - 1 downto 0),
-      rd_en_a     => result_mosi.rd,
-      rd_dat_a    => result_miso.rddata(c_result_ram.dat_w - 1 downto 0),
+      wr_en_a     => protocol_mosi.wr,
+      wr_dat_a    => protocol_mosi.wrdata(c_protocol_ram.dat_w - 1 downto 0),
+      adr_a       => protocol_mosi.address(c_protocol_ram.adr_w - 1 downto 0),
+      rd_en_a     => protocol_mosi.rd,
+      rd_dat_a    => protocol_miso.rddata(c_protocol_ram.dat_w - 1 downto 0),
       rd_val_a    => OPEN,
-      wr_en_b     => result_wr_en,
-      wr_dat_b    => result_wr_dat,
-      adr_b       => result_wr_adr,
-      rd_en_b     => '0',
-      rd_dat_b    => OPEN,
-      rd_val_b    => open
+      wr_en_b     => '0',
+      --wr_dat_b    => (OTHERS => '0'),
+      adr_b       => protocol_rd_adr,
+      rd_en_b     => protocol_rd_en,
+      rd_dat_b    => protocol_rd_dat,
+      rd_val_b    => protocol_rd_val
     );
+
+  no_result_ram : if g_use_result_ram = false generate
+    result_miso <= c_mem_miso_rst;
+  end generate;
+
+  gen_result_ram : if g_use_result_ram = true generate
+    -- I2C result register
+    u_result_ram : entity common_lib.common_ram_rw_rw
+      generic map (
+        g_technology => g_technology,
+        g_ram       => c_result_ram
+      )
+      port map (
+        rst         => rst,
+        clk         => clk,
+        wr_en_a     => result_mosi.wr,
+        wr_dat_a    => result_mosi.wrdata(c_result_ram.dat_w - 1 downto 0),
+        adr_a       => result_mosi.address(c_result_ram.adr_w - 1 downto 0),
+        rd_en_a     => result_mosi.rd,
+        rd_dat_a    => result_miso.rddata(c_result_ram.dat_w - 1 downto 0),
+        rd_val_a    => OPEN,
+        wr_en_b     => result_wr_en,
+        wr_dat_b    => result_wr_dat,
+        adr_b       => result_wr_adr,
+        rd_en_b     => '0',
+        rd_dat_b    => OPEN,
+        rd_val_b    => open
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_aduh_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_aduh_pkg.vhd
index 83f489b245..a1798be74c 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_aduh_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_aduh_pkg.vhd
@@ -22,11 +22,11 @@
 -- Purpose: I2C commander settings for the ADU Handler
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_dev_adu_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_dev_adu_pkg.all;
 
 
 package i2c_commander_aduh_pkg is
@@ -89,21 +89,21 @@ package i2c_commander_aduh_pkg is
   constant k_expected_mask_15     : std_logic_vector := c_i2c_cmdr_expected_mask_sample_sda;
 
   constant k_expected_mask_arr : t_slv_32_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_expected_mask_0,
-                                                                                       k_expected_mask_1,
-                                                                                       k_expected_mask_2,
-                                                                                       k_expected_mask_3,
-                                                                                       k_expected_mask_4,
-                                                                                       k_expected_mask_5,
-                                                                                       k_expected_mask_6,
-                                                                                       k_expected_mask_7,
-                                                                                       k_expected_mask_8,
-                                                                                       k_expected_mask_9,
-                                                                                       k_expected_mask_10,
-                                                                                       k_expected_mask_11,
-                                                                                       k_expected_mask_12,
-                                                                                       k_expected_mask_13,
-                                                                                       k_expected_mask_14,
-                                                                                       k_expected_mask_15);
+    k_expected_mask_1,
+    k_expected_mask_2,
+    k_expected_mask_3,
+    k_expected_mask_4,
+    k_expected_mask_5,
+    k_expected_mask_6,
+    k_expected_mask_7,
+    k_expected_mask_8,
+    k_expected_mask_9,
+    k_expected_mask_10,
+    k_expected_mask_11,
+    k_expected_mask_12,
+    k_expected_mask_13,
+    k_expected_mask_14,
+    k_expected_mask_15);
 
   -- Define the corresponding expected nof read data
   constant k_nof_result_data_0    : natural := c_i2c_adu_max1617_nof_result_data_read_temp;
@@ -123,22 +123,24 @@ package i2c_commander_aduh_pkg is
   constant k_nof_result_data_14   : natural := c_i2c_cmdr_nof_result_data_none;
   constant k_nof_result_data_15   : natural := c_i2c_cmdr_nof_result_data_none;
 
-  constant k_nof_result_data_arr : t_nat_natural_arr := (k_nof_result_data_0,
-                                                         k_nof_result_data_1,
-                                                         k_nof_result_data_2,
-                                                         k_nof_result_data_3,
-                                                         k_nof_result_data_4,
-                                                         k_nof_result_data_5,
-                                                         k_nof_result_data_6,
-                                                         k_nof_result_data_7,
-                                                         k_nof_result_data_8,
-                                                         k_nof_result_data_9,
-                                                         k_nof_result_data_10,
-                                                         k_nof_result_data_11,
-                                                         k_nof_result_data_12,
-                                                         k_nof_result_data_13,
-                                                         k_nof_result_data_14,
-                                                         k_nof_result_data_15);
+  constant k_nof_result_data_arr : t_nat_natural_arr := (
+    k_nof_result_data_0,
+    k_nof_result_data_1,
+    k_nof_result_data_2,
+    k_nof_result_data_3,
+    k_nof_result_data_4,
+    k_nof_result_data_5,
+    k_nof_result_data_6,
+    k_nof_result_data_7,
+    k_nof_result_data_8,
+    k_nof_result_data_9,
+    k_nof_result_data_10,
+    k_nof_result_data_11,
+    k_nof_result_data_12,
+    k_nof_result_data_13,
+    k_nof_result_data_14,
+    k_nof_result_data_15
+  );
 
   -- Define the corresponding protocol list offsets
   constant k_protocol_ofs_0  : natural := 0;
@@ -159,21 +161,21 @@ package i2c_commander_aduh_pkg is
   constant k_protocol_ofs_15 : natural := k_protocol_list_14'length + k_protocol_ofs_14;
 
   constant k_protocol_ofs_arr : t_natural_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_protocol_ofs_0,
-                                                                                       k_protocol_ofs_1,
-                                                                                       k_protocol_ofs_2,
-                                                                                       k_protocol_ofs_3,
-                                                                                       k_protocol_ofs_4,
-                                                                                       k_protocol_ofs_5,
-                                                                                       k_protocol_ofs_6,
-                                                                                       k_protocol_ofs_7,
-                                                                                       k_protocol_ofs_8,
-                                                                                       k_protocol_ofs_9,
-                                                                                       k_protocol_ofs_10,
-                                                                                       k_protocol_ofs_11,
-                                                                                       k_protocol_ofs_12,
-                                                                                       k_protocol_ofs_13,
-                                                                                       k_protocol_ofs_14,
-                                                                                       k_protocol_ofs_15);
+    k_protocol_ofs_1,
+    k_protocol_ofs_2,
+    k_protocol_ofs_3,
+    k_protocol_ofs_4,
+    k_protocol_ofs_5,
+    k_protocol_ofs_6,
+    k_protocol_ofs_7,
+    k_protocol_ofs_8,
+    k_protocol_ofs_9,
+    k_protocol_ofs_10,
+    k_protocol_ofs_11,
+    k_protocol_ofs_12,
+    k_protocol_ofs_13,
+    k_protocol_ofs_14,
+    k_protocol_ofs_15);
 
   -- RAM sizes
   constant k_protocol_ram_nof_dat : natural := ceil_div(k_protocol_ram_init'LENGTH, c_i2c_cmdr_mem_block_sz) * c_i2c_cmdr_mem_block_sz;
@@ -194,27 +196,33 @@ package i2c_commander_aduh_pkg is
   constant c_i2c_cmdr_aduh_protocol_ram_init   : t_nat_natural_arr := k_protocol_ram_init;
   constant c_i2c_cmdr_aduh_nof_result_data_arr : t_nat_natural_arr := k_nof_result_data_arr;
 
-  constant c_i2c_cmdr_aduh_protocol_commander  : t_c_i2c_cmdr_commander := (k_nof_protocols,
-                                                                            k_protocol_ofs_arr,
-                                                                            k_expected_mask_arr,
-                                                                            k_result_cnt_w,
-                                                                            k_nof_result_data_max);
+  constant c_i2c_cmdr_aduh_protocol_commander  : t_c_i2c_cmdr_commander := (
+    k_nof_protocols,
+    k_protocol_ofs_arr,
+    k_expected_mask_arr,
+    k_result_cnt_w,
+    k_nof_result_data_max
+  );
 
   constant k_commander_nof_dat                 : natural := func_i2c_cmdr_mm_reg_nof_dat(c_i2c_cmdr_aduh_protocol_commander);
   constant k_commander_adr_w                   : natural := ceil_log2(k_commander_nof_dat);
 
-  constant c_i2c_cmdr_aduh_i2c_mm              : t_c_i2c_mm := (k_commander_adr_w,  -- use control_adr_w field to pass on k_commander_adr_w = ceil_log2(52) = 6
-                                                                k_protocol_ram_adr_w,
-                                                                k_protocol_ram_nof_dat,
-                                                                k_result_adr_w,
-                                                                k_result_nof_dat);
+  constant c_i2c_cmdr_aduh_i2c_mm              : t_c_i2c_mm := (
+    k_commander_adr_w,  -- use control_adr_w field to pass on k_commander_adr_w = ceil_log2(52) = 6
+    k_protocol_ram_adr_w,
+    k_protocol_ram_nof_dat,
+    k_result_adr_w,
+    k_result_nof_dat
+  );
 
   -- use full memory to avoid "Warning: Address pointed at port A is out of bound!" due to ram_crw_crw MegaWizard model in simulation
-  constant c_i2c_cmdr_aduh_i2c_mm_sim          : t_c_i2c_mm := (k_commander_adr_w,  -- use control_adr_w field to pass on k_commander_adr_w = ceil_log2(52) = 6
-                                                                k_protocol_ram_adr_w,
-                                                                2**k_protocol_ram_adr_w,
-                                                                k_result_adr_w,
-                                                                2**k_result_adr_w);
+  constant c_i2c_cmdr_aduh_i2c_mm_sim          : t_c_i2c_mm := (
+    k_commander_adr_w,  -- use control_adr_w field to pass on k_commander_adr_w = ceil_log2(52) = 6
+    k_protocol_ram_adr_w,
+    2**k_protocol_ram_adr_w,
+    k_result_adr_w,
+    2**k_result_adr_w
+  );
 
 
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_ctrl.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_ctrl.vhd
index dd40cfac8e..e8bb91fd45 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_ctrl.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_ctrl.vhd
@@ -24,11 +24,11 @@
 -- Remark:
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
 
 entity i2c_commander_ctrl is
   generic (
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_pkg.vhd
index 8852bb61ab..1f8f783804 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_pkg.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_smbus_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_smbus_pkg.all;
 
 package i2c_commander_pkg is
 
@@ -51,10 +51,10 @@ package i2c_commander_pkg is
     nof_result_data_max   : natural;  -- nof data bytes that a protocol list may maximally read
   end record;
 
-  function func_i2c_cmdr_mm_reg_nof_dat(rec : t_c_i2c_cmdr_commander) return natural;
+  function func_i2c_cmdr_mm_reg_nof_dat (rec : t_c_i2c_cmdr_commander) return natural;
 
-  function func_i2c_cmdr_sel_a_b(sel : boolean; a, b : t_i2c_cmdr_natural_arr) return t_i2c_cmdr_natural_arr;
-  function func_i2c_cmdr_sel_a_b(sel : boolean; a, b : t_c_i2c_cmdr_commander) return t_c_i2c_cmdr_commander;
+  function func_i2c_cmdr_sel_a_b (sel : boolean; a, b : t_i2c_cmdr_natural_arr) return t_i2c_cmdr_natural_arr;
+  function func_i2c_cmdr_sel_a_b (sel : boolean; a, b : t_c_i2c_cmdr_commander) return t_c_i2c_cmdr_commander;
 
   -- Void protocol lists for the I2C commander
   constant c_i2c_cmdr_protocol_list_nop             : t_nat_natural_arr(0 downto 0) := (others => SMBUS_C_NOP);
@@ -77,10 +77,16 @@ package i2c_commander_pkg is
   constant c_i2c_cmdr_nof_result_data_read_one_word : natural := 2;
 
   constant c_i2c_cmdr_expected_x                    : natural := 254;  -- do not use 255, because that matches pull-up all ones
-  constant c_i2c_cmdr_expected_data_none_arr        : t_i2c_cmdr_natural_arr := (c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x,
-                                                                                 c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x,
-                                                                                 c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x,
-                                                                                 c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x);
+  constant c_i2c_cmdr_expected_data_none_arr        : t_i2c_cmdr_natural_arr := (
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x
+  );
 
   constant c_i2c_cmdr_mem_block_sz                  : natural := 1024;  -- assign t_c_mem.nof_dat in blocks of 1024 = 1 M9K
 
@@ -89,7 +95,7 @@ end i2c_commander_pkg;
 
 package body i2c_commander_pkg is
 
-  function func_i2c_cmdr_mm_reg_nof_dat(rec : t_c_i2c_cmdr_commander) return natural is
+  function func_i2c_cmdr_mm_reg_nof_dat (rec : t_c_i2c_cmdr_commander) return natural is
   -- Example MM register map defined for:
   -- . c_nof_protocols   = 2
   -- . c_nof_result_data_max = 3
@@ -111,7 +117,7 @@ package body i2c_commander_pkg is
     return 3 * rec.nof_protocols + 1 + 1 + rec.nof_result_data_max;
   end;
 
-  function func_i2c_cmdr_sel_a_b(sel : boolean; a, b : t_i2c_cmdr_natural_arr) return t_i2c_cmdr_natural_arr is
+  function func_i2c_cmdr_sel_a_b (sel : boolean; a, b : t_i2c_cmdr_natural_arr) return t_i2c_cmdr_natural_arr is
   begin
     if sel = true then
       return a;
@@ -120,7 +126,7 @@ package body i2c_commander_pkg is
     end if;
   end;
 
-  function func_i2c_cmdr_sel_a_b(sel : boolean; a, b : t_c_i2c_cmdr_commander) return t_c_i2c_cmdr_commander is
+  function func_i2c_cmdr_sel_a_b (sel : boolean; a, b : t_c_i2c_cmdr_commander) return t_c_i2c_cmdr_commander is
   begin
     if sel = true then
       return a;
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_reg.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_reg.vhd
index 84a218bbf4..abe8bd3088 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_reg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_reg.vhd
@@ -27,11 +27,11 @@
 --   using elsif
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
 
 entity i2c_commander_reg is
   generic (
@@ -89,11 +89,13 @@ architecture rtl of i2c_commander_reg is
   constant c_mm_reg_nof_dat     : natural := func_i2c_cmdr_mm_reg_nof_dat(g_i2c_cmdr);
   constant c_mm_reg_w           : natural := ceil_log2(c_mm_reg_nof_dat);
 
-  constant c_mm_reg             : t_c_mem := (latency  => 1,
-                                              adr_w    => c_mm_reg_w,
-                                              dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                              nof_dat  => c_mm_reg_nof_dat,
-                                              init_sl  => '0');
+  constant c_mm_reg             : t_c_mem := (
+    latency  => 1,
+    adr_w    => c_mm_reg_w,
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => c_mm_reg_nof_dat,
+    init_sl  => '0'
+  );
 
   -- Commander registers
   signal i_protocol_offset_arr  : t_natural_arr(0 to c_nof_protocols - 1);
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_unb2_pmbus_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_unb2_pmbus_pkg.vhd
index 21192d9292..4b48f9091f 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_unb2_pmbus_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_unb2_pmbus_pkg.vhd
@@ -26,11 +26,11 @@
 --   reduce the number of protocol lists to 4
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_dev_unb2_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_dev_unb2_pkg.all;
 
 
 package i2c_commander_unb2_pmbus_pkg is
@@ -69,21 +69,21 @@ package i2c_commander_unb2_pmbus_pkg is
   constant k_expected_mask_15     : std_logic_vector := c_i2c_cmdr_expected_mask_end;
 
   constant k_expected_mask_arr : t_slv_32_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_expected_mask_0,
-                                                                                       k_expected_mask_1,
-                                                                                       k_expected_mask_2,
-                                                                                       k_expected_mask_3,
-                                                                                       k_expected_mask_4,
-                                                                                       k_expected_mask_5,
-                                                                                       k_expected_mask_6,
-                                                                                       k_expected_mask_7,
-                                                                                       k_expected_mask_8,
-                                                                                       k_expected_mask_9,
-                                                                                       k_expected_mask_10,
-                                                                                       k_expected_mask_11,
-                                                                                       k_expected_mask_12,
-                                                                                       k_expected_mask_13,
-                                                                                       k_expected_mask_14,
-                                                                                       k_expected_mask_15);
+    k_expected_mask_1,
+    k_expected_mask_2,
+    k_expected_mask_3,
+    k_expected_mask_4,
+    k_expected_mask_5,
+    k_expected_mask_6,
+    k_expected_mask_7,
+    k_expected_mask_8,
+    k_expected_mask_9,
+    k_expected_mask_10,
+    k_expected_mask_11,
+    k_expected_mask_12,
+    k_expected_mask_13,
+    k_expected_mask_14,
+    k_expected_mask_15);
 
   -- Define the corresponding expected nof read data
   constant k_nof_result_data_0    : natural := c_i2c_unb2_pmbus_nof_result_data_read_all;
@@ -91,10 +91,12 @@ package i2c_commander_unb2_pmbus_pkg is
   constant k_nof_result_data_2    : natural := c_i2c_cmdr_nof_result_data_none;
   constant k_nof_result_data_3    : natural := c_i2c_cmdr_nof_result_data_none;
 
-  constant k_nof_result_data_arr : t_nat_natural_arr := (k_nof_result_data_0,
-                                                         k_nof_result_data_1,
-                                                         k_nof_result_data_2,
-                                                         k_nof_result_data_3);
+  constant k_nof_result_data_arr : t_nat_natural_arr := (
+    k_nof_result_data_0,
+    k_nof_result_data_1,
+    k_nof_result_data_2,
+    k_nof_result_data_3
+  );
 
   -- Define the corresponding protocol list offsets
   constant k_protocol_ofs_0  : natural := 0;
@@ -115,21 +117,21 @@ package i2c_commander_unb2_pmbus_pkg is
   constant k_protocol_ofs_15 : natural := 0 + k_protocol_ofs_14;
 
   constant k_protocol_ofs_arr : t_natural_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_protocol_ofs_0,
-                                                                                       k_protocol_ofs_1,
-                                                                                       k_protocol_ofs_2,
-                                                                                       k_protocol_ofs_3,
-                                                                                       k_protocol_ofs_4,
-                                                                                       k_protocol_ofs_5,
-                                                                                       k_protocol_ofs_6,
-                                                                                       k_protocol_ofs_7,
-                                                                                       k_protocol_ofs_8,
-                                                                                       k_protocol_ofs_9,
-                                                                                       k_protocol_ofs_10,
-                                                                                       k_protocol_ofs_11,
-                                                                                       k_protocol_ofs_12,
-                                                                                       k_protocol_ofs_13,
-                                                                                       k_protocol_ofs_14,
-                                                                                       k_protocol_ofs_15);
+    k_protocol_ofs_1,
+    k_protocol_ofs_2,
+    k_protocol_ofs_3,
+    k_protocol_ofs_4,
+    k_protocol_ofs_5,
+    k_protocol_ofs_6,
+    k_protocol_ofs_7,
+    k_protocol_ofs_8,
+    k_protocol_ofs_9,
+    k_protocol_ofs_10,
+    k_protocol_ofs_11,
+    k_protocol_ofs_12,
+    k_protocol_ofs_13,
+    k_protocol_ofs_14,
+    k_protocol_ofs_15);
 
   -- RAM sizes
   constant k_protocol_ram_nof_dat : natural := ceil_div(k_protocol_ram_init'LENGTH, c_i2c_cmdr_mem_block_sz) * c_i2c_cmdr_mem_block_sz;
@@ -150,17 +152,21 @@ package i2c_commander_unb2_pmbus_pkg is
   constant c_i2c_cmdr_unb2_pmbus_protocol_ram_init   : t_nat_natural_arr := k_protocol_ram_init;
   constant c_i2c_cmdr_unb2_pmbus_nof_result_data_arr : t_nat_natural_arr := k_nof_result_data_arr;
 
-  constant c_i2c_cmdr_unb2_pmbus_i2c_mm              : t_c_i2c_mm := (c_i2c_control_adr_w,
-                                                                k_protocol_ram_adr_w,
-                                                                k_protocol_ram_nof_dat,
-                                                                k_result_adr_w,
-                                                                k_result_nof_dat);
-
-  constant c_i2c_cmdr_unb2_pmbus_protocol_commander  : t_c_i2c_cmdr_commander := (k_nof_protocols,
-                                                                            k_protocol_ofs_arr,
-                                                                            k_expected_mask_arr,
-                                                                            k_result_cnt_w,
-                                                                            k_nof_result_data_max);
+  constant c_i2c_cmdr_unb2_pmbus_i2c_mm              : t_c_i2c_mm := (
+    c_i2c_control_adr_w,
+    k_protocol_ram_adr_w,
+    k_protocol_ram_nof_dat,
+    k_result_adr_w,
+    k_result_nof_dat
+  );
+
+  constant c_i2c_cmdr_unb2_pmbus_protocol_commander  : t_c_i2c_cmdr_commander := (
+    k_nof_protocols,
+    k_protocol_ofs_arr,
+    k_expected_mask_arr,
+    k_result_cnt_w,
+    k_nof_result_data_max
+  );
 
 end i2c_commander_unb2_pmbus_pkg;
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_unb2_sens_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_unb2_sens_pkg.vhd
index 0436317df9..2a7141470d 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_unb2_sens_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_unb2_sens_pkg.vhd
@@ -26,11 +26,11 @@
 --   reduce the number of protocol lists to 4
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_dev_unb2_pkg.all;  -- slave addresses and commands for all unb2 i2c interfaces
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_dev_unb2_pkg.all;  -- slave addresses and commands for all unb2 i2c interfaces
 
 
 package i2c_commander_unb2_sens_pkg is
@@ -69,21 +69,21 @@ package i2c_commander_unb2_sens_pkg is
   constant k_expected_mask_15     : std_logic_vector := c_i2c_cmdr_expected_mask_end;
 
   constant k_expected_mask_arr : t_slv_32_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_expected_mask_0,
-                                                                                       k_expected_mask_1,
-                                                                                       k_expected_mask_2,
-                                                                                       k_expected_mask_3,
-                                                                                       k_expected_mask_4,
-                                                                                       k_expected_mask_5,
-                                                                                       k_expected_mask_6,
-                                                                                       k_expected_mask_7,
-                                                                                       k_expected_mask_8,
-                                                                                       k_expected_mask_9,
-                                                                                       k_expected_mask_10,
-                                                                                       k_expected_mask_11,
-                                                                                       k_expected_mask_12,
-                                                                                       k_expected_mask_13,
-                                                                                       k_expected_mask_14,
-                                                                                       k_expected_mask_15);
+    k_expected_mask_1,
+    k_expected_mask_2,
+    k_expected_mask_3,
+    k_expected_mask_4,
+    k_expected_mask_5,
+    k_expected_mask_6,
+    k_expected_mask_7,
+    k_expected_mask_8,
+    k_expected_mask_9,
+    k_expected_mask_10,
+    k_expected_mask_11,
+    k_expected_mask_12,
+    k_expected_mask_13,
+    k_expected_mask_14,
+    k_expected_mask_15);
 
 
   -- Define the corresponding expected nof read data
@@ -92,10 +92,12 @@ package i2c_commander_unb2_sens_pkg is
   constant k_nof_result_data_2    : natural := c_i2c_unb2_sens_nof_result_data_read_all;
   constant k_nof_result_data_3    : natural := c_i2c_cmdr_nof_result_data_none;
 
-  constant k_nof_result_data_arr : t_nat_natural_arr := (k_nof_result_data_0,
-                                                         k_nof_result_data_1,
-                                                         k_nof_result_data_2,
-                                                         k_nof_result_data_3);
+  constant k_nof_result_data_arr : t_nat_natural_arr := (
+    k_nof_result_data_0,
+    k_nof_result_data_1,
+    k_nof_result_data_2,
+    k_nof_result_data_3
+  );
 
   -- Define the corresponding protocol list offsets
   constant k_protocol_ofs_0  : natural := 0;
@@ -116,21 +118,21 @@ package i2c_commander_unb2_sens_pkg is
   constant k_protocol_ofs_15 : natural := 0 + k_protocol_ofs_14;
 
   constant k_protocol_ofs_arr : t_natural_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_protocol_ofs_0,
-                                                                                       k_protocol_ofs_1,
-                                                                                       k_protocol_ofs_2,
-                                                                                       k_protocol_ofs_3,
-                                                                                       k_protocol_ofs_4,
-                                                                                       k_protocol_ofs_5,
-                                                                                       k_protocol_ofs_6,
-                                                                                       k_protocol_ofs_7,
-                                                                                       k_protocol_ofs_8,
-                                                                                       k_protocol_ofs_9,
-                                                                                       k_protocol_ofs_10,
-                                                                                       k_protocol_ofs_11,
-                                                                                       k_protocol_ofs_12,
-                                                                                       k_protocol_ofs_13,
-                                                                                       k_protocol_ofs_14,
-                                                                                       k_protocol_ofs_15);
+    k_protocol_ofs_1,
+    k_protocol_ofs_2,
+    k_protocol_ofs_3,
+    k_protocol_ofs_4,
+    k_protocol_ofs_5,
+    k_protocol_ofs_6,
+    k_protocol_ofs_7,
+    k_protocol_ofs_8,
+    k_protocol_ofs_9,
+    k_protocol_ofs_10,
+    k_protocol_ofs_11,
+    k_protocol_ofs_12,
+    k_protocol_ofs_13,
+    k_protocol_ofs_14,
+    k_protocol_ofs_15);
 
 
   -- RAM sizes
@@ -152,17 +154,21 @@ package i2c_commander_unb2_sens_pkg is
   constant c_i2c_cmdr_unb2_sens_protocol_ram_init   : t_nat_natural_arr := k_protocol_ram_init;
   constant c_i2c_cmdr_unb2_sens_nof_result_data_arr : t_nat_natural_arr := k_nof_result_data_arr;
 
-  constant c_i2c_cmdr_unb2_sens_i2c_mm              : t_c_i2c_mm := (c_i2c_control_adr_w,
-                                                                k_protocol_ram_adr_w,
-                                                                k_protocol_ram_nof_dat,
-                                                                k_result_adr_w,
-                                                                k_result_nof_dat);
-
-  constant c_i2c_cmdr_unb2_sens_protocol_commander  : t_c_i2c_cmdr_commander := (k_nof_protocols,
-                                                                            k_protocol_ofs_arr,
-                                                                            k_expected_mask_arr,
-                                                                            k_result_cnt_w,
-                                                                            k_nof_result_data_max);
+  constant c_i2c_cmdr_unb2_sens_i2c_mm              : t_c_i2c_mm := (
+    c_i2c_control_adr_w,
+    k_protocol_ram_adr_w,
+    k_protocol_ram_nof_dat,
+    k_result_adr_w,
+    k_result_nof_dat
+  );
+
+  constant c_i2c_cmdr_unb2_sens_protocol_commander  : t_c_i2c_cmdr_commander := (
+    k_nof_protocols,
+    k_protocol_ofs_arr,
+    k_expected_mask_arr,
+    k_result_cnt_w,
+    k_nof_result_data_max
+  );
 
 end i2c_commander_unb2_sens_pkg;
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_commander_unbh_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_commander_unbh_pkg.vhd
index d7d30880eb..c7bd259e79 100644
--- a/libraries/io/i2c/src/vhdl/i2c_commander_unbh_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_commander_unbh_pkg.vhd
@@ -26,11 +26,11 @@
 --   generic avs_i2c_master within SOPC and with software support in unbos.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_dev_unb_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_dev_unb_pkg.all;
 
 
 package i2c_commander_unbh_pkg is
@@ -93,21 +93,21 @@ package i2c_commander_unbh_pkg is
   constant k_expected_mask_15     : std_logic_vector := c_i2c_cmdr_expected_mask_end;
 
   constant k_expected_mask_arr : t_slv_32_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_expected_mask_0,
-                                                                                       k_expected_mask_1,
-                                                                                       k_expected_mask_2,
-                                                                                       k_expected_mask_3,
-                                                                                       k_expected_mask_4,
-                                                                                       k_expected_mask_5,
-                                                                                       k_expected_mask_6,
-                                                                                       k_expected_mask_7,
-                                                                                       k_expected_mask_8,
-                                                                                       k_expected_mask_9,
-                                                                                       k_expected_mask_10,
-                                                                                       k_expected_mask_11,
-                                                                                       k_expected_mask_12,
-                                                                                       k_expected_mask_13,
-                                                                                       k_expected_mask_14,
-                                                                                       k_expected_mask_15);
+    k_expected_mask_1,
+    k_expected_mask_2,
+    k_expected_mask_3,
+    k_expected_mask_4,
+    k_expected_mask_5,
+    k_expected_mask_6,
+    k_expected_mask_7,
+    k_expected_mask_8,
+    k_expected_mask_9,
+    k_expected_mask_10,
+    k_expected_mask_11,
+    k_expected_mask_12,
+    k_expected_mask_13,
+    k_expected_mask_14,
+    k_expected_mask_15);
 
   -- Define the corresponding expected nof read data
   constant k_nof_result_data_0    : natural := c_i2c_unb_max1617_nof_result_data_read_temp;
@@ -127,22 +127,24 @@ package i2c_commander_unbh_pkg is
   constant k_nof_result_data_14   : natural := c_i2c_cmdr_nof_result_data_none;
   constant k_nof_result_data_15   : natural := c_i2c_cmdr_nof_result_data_none;
 
-  constant k_nof_result_data_arr : t_nat_natural_arr := (k_nof_result_data_0,
-                                                         k_nof_result_data_1,
-                                                         k_nof_result_data_2,
-                                                         k_nof_result_data_3,
-                                                         k_nof_result_data_4,
-                                                         k_nof_result_data_5,
-                                                         k_nof_result_data_6,
-                                                         k_nof_result_data_7,
-                                                         k_nof_result_data_8,
-                                                         k_nof_result_data_9,
-                                                         k_nof_result_data_10,
-                                                         k_nof_result_data_11,
-                                                         k_nof_result_data_12,
-                                                         k_nof_result_data_13,
-                                                         k_nof_result_data_14,
-                                                         k_nof_result_data_15);
+  constant k_nof_result_data_arr : t_nat_natural_arr := (
+    k_nof_result_data_0,
+    k_nof_result_data_1,
+    k_nof_result_data_2,
+    k_nof_result_data_3,
+    k_nof_result_data_4,
+    k_nof_result_data_5,
+    k_nof_result_data_6,
+    k_nof_result_data_7,
+    k_nof_result_data_8,
+    k_nof_result_data_9,
+    k_nof_result_data_10,
+    k_nof_result_data_11,
+    k_nof_result_data_12,
+    k_nof_result_data_13,
+    k_nof_result_data_14,
+    k_nof_result_data_15
+  );
 
   -- Define the corresponding protocol list offsets
   constant k_protocol_ofs_0  : natural := 0;
@@ -163,21 +165,21 @@ package i2c_commander_unbh_pkg is
   constant k_protocol_ofs_15 : natural := k_protocol_list_14'length + k_protocol_ofs_14;
 
   constant k_protocol_ofs_arr : t_natural_arr(0 to c_i2c_cmdr_max_nof_protocols - 1) := (k_protocol_ofs_0,
-                                                                                       k_protocol_ofs_1,
-                                                                                       k_protocol_ofs_2,
-                                                                                       k_protocol_ofs_3,
-                                                                                       k_protocol_ofs_4,
-                                                                                       k_protocol_ofs_5,
-                                                                                       k_protocol_ofs_6,
-                                                                                       k_protocol_ofs_7,
-                                                                                       k_protocol_ofs_8,
-                                                                                       k_protocol_ofs_9,
-                                                                                       k_protocol_ofs_10,
-                                                                                       k_protocol_ofs_11,
-                                                                                       k_protocol_ofs_12,
-                                                                                       k_protocol_ofs_13,
-                                                                                       k_protocol_ofs_14,
-                                                                                       k_protocol_ofs_15);
+    k_protocol_ofs_1,
+    k_protocol_ofs_2,
+    k_protocol_ofs_3,
+    k_protocol_ofs_4,
+    k_protocol_ofs_5,
+    k_protocol_ofs_6,
+    k_protocol_ofs_7,
+    k_protocol_ofs_8,
+    k_protocol_ofs_9,
+    k_protocol_ofs_10,
+    k_protocol_ofs_11,
+    k_protocol_ofs_12,
+    k_protocol_ofs_13,
+    k_protocol_ofs_14,
+    k_protocol_ofs_15);
 
   -- RAM sizes
   constant k_protocol_ram_nof_dat : natural := ceil_div(k_protocol_ram_init'LENGTH, c_i2c_cmdr_mem_block_sz) * c_i2c_cmdr_mem_block_sz;
@@ -198,17 +200,21 @@ package i2c_commander_unbh_pkg is
   constant c_i2c_cmdr_unbh_protocol_ram_init   : t_nat_natural_arr := k_protocol_ram_init;
   constant c_i2c_cmdr_unbh_nof_result_data_arr : t_nat_natural_arr := k_nof_result_data_arr;
 
-  constant c_i2c_cmdr_unbh_i2c_mm              : t_c_i2c_mm := (c_i2c_control_adr_w,
-                                                                k_protocol_ram_adr_w,
-                                                                k_protocol_ram_nof_dat,
-                                                                k_result_adr_w,
-                                                                k_result_nof_dat);
-
-  constant c_i2c_cmdr_unbh_protocol_commander  : t_c_i2c_cmdr_commander := (k_nof_protocols,
-                                                                            k_protocol_ofs_arr,
-                                                                            k_expected_mask_arr,
-                                                                            k_result_cnt_w,
-                                                                            k_nof_result_data_max);
+  constant c_i2c_cmdr_unbh_i2c_mm              : t_c_i2c_mm := (
+    c_i2c_control_adr_w,
+    k_protocol_ram_adr_w,
+    k_protocol_ram_nof_dat,
+    k_result_adr_w,
+    k_result_nof_dat
+  );
+
+  constant c_i2c_cmdr_unbh_protocol_commander  : t_c_i2c_cmdr_commander := (
+    k_nof_protocols,
+    k_protocol_ofs_arr,
+    k_expected_mask_arr,
+    k_result_cnt_w,
+    k_nof_result_data_max
+  );
 
 end i2c_commander_unbh_pkg;
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_dev_adu_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_dev_adu_pkg.vhd
index 2e74afb214..3661b21a1c 100644
--- a/libraries/io/i2c/src/vhdl/i2c_dev_adu_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_dev_adu_pkg.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_commander_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_commander_pkg.all;
 
 
 package i2c_dev_adu_pkg is
@@ -47,7 +47,9 @@ package i2c_dev_adu_pkg is
   constant c_i2c_adu_max1617_expected_mask_read_temp   : std_logic_vector := c_i2c_cmdr_expected_mask_read_one_byte;
   constant c_i2c_adu_max1617_nof_result_data_read_temp : natural := c_i2c_cmdr_nof_result_data_read_one_byte;
   constant c_i2c_adu_max1617_protocol_list_read_temp   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE,  I2C_ADU_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE,
+    I2C_ADU_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
     SMBUS_C_END
   );
 
@@ -68,9 +70,21 @@ package i2c_dev_adu_pkg is
   -- S4002C040P
   constant c_i2c_adu_pca9555_expected_mask_set_atten_0_0dB : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_atten_0_0dB : t_nat_natural_arr := (
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C1#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C1#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
     SMBUS_C_END
   );
 
@@ -80,9 +94,21 @@ package i2c_dev_adu_pkg is
   -- S4002C040P
   constant c_i2c_adu_pca9555_expected_mask_set_atten_1_0dB : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_atten_1_0dB : t_nat_natural_arr := (
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C4#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C4#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
     SMBUS_C_END
   );
 
@@ -91,9 +117,21 @@ package i2c_dev_adu_pkg is
   -- S4002C040P
   constant c_i2c_adu_pca9555_expected_mask_set_atten_10_0dB : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_atten_10_0dB : t_nat_natural_arr := (
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C5#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C5#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
     SMBUS_C_END
   );
 
@@ -102,9 +140,21 @@ package i2c_dev_adu_pkg is
   -- S4002C04CP
   constant c_i2c_adu_pca9555_expected_mask_set_atten_10_6dB : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_atten_10_6dB : t_nat_natural_arr := (
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#4C#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C5#, 16#4C#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#4C#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#4C#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C5#,
+    16#4C#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#4C#,
     SMBUS_C_END
   );
 
@@ -112,8 +162,14 @@ package i2c_dev_adu_pkg is
   -- S400340P
   constant c_i2c_adu_pca9555_expected_mask_set_cal : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_cal : t_nat_natural_arr := (
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#03#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#03#, 16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#03#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#03#,
+    16#40#,
     SMBUS_C_END
   );
 
@@ -719,606 +775,2410 @@ package i2c_dev_adu_pkg is
   -- S400340P
   constant c_i2c_adu_pca9555_expected_mask_set_adc : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_adc : t_nat_natural_arr := (
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#06#, 16#00#, 16#00#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C5#, 16#40#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#03#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#03#, 16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#06#,
+    16#00#,
+    16#00#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C5#,
+    16#40#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#03#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#03#,
+    16#40#,
     SMBUS_C_END
   );
 
@@ -1920,602 +3780,2392 @@ package i2c_dev_adu_pkg is
   -- S4002D0P
   constant c_i2c_adu_pca9555_expected_mask_set_adc_tm : std_logic_vector := c_i2c_cmdr_expected_mask_none;
   constant c_i2c_adu_pca9555_protocol_list_set_adc_tm : t_nat_natural_arr := (
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#06#, 16#00#, 16#00#,
-    SMBUS_WRITE_WORD, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#40#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#50#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#60#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#70#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#C0#,
-    SMBUS_WRITE_BYTE, I2C_ADU_PCA9555_ADR, 16#02#, 16#D0#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#06#,
+    16#00#,
+    16#00#,
+    SMBUS_WRITE_WORD,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#40#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#50#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#60#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#70#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#C0#,
+    SMBUS_WRITE_BYTE,
+    I2C_ADU_PCA9555_ADR,
+    16#02#,
+    16#D0#,
     SMBUS_C_END
   );
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_dev_ltc4260_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_dev_ltc4260_pkg.vhd
index 98020e8807..329d4c677f 100644
--- a/libraries/io/i2c/src/vhdl/i2c_dev_ltc4260_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_dev_ltc4260_pkg.vhd
@@ -41,11 +41,11 @@ package i2c_dev_ltc4260_pkg is
   constant LTC4260_V_UNIT_ADIN           : real := 0.01;  -- 10   mV ADC
 
   constant LTC4260_CONTROL_DEFAULT       : natural := 2#00011011#;  -- 00 = power good
-                                                                    -- &  0 = disable test mode
-                                                                    -- &  1 = Enable massa write
-                                                                    -- &  1 = turn FET On
-                                                                    -- &  0 = Overcurrent Autoretry Disabled
-                                                                    -- &  1 = Undervoltage Autoretry Enabled
-                                                                    -- &  1 = Overvoltage Autoretry Enabled
+-- &  0 = disable test mode
+-- &  1 = Enable massa write
+-- &  1 = turn FET On
+-- &  0 = Overcurrent Autoretry Disabled
+-- &  1 = Undervoltage Autoretry Enabled
+-- &  1 = Overvoltage Autoretry Enabled
 
 end package;
diff --git a/libraries/io/i2c/src/vhdl/i2c_dev_unb2_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_dev_unb2_pkg.vhd
index b4152f69cd..2a6649433c 100644
--- a/libraries/io/i2c/src/vhdl/i2c_dev_unb2_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_dev_unb2_pkg.vhd
@@ -22,12 +22,12 @@
 -- Uniboard2 version derived from i2c_dev_unb_pkg
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_dev_max6652_pkg.all;
-use work.i2c_commander_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_dev_max6652_pkg.all;
+  use work.i2c_commander_pkg.all;
 
 
 package i2c_dev_unb2_pkg is
@@ -78,16 +78,26 @@ package i2c_dev_unb2_pkg is
   constant c_i2c_unb2_sens_max1617_expected_mask_read_config   : std_logic_vector := c_i2c_cmdr_expected_mask_read_one_byte;
   constant c_i2c_unb2_sens_max1617_nof_result_data_read_config : natural := c_i2c_cmdr_nof_result_data_read_one_byte;
   constant c_i2c_unb2_sens_max1617_protocol_list_read_config   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE,  I2C_UNB2_SENS_TEMP_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_WRITE_BYTE, I2C_UNB2_SENS_TEMP_MAX1617_ADR, MAX1617_CMD_WRITE_CONFIG, MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, I2C_UNB2_SENS_TEMP_MAX1617_ADR, MAX1617_CMD_WRITE_REMOTE_HIGH, c_i2c_unb_temp_high,
+    SMBUS_READ_BYTE,
+    I2C_UNB2_SENS_TEMP_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_WRITE_BYTE,
+    I2C_UNB2_SENS_TEMP_MAX1617_ADR,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    I2C_UNB2_SENS_TEMP_MAX1617_ADR,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_i2c_unb_temp_high,
     SMBUS_C_END
   );
 
   constant c_i2c_unb2_sens_max1617_expected_mask_read_temp   : std_logic_vector := c_i2c_cmdr_expected_mask_read_one_byte;
   constant c_i2c_unb2_sens_max1617_nof_result_data_read_temp : natural := c_i2c_cmdr_nof_result_data_read_one_byte;
   constant c_i2c_unb2_sens_max1617_protocol_list_read_temp   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE, I2C_UNB2_SENS_TEMP_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE,
+    I2C_UNB2_SENS_TEMP_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
     SMBUS_C_END
   );
 
@@ -95,28 +105,72 @@ package i2c_dev_unb2_pkg is
   constant c_i2c_unb2_sens_expected_mask_read_all   : std_logic_vector := RESIZE_UVEC("001010101010101010101010101010101010101010101", c_word_w);
   constant c_i2c_unb2_sens_nof_result_data_read_all : natural := 22;
   constant c_i2c_unb2_sens_protocol_list_read_all   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_TEMP_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_DCDC_BMR456_ADR, PMBUS_REG_READ_VIN,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_DCDC_BMR456_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_DCDC_BMR456_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_PIM_PIM4328PD_ADR, PMBUS_REG_READ_VIN,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_PIM_PIM4328PD_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_PIM_PIM4328PD_ADR, PMBUS_REG_READ_VCAP,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_1V2_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_3V3_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_CLK_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP0_BMR464_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_SENS_QSFP1_BMR464_ADR, PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_TEMP_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_DCDC_BMR456_ADR,
+    PMBUS_REG_READ_VIN,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_DCDC_BMR456_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_DCDC_BMR456_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_PIM_PIM4328PD_ADR,
+    PMBUS_REG_READ_VIN,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_PIM_PIM4328PD_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_PIM_PIM4328PD_ADR,
+    PMBUS_REG_READ_VCAP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_1V2_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_3V3_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_CLK_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP0_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_SENS_QSFP1_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
     SMBUS_C_END
   );
 
@@ -126,24 +180,60 @@ package i2c_dev_unb2_pkg is
   constant c_i2c_unb2_pmbus_expected_mask_read_all   : std_logic_vector := RESIZE_UVEC("0010101010101010101010101010101010101", c_word_w);
   constant c_i2c_unb2_pmbus_nof_result_data_read_all : natural := 18;
   constant c_i2c_unb2_pmbus_protocol_list_read_all   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CORE_BMR464_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_VCCRAM_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR0_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_TCVR1_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_CTRL_BMR461_ADR, PMBUS_REG_READ_TEMP,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_VOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_IOUT,
-    SMBUS_READ_BYTE , I2C_UNB2_PMB_FPGAIO_BMR461_ADR, PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CORE_BMR464_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_VCCRAM_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR0_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_TCVR1_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_CTRL_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_VOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_IOUT,
+    SMBUS_READ_BYTE ,
+    I2C_UNB2_PMB_FPGAIO_BMR461_ADR,
+    PMBUS_REG_READ_TEMP,
     SMBUS_C_END
   );
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_dev_unb_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_dev_unb_pkg.vhd
index 39b1883893..edaf34415d 100644
--- a/libraries/io/i2c/src/vhdl/i2c_dev_unb_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_dev_unb_pkg.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_dev_max6652_pkg.all;
-use work.i2c_commander_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_dev_max6652_pkg.all;
+  use work.i2c_commander_pkg.all;
 
 
 package i2c_dev_unb_pkg is
@@ -45,16 +45,26 @@ package i2c_dev_unb_pkg is
   constant c_i2c_unb_max1617_expected_mask_read_config   : std_logic_vector := c_i2c_cmdr_expected_mask_read_one_byte;
   constant c_i2c_unb_max1617_nof_result_data_read_config : natural := c_i2c_cmdr_nof_result_data_read_one_byte;
   constant c_i2c_unb_max1617_protocol_list_read_config   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE,  I2C_UNB_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_WRITE_BYTE, I2C_UNB_MAX1617_ADR, MAX1617_CMD_WRITE_CONFIG, MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, I2C_UNB_MAX1617_ADR, MAX1617_CMD_WRITE_REMOTE_HIGH, c_i2c_unb_temp_high,
+    SMBUS_READ_BYTE,
+    I2C_UNB_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_WRITE_BYTE,
+    I2C_UNB_MAX1617_ADR,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    I2C_UNB_MAX1617_ADR,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_i2c_unb_temp_high,
     SMBUS_C_END
   );
 
   constant c_i2c_unb_max1617_expected_mask_read_temp   : std_logic_vector := c_i2c_cmdr_expected_mask_read_one_byte;
   constant c_i2c_unb_max1617_nof_result_data_read_temp : natural := c_i2c_cmdr_nof_result_data_read_one_byte;
   constant c_i2c_unb_max1617_protocol_list_read_temp   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE, I2C_UNB_MAX1617_ADR, MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE,
+    I2C_UNB_MAX1617_ADR,
+    MAX1617_CMD_READ_REMOTE_TEMP,
     SMBUS_C_END
   );
 
@@ -64,11 +74,22 @@ package i2c_dev_unb_pkg is
   constant c_i2c_unb_max6652_expected_mask_read_config   : std_logic_vector := RESIZE_UVEC("001010101", c_word_w);
   constant c_i2c_unb_max6652_nof_result_data_read_config : natural := 4;
   constant c_i2c_unb_max6652_protocol_list_read_config   : t_nat_natural_arr := (
-    SMBUS_READ_BYTE , I2C_UNB_MAX6652_ADR, MAX6652_REG_READ_VIN_2_5,
-    SMBUS_READ_BYTE , I2C_UNB_MAX6652_ADR, MAX6652_REG_READ_VIN_3_3,
-    SMBUS_READ_BYTE , I2C_UNB_MAX6652_ADR, MAX6652_REG_READ_VCC,
-    SMBUS_READ_BYTE , I2C_UNB_MAX6652_ADR, MAX6652_REG_READ_TEMP,
-    SMBUS_WRITE_BYTE, I2C_UNB_MAX6652_ADR, MAX6652_REG_CONFIG, MAX6652_CONFIG_LINE_FREQ_SEL + MAX6652_CONFIG_START,
+    SMBUS_READ_BYTE ,
+    I2C_UNB_MAX6652_ADR,
+    MAX6652_REG_READ_VIN_2_5,
+    SMBUS_READ_BYTE ,
+    I2C_UNB_MAX6652_ADR,
+    MAX6652_REG_READ_VIN_3_3,
+    SMBUS_READ_BYTE ,
+    I2C_UNB_MAX6652_ADR,
+    MAX6652_REG_READ_VCC,
+    SMBUS_READ_BYTE ,
+    I2C_UNB_MAX6652_ADR,
+    MAX6652_REG_READ_TEMP,
+    SMBUS_WRITE_BYTE,
+    I2C_UNB_MAX6652_ADR,
+    MAX6652_REG_CONFIG,
+    MAX6652_CONFIG_LINE_FREQ_SEL + MAX6652_CONFIG_START,
     SMBUS_C_END
   );
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_list_ctrl.vhd b/libraries/io/i2c/src/vhdl/i2c_list_ctrl.vhd
index 31534bcf36..f18fec1d8a 100644
--- a/libraries/io/i2c/src/vhdl/i2c_list_ctrl.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_list_ctrl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity i2c_list_ctrl is
   generic (
diff --git a/libraries/io/i2c/src/vhdl/i2c_master.vhd b/libraries/io/i2c/src/vhdl/i2c_master.vhd
index 8ce6d8a387..dcc65741e2 100644
--- a/libraries/io/i2c/src/vhdl/i2c_master.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_master.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity i2c_master is
   generic (
@@ -68,7 +68,7 @@ entity i2c_master is
     -- I2C interface
     ---------------------------------------------------------------------------
     scl                      : inout std_logic;  -- I2C Serial Clock Line
-    sda	                     : inout std_logic  -- I2C Serial Data Line
+    sda                       : inout std_logic  -- I2C Serial Data Line
   );
 end i2c_master;
 
@@ -102,98 +102,98 @@ begin
   result_ready_evt <= smbus_st_end;
 
   u_mm : entity work.i2c_mm
-  generic map (
-    g_technology => g_technology,
-    g_i2c_mm => g_i2c_mm
-  )
-  port map (
-    rst                      => rst,
-    clk                      => clk,
-    sync                     => sync,
-    ---------------------------------------------------------------------------
-    -- Memory Mapped Slave interface with Interrupt
-    ---------------------------------------------------------------------------
-    -- MM slave I2C control register
-    mms_control_address      => mms_control_address,
-    mms_control_write        => mms_control_write,
-    mms_control_read         => mms_control_read,
-    mms_control_writedata    => mms_control_writedata,
-    mms_control_readdata     => mms_control_readdata,
-    -- MM slave I2C protocol register
-    mms_protocol_address     => mms_protocol_address,
-    mms_protocol_write       => mms_protocol_write,
-    mms_protocol_read        => mms_protocol_read,
-    mms_protocol_writedata   => mms_protocol_writedata,
-    mms_protocol_readdata    => mms_protocol_readdata,
-    -- MM slave I2C result register
-    mms_result_address       => mms_result_address,
-    mms_result_write         => mms_result_write,
-    mms_result_read          => mms_result_read,
-    mms_result_writedata     => mms_result_writedata,
-    mms_result_readdata      => mms_result_readdata,
-    -- Interrupt
-    ins_result_rdy           => ins_result_rdy,
-    ---------------------------------------------------------------------------
-    -- SMBus control interface
-    ---------------------------------------------------------------------------
-    protocol_rd_en           => protocol_rd_en,
-    protocol_rd_adr          => protocol_rd_adr,
-    protocol_rd_dat          => protocol_rd_dat,
-    protocol_rd_val          => protocol_rd_val,
-
-    result_wr_en             => result_wr_en,
-    result_wr_adr            => result_wr_adr,
-    result_wr_dat            => result_wr_dat,
-
-    protocol_activate_evt    => protocol_activate_evt,
-    result_ready_evt         => result_ready_evt
-  );
+    generic map (
+      g_technology => g_technology,
+      g_i2c_mm => g_i2c_mm
+    )
+    port map (
+      rst                      => rst,
+      clk                      => clk,
+      sync                     => sync,
+      ---------------------------------------------------------------------------
+      -- Memory Mapped Slave interface with Interrupt
+      ---------------------------------------------------------------------------
+      -- MM slave I2C control register
+      mms_control_address      => mms_control_address,
+      mms_control_write        => mms_control_write,
+      mms_control_read         => mms_control_read,
+      mms_control_writedata    => mms_control_writedata,
+      mms_control_readdata     => mms_control_readdata,
+      -- MM slave I2C protocol register
+      mms_protocol_address     => mms_protocol_address,
+      mms_protocol_write       => mms_protocol_write,
+      mms_protocol_read        => mms_protocol_read,
+      mms_protocol_writedata   => mms_protocol_writedata,
+      mms_protocol_readdata    => mms_protocol_readdata,
+      -- MM slave I2C result register
+      mms_result_address       => mms_result_address,
+      mms_result_write         => mms_result_write,
+      mms_result_read          => mms_result_read,
+      mms_result_writedata     => mms_result_writedata,
+      mms_result_readdata      => mms_result_readdata,
+      -- Interrupt
+      ins_result_rdy           => ins_result_rdy,
+      ---------------------------------------------------------------------------
+      -- SMBus control interface
+      ---------------------------------------------------------------------------
+      protocol_rd_en           => protocol_rd_en,
+      protocol_rd_adr          => protocol_rd_adr,
+      protocol_rd_dat          => protocol_rd_dat,
+      protocol_rd_val          => protocol_rd_val,
+
+      result_wr_en             => result_wr_en,
+      result_wr_adr            => result_wr_adr,
+      result_wr_dat            => result_wr_dat,
+
+      protocol_activate_evt    => protocol_activate_evt,
+      result_ready_evt         => result_ready_evt
+    );
 
   u_ctrl : entity work.i2c_list_ctrl
-  generic map (
-    g_protocol_adr_w => g_i2c_mm.protocol_adr_w,
-    g_result_adr_w   => g_i2c_mm.result_adr_w
-  )
-  port map (
-    rst              => rst,
-    clk              => clk,
-    activate         => protocol_activate_evt,
-    busy             => OPEN,
-    protocol_rd_en   => protocol_rd_en,
-    protocol_rd_adr  => protocol_rd_adr,
-    protocol_rd_dat  => protocol_rd_dat,
-    protocol_rd_val  => protocol_rd_val,
-    result_wr_en     => result_wr_en,
-    result_wr_adr    => result_wr_adr,
-    result_wr_dat    => result_wr_dat,
-    smbus_out_dat    => smbus_in_dat,
-    smbus_out_req    => smbus_in_req,
-    smbus_in_dat     => smbus_out_dat,
-    smbus_in_val     => smbus_out_val,
-    smbus_in_err     => smbus_out_err,
-    smbus_in_ack     => smbus_out_ack,
-    smbus_st_idle    => smbus_st_idle,
-    smbus_st_end     => smbus_st_end
-  );
+    generic map (
+      g_protocol_adr_w => g_i2c_mm.protocol_adr_w,
+      g_result_adr_w   => g_i2c_mm.result_adr_w
+    )
+    port map (
+      rst              => rst,
+      clk              => clk,
+      activate         => protocol_activate_evt,
+      busy             => OPEN,
+      protocol_rd_en   => protocol_rd_en,
+      protocol_rd_adr  => protocol_rd_adr,
+      protocol_rd_dat  => protocol_rd_dat,
+      protocol_rd_val  => protocol_rd_val,
+      result_wr_en     => result_wr_en,
+      result_wr_adr    => result_wr_adr,
+      result_wr_dat    => result_wr_dat,
+      smbus_out_dat    => smbus_in_dat,
+      smbus_out_req    => smbus_in_req,
+      smbus_in_dat     => smbus_out_dat,
+      smbus_in_val     => smbus_out_val,
+      smbus_in_err     => smbus_out_err,
+      smbus_in_ack     => smbus_out_ack,
+      smbus_st_idle    => smbus_st_idle,
+      smbus_st_end     => smbus_st_end
+    );
 
   u_smbus : entity work.i2c_smbus
-  generic map (
-    g_i2c_phy        => g_i2c_phy
-  )
-  port map (
-    gs_sim           => gs_sim,
-    rst              => rst,
-    clk              => clk,
-    in_dat           => smbus_in_dat,
-    in_req           => smbus_in_req,
-    out_dat          => smbus_out_dat,
-    out_val          => smbus_out_val,
-    out_err          => smbus_out_err,
-    out_ack          => smbus_out_ack,
-    st_idle          => smbus_st_idle,
-    st_end           => smbus_st_end,
-    scl              => scl,
-    sda              => sda
-  );
+    generic map (
+      g_i2c_phy        => g_i2c_phy
+    )
+    port map (
+      gs_sim           => gs_sim,
+      rst              => rst,
+      clk              => clk,
+      in_dat           => smbus_in_dat,
+      in_req           => smbus_in_req,
+      out_dat          => smbus_out_dat,
+      out_val          => smbus_out_val,
+      out_err          => smbus_out_err,
+      out_ack          => smbus_out_ack,
+      st_idle          => smbus_st_idle,
+      st_end           => smbus_st_end,
+      scl              => scl,
+      sda              => sda
+    );
 
 end str;
diff --git a/libraries/io/i2c/src/vhdl/i2c_mm.vhd b/libraries/io/i2c/src/vhdl/i2c_mm.vhd
index 1c88f486a8..a03df0e1a9 100644
--- a/libraries/io/i2c/src/vhdl/i2c_mm.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_mm.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.i2c_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.i2c_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity i2c_mm is
   generic (
@@ -81,23 +81,29 @@ end i2c_mm;
 architecture str of i2c_mm is
 
   -- Use default MM bus data width = c_word_w
-  constant c_reg_control  : t_c_mem := (latency  => 1,
-                                        adr_w    => 1,
-                                        dat_w    => c_word_w,
-                                        nof_dat  => 1,
-                                        init_sl  => 'X');
+  constant c_reg_control  : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_word_w,
+    nof_dat  => 1,
+    init_sl  => 'X'
+  );
 
   -- Use MM bus data width = SMBus data width = c_byte_w
-  constant c_ram_protocol : t_c_mem := (latency  => 2,
-                                        adr_w    => g_i2c_mm.protocol_adr_w,
-                                        dat_w    => c_byte_w,
-                                        nof_dat  => 2**g_i2c_mm.protocol_adr_w,
-                                        init_sl  => 'X');
-  constant c_ram_result   : t_c_mem := (latency  => 2,
-                                        adr_w    => g_i2c_mm.result_adr_w,
-                                        dat_w    => c_byte_w,
-                                        nof_dat  => 2**g_i2c_mm.result_adr_w,
-                                        init_sl  => 'X');
+  constant c_ram_protocol : t_c_mem := (
+    latency  => 2,
+    adr_w    => g_i2c_mm.protocol_adr_w,
+    dat_w    => c_byte_w,
+    nof_dat  => 2**g_i2c_mm.protocol_adr_w,
+    init_sl  => 'X'
+  );
+  constant c_ram_result   : t_c_mem := (
+    latency  => 2,
+    adr_w    => g_i2c_mm.result_adr_w,
+    dat_w    => c_byte_w,
+    nof_dat  => 2**g_i2c_mm.result_adr_w,
+    init_sl  => 'X'
+  );
 
   -- Control register
   constant c_control_bit_activate  : natural := 0;
@@ -107,8 +113,8 @@ architecture str of i2c_mm is
   constant c_init_control_activate : std_logic := '0';
   constant c_init_control_ready    : std_logic := '0';
   constant c_init_control          : std_logic_vector(c_mem_reg_init_w - 1 downto 0) := c_init_dummy
-                                                                                    & c_init_control_ready
-                                                                                    & c_init_control_activate;
+                                                                                        & c_init_control_ready
+                                                                                        & c_init_control_activate;
 
   signal reg_control               : std_logic_vector(c_reg_control.nof_dat * c_reg_control.dat_w - 1 downto 0);
   signal reg_control_rd            : std_logic_vector(reg_control'range);
@@ -119,23 +125,23 @@ begin
 
   -- Protocol activate control
   u_protocol_activate : entity common_lib.common_request
-  port map (
-    rst         => rst,
-    clk         => clk,
-    sync        => sync,
-    in_req      => reg_control(c_control_bit_activate),
-    out_req_evt => protocol_activate_evt
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      sync        => sync,
+      in_req      => reg_control(c_control_bit_activate),
+      out_req_evt => protocol_activate_evt
+    );
 
   -- Result ready control
   u_result_ready : entity common_lib.common_switch
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => result_ready_evt,
-    switch_low  => mms_control_read,  -- read clear ready bit
-    out_level   => result_ready
-  );
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => result_ready_evt,
+      switch_low  => mms_control_read,  -- read clear ready bit
+      out_level   => result_ready
+    );
 
   ins_result_rdy <= result_ready;
 
@@ -147,73 +153,73 @@ begin
   end process;
 
   u_reg_control : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_reg_control,
-    g_init_reg  => c_init_control
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => '1',
-    -- control side
-    wr_en       => mms_control_write,
-    wr_adr      => mms_control_address,
-    wr_dat      => mms_control_writedata,
-    rd_en       => mms_control_read,
-    rd_adr      => mms_control_address,
-    rd_dat      => mms_control_readdata,
-    rd_val      => OPEN,
-    -- data side
-    out_reg     => reg_control,
-    in_reg      => reg_control_rd
-  );
+    generic map (
+      g_reg       => c_reg_control,
+      g_init_reg  => c_init_control
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => '1',
+      -- control side
+      wr_en       => mms_control_write,
+      wr_adr      => mms_control_address,
+      wr_dat      => mms_control_writedata,
+      rd_en       => mms_control_read,
+      rd_adr      => mms_control_address,
+      rd_dat      => mms_control_readdata,
+      rd_val      => OPEN,
+      -- data side
+      out_reg     => reg_control,
+      in_reg      => reg_control_rd
+    );
 
   -- I2C protocol list register
   u_ram_protocol : entity common_lib.common_ram_rw_rw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_ram_protocol
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => '1',
-    wr_en_a     => mms_protocol_write,
-    wr_dat_a    => mms_protocol_writedata,
-    adr_a       => mms_protocol_address,
-    rd_en_a     => mms_protocol_read,
-    rd_dat_a    => mms_protocol_readdata,
-    rd_val_a    => OPEN,
-    wr_en_b     => '0',
-    --wr_dat_b    => (OTHERS => '0'),
-    adr_b       => protocol_rd_adr,
-    rd_en_b     => protocol_rd_en,
-    rd_dat_b    => protocol_rd_dat,
-    rd_val_b    => protocol_rd_val
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => c_ram_protocol
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => '1',
+      wr_en_a     => mms_protocol_write,
+      wr_dat_a    => mms_protocol_writedata,
+      adr_a       => mms_protocol_address,
+      rd_en_a     => mms_protocol_read,
+      rd_dat_a    => mms_protocol_readdata,
+      rd_val_a    => OPEN,
+      wr_en_b     => '0',
+      --wr_dat_b    => (OTHERS => '0'),
+      adr_b       => protocol_rd_adr,
+      rd_en_b     => protocol_rd_en,
+      rd_dat_b    => protocol_rd_dat,
+      rd_val_b    => protocol_rd_val
+    );
 
   -- I2C result register
   u_ram_result : entity common_lib.common_ram_rw_rw
-  generic map (
-    g_technology => g_technology,
-    g_ram        => c_ram_result
-  )
-  port map (
-    rst         => rst,
-    clk         => clk,
-    clken       => '1',
-    wr_en_a     => mms_result_write,
-    wr_dat_a    => mms_result_writedata,
-    adr_a       => mms_result_address,
-    rd_en_a     => mms_result_read,
-    rd_dat_a    => mms_result_readdata,
-    rd_val_a    => OPEN,
-    wr_en_b     => result_wr_en,
-    wr_dat_b    => result_wr_dat,
-    adr_b       => result_wr_adr,
-    rd_en_b     => '0',
-    rd_dat_b    => OPEN,
-    rd_val_b    => open
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ram        => c_ram_result
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      clken       => '1',
+      wr_en_a     => mms_result_write,
+      wr_dat_a    => mms_result_writedata,
+      adr_a       => mms_result_address,
+      rd_en_a     => mms_result_read,
+      rd_dat_a    => mms_result_readdata,
+      rd_val_a    => OPEN,
+      wr_en_b     => result_wr_en,
+      wr_dat_b    => result_wr_dat,
+      adr_b       => result_wr_adr,
+      rd_en_b     => '0',
+      rd_dat_b    => OPEN,
+      rd_val_b    => open
+    );
 
 end str;
diff --git a/libraries/io/i2c/src/vhdl/i2c_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_pkg.vhd
index 520f3c4889..0239ebebf7 100644
--- a/libraries/io/i2c/src/vhdl/i2c_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_pkg.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package i2c_pkg is
 
@@ -56,22 +56,22 @@ package i2c_pkg is
   constant c_i2c_phy_sim     : t_c_i2c_phy := (1, c_i2c_comma_w_dis);
 
   -- Calculate clk_cnt from system_clock_freq_in_MHz
-  function func_i2c_calculate_clk_cnt(system_clock_freq_in_MHz, bit_rate_in_kHz : natural) return natural;
-  function func_i2c_calculate_clk_cnt(system_clock_freq_in_MHz : natural) return natural;
+  function func_i2c_calculate_clk_cnt (system_clock_freq_in_MHz, bit_rate_in_kHz : natural) return natural;
+  function func_i2c_calculate_clk_cnt (system_clock_freq_in_MHz : natural) return natural;
 
   -- Calculate (clk_cnt, comma_w) from system_clock_freq_in_MHz
-  function func_i2c_calculate_phy(system_clock_freq_in_MHz, comma_w : natural) return t_c_i2c_phy;
-  function func_i2c_calculate_phy(system_clock_freq_in_MHz          : natural) return t_c_i2c_phy;
+  function func_i2c_calculate_phy (system_clock_freq_in_MHz, comma_w : natural) return t_c_i2c_phy;
+  function func_i2c_calculate_phy (system_clock_freq_in_MHz          : natural) return t_c_i2c_phy;
 
   -- Select functions
-  function func_i2c_sel_a_b(sel : boolean; a, b : t_c_i2c_mm)  return t_c_i2c_mm;
-  function func_i2c_sel_a_b(sel : boolean; a, b : t_c_i2c_phy) return t_c_i2c_phy;
+  function func_i2c_sel_a_b (sel : boolean; a, b : t_c_i2c_mm) return t_c_i2c_mm;
+  function func_i2c_sel_a_b (sel : boolean; a, b : t_c_i2c_phy) return t_c_i2c_phy;
 
 end i2c_pkg;
 
 package body i2c_pkg is
 
-  function func_i2c_calculate_clk_cnt(system_clock_freq_in_MHz, bit_rate_in_kHz : natural) return natural is
+  function func_i2c_calculate_clk_cnt (system_clock_freq_in_MHz, bit_rate_in_kHz : natural) return natural is
     -- . Adapt c_i2c_clk_freq and c_i2c_bit_rate and c_i2c_clk_cnt will be set appropriately
     -- . Default no comma time is needed, it appeared necessary for the uP based I2C slave in the LOFAR HBA client
     constant c_clk_cnt_factor : natural := 5;
@@ -79,7 +79,7 @@ package body i2c_pkg is
     return system_clock_freq_in_MHz  * 1000 / bit_rate_in_kHz / c_clk_cnt_factor - 1;
   end;
 
-  function func_i2c_calculate_clk_cnt(system_clock_freq_in_MHz : natural) return natural is
+  function func_i2c_calculate_clk_cnt (system_clock_freq_in_MHz : natural) return natural is
     -- . Adapt c_i2c_clk_freq and c_i2c_bit_rate and c_i2c_clk_cnt will be set appropriately
     -- . Default no comma time is needed, it appeared necessary for the uP based I2C slave in the LOFAR HBA client
     constant c_clk_cnt_factor : natural := 5;
@@ -87,17 +87,17 @@ package body i2c_pkg is
     return system_clock_freq_in_MHz  * 1000 / c_i2c_bit_rate / c_clk_cnt_factor - 1;
   end;
 
-  function func_i2c_calculate_phy(system_clock_freq_in_MHz, comma_w : natural) return t_c_i2c_phy is
+  function func_i2c_calculate_phy (system_clock_freq_in_MHz, comma_w : natural) return t_c_i2c_phy is
   begin
     return (func_i2c_calculate_clk_cnt(system_clock_freq_in_MHz), comma_w);
   end;
 
-  function func_i2c_calculate_phy(system_clock_freq_in_MHz : natural) return t_c_i2c_phy is
+  function func_i2c_calculate_phy (system_clock_freq_in_MHz : natural) return t_c_i2c_phy is
   begin
     return func_i2c_calculate_phy(system_clock_freq_in_MHz, c_i2c_comma_w_dis);
   end;
 
-  function func_i2c_sel_a_b(sel : boolean; a, b : t_c_i2c_mm) return t_c_i2c_mm is
+  function func_i2c_sel_a_b (sel : boolean; a, b : t_c_i2c_mm) return t_c_i2c_mm is
   begin
     if sel = true then
       return a;
@@ -106,7 +106,7 @@ package body i2c_pkg is
     end if;
   end;
 
-  function func_i2c_sel_a_b(sel : boolean; a, b : t_c_i2c_phy) return t_c_i2c_phy is
+  function func_i2c_sel_a_b (sel : boolean; a, b : t_c_i2c_phy) return t_c_i2c_phy is
   begin
     if sel = true then
       return a;
diff --git a/libraries/io/i2c/src/vhdl/i2c_smbus.vhd b/libraries/io/i2c/src/vhdl/i2c_smbus.vhd
index d6a3be33eb..6659237c4b 100644
--- a/libraries/io/i2c/src/vhdl/i2c_smbus.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_smbus.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library ieee, common_lib;
-use ieee.std_logic_1164.all;
---USE IEEE.numeric_std.ALL;
-use ieee.std_logic_arith.all;
-use common_lib.common_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_smbus_pkg.all;
+  use ieee.std_logic_1164.all;
+  --USE IEEE.numeric_std.ALL;
+  use ieee.std_logic_arith.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_smbus_pkg.all;
 
 
 entity i2c_smbus is
@@ -194,31 +194,31 @@ begin
   end process;
 
   byte : entity work.i2c_byte
-  generic map (
-    g_clock_stretch_sense_scl => g_clock_stretch_sense_scl
-  )
-  port map (
-    clk         => clk,
-    rst         => srst(srst'high),
-    ena         => '1',
-    clk_cnt     => cs_clk_cnt,
-    nReset      => nrst,
-    read        => i2c_read,
-    write       => i2c_write,
-    start       => i2c_start,
-    stop        => i2c_stop,
-    ack_in      => i2c_ack_in,
-    cmd_ack     => i2c_cmd_ack,
-    Din         => i2c_dat_in,
-    Dout        => i2c_dat_out,
-    ack_out     => i2c_ack_out,
-    scl_i       => scl_i,
-    scl_o       => scl_o,
-    scl_oen     => scl_oen,
-    sda_i       => sda_i,
-    sda_o       => sda_o,
-    sda_oen     => sda_oen
-  );
+    generic map (
+      g_clock_stretch_sense_scl => g_clock_stretch_sense_scl
+    )
+    port map (
+      clk         => clk,
+      rst         => srst(srst'high),
+      ena         => '1',
+      clk_cnt     => cs_clk_cnt,
+      nReset      => nrst,
+      read        => i2c_read,
+      write       => i2c_write,
+      start       => i2c_start,
+      stop        => i2c_stop,
+      ack_in      => i2c_ack_in,
+      cmd_ack     => i2c_cmd_ack,
+      Din         => i2c_dat_in,
+      Dout        => i2c_dat_out,
+      ack_out     => i2c_ack_out,
+      scl_i       => scl_i,
+      scl_o       => scl_o,
+      scl_oen     => scl_oen,
+      sda_i       => sda_i,
+      sda_o       => sda_o,
+      sda_oen     => sda_oen
+    );
 
   comma_sc_low <= comma_sc_low_no when cs_comma_w = 0 else comma_sc_low_yes;
 
@@ -236,14 +236,14 @@ begin
         sda_m_dly <= '0';
         scl_cnt   <= 0;
         comma_dly <= '0';
-     elsif rising_edge(clk) then
+      elsif rising_edge(clk) then
         scl_m     <= nxt_scl_m;
         sda_m     <= nxt_sda_m;
         scl_m_dly <= scl_m;
         sda_m_dly <= sda_m;
         scl_cnt   <= nxt_scl_cnt;
         comma_dly <= comma;
-     end if;
+      end if;
     end process;
 
     nxt_scl_m <= scl_oen;
@@ -266,32 +266,32 @@ begin
     scl_m_n <= not scl_m;
 
     u_comma : entity common_lib.common_switch
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      clk         => clk,
-      rst         => rst,
-      switch_high => comma_hi,
-      switch_low  => scl_m_n,
-      out_level   => comma
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        clk         => clk,
+        rst         => rst,
+        switch_high => comma_hi,
+        switch_low  => scl_m_n,
+        out_level   => comma
+      );
 
     comma_evt <= not comma and comma_dly;
 
     u_comma_sc_low : entity common_lib.common_pulse_extend
-    generic map (
-      g_rst_level    => '0',
-      g_p_in_level   => '1',
-      g_ep_out_level => '1',
-      g_extend_w     => g_i2c_phy.comma_w
-    )
-    port map (
-      rst     => rst,
-      clk     => clk,
-      p_in    => comma_evt,
-      ep_out  => comma_sc_low_yes
-    );
+      generic map (
+        g_rst_level    => '0',
+        g_p_in_level   => '1',
+        g_ep_out_level => '1',
+        g_extend_w     => g_i2c_phy.comma_w
+      )
+      port map (
+        rst     => rst,
+        clk     => clk,
+        p_in    => comma_evt,
+        ep_out  => comma_sc_low_yes
+      );
   end generate;  -- gen_comma
 
   regs : process(rst, clk)
@@ -309,7 +309,7 @@ begin
       to_index  <= 0;
       to_value  <= (others => '0');
       timeout   <= '0';
-   elsif rising_edge(clk) then
+    elsif rising_edge(clk) then
       pix       <= nxt_pix;
       pid       <= nxt_pid;
       op        <= nxt_op;
@@ -322,7 +322,7 @@ begin
       to_index  <= nxt_to_index;
       to_value  <= nxt_to_value;
       timeout   <= nxt_timeout;
-   end if;
+    end if;
   end process;
 
   get_op : process(pid,pix,op,rdy)
@@ -548,16 +548,16 @@ begin
   to_cnt_en <= not timeout;
 
   u_timeout : entity common_lib.common_counter
-  generic map(
-    g_width     => to_cnt'length
-  )
-  port map(
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => ld_to,
-    cnt_en  => to_cnt_en,
-    count   => to_cnt
-  );
+    generic map(
+      g_width     => to_cnt'length
+    )
+    port map(
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => ld_to,
+      cnt_en  => to_cnt_en,
+      count   => to_cnt
+    );
 
   nxt_timeout <= '1' when unsigned(to_cnt) > unsigned(to_value(to_cnt'range)) else '0';
 
diff --git a/libraries/io/i2c/src/vhdl/i2c_smbus_pkg.vhd b/libraries/io/i2c/src/vhdl/i2c_smbus_pkg.vhd
index ba1fc7ab45..62ba3ba30c 100644
--- a/libraries/io/i2c/src/vhdl/i2c_smbus_pkg.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_smbus_pkg.vhd
@@ -20,31 +20,31 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package i2c_smbus_pkg is
 
   -- Opcodes used in protocol definitions
   type OPCODE is (
-      -- I2C opcodes
-      OP_LD_ADR,  -- LOAD ADDRESS REGISTER
-      OP_LD_CNT,  -- LOAD COUNTER REGISTER
-      OP_WR_CNT,  -- WRITE COUNTER REGISTER
-      OP_WR_ADR_WR,  -- WRITE ADDRESS FOR WRTTE
-      OP_WR_ADR_RD,  -- WRITE ADDRESS FOR READ
-      OP_WR_DAT,  -- WRITE BYTE OF DATA
-      OP_WR_BLOCK,  -- WRITE BLOCK OF DATA
-      OP_RD_ACK,  -- READ BYTE OF DATA AND ACKNOWLEDGE
-      OP_RD_NACK,  -- READ BYTE DATA AND DO NOT ACKNOWLEDGE
-      OP_RD_BLOCK,  -- READ BLOCK OF DATA
-      OP_STOP,  -- STOP
-      -- Control opcodes
-      OP_IDLE,  -- IDLE
-      OP_END,  -- END OF LIST OF PROTOCOLS
-      OP_LD_TIMEOUT,  -- LOAD TIMEOUT VALUE
-      OP_WAIT,  -- WAIT FOR TIMEOUT TIME UNITS
-      OP_RD_SDA  -- SAMPLE SDA LINE
+    -- I2C opcodes
+    OP_LD_ADR,  -- LOAD ADDRESS REGISTER
+    OP_LD_CNT,  -- LOAD COUNTER REGISTER
+    OP_WR_CNT,  -- WRITE COUNTER REGISTER
+    OP_WR_ADR_WR,  -- WRITE ADDRESS FOR WRTTE
+    OP_WR_ADR_RD,  -- WRITE ADDRESS FOR READ
+    OP_WR_DAT,  -- WRITE BYTE OF DATA
+    OP_WR_BLOCK,  -- WRITE BLOCK OF DATA
+    OP_RD_ACK,  -- READ BYTE OF DATA AND ACKNOWLEDGE
+    OP_RD_NACK,  -- READ BYTE DATA AND DO NOT ACKNOWLEDGE
+    OP_RD_BLOCK,  -- READ BLOCK OF DATA
+    OP_STOP,  -- STOP
+    -- Control opcodes
+    OP_IDLE,  -- IDLE
+    OP_END,  -- END OF LIST OF PROTOCOLS
+    OP_LD_TIMEOUT,  -- LOAD TIMEOUT VALUE
+    OP_WAIT,  -- WAIT FOR TIMEOUT TIME UNITS
+    OP_RD_SDA  -- SAMPLE SDA LINE
   );
 
   -- SMBUS protocol definitions
@@ -57,91 +57,200 @@ package i2c_smbus_pkg is
   constant PROTOCOL_RESERVED : SMBUS_PROTOCOL := ( others => OP_IDLE );
 
   -- Protocol: write only the address + write bit
-  constant PROTOCOL_WRITE_QUICK  : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_WRITE_QUICK  : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: write only address + read bit
-  constant PROTOCOL_READ_QUICK : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_RD, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_READ_QUICK : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_RD,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: send byte to specified adress
-  constant PROTOCOL_SEND_BYTE : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_SEND_BYTE : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: receive byte from specified address
-  constant PROTOCOL_RECEIVE_BYTE : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_RD, OP_RD_NACK, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_RECEIVE_BYTE : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_RD,
+    OP_RD_NACK,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: write byte to specified address and register
-  constant PROTOCOL_WRITE_BYTE : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_DAT, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_WRITE_BYTE : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_DAT,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: read byte from specified address and register
-  constant PROTOCOL_READ_BYTE : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_ADR_RD, OP_RD_NACK, OP_STOP,
-      others => OP_IDLE );
+  constant PROTOCOL_READ_BYTE : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_ADR_RD,
+    OP_RD_NACK,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: write word to specified address and register
-  constant PROTOCOL_WRITE_WORD : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_DAT, OP_WR_DAT, OP_STOP,
-      others => OP_IDLE );
+  constant PROTOCOL_WRITE_WORD : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_DAT,
+    OP_WR_DAT,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: read word from specified address and register
-  constant PROTOCOL_READ_WORD : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_ADR_RD, OP_RD_ACK, OP_RD_NACK,
-      OP_STOP, others => OP_IDLE);
+  constant PROTOCOL_READ_WORD : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_ADR_RD,
+    OP_RD_ACK,
+    OP_RD_NACK,
+    OP_STOP,
+    others => OP_IDLE
+  );
 
   -- Protocol: write block to specified address and register
-  constant PROTOCOL_WRITE_BLOCK : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_LD_CNT, OP_WR_CNT, OP_WR_BLOCK,
-      OP_STOP, others => OP_IDLE);
+  constant PROTOCOL_WRITE_BLOCK : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_LD_CNT,
+    OP_WR_CNT,
+    OP_WR_BLOCK,
+    OP_STOP,
+    others => OP_IDLE
+  );
 
   -- Protocol: read block to specified address and register
-  constant PROTOCOL_READ_BLOCK : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_ADR_RD, OP_RD_ACK, OP_LD_CNT,
-      OP_RD_BLOCK, OP_STOP, others => OP_IDLE);
+  constant PROTOCOL_READ_BLOCK : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_ADR_RD,
+    OP_RD_ACK,
+    OP_LD_CNT,
+    OP_RD_BLOCK,
+    OP_STOP,
+    others => OP_IDLE
+  );
 
   -- Protocol process call
-  constant PROTOCOL_PROCESS_CALL : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_DAT, OP_WR_DAT,
-      OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_ADR_RD, OP_RD_ACK, OP_RD_NACK,
-      OP_STOP, others => OP_IDLE);
+  constant PROTOCOL_PROCESS_CALL : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_DAT,
+    OP_WR_DAT,
+    OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_ADR_RD,
+    OP_RD_ACK,
+    OP_RD_NACK,
+    OP_STOP,
+    others => OP_IDLE
+  );
 
   -- The following protocols are additional custom protocols
 
   -- Protocol: write block to specified address and register, do not write count
-  constant PROTOCOL_C_WRITE_BLOCK_NO_CNT : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_LD_CNT, OP_WR_BLOCK, OP_STOP,
-      others => OP_IDLE);
+  constant PROTOCOL_C_WRITE_BLOCK_NO_CNT : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_LD_CNT,
+    OP_WR_BLOCK,
+    OP_STOP,
+    others => OP_IDLE
+  );
 
   -- Protocol: read block to specified address and register, do not expect count
-  constant PROTOCOL_C_READ_BLOCK_NO_CNT : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_WR_DAT, OP_WR_ADR_RD, OP_LD_CNT, OP_RD_BLOCK,
-      OP_STOP, others => OP_IDLE);
+  constant PROTOCOL_C_READ_BLOCK_NO_CNT : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_WR_DAT,
+    OP_WR_ADR_RD,
+    OP_LD_CNT,
+    OP_RD_BLOCK,
+    OP_STOP,
+    others => OP_IDLE
+  );
 
   -- Protocol: send one or more bytes to specified address
-  constant PROTOCOL_C_SEND_BLOCK : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_WR, OP_LD_CNT, OP_WR_BLOCK, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_C_SEND_BLOCK : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_WR,
+    OP_LD_CNT,
+    OP_WR_BLOCK,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: receive one or more bytes from specified address
-  constant PROTOCOL_C_RECEIVE_BLOCK : SMBUS_PROTOCOL :=
-    ( OP_LD_ADR, OP_WR_ADR_RD, OP_LD_CNT, OP_RD_BLOCK, OP_STOP, others => OP_IDLE );
+  constant PROTOCOL_C_RECEIVE_BLOCK : SMBUS_PROTOCOL := (
+     OP_LD_ADR,
+    OP_WR_ADR_RD,
+    OP_LD_CNT,
+    OP_RD_BLOCK,
+    OP_STOP,
+    others => OP_IDLE 
+  );
 
   -- Protocol: no operation
   constant PROTOCOL_C_NOP : SMBUS_PROTOCOL := ( others => OP_IDLE );
 
   -- Protocol: wait for the specified number (32 bit long) of delay units
-  constant PROTOCOL_C_WAIT : SMBUS_PROTOCOL :=
-    ( OP_LD_TIMEOUT, OP_LD_TIMEOUT, OP_LD_TIMEOUT, OP_LD_TIMEOUT, OP_WAIT, others => OP_IDLE );
+  constant PROTOCOL_C_WAIT : SMBUS_PROTOCOL := (
+     OP_LD_TIMEOUT,
+    OP_LD_TIMEOUT,
+    OP_LD_TIMEOUT,
+    OP_LD_TIMEOUT,
+    OP_WAIT,
+    others => OP_IDLE 
+  );
 
   -- Protocol: signal end of sequence of protocols
-  constant PROTOCOL_C_END : SMBUS_PROTOCOL :=
-    ( OP_END, others => OP_IDLE );
+  constant PROTOCOL_C_END : SMBUS_PROTOCOL := (
+     OP_END,
+    others => OP_IDLE 
+  );
 
   -- Protocol: sample SDA line
   -- . Use protocol sample SDA after sufficient WAIT timeout or stand alone to ensure that the slow SDA has been pulled up
-  constant PROTOCOL_C_SAMPLE_SDA : SMBUS_PROTOCOL :=
-    ( OP_LD_TIMEOUT, OP_LD_TIMEOUT, OP_LD_TIMEOUT, OP_LD_TIMEOUT, OP_WAIT, OP_RD_SDA, others => OP_IDLE );
+  constant PROTOCOL_C_SAMPLE_SDA : SMBUS_PROTOCOL := (
+     OP_LD_TIMEOUT,
+    OP_LD_TIMEOUT,
+    OP_LD_TIMEOUT,
+    OP_LD_TIMEOUT,
+    OP_WAIT,
+    OP_RD_SDA,
+    others => OP_IDLE 
+  );
 
   type PROTOCOL_ARRAY is array (natural range <>) of SMBUS_PROTOCOL;
 
diff --git a/libraries/io/i2c/src/vhdl/i2cslave.vhd b/libraries/io/i2c/src/vhdl/i2cslave.vhd
index 2de5cdb2c1..5a1d35ba14 100644
--- a/libraries/io/i2c/src/vhdl/i2cslave.vhd
+++ b/libraries/io/i2c/src/vhdl/i2cslave.vhd
@@ -25,8 +25,8 @@
 --written by A.W. Gunst
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
 
 entity i2cslave is
   generic (
@@ -37,7 +37,7 @@ entity i2cslave is
 
   port(
     clk         : in  std_logic;  -- system clock (clk freq >> SCL freq)
-    SDA	        : inout std_logic;  -- I2C Serial Data Line
+    SDA          : inout std_logic;  -- I2C Serial Data Line
     SCL         : in  std_logic;  -- I2C Serial Clock Line
     RST         : in  std_logic;  -- optional reset bit
     CTRL_REG    : out std_logic_vector(8 * g_nof_ctrl_bytes - 1 downto 0)  -- ctrl for RCU control
@@ -47,7 +47,7 @@ end i2cslave;
 
 architecture rtl of i2cslave is
 
-  function strong( sl : in std_logic ) return std_logic is
+  function strong ( sl : in std_logic ) return std_logic is
   begin
     if sl = 'H'THEN
       return '1';
@@ -64,10 +64,10 @@ architecture rtl of i2cslave is
   constant c_meta_len   : natural := 3;  -- use 3 FF to tackle meta stability between SCL and clk domain
   constant c_clk_cnt_w  : natural := 5;  -- use lower effective clk rate
   constant c_line_len   : natural := 7;  -- use FF line to filter SCL
-                                           -- The maximum bit rate is 100 kbps, so 10 us SCL period. The pullup rise time
-                                           -- of SCL and SDA is worst case (10k pullup) about 2 us, so a line_len of about
-                                           -- 1 us suffices. At 200 MHz the line covers is 2^5 * 7 * 5 ns = 1.12 us of SCL,
-                                           -- respectively 1.4 us at 160 MHz.
+  -- The maximum bit rate is 100 kbps, so 10 us SCL period. The pullup rise time
+  -- of SCL and SDA is worst case (10k pullup) about 2 us, so a line_len of about
+  -- 1 us suffices. At 200 MHz the line covers is 2^5 * 7 * 5 ns = 1.12 us of SCL,
+  -- respectively 1.4 us at 160 MHz.
   constant c_version    : std_logic_vector(3 downto 0) := "0001";
 
   signal clk_en         : std_logic := '0';
@@ -131,8 +131,8 @@ begin
     p_clk : process(rst, clk)
     begin
       if RST = '1' then
---         clk_cnt  <= (OTHERS => '0');
---         clk_en   <= '0';
+        --         clk_cnt  <= (OTHERS => '0');
+        --         clk_en   <= '0';
         -- SCL
         scl_meta <= (others => '1');
         scl_line <= (others => '1');
@@ -281,7 +281,7 @@ begin
           when read_addr =>
             if bitcnt < 7 then
               ctrladr <= ctrladr(5 downto 0) & sda_rx;  -- shift the data to the left
-                                                       --shift a new bit in (MSB first)
+              --shift a new bit in (MSB first)
               bitcnt <= bitcnt + 1;
             else
               rw <= sda_rx;  -- last bit indicates a read or a write
@@ -316,9 +316,9 @@ begin
               current_state <= write_data;
             end if;
           when nacknowledge => null;
-            --When the master addresses another slave, then the statemachine directly goes into reset state.
-            --The slave always answers with ACK on a master write data, so the nacknowledge state is never
-            --reached.
+          --When the master addresses another slave, then the statemachine directly goes into reset state.
+          --The slave always answers with ACK on a master write data, so the nacknowledge state is never
+          --reached.
           when wacknowledge =>
             if sda_rx = '0' then
               current_state <= write_data;  -- write went OK, continue writing bytes to the master
@@ -381,8 +381,8 @@ begin
             tri_en <= '1';  -- enable tri-state buffer to write SDA
             sda_int <= '0';  -- acknowledge data
           when nacknowledge => null;
-            --This state is never reached.
-            --To answer an NACK the tri_en can remain '0', because the default SDA is 'H' due to the pull up.
+          --This state is never reached.
+          --To answer an NACK the tri_en can remain '0', because the default SDA is 'H' due to the pull up.
           when wacknowledge => null;
           when wnacknowledge => null;
           when others =>
diff --git a/libraries/io/i2c/tb/vhdl/dev_ltc4260.vhd b/libraries/io/i2c/tb/vhdl/dev_ltc4260.vhd
index d661350c4a..f54a86479c 100644
--- a/libraries/io/i2c/tb/vhdl/dev_ltc4260.vhd
+++ b/libraries/io/i2c/tb/vhdl/dev_ltc4260.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.i2c_dev_ltc4260_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.i2c_dev_ltc4260_pkg.all;
 
 
 entity dev_ltc4260 is
@@ -73,19 +73,19 @@ begin
   dig_volt_adin     <= integer(ana_volt_adin / LTC4260_V_UNIT_ADIN);
 
   i2c_slv_device : entity work.i2c_slv_device
-  generic map (
-    g_address => g_address
-  )
-  port map (
-    scl     => scl,
-    sda     => sda,
-    en      => enable,
-    p       => stop,
-    wr_dat  => wr_dat,
-    wr_val  => wr_val,
-    rd_req  => rd_req,
-    rd_dat  => rd_dat
-  );
+    generic map (
+      g_address => g_address
+    )
+    port map (
+      scl     => scl,
+      sda     => sda,
+      en      => enable,
+      p       => stop,
+      wr_dat  => wr_dat,
+      wr_val  => wr_val,
+      rd_req  => rd_req,
+      rd_dat  => rd_dat
+    );
 
   -- Support PROTOCOL_WRITE_BYTE
   p_write : process (enable, wr_val)  -- first write byte is treated as command
diff --git a/libraries/io/i2c/tb/vhdl/dev_max1618.vhd b/libraries/io/i2c/tb/vhdl/dev_max1618.vhd
index 2b75d0527b..e88024a8eb 100644
--- a/libraries/io/i2c/tb/vhdl/dev_max1618.vhd
+++ b/libraries/io/i2c/tb/vhdl/dev_max1618.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.i2c_dev_max1617_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.i2c_dev_max1617_pkg.all;
 
 
 entity dev_max1618 is
@@ -57,19 +57,19 @@ architecture beh of dev_max1618 is
 begin
 
   i2c_slv_device : entity work.i2c_slv_device
-  generic map (
-    g_address => g_address
-  )
-  port map (
-    scl   	 => scl,
-    sda     => sda,
-    en      => enable,
-    p       => stop,
-    wr_dat  => wr_dat,
-    wr_val  => wr_val,
-    rd_req  => rd_req,
-    rd_dat  => rd_dat
-  );
+    generic map (
+      g_address => g_address
+    )
+    port map (
+      scl      => scl,
+      sda     => sda,
+      en      => enable,
+      p       => stop,
+      wr_dat  => wr_dat,
+      wr_val  => wr_val,
+      rd_req  => rd_req,
+      rd_dat  => rd_dat
+    );
 
   -- Model only config thermostat mode
   status_reg(MAX1617_STATUS_RHIGH_BI) <= '1' when temp >= unsigned(temp_hi_reg) else '0' when temp <= unsigned(temp_lo_reg);  -- ELSE latch
diff --git a/libraries/io/i2c/tb/vhdl/dev_max6652.vhd b/libraries/io/i2c/tb/vhdl/dev_max6652.vhd
index 859c95f5c9..1086c7fc1d 100644
--- a/libraries/io/i2c/tb/vhdl/dev_max6652.vhd
+++ b/libraries/io/i2c/tb/vhdl/dev_max6652.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity dev_max6652 is
@@ -63,19 +63,19 @@ architecture beh of dev_max6652 is
 begin
 
   i2c_slv_device : entity work.i2c_slv_device
-  generic map (
-    g_address => g_address
-  )
-  port map (
-    scl     => scl,
-    sda     => sda,
-    en      => enable,
-    p       => stop,
-    wr_dat  => wr_dat,
-    wr_val  => wr_val,
-    rd_req  => rd_req,
-    rd_dat  => rd_dat
-  );
+    generic map (
+      g_address => g_address
+    )
+    port map (
+      scl     => scl,
+      sda     => sda,
+      en      => enable,
+      p       => stop,
+      wr_dat  => wr_dat,
+      wr_val  => wr_val,
+      rd_req  => rd_req,
+      rd_dat  => rd_dat
+    );
 
   p_write : process (enable, wr_val)  -- first write byte is treated as command
   begin
diff --git a/libraries/io/i2c/tb/vhdl/dev_pca9555.vhd b/libraries/io/i2c/tb/vhdl/dev_pca9555.vhd
index f01d48ca01..b151fa964c 100644
--- a/libraries/io/i2c/tb/vhdl/dev_pca9555.vhd
+++ b/libraries/io/i2c/tb/vhdl/dev_pca9555.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity dev_pca9555 is
@@ -70,19 +70,19 @@ architecture beh of dev_pca9555 is
 begin
 
   i2c_slv_device : entity work.i2c_slv_device
-  generic map (
-    g_address => g_address
-  )
-  port map (
-    scl     => scl,
-    sda     => sda,
-    en      => enable,
-    p       => stop,
-    wr_dat  => wr_dat,
-    wr_val  => wr_val,
-    rd_req  => rd_req,
-    rd_dat  => rd_dat
-  );
+    generic map (
+      g_address => g_address
+    )
+    port map (
+      scl     => scl,
+      sda     => sda,
+      en      => enable,
+      p       => stop,
+      wr_dat  => wr_dat,
+      wr_val  => wr_val,
+      rd_req  => rd_req,
+      rd_dat  => rd_dat
+    );
 
   -- First write byte is treated as command
   -- After sending data to one register, the next data byte will be sent to the other register in the pair
diff --git a/libraries/io/i2c/tb/vhdl/dev_pmbus.vhd b/libraries/io/i2c/tb/vhdl/dev_pmbus.vhd
index 37c8bed787..d296836a56 100644
--- a/libraries/io/i2c/tb/vhdl/dev_pmbus.vhd
+++ b/libraries/io/i2c/tb/vhdl/dev_pmbus.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use work.i2c_dev_unb2_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use work.i2c_dev_unb2_pkg.all;
 
 
 entity dev_pmbus is
@@ -62,19 +62,19 @@ architecture beh of dev_pmbus is
 begin
 
   i2c_slv_device : entity work.i2c_slv_device
-  generic map (
-    g_address => g_address
-  )
-  port map (
-    scl   	 => scl,
-    sda     => sda,
-    en      => enable,
-    p       => stop,
-    wr_dat  => wr_dat,
-    wr_val  => wr_val,
-    rd_req  => rd_req,
-    rd_dat  => rd_dat
-  );
+    generic map (
+      g_address => g_address
+    )
+    port map (
+      scl      => scl,
+      sda     => sda,
+      en      => enable,
+      p       => stop,
+      wr_dat  => wr_dat,
+      wr_val  => wr_val,
+      rd_req  => rd_req,
+      rd_dat  => rd_dat
+    );
 
 
   p_write : process (enable, wr_val)  -- first write byte is treated as command
diff --git a/libraries/io/i2c/tb/vhdl/i2c_slv_device.vhd b/libraries/io/i2c/tb/vhdl/i2c_slv_device.vhd
index 3074b1afc0..30c661fa0f 100644
--- a/libraries/io/i2c/tb/vhdl/i2c_slv_device.vhd
+++ b/libraries/io/i2c/tb/vhdl/i2c_slv_device.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.std_logic_arith.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_arith.all;
 
 entity i2c_slv_device is
   generic (
@@ -44,7 +44,7 @@ architecture beh of i2c_slv_device is
 
   -- The code reuses the RTL code of i2cslave(rtl).vhd written by A.W. Gunst.
 
-  function strong( sl : in std_logic ) return std_logic is
+  function strong ( sl : in std_logic ) return std_logic is
   begin
     if sl = 'H'THEN
       return '1';
@@ -151,8 +151,8 @@ begin
   wr_val <= latch_ctrl_dly      when dev_state = ST_CMD_OR_DATA                           else '0';
   rd_req <= rd_first or rd_next when dev_state = ST_CMD_OR_DATA or dev_state = ST_READ_DATA else '0';
   p      <= stop;  -- output p is can be used to distinghuis beteen direct write data or cmd write data.
-                   --  if at p n bytes were written, then it was a direct write,
-                   --  else if at p 1+n bytes were written then it the first byte was the cmd.
+  --  if at p n bytes were written, then it was a direct write,
+  --  else if at p 1+n bytes were written then it the first byte was the cmd.
 
   -- Mostly RTL code:
 
@@ -255,7 +255,7 @@ begin
           when read_addr =>
             if bitcnt < 7 then
               ctrladr <= ctrladr(5 downto 0) & strong(SDA);  -- shift the data to the left
-                                                            --shift a new bit in (MSB first)
+              --shift a new bit in (MSB first)
               bitcnt <= bitcnt + 1;
             else
               rw <= strong(SDA);  -- last bit indicates a read or a write
@@ -291,9 +291,9 @@ begin
               current_state <= write_data;
             end if;
           when nacknowledge => null;
-            --When the master addresses another slave, then the statemachine directly goes into reset state.
-            --The slave always answers with ACK on a master write data, so the nacknowledge state is never
-            --reached.
+          --When the master addresses another slave, then the statemachine directly goes into reset state.
+          --The slave always answers with ACK on a master write data, so the nacknowledge state is never
+          --reached.
           when wacknowledge =>
             if strong(SDA) = '0' then
               current_state <= write_data;  -- write went OK, continue writing bytes to the master
@@ -358,8 +358,8 @@ begin
             tri_en <= '1';  -- enable tri-state buffer to write SDA
             sda_int <= '0';  -- acknowledge data
           when nacknowledge => null;
-            --This state is never reached.
-            --To answer an NACK the tri_en can remain '0', because the default SDA is 'H' due to the pull up.
+          --This state is never reached.
+          --To answer an NACK the tri_en can remain '0', because the default SDA is 'H' due to the pull up.
           when wacknowledge => null;
           when wnacknowledge => null;
           when others =>
diff --git a/libraries/io/i2c/tb/vhdl/tb_avs_i2c_master.vhd b/libraries/io/i2c/tb/vhdl/tb_avs_i2c_master.vhd
index 1914929109..903edcd364 100644
--- a/libraries/io/i2c/tb/vhdl/tb_avs_i2c_master.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_avs_i2c_master.vhd
@@ -20,47 +20,47 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity tb_avs_i2c_master is
 end tb_avs_i2c_master;
 
 architecture tb of tb_avs_i2c_master is
 
-	component avs_i2c_master is
-		generic (
-			g_control_adr_w  : natural := 1;
-			g_protocol_adr_w : natural := 10;
-			g_result_adr_w   : natural := 10;
-			g_clk_cnt        : natural := 399;
-			g_comma_w        : natural := 0
-		);
-		port (
-			coe_gs_sim_export      : in    std_logic                     := 'X';  -- gs_sim.export
-			coe_sync_export        : in    std_logic                     := 'X';  -- sync.export
-			coe_i2c_scl_export     : inout std_logic                     := 'X';  -- i2c_scl.export
-			coe_i2c_sda_export     : inout std_logic                     := 'X';  -- i2c_sda.export
-			csi_system_reset       : in    std_logic                     := 'X';  -- system.reset
-			csi_system_clk         : in    std_logic                     := 'X';  -- .clk
-			avs_control_address    : in    std_logic                     := 'X';  -- control.address
-			avs_control_write      : in    std_logic                     := 'X';  -- .write
-			avs_control_read       : in    std_logic                     := 'X';  -- .read
-			avs_control_writedata  : in    std_logic_vector(31 downto 0) := (others => 'X');  -- .writedata
-			avs_control_readdata   : out   std_logic_vector(31 downto 0);  -- .readdata
-			avs_protocol_address   : in    std_logic_vector(9 downto 0)  := (others => 'X');  -- protocol.address
-			avs_protocol_write     : in    std_logic                     := 'X';  -- .write
-			avs_protocol_read      : in    std_logic                     := 'X';  -- .read
-			avs_protocol_writedata : in    std_logic_vector(7 downto 0)  := (others => 'X');  -- .writedata
-			avs_protocol_readdata  : out   std_logic_vector(7 downto 0);  -- .readdata
-			avs_result_address     : in    std_logic_vector(9 downto 0)  := (others => 'X');  -- result.address
-			avs_result_write       : in    std_logic                     := 'X';  -- .write
-			avs_result_read        : in    std_logic                     := 'X';  -- .read
-			avs_result_writedata   : in    std_logic_vector(7 downto 0)  := (others => 'X');  -- .writedata
-			avs_result_readdata    : out   std_logic_vector(7 downto 0);  -- .readdata
-			ins_interrupt_irq      : out   std_logic  -- interrupt.irq
-		);
-	end component avs_i2c_master;
+  component avs_i2c_master is
+    generic (
+      g_control_adr_w  : natural := 1;
+      g_protocol_adr_w : natural := 10;
+      g_result_adr_w   : natural := 10;
+      g_clk_cnt        : natural := 399;
+      g_comma_w        : natural := 0
+    );
+    port (
+      coe_gs_sim_export      : in    std_logic                     := 'X';  -- gs_sim.export
+      coe_sync_export        : in    std_logic                     := 'X';  -- sync.export
+      coe_i2c_scl_export     : inout std_logic                     := 'X';  -- i2c_scl.export
+      coe_i2c_sda_export     : inout std_logic                     := 'X';  -- i2c_sda.export
+      csi_system_reset       : in    std_logic                     := 'X';  -- system.reset
+      csi_system_clk         : in    std_logic                     := 'X';  -- .clk
+      avs_control_address    : in    std_logic                     := 'X';  -- control.address
+      avs_control_write      : in    std_logic                     := 'X';  -- .write
+      avs_control_read       : in    std_logic                     := 'X';  -- .read
+      avs_control_writedata  : in    std_logic_vector(31 downto 0) := (others => 'X');  -- .writedata
+      avs_control_readdata   : out   std_logic_vector(31 downto 0);  -- .readdata
+      avs_protocol_address   : in    std_logic_vector(9 downto 0)  := (others => 'X');  -- protocol.address
+      avs_protocol_write     : in    std_logic                     := 'X';  -- .write
+      avs_protocol_read      : in    std_logic                     := 'X';  -- .read
+      avs_protocol_writedata : in    std_logic_vector(7 downto 0)  := (others => 'X');  -- .writedata
+      avs_protocol_readdata  : out   std_logic_vector(7 downto 0);  -- .readdata
+      avs_result_address     : in    std_logic_vector(9 downto 0)  := (others => 'X');  -- result.address
+      avs_result_write       : in    std_logic                     := 'X';  -- .write
+      avs_result_read        : in    std_logic                     := 'X';  -- .read
+      avs_result_writedata   : in    std_logic_vector(7 downto 0)  := (others => 'X');  -- .writedata
+      avs_result_readdata    : out   std_logic_vector(7 downto 0);  -- .readdata
+      ins_interrupt_irq      : out   std_logic  -- interrupt.irq
+    );
+  end component avs_i2c_master;
 
   constant c_clk_period : time := 5 ns;
 
@@ -77,62 +77,62 @@ begin
 
   --u_avs_i2c_m : ENTITY work.avs_i2c_master
   u_avs_i2c_m : component avs_i2c_master
-  generic map (
-    -- g_i2c_mm
-    g_control_adr_w    => 1,
-    g_protocol_adr_w   => 10,
-    g_result_adr_w     => 10,
-    -- g_i2c_phy
-    g_clk_cnt          => 399,
-    g_comma_w          => 0
-  )
-  port map (
-    ---------------------------------------------------------------------------
-    -- Avalon Conduit interfaces: coe_*_export
-    ---------------------------------------------------------------------------
-    -- GENERIC Signal
-    coe_gs_sim_export        => '1',
-
-    -- System
-    coe_sync_export          => '1',
-
-    -- I2C interface
-    coe_i2c_scl_export       => i2c_scl,
-    coe_i2c_sda_export       => i2c_sda,
-
-    ---------------------------------------------------------------------------
-    -- Avalon Clock Input interface: csi_*
-    ---------------------------------------------------------------------------
-    csi_system_reset         => reset,
-    csi_system_clk           => clk,
-
-    ---------------------------------------------------------------------------
-    -- Avalon Memory Mapped Slave interfaces: avs_*
-    ---------------------------------------------------------------------------
-    -- MM slave I2C control register
-    avs_control_address      => c_sl0,
-    avs_control_write        => c_sl0,
-    avs_control_read         => c_sl0,
-    avs_control_writedata    => c_slv0(31 downto 0),
-    avs_control_readdata     => OPEN,
-    -- MM slave I2C protocol register
-    avs_protocol_address     => c_slv0(9 downto 0),
-    avs_protocol_write       => c_sl0,
-    avs_protocol_read        => c_sl0,
-    avs_protocol_writedata   => c_slv0(7 downto 0),
-    avs_protocol_readdata    => OPEN,
-    -- MM slave I2C result register
-    avs_result_address       => c_slv0(9 downto 0),
-    avs_result_write         => c_sl0,
-    avs_result_read          => c_sl0,
-    avs_result_writedata     => c_slv0(7 downto 0),
-    avs_result_readdata      => OPEN,
-
-    ---------------------------------------------------------------------------
-    -- Avalon Interrupt Sender interface: ins_*
-    ---------------------------------------------------------------------------
-    ins_interrupt_irq        => open
-  );
+    generic map (
+      -- g_i2c_mm
+      g_control_adr_w    => 1,
+      g_protocol_adr_w   => 10,
+      g_result_adr_w     => 10,
+      -- g_i2c_phy
+      g_clk_cnt          => 399,
+      g_comma_w          => 0
+    )
+    port map (
+      ---------------------------------------------------------------------------
+      -- Avalon Conduit interfaces: coe_*_export
+      ---------------------------------------------------------------------------
+      -- GENERIC Signal
+      coe_gs_sim_export        => '1',
+
+      -- System
+      coe_sync_export          => '1',
+
+      -- I2C interface
+      coe_i2c_scl_export       => i2c_scl,
+      coe_i2c_sda_export       => i2c_sda,
+
+      ---------------------------------------------------------------------------
+      -- Avalon Clock Input interface: csi_*
+      ---------------------------------------------------------------------------
+      csi_system_reset         => reset,
+      csi_system_clk           => clk,
+
+      ---------------------------------------------------------------------------
+      -- Avalon Memory Mapped Slave interfaces: avs_*
+      ---------------------------------------------------------------------------
+      -- MM slave I2C control register
+      avs_control_address      => c_sl0,
+      avs_control_write        => c_sl0,
+      avs_control_read         => c_sl0,
+      avs_control_writedata    => c_slv0(31 downto 0),
+      avs_control_readdata     => OPEN,
+      -- MM slave I2C protocol register
+      avs_protocol_address     => c_slv0(9 downto 0),
+      avs_protocol_write       => c_sl0,
+      avs_protocol_read        => c_sl0,
+      avs_protocol_writedata   => c_slv0(7 downto 0),
+      avs_protocol_readdata    => OPEN,
+      -- MM slave I2C result register
+      avs_result_address       => c_slv0(9 downto 0),
+      avs_result_write         => c_sl0,
+      avs_result_read          => c_sl0,
+      avs_result_writedata     => c_slv0(7 downto 0),
+      avs_result_readdata      => OPEN,
+
+      ---------------------------------------------------------------------------
+      -- Avalon Interrupt Sender interface: ins_*
+      ---------------------------------------------------------------------------
+      ins_interrupt_irq        => open
+    );
 
 end;
 
diff --git a/libraries/io/i2c/tb/vhdl/tb_i2c_commander.vhd b/libraries/io/i2c/tb/vhdl/tb_i2c_commander.vhd
index 3e2ecea622..b727395c57 100644
--- a/libraries/io/i2c/tb/vhdl/tb_i2c_commander.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_i2c_commander.vhd
@@ -57,24 +57,24 @@
 entity tb_i2c_commander is
   generic (
     g_board      : string := "adu"  -- else default to "unb"
-    --g_board      : STRING := "unb"
+  --g_board      : STRING := "unb"
   );
 end tb_i2c_commander;
 
 library IEEE, common_lib, tst_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_dev_adu_pkg.all;
-use work.i2c_dev_unb_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_commander_aduh_pkg.all;
-use work.i2c_commander_unbh_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_dev_adu_pkg.all;
+  use work.i2c_dev_unb_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_commander_aduh_pkg.all;
+  use work.i2c_commander_unbh_pkg.all;
 
 
 architecture tb of tb_i2c_commander is
@@ -111,10 +111,26 @@ architecture tb of tb_i2c_commander is
   constant c_io_expander_address  : std_logic_vector := TO_UVEC(ADR_PCA9555, 7);  -- ADR_PCA9555 address
 
   -- Select the expected read data arrays for the result data (the read data values are tb dependent, so therefore they are not obtained from a package)
-  constant c_max1618_expected_data_read_temp_arr   : t_i2c_cmdr_natural_arr := (c_max1618_temp,        c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x,
-                                                                                c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x);
-  constant c_max6652_expected_data_read_config_arr : t_i2c_cmdr_natural_arr := (c_max6652_volt_1v2,    c_max6652_volt_2v5,    c_max6652_volt_3v3,    c_max6652_temp,
-                                                                                c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x, c_i2c_cmdr_expected_x);
+  constant c_max1618_expected_data_read_temp_arr   : t_i2c_cmdr_natural_arr := (
+    c_max1618_temp,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x
+  );
+  constant c_max6652_expected_data_read_config_arr : t_i2c_cmdr_natural_arr := (
+    c_max6652_volt_1v2,
+    c_max6652_volt_2v5,
+    c_max6652_volt_3v3,
+    c_max6652_temp,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x,
+    c_i2c_cmdr_expected_x
+  );
 
   constant c_expected_data_0_arr  : t_i2c_cmdr_natural_arr := func_i2c_cmdr_sel_a_b(g_board = "adu", c_max1618_expected_data_read_temp_arr, c_max1618_expected_data_read_temp_arr);
   constant c_expected_data_1_arr  : t_i2c_cmdr_natural_arr := func_i2c_cmdr_sel_a_b(g_board = "adu", c_i2c_cmdr_expected_data_none_arr,     c_max6652_expected_data_read_config_arr);
@@ -134,21 +150,21 @@ architecture tb of tb_i2c_commander is
   constant c_expected_data_15_arr : t_i2c_cmdr_natural_arr := func_i2c_cmdr_sel_a_b(g_board = "adu", c_i2c_cmdr_expected_data_none_arr,     c_i2c_cmdr_expected_data_none_arr);
 
   constant c_expected_data_mat : t_i2c_cmdr_natural_mat(0 to c_i2c_cmdr_max_nof_protocols - 1) := (c_expected_data_0_arr,
-                                                                                                 c_expected_data_1_arr,
-                                                                                                 c_expected_data_2_arr,
-                                                                                                 c_expected_data_3_arr,
-                                                                                                 c_expected_data_4_arr,
-                                                                                                 c_expected_data_5_arr,
-                                                                                                 c_expected_data_6_arr,
-                                                                                                 c_expected_data_7_arr,
-                                                                                                 c_expected_data_8_arr,
-                                                                                                 c_expected_data_9_arr,
-                                                                                                 c_expected_data_10_arr,
-                                                                                                 c_expected_data_11_arr,
-                                                                                                 c_expected_data_12_arr,
-                                                                                                 c_expected_data_13_arr,
-                                                                                                 c_expected_data_14_arr,
-                                                                                                 c_expected_data_15_arr);
+    c_expected_data_1_arr,
+    c_expected_data_2_arr,
+    c_expected_data_3_arr,
+    c_expected_data_4_arr,
+    c_expected_data_5_arr,
+    c_expected_data_6_arr,
+    c_expected_data_7_arr,
+    c_expected_data_8_arr,
+    c_expected_data_9_arr,
+    c_expected_data_10_arr,
+    c_expected_data_11_arr,
+    c_expected_data_12_arr,
+    c_expected_data_13_arr,
+    c_expected_data_14_arr,
+    c_expected_data_15_arr);
 
   -- RAM sizes
   constant c_mem_i2c              : t_c_i2c_mm := func_i2c_sel_a_b(g_board = "adu", c_i2c_cmdr_aduh_i2c_mm, c_i2c_cmdr_unbh_i2c_mm);
@@ -234,18 +250,18 @@ begin
   end process;
 
   u_protocol_ram_init_file : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => "data/" & g_board & "_protocol_ram_init.txt",
-    g_nof_data    => 1,
-    g_data_width  => c_byte_w,
-    g_data_type   => "UNSIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => file_mosi.wrdata(c_byte_w - 1 downto 0),
-    in_val   => file_mosi.wr
-  );
+    generic map (
+      g_file_name   => "data/" & g_board & "_protocol_ram_init.txt",
+      g_nof_data    => 1,
+      g_data_width  => c_byte_w,
+      g_data_type   => "UNSIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => file_mosi.wrdata(c_byte_w - 1 downto 0),
+      in_val   => file_mosi.wr
+    );
 
   p_mm_stimuli : process
   begin
@@ -368,75 +384,75 @@ begin
 
   -- I2C commander
   u_commander : entity work.i2c_commander
-  generic map (
-    g_sim                    => c_sim,
-    g_i2c_cmdr               => c_protocol_commander,
-    g_i2c_mm                 => c_mem_i2c,
-    g_i2c_phy                => c_phy_i2c,
-    g_protocol_ram_init_file => c_protocol_ram_init_file,
-    g_use_result_ram         => c_use_result_ram
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    sync              => sync,
-
-    ---------------------------------------------------------------------------
-    -- Memory Mapped slave interfaces
-    ---------------------------------------------------------------------------
-    commander_mosi    => commander_mosi,
-    commander_miso    => commander_miso,
-
-    -- If the default protocol list in u_protocol_ram is fine, then the protocol slave port can be left no connected
-    protocol_mosi     => protocol_mosi,
-    protocol_miso     => protocol_miso,
-
-    -- Typically the commander status registers are sufficient, so then the results slave port can be left no connected
-    result_mosi       => result_mosi,
-    result_miso       => result_miso,
-
-    ---------------------------------------------------------------------------
-    -- I2C interface
-    ---------------------------------------------------------------------------
-    scl               => scl,
-    sda               => sda
-  );
+    generic map (
+      g_sim                    => c_sim,
+      g_i2c_cmdr               => c_protocol_commander,
+      g_i2c_mm                 => c_mem_i2c,
+      g_i2c_phy                => c_phy_i2c,
+      g_protocol_ram_init_file => c_protocol_ram_init_file,
+      g_use_result_ram         => c_use_result_ram
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      sync              => sync,
+
+      ---------------------------------------------------------------------------
+      -- Memory Mapped slave interfaces
+      ---------------------------------------------------------------------------
+      commander_mosi    => commander_mosi,
+      commander_miso    => commander_miso,
+
+      -- If the default protocol list in u_protocol_ram is fine, then the protocol slave port can be left no connected
+      protocol_mosi     => protocol_mosi,
+      protocol_miso     => protocol_miso,
+
+      -- Typically the commander status registers are sufficient, so then the results slave port can be left no connected
+      result_mosi       => result_mosi,
+      result_miso       => result_miso,
+
+      ---------------------------------------------------------------------------
+      -- I2C interface
+      ---------------------------------------------------------------------------
+      scl               => scl,
+      sda               => sda
+    );
 
   -- I2C slaves
   u_sens_volt : entity work.dev_max6652  -- only on "unb"
-  generic map (
-    g_address => c_sens_volt_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    volt_2v5  => c_max6652_volt_1v2,
-    volt_3v3  => c_max6652_volt_2v5,
-    volt_12v  => c_max6652_volt_nc,
-    volt_vcc  => c_max6652_volt_3v3,
-    temp      => c_max6652_temp
-  );
+    generic map (
+      g_address => c_sens_volt_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      volt_2v5  => c_max6652_volt_1v2,
+      volt_3v3  => c_max6652_volt_2v5,
+      volt_12v  => c_max6652_volt_nc,
+      volt_vcc  => c_max6652_volt_3v3,
+      temp      => c_max6652_temp
+    );
 
   u_sens_temp : entity work.dev_max1618  -- both on "unb" and on "adu"
-  generic map (
-    g_address => c_sens_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_max1618_temp
-  );
+    generic map (
+      g_address => c_sens_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_max1618_temp
+    );
 
   u_io_expander : entity work.dev_pca9555  -- only on "adu"
-  generic map (
-    g_address => c_io_expander_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    iobank0   => iobank0,
-    iobank1   => iobank1
-  );
+    generic map (
+      g_address => c_io_expander_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      iobank0   => iobank0,
+      iobank1   => iobank1
+    );
 
   -- ADU interpretation of the IO expander outputs
   adu_sclk            <= iobank0(4);
diff --git a/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_pmbus.vhd b/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_pmbus.vhd
index 902e7da21a..7e15ed2a26 100644
--- a/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_pmbus.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_pmbus.vhd
@@ -64,18 +64,18 @@ entity tb_i2c_commander_unb2_pmbus is
 end tb_i2c_commander_unb2_pmbus;
 
 library IEEE, common_lib, tst_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_dev_unb2_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_commander_unb2_sens_pkg.all;
-use work.i2c_commander_unb2_pmbus_pkg.all;  -- in case we can add the PMBUS later
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_dev_unb2_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_commander_unb2_sens_pkg.all;
+  use work.i2c_commander_unb2_pmbus_pkg.all;  -- in case we can add the PMBUS later
 
 
 architecture tb of tb_i2c_commander_unb2_pmbus is
@@ -111,9 +111,9 @@ architecture tb of tb_i2c_commander_unb2_pmbus is
   constant c_default_expected_data_arr             : t_i2c_unb2_natural_arr := (  others => 254);
 
   constant c_expected_data_mat : t_i2c_unb2_natural_mat(0 to c_i2c_unb2_nof_protocol_lists - 1) := (c_default_expected_data_arr,
-                                                                                                  c_default_expected_data_arr,
-                                                                                                  c_default_expected_data_arr,
-                                                                                                  c_default_expected_data_arr);
+    c_default_expected_data_arr,
+    c_default_expected_data_arr,
+    c_default_expected_data_arr);
 
   -- RAM sizes
   constant c_mem_i2c              : t_c_i2c_mm := c_i2c_cmdr_unb2_pmbus_i2c_mm;
@@ -179,18 +179,18 @@ begin
   end process;
 
   u_protocol_ram_init_file : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => "data/" & g_board & "_protocol_ram_init.txt",
-    g_nof_data    => 1,
-    g_data_width  => c_byte_w,
-    g_data_type   => "UNSIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => file_mosi.wrdata(c_byte_w - 1 downto 0),
-    in_val   => file_mosi.wr
-  );
+    generic map (
+      g_file_name   => "data/" & g_board & "_protocol_ram_init.txt",
+      g_nof_data    => 1,
+      g_data_width  => c_byte_w,
+      g_data_type   => "UNSIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => file_mosi.wrdata(c_byte_w - 1 downto 0),
+      in_val   => file_mosi.wr
+    );
 
   p_mm_stimuli : process
   begin
@@ -313,124 +313,124 @@ begin
 
   -- I2C commander
   u_commander : entity work.i2c_commander
-  generic map (
-    g_sim                    => c_sim,
-    g_i2c_cmdr               => c_protocol_commander,
-    g_i2c_mm                 => c_mem_i2c,
-    g_i2c_phy                => c_phy_i2c,
-    g_protocol_ram_init_file => c_protocol_ram_init_file,
-    g_use_result_ram         => c_use_result_ram
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    sync              => sync,
-
-    ---------------------------------------------------------------------------
-    -- Memory Mapped slave interfaces
-    ---------------------------------------------------------------------------
-    commander_mosi    => commander_mosi,
-    commander_miso    => commander_miso,
-
-    -- If the default protocol list in u_protocol_ram is fine, then the protocol slave port can be left no connected
-    protocol_mosi     => protocol_mosi,
-    protocol_miso     => protocol_miso,
-
-    -- Typically the commander status registers are sufficient, so then the results slave port can be left no connected
-    result_mosi       => result_mosi,
-    result_miso       => result_miso,
-
-    ---------------------------------------------------------------------------
-    -- I2C interface
-    ---------------------------------------------------------------------------
-    scl               => scl,
-    sda               => sda
-  );
+    generic map (
+      g_sim                    => c_sim,
+      g_i2c_cmdr               => c_protocol_commander,
+      g_i2c_mm                 => c_mem_i2c,
+      g_i2c_phy                => c_phy_i2c,
+      g_protocol_ram_init_file => c_protocol_ram_init_file,
+      g_use_result_ram         => c_use_result_ram
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      sync              => sync,
+
+      ---------------------------------------------------------------------------
+      -- Memory Mapped slave interfaces
+      ---------------------------------------------------------------------------
+      commander_mosi    => commander_mosi,
+      commander_miso    => commander_miso,
+
+      -- If the default protocol list in u_protocol_ram is fine, then the protocol slave port can be left no connected
+      protocol_mosi     => protocol_mosi,
+      protocol_miso     => protocol_miso,
+
+      -- Typically the commander status registers are sufficient, so then the results slave port can be left no connected
+      result_mosi       => result_mosi,
+      result_miso       => result_miso,
+
+      ---------------------------------------------------------------------------
+      -- I2C interface
+      ---------------------------------------------------------------------------
+      scl               => scl,
+      sda               => sda
+    );
 
   -- I2C slaves
   u_pmbus_core : entity work.dev_pmbus
-  generic map (
-    g_address => c_pmbus_core_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 9,
-    iout      => 10,
-    vcap      => 0,
-    temp      => 34
-  );
+    generic map (
+      g_address => c_pmbus_core_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 9,
+      iout      => 10,
+      vcap      => 0,
+      temp      => 34
+    );
 
   u_pmbus_vccram : entity work.dev_pmbus
-  generic map (
-    g_address => c_pmbus_vccram_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 91,
-    vout      => 12,
-    iout      => 11,
-    vcap      => 75,
-    temp      => 35
-  );
+    generic map (
+      g_address => c_pmbus_vccram_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 91,
+      vout      => 12,
+      iout      => 11,
+      vcap      => 75,
+      temp      => 35
+    );
 
   u_pmbus_tcvr0 : entity work.dev_pmbus
-  generic map (
-    g_address => c_pmbus_tcvr0_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 92,
-    vout      => 18,
-    iout      => 12,
-    vcap      => 0,
-    temp      => 36
-  );
+    generic map (
+      g_address => c_pmbus_tcvr0_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 92,
+      vout      => 18,
+      iout      => 12,
+      vcap      => 0,
+      temp      => 36
+    );
 
   u_pmbus_tcvr1 : entity work.dev_pmbus
-  generic map (
-    g_address => c_pmbus_tcvr1_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 93,
-    vout      => 18,
-    iout      => 13,
-    vcap      => 0,
-    temp      => 37
-  );
+    generic map (
+      g_address => c_pmbus_tcvr1_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 93,
+      vout      => 18,
+      iout      => 13,
+      vcap      => 0,
+      temp      => 37
+    );
 
   u_pmbus_ctrl : entity work.dev_pmbus
-  generic map (
-    g_address => c_pmbus_ctrl_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 94,
-    vout      => 33,
-    iout      => 14,
-    vcap      => 0,
-    temp      => 38
-  );
+    generic map (
+      g_address => c_pmbus_ctrl_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 94,
+      vout      => 33,
+      iout      => 14,
+      vcap      => 0,
+      temp      => 38
+    );
 
   u_pmbus_fpgaio : entity work.dev_pmbus
-  generic map (
-    g_address => c_pmbus_fpgaio_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 33,
-    iout      => 15,
-    vcap      => 0,
-    temp      => 39
-  );
+    generic map (
+      g_address => c_pmbus_fpgaio_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 33,
+      iout      => 15,
+      vcap      => 0,
+      temp      => 39
+    );
 
 
 end tb;
diff --git a/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_sens.vhd b/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_sens.vhd
index 40af119f36..d5f4ee11d5 100644
--- a/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_sens.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_i2c_commander_unb2_sens.vhd
@@ -64,18 +64,18 @@ entity tb_i2c_commander_unb2_sens is
 end tb_i2c_commander_unb2_sens;
 
 library IEEE, common_lib, tst_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_dev_unb2_pkg.all;
-use work.i2c_pkg.all;
-use work.i2c_commander_pkg.all;
-use work.i2c_commander_unb2_sens_pkg.all;
-use work.i2c_commander_unb2_pmbus_pkg.all;  -- in case we can add the PMBUS later
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_dev_unb2_pkg.all;
+  use work.i2c_pkg.all;
+  use work.i2c_commander_pkg.all;
+  use work.i2c_commander_unb2_sens_pkg.all;
+  use work.i2c_commander_unb2_pmbus_pkg.all;  -- in case we can add the PMBUS later
 
 
 architecture tb of tb_i2c_commander_unb2_sens is
@@ -116,9 +116,9 @@ architecture tb of tb_i2c_commander_unb2_sens is
   constant c_default_expected_data_arr             : t_i2c_unb2_natural_arr := (  others => 254);
 
   constant c_expected_data_mat : t_i2c_unb2_natural_mat(0 to c_i2c_unb2_nof_protocol_lists - 1) := (c_max1618_expected_data_read_temp_arr,
-                                                                                                  c_default_expected_data_arr,
-                                                                                                  c_default_expected_data_arr,
-                                                                                                  c_default_expected_data_arr);
+    c_default_expected_data_arr,
+    c_default_expected_data_arr,
+    c_default_expected_data_arr);
 
   -- RAM sizes
   constant c_mem_i2c              : t_c_i2c_mm := c_i2c_cmdr_unb2_sens_i2c_mm;
@@ -184,18 +184,18 @@ begin
   end process;
 
   u_protocol_ram_init_file : entity tst_lib.tst_output
-  generic map (
-    g_file_name   => "data/" & g_board & "_protocol_ram_init.txt",
-    g_nof_data    => 1,
-    g_data_width  => c_byte_w,
-    g_data_type   => "UNSIGNED"
-  )
-  port map (
-    clk      => clk,
-    rst      => rst,
-    in_dat   => file_mosi.wrdata(c_byte_w - 1 downto 0),
-    in_val   => file_mosi.wr
-  );
+    generic map (
+      g_file_name   => "data/" & g_board & "_protocol_ram_init.txt",
+      g_nof_data    => 1,
+      g_data_width  => c_byte_w,
+      g_data_type   => "UNSIGNED"
+    )
+    port map (
+      clk      => clk,
+      rst      => rst,
+      in_dat   => file_mosi.wrdata(c_byte_w - 1 downto 0),
+      in_val   => file_mosi.wr
+    );
 
   p_mm_stimuli : process
   begin
@@ -318,149 +318,149 @@ begin
 
   -- I2C commander
   u_commander : entity work.i2c_commander
-  generic map (
-    g_sim                    => c_sim,
-    g_i2c_cmdr               => c_protocol_commander,
-    g_i2c_mm                 => c_mem_i2c,
-    g_i2c_phy                => c_phy_i2c,
-    g_protocol_ram_init_file => c_protocol_ram_init_file,
-    g_use_result_ram         => c_use_result_ram
-  )
-  port map (
-    rst               => rst,
-    clk               => clk,
-    sync              => sync,
-
-    ---------------------------------------------------------------------------
-    -- Memory Mapped slave interfaces
-    ---------------------------------------------------------------------------
-    commander_mosi    => commander_mosi,
-    commander_miso    => commander_miso,
-
-    -- If the default protocol list in u_protocol_ram is fine, then the protocol slave port can be left no connected
-    protocol_mosi     => protocol_mosi,
-    protocol_miso     => protocol_miso,
-
-    -- Typically the commander status registers are sufficient, so then the results slave port can be left no connected
-    result_mosi       => result_mosi,
-    result_miso       => result_miso,
-
-    ---------------------------------------------------------------------------
-    -- I2C interface
-    ---------------------------------------------------------------------------
-    scl               => scl,
-    sda               => sda
-  );
+    generic map (
+      g_sim                    => c_sim,
+      g_i2c_cmdr               => c_protocol_commander,
+      g_i2c_mm                 => c_mem_i2c,
+      g_i2c_phy                => c_phy_i2c,
+      g_protocol_ram_init_file => c_protocol_ram_init_file,
+      g_use_result_ram         => c_use_result_ram
+    )
+    port map (
+      rst               => rst,
+      clk               => clk,
+      sync              => sync,
+
+      ---------------------------------------------------------------------------
+      -- Memory Mapped slave interfaces
+      ---------------------------------------------------------------------------
+      commander_mosi    => commander_mosi,
+      commander_miso    => commander_miso,
+
+      -- If the default protocol list in u_protocol_ram is fine, then the protocol slave port can be left no connected
+      protocol_mosi     => protocol_mosi,
+      protocol_miso     => protocol_miso,
+
+      -- Typically the commander status registers are sufficient, so then the results slave port can be left no connected
+      result_mosi       => result_mosi,
+      result_miso       => result_miso,
+
+      ---------------------------------------------------------------------------
+      -- I2C interface
+      ---------------------------------------------------------------------------
+      scl               => scl,
+      sda               => sda
+    );
 
   -- I2C slaves
   u_sens_dcdc : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_dcdc_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 48,
-    vout      => 9,
-    iout      => 5,
-    vcap      => 0,
-    temp      => 34
-  );
+    generic map (
+      g_address => c_sens_dcdc_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 48,
+      vout      => 9,
+      iout      => 5,
+      vcap      => 0,
+      temp      => 34
+    );
 
   u_sens_pim : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_pim_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 48,
-    vout      => 0,
-    iout      => 12,
-    vcap      => 75,
-    temp      => 35
-  );
+    generic map (
+      g_address => c_sens_pim_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 48,
+      vout      => 0,
+      iout      => 12,
+      vcap      => 75,
+      temp      => 35
+    );
 
   u_sens_1v2 : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_1v2_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 12,
-    iout      => 20,
-    vcap      => 0,
-    temp      => 36
-  );
+    generic map (
+      g_address => c_sens_1v2_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 12,
+      iout      => 20,
+      vcap      => 0,
+      temp      => 36
+    );
 
   u_sens_3v3 : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_3v3_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 33,
-    iout      => 20,
-    vcap      => 0,
-    temp      => 37
-  );
+    generic map (
+      g_address => c_sens_3v3_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 33,
+      iout      => 20,
+      vcap      => 0,
+      temp      => 37
+    );
 
   u_sens_clk : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_clk_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 25,
-    iout      => 20,
-    vcap      => 0,
-    temp      => 38
-  );
+    generic map (
+      g_address => c_sens_clk_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 25,
+      iout      => 20,
+      vcap      => 0,
+      temp      => 38
+    );
 
   u_sens_qsfp0 : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_qsfp0_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 32,
-    iout      => 11,
-    vcap      => 0,
-    temp      => 39
-  );
+    generic map (
+      g_address => c_sens_qsfp0_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 32,
+      iout      => 11,
+      vcap      => 0,
+      temp      => 39
+    );
 
   u_sens_qsfp1 : entity work.dev_pmbus
-  generic map (
-    g_address => c_sens_qsfp1_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    vin       => 90,
-    vout      => 34,
-    iout      => 10,
-    vcap      => 0,
-    temp      => 40
-  );
+    generic map (
+      g_address => c_sens_qsfp1_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      vin       => 90,
+      vout      => 34,
+      iout      => 10,
+      vcap      => 0,
+      temp      => 40
+    );
 
 
   u_sens_temp : entity work.dev_max1618  -- both on "unb" and on "adu"
-  generic map (
-    g_address => c_sens_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_max1618_temp
-  );
+    generic map (
+      g_address => c_sens_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_max1618_temp
+    );
 
 
 end tb;
diff --git a/libraries/io/i2c/tb/vhdl/tb_i2c_master.vhd b/libraries/io/i2c/tb/vhdl/tb_i2c_master.vhd
index 4d93569fc2..f6c193e6ba 100644
--- a/libraries/io/i2c/tb/vhdl/tb_i2c_master.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_i2c_master.vhd
@@ -23,15 +23,15 @@ entity tb_i2c_master is
 end tb_i2c_master;
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.i2c_smbus_pkg.all;
-use work.i2c_dev_max1617_pkg.all;
-use work.i2c_dev_max6652_pkg.all;
-use work.i2c_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.i2c_smbus_pkg.all;
+  use work.i2c_dev_max1617_pkg.all;
+  use work.i2c_dev_max6652_pkg.all;
+  use work.i2c_pkg.all;
 
 
 architecture tb of tb_i2c_master is
@@ -73,68 +73,163 @@ architecture tb of tb_i2c_master is
   constant c_temp_high           : natural := 127;
 
   constant c_protocol_list : t_nat_natural_arr := (
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_VIN_2_5,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_VIN_3_3,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_VCC,
-    SMBUS_READ_BYTE , ADR_MAX6652    , MAX6652_REG_READ_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_TEMP,
---  For debugging, use AP temp fields in RSR to read other info from the sensor, e.g.:
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_STATUS,
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_CONFIG,
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_HIGH,
---     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_LOW,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP0, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP1, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP2, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_READ_BYTE , ADR_MAX1617_AP3, MAX1617_CMD_READ_REMOTE_TEMP,
-    SMBUS_WRITE_BYTE, ADR_MAX6652    , MAX6652_REG_CONFIG,           MAX6652_CONFIG_LINE_FREQ_SEL + MAX6652_CONFIG_START,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_BP , MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP0, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP1, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP2, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP3, MAX1617_CMD_WRITE_CONFIG,     MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_BP , MAX1617_CMD_WRITE_REMOTE_HIGH, c_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP0, MAX1617_CMD_WRITE_REMOTE_HIGH, c_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP1, MAX1617_CMD_WRITE_REMOTE_HIGH, c_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP2, MAX1617_CMD_WRITE_REMOTE_HIGH, c_temp_high,
-    SMBUS_WRITE_BYTE, ADR_MAX1617_AP3, MAX1617_CMD_WRITE_REMOTE_HIGH, c_temp_high,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_VIN_2_5,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_VIN_3_3,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_VCC,
+    SMBUS_READ_BYTE ,
+    ADR_MAX6652    ,
+    MAX6652_REG_READ_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_BP ,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    --  For debugging, use AP temp fields in RSR to read other info from the sensor, e.g.:
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_STATUS,
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_CONFIG,
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_HIGH,
+    --     SMBUS_READ_BYTE , ADR_MAX1617_BP , MAX1617_CMD_READ_REMOTE_LOW,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP0,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP1,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP2,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_READ_BYTE ,
+    ADR_MAX1617_AP3,
+    MAX1617_CMD_READ_REMOTE_TEMP,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX6652    ,
+    MAX6652_REG_CONFIG,
+    MAX6652_CONFIG_LINE_FREQ_SEL + MAX6652_CONFIG_START,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_BP ,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP0,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP1,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP2,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP3,
+    MAX1617_CMD_WRITE_CONFIG,
+    MAX1617_CONFIG_ID + MAX1617_CONFIG_THERM,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_BP ,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP0,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP1,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP2,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_temp_high,
+    SMBUS_WRITE_BYTE,
+    ADR_MAX1617_AP3,
+    MAX1617_CMD_WRITE_REMOTE_HIGH,
+    c_temp_high,
     SMBUS_C_END
   );
 
   -- Expected result list for the c_protocol_list
   -- . entries 0 should also be 0 in the result buffer
   -- . entries 1 indicate a read octet that needs to be stored for the user
-  constant c_expected_mask : t_nat_natural_arr := (1, 0,
-                                                   1, 0,
-                                                   1, 0,
-                                                   1, 0,
-                                                   1, 0,
---                                                    1, 0,
---                                                    1, 0,
---                                                    1, 0,
---                                                    1, 0,
-                                                   1, 0,
-                                                   1, 0,
-                                                   1, 0,
-                                                   1, 0,
-                                                   0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
+  constant c_expected_mask : t_nat_natural_arr := (
+    1,
+    0,
+    1,
+    0,
+    1,
+    0,
+    1,
+    0,
+    1,
+    0,
+    --                                                    1, 0,
+    --                                                    1, 0,
+    --                                                    1, 0,
+    --                                                    1, 0,
+    1,
+    0,
+    1,
+    0,
+    1,
+    0,
+    1,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0
+  );
 
   -- Expected bytes as read by c_protocol_list : 92, 147, 127, 40, 60, 70, 71, 72, 73
   -- . Keep the zeros in like with c_expected_mask to be able to use a single result_cnt for addressing
-  constant c_expected_data : t_nat_natural_arr := (c_volt_1v2, 0,
-                                                   c_volt_2v5, 0,
-                                                   c_volt_3v3, 0,
-                                                   c_temp_pcb, 0,
-                                                   c_bp_temp,  0,
---                                                    1, 0,
---                                                    1, 0,
---                                                    1, 0,
---                                                    1, 0,
-                                                   c_ap0_temp, 0,
-                                                   c_ap1_temp, 0,
-                                                   c_ap2_temp, 0,
-                                                   c_ap3_temp, 0,
-                                                   0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
+  constant c_expected_data : t_nat_natural_arr := (
+    c_volt_1v2,
+    0,
+    c_volt_2v5,
+    0,
+    c_volt_3v3,
+    0,
+    c_temp_pcb,
+    0,
+    c_bp_temp,
+    0,
+    --                                                    1, 0,
+    --                                                    1, 0,
+    --                                                    1, 0,
+    --                                                    1, 0,
+    c_ap0_temp,
+    0,
+    c_ap1_temp,
+    0,
+    c_ap2_temp,
+    0,
+    c_ap3_temp,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0,
+    0
+  );
 
   -- Control register
   constant c_control_activate     : natural := 1;
@@ -268,113 +363,113 @@ begin
 
   -- I2C master
   u_i2c_master : entity work.i2c_master
-  generic map (
-    g_i2c_mm  => c_i2c_mm,
-    g_i2c_phy => c_phy_i2c
-  )
-  port map (
-    -- GENERIC Signal
-    gs_sim                   => c_sim,
-
-    rst                      => rst,
-    clk                      => clk,
-    sync                     => '1',
-
-    ---------------------------------------------------------------------------
-    -- Memory Mapped Slave interface with Interrupt
-    ---------------------------------------------------------------------------
-    -- MM slave I2C control register
-    mms_control_address      => control_mosi.address(c_i2c_mm.control_adr_w - 1 downto 0),
-    mms_control_write        => control_mosi.wr,
-    mms_control_read         => control_mosi.rd,
-    mms_control_writedata    => control_mosi.wrdata(c_word_w - 1 downto 0),  -- use default MM bus width for control
-    mms_control_readdata     => control_miso.rddata(c_word_w - 1 downto 0),
-    -- MM slave I2C protocol register
-    mms_protocol_address     => protocol_mosi.address(c_i2c_mm.protocol_adr_w - 1 downto 0),
-    mms_protocol_write       => protocol_mosi.wr,
-    mms_protocol_read        => protocol_mosi.rd,
-    mms_protocol_writedata   => protocol_mosi.wrdata(c_byte_w - 1 downto 0),  -- define MM bus data has same width as SMBus data
-    mms_protocol_readdata    => protocol_miso.rddata(c_byte_w - 1 downto 0),
-    -- MM slave I2C result register
-    mms_result_address       => result_mosi.address(c_i2c_mm.result_adr_w - 1 downto 0),
-    mms_result_write         => result_mosi.wr,
-    mms_result_read          => result_mosi.rd,
-    mms_result_writedata     => result_mosi.wrdata(c_byte_w - 1 downto 0),  -- define MM bus data has same width as SMBus data
-    mms_result_readdata      => result_miso.rddata(c_byte_w - 1 downto 0),
-    -- Interrupt
-    ins_result_rdy           => control_interrupt,
-
-    ---------------------------------------------------------------------------
-    -- I2C interface
-    ---------------------------------------------------------------------------
-    scl                      => scl,
-    sda                      => sda
-  );
+    generic map (
+      g_i2c_mm  => c_i2c_mm,
+      g_i2c_phy => c_phy_i2c
+    )
+    port map (
+      -- GENERIC Signal
+      gs_sim                   => c_sim,
+
+      rst                      => rst,
+      clk                      => clk,
+      sync                     => '1',
+
+      ---------------------------------------------------------------------------
+      -- Memory Mapped Slave interface with Interrupt
+      ---------------------------------------------------------------------------
+      -- MM slave I2C control register
+      mms_control_address      => control_mosi.address(c_i2c_mm.control_adr_w - 1 downto 0),
+      mms_control_write        => control_mosi.wr,
+      mms_control_read         => control_mosi.rd,
+      mms_control_writedata    => control_mosi.wrdata(c_word_w - 1 downto 0),  -- use default MM bus width for control
+      mms_control_readdata     => control_miso.rddata(c_word_w - 1 downto 0),
+      -- MM slave I2C protocol register
+      mms_protocol_address     => protocol_mosi.address(c_i2c_mm.protocol_adr_w - 1 downto 0),
+      mms_protocol_write       => protocol_mosi.wr,
+      mms_protocol_read        => protocol_mosi.rd,
+      mms_protocol_writedata   => protocol_mosi.wrdata(c_byte_w - 1 downto 0),  -- define MM bus data has same width as SMBus data
+      mms_protocol_readdata    => protocol_miso.rddata(c_byte_w - 1 downto 0),
+      -- MM slave I2C result register
+      mms_result_address       => result_mosi.address(c_i2c_mm.result_adr_w - 1 downto 0),
+      mms_result_write         => result_mosi.wr,
+      mms_result_read          => result_mosi.rd,
+      mms_result_writedata     => result_mosi.wrdata(c_byte_w - 1 downto 0),  -- define MM bus data has same width as SMBus data
+      mms_result_readdata      => result_miso.rddata(c_byte_w - 1 downto 0),
+      -- Interrupt
+      ins_result_rdy           => control_interrupt,
+
+      ---------------------------------------------------------------------------
+      -- I2C interface
+      ---------------------------------------------------------------------------
+      scl                      => scl,
+      sda                      => sda
+    );
 
   -- I2C slaves
   sens_temp_bp : entity work.dev_max1618
-  generic map (
-    g_address => c_bp_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_bp_temp
-  );
+    generic map (
+      g_address => c_bp_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_bp_temp
+    );
 
   sens_temp_ap0 : entity work.dev_max1618
-  generic map (
-    g_address => c_ap0_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap0_temp
-  );
+    generic map (
+      g_address => c_ap0_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap0_temp
+    );
 
   sens_temp_ap1 : entity work.dev_max1618
-  generic map (
-    g_address => c_ap1_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap1_temp
-  );
+    generic map (
+      g_address => c_ap1_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap1_temp
+    );
 
   sens_temp_ap2 : entity work.dev_max1618
-  generic map (
-    g_address => c_ap2_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap2_temp
-  );
+    generic map (
+      g_address => c_ap2_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap2_temp
+    );
 
   sens_temp_ap3 : entity work.dev_max1618
-  generic map (
-    g_address => c_ap3_temp_address
-  )
-  port map (
-    scl  => scl,
-    sda  => sda,
-    temp => c_ap3_temp
-  );
+    generic map (
+      g_address => c_ap3_temp_address
+    )
+    port map (
+      scl  => scl,
+      sda  => sda,
+      temp => c_ap3_temp
+    );
 
   sens_volt_bp : entity work.dev_max6652
-  generic map (
-    g_address => c_bp_volt_address
-  )
-  port map (
-    scl       => scl,
-    sda       => sda,
-    volt_2v5  => c_volt_1v2,
-    volt_3v3  => c_volt_2v5,
-    volt_12v  => c_volt_nc,
-    volt_vcc  => c_volt_3v3,
-    temp      => c_temp_pcb
-  );
+    generic map (
+      g_address => c_bp_volt_address
+    )
+    port map (
+      scl       => scl,
+      sda       => sda,
+      volt_2v5  => c_volt_1v2,
+      volt_3v3  => c_volt_2v5,
+      volt_12v  => c_volt_nc,
+      volt_vcc  => c_volt_3v3,
+      temp      => c_temp_pcb
+    );
 
 end tb;
 
diff --git a/libraries/io/i2c/tb/vhdl/tb_i2cslave.vhd b/libraries/io/i2c/tb/vhdl/tb_i2cslave.vhd
index 80969c5f5e..eb0249dc0e 100644
--- a/libraries/io/i2c/tb/vhdl/tb_i2cslave.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_i2cslave.vhd
@@ -25,9 +25,9 @@
 --written by A.W. Gunst
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use ieee.std_logic_unsigned.all;
 
 entity tb_i2cslave is
 end tb_i2cslave;
@@ -53,29 +53,29 @@ architecture tb of tb_i2cslave is
     );
   end component;
 
-   signal SDA      : std_logic;  -- I2C Serial Data Line
-   signal SCL      : std_logic;  -- I2C Serial Clock Line
-   signal RST      : std_logic;  -- optional reset bit
-   signal CTRL_REG : std_logic_vector(8 * c_nof_ctrl_bytes - 1 downto 0);  -- ctrl for RCU control
+  signal SDA      : std_logic;  -- I2C Serial Data Line
+  signal SCL      : std_logic;  -- I2C Serial Clock Line
+  signal RST      : std_logic;  -- optional reset bit
+  signal CTRL_REG : std_logic_vector(8 * c_nof_ctrl_bytes - 1 downto 0);  -- ctrl for RCU control
 
 begin
 
   uut: i2cslave
-  generic map (
-    g_rx_filter        => false,
-    g_address          => c_address,
-    g_nof_ctrl_bytes   => c_nof_ctrl_bytes
-  )
-  port map(
-    clk => '0',
-    SDA => SDA,
-    SCL => SCL,
-    RST => RST,
-    CTRL_REG => CTRL_REG
-  );
-
-tbctrl : process
-  variable cnt : std_logic_vector(11 downto 0) := "000000000000";
+    generic map (
+      g_rx_filter        => false,
+      g_address          => c_address,
+      g_nof_ctrl_bytes   => c_nof_ctrl_bytes
+    )
+    port map(
+      clk => '0',
+      SDA => SDA,
+      SCL => SCL,
+      RST => RST,
+      CTRL_REG => CTRL_REG
+    );
+
+  tbctrl : process
+    variable cnt : std_logic_vector(11 downto 0) := "000000000000";
   begin
     while (true) loop
       SCL <= '0';
@@ -87,19 +87,19 @@ tbctrl : process
     wait;
   end process;
 
---SCL low: 0-25 ns
---SCL high: 25-50 ns
+  --SCL low: 0-25 ns
+  --SCL high: 25-50 ns
 
-tbsda : process
+  tbsda : process
   begin
     SDA <= 'Z';
     wait for 200 ns;  -- initial time to let the fpga set up things
     RST <= '1', '0' after 100 ns;
     wait for 200 ns;  -- initial time to let the fpga set up things
 
-     --I2C write sequence (from master to slave)
-     SDA <= 'H', '0' after 30 ns, '0' after 60 ns,'0' after 110 ns,'0' after 160 ns,'0' after 210 ns,'0' after 260 ns,'0' after 310 ns,'H' after 360 ns,'0' after 410 ns;
-     --     start                     sent address: 0000001,                                                                                              sent rw=0
+    --I2C write sequence (from master to slave)
+    SDA <= 'H', '0' after 30 ns, '0' after 60 ns,'0' after 110 ns,'0' after 160 ns,'0' after 210 ns,'0' after 260 ns,'0' after 310 ns,'H' after 360 ns,'0' after 410 ns;
+    --     start                     sent address: 0000001,                                                                                              sent rw=0
     --the previous is evaluated at time = 0
     wait for 452 ns;  -- next lines are evaluated 450 ns later
     SDA <= 'Z';  -- time for slave to acknowledge
diff --git a/libraries/io/i2c/tb/vhdl/tb_tb_i2c_commander.vhd b/libraries/io/i2c/tb/vhdl/tb_tb_i2c_commander.vhd
index d1215aff99..574a15ae57 100644
--- a/libraries/io/i2c/tb/vhdl/tb_tb_i2c_commander.vhd
+++ b/libraries/io/i2c/tb/vhdl/tb_tb_i2c_commander.vhd
@@ -28,7 +28,7 @@
 --   > run -all
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_tb_i2c_commander is
 end tb_tb_i2c_commander;
diff --git a/libraries/io/mac_10g/io_mac_10g.vhd b/libraries/io/mac_10g/io_mac_10g.vhd
index a22e115c94..0d5261bec4 100644
--- a/libraries/io/mac_10g/io_mac_10g.vhd
+++ b/libraries/io/mac_10g/io_mac_10g.vhd
@@ -35,14 +35,14 @@
 --   easily recognize it as the MM port of the MAC_10G IP.
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity io_mac_10g is
   generic (
@@ -83,35 +83,35 @@ architecture str of io_mac_10g is
 begin
 
   u_tech_mac_10g : entity tech_mac_10g_lib.tech_mac_10g
-  generic map (
-    g_technology          => g_technology,
-    g_pre_header_padding  => g_pre_header_padding
-  )
-  port map (
-    -- MM
-    mm_clk            => mm_clk,
-    mm_rst            => mm_rst,
-    csr_mosi          => mac_mosi,
-    csr_miso          => mac_miso,
-
-    -- ST
-    tx_clk_312        => tx_clk_312,
-    tx_clk_156        => tx_clk_156,
-    tx_rst            => tx_rst,
-    tx_snk_in         => tx_snk_in,  -- 64 bit data
-    tx_snk_out        => tx_snk_out,
-
-    rx_clk_312        => rx_clk_312,
-    rx_clk_156        => rx_clk_156,
-    rx_rst            => rx_rst,
-    rx_src_out        => rx_src_out,  -- 64 bit data
-    rx_src_in         => rx_src_in,
-
-    -- XGMII
-    xgmii_link_status => xgmii_link_status,
-    xgmii_tx_data     => xgmii_tx_data,
-    xgmii_rx_data     => xgmii_rx_data
-  );
+    generic map (
+      g_technology          => g_technology,
+      g_pre_header_padding  => g_pre_header_padding
+    )
+    port map (
+      -- MM
+      mm_clk            => mm_clk,
+      mm_rst            => mm_rst,
+      csr_mosi          => mac_mosi,
+      csr_miso          => mac_miso,
+
+      -- ST
+      tx_clk_312        => tx_clk_312,
+      tx_clk_156        => tx_clk_156,
+      tx_rst            => tx_rst,
+      tx_snk_in         => tx_snk_in,  -- 64 bit data
+      tx_snk_out        => tx_snk_out,
+
+      rx_clk_312        => rx_clk_312,
+      rx_clk_156        => rx_clk_156,
+      rx_rst            => rx_rst,
+      rx_src_out        => rx_src_out,  -- 64 bit data
+      rx_src_in         => rx_src_in,
+
+      -- XGMII
+      xgmii_link_status => xgmii_link_status,
+      xgmii_tx_data     => xgmii_tx_data,
+      xgmii_rx_data     => xgmii_rx_data
+    );
 
 end str;
 
diff --git a/libraries/io/mdio/src/vhdl/avs_mdio.vhd b/libraries/io/mdio/src/vhdl/avs_mdio.vhd
index b4945619de..9a83070551 100644
--- a/libraries/io/mdio/src/vhdl/avs_mdio.vhd
+++ b/libraries/io/mdio/src/vhdl/avs_mdio.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 -- Need to wrap mdio with this avs_mdio, because the SOPC Component
 -- Editor does not support the user defined types from mdio_pkg.
@@ -111,37 +111,37 @@ begin
   i_avs_data_address(0) <= avs_data_address;
 
   u_mdio : entity work.mdio
-  generic map (
-    g_mdio_phy          => c_avs_mdio_phy
-  )
-  port map (
-    -- GENERIC Signal
-    gs_sim              => cs_sim,
-
-    -- Clock & reset
-    rst                 => csi_system_reset,
-    clk                 => csi_system_clk,
-
-    -- Memory Mapped Slave interface with Interrupt
-    mms_header_address  => i_avs_header_address,
-    mms_header_write    => avs_header_write,
-    mms_header_read     => avs_header_read,
-    mms_header_writedata => avs_header_writedata,
-    mms_header_readdata => avs_header_readdata,
-
-    mms_data_address    => i_avs_data_address,
-    mms_data_write      => avs_data_write,
-    mms_data_read       => avs_data_read,
-    mms_data_writedata  => avs_data_writedata,
-    mms_data_readdata   => avs_data_readdata,
-
-    ins_mdio_rdy        => ins_interrupt_irq,
-
-    -- MDIO external interface
-    mdc                 => coe_mdio_phy_mdc_export,
-    mdat_in             => coe_mdio_phy_mdat_in_export,
-    mdat_oen            => coe_mdio_phy_mdat_oen_export
-  );
+    generic map (
+      g_mdio_phy          => c_avs_mdio_phy
+    )
+    port map (
+      -- GENERIC Signal
+      gs_sim              => cs_sim,
+
+      -- Clock & reset
+      rst                 => csi_system_reset,
+      clk                 => csi_system_clk,
+
+      -- Memory Mapped Slave interface with Interrupt
+      mms_header_address  => i_avs_header_address,
+      mms_header_write    => avs_header_write,
+      mms_header_read     => avs_header_read,
+      mms_header_writedata => avs_header_writedata,
+      mms_header_readdata => avs_header_readdata,
+
+      mms_data_address    => i_avs_data_address,
+      mms_data_write      => avs_data_write,
+      mms_data_read       => avs_data_read,
+      mms_data_writedata  => avs_data_writedata,
+      mms_data_readdata   => avs_data_readdata,
+
+      ins_mdio_rdy        => ins_interrupt_irq,
+
+      -- MDIO external interface
+      mdc                 => coe_mdio_phy_mdc_export,
+      mdat_in             => coe_mdio_phy_mdat_in_export,
+      mdat_oen            => coe_mdio_phy_mdat_oen_export
+    );
 
 end wrap;
 
diff --git a/libraries/io/mdio/src/vhdl/mdio.vhd b/libraries/io/mdio/src/vhdl/mdio.vhd
index b62c19d2cc..7f69de5a25 100644
--- a/libraries/io/mdio/src/vhdl/mdio.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 
 entity mdio is
@@ -75,52 +75,52 @@ architecture str of mdio is
 begin
 
   u_mm : entity work.mdio_mm
-  port map (
-    clk                 => clk,
-    rst                 => rst,
-    -- Memory Mapped Slave interface with Interrupt
-    mms_header_address  => mms_header_address,
-    mms_header_write    => mms_header_write,
-    mms_header_read     => mms_header_read,
-    mms_header_writedata => mms_header_writedata,
-    mms_header_readdata => mms_header_readdata,
-
-    mms_data_address    => mms_data_address,
-    mms_data_write      => mms_data_write,
-    mms_data_read       => mms_data_read,
-    mms_data_writedata  => mms_data_writedata,
-    mms_data_readdata   => mms_data_readdata,
-
-    ins_mdio_rdy        => ins_mdio_rdy,
-    -- MDIO PHY control interface
-    mdio_en_evt         => mdio_en_evt,
-    mdio_done_evt       => mdio_done_evt,
-    mdio_hdr            => mdio_hdr,
-    mdio_tx_dat         => mdio_tx_dat,
-    mdio_rx_dat         => mdio_rx_dat
-  );
+    port map (
+      clk                 => clk,
+      rst                 => rst,
+      -- Memory Mapped Slave interface with Interrupt
+      mms_header_address  => mms_header_address,
+      mms_header_write    => mms_header_write,
+      mms_header_read     => mms_header_read,
+      mms_header_writedata => mms_header_writedata,
+      mms_header_readdata => mms_header_readdata,
+
+      mms_data_address    => mms_data_address,
+      mms_data_write      => mms_data_write,
+      mms_data_read       => mms_data_read,
+      mms_data_writedata  => mms_data_writedata,
+      mms_data_readdata   => mms_data_readdata,
+
+      ins_mdio_rdy        => ins_mdio_rdy,
+      -- MDIO PHY control interface
+      mdio_en_evt         => mdio_en_evt,
+      mdio_done_evt       => mdio_done_evt,
+      mdio_hdr            => mdio_hdr,
+      mdio_tx_dat         => mdio_tx_dat,
+      mdio_rx_dat         => mdio_rx_dat
+    );
 
   u_phy : entity work.mdio_phy
-  generic map (
-    g_mdio_phy    => g_mdio_phy
-  )
-  port map (
-    gs_sim        => gs_sim,
-
-    clk           => clk,
-    rst           => rst,
-
-    -- MDIO PHY control interface
-    mdio_en_evt   => mdio_en_evt,
-    mdio_done_evt => mdio_done_evt,
-    hdr           => mdio_hdr,
-    tx_dat        => mdio_tx_dat,
-    rx_dat        => mdio_rx_dat,
-
-    -- MDIO PHY external clock and serial data
-    mdc           => mdc,
-    mdat_in       => mdat_in,
-    mdat_oen      => mdat_oen
-  );
+    generic map (
+      g_mdio_phy    => g_mdio_phy
+    )
+    port map (
+      gs_sim        => gs_sim,
+
+      clk           => clk,
+      rst           => rst,
+
+      -- MDIO PHY control interface
+      mdio_en_evt   => mdio_en_evt,
+      mdio_done_evt => mdio_done_evt,
+      hdr           => mdio_hdr,
+      tx_dat        => mdio_tx_dat,
+      rx_dat        => mdio_rx_dat,
+
+      -- MDIO PHY external clock and serial data
+      mdc           => mdc,
+      mdat_in       => mdat_in,
+      mdat_oen      => mdat_oen
+    );
 
 end str;
diff --git a/libraries/io/mdio/src/vhdl/mdio_ctlr.vhd b/libraries/io/mdio/src/vhdl/mdio_ctlr.vhd
index 70bca908f6..60ad03e97f 100644
--- a/libraries/io/mdio/src/vhdl/mdio_ctlr.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio_ctlr.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 entity mdio_ctlr is
   generic (
@@ -80,60 +80,60 @@ begin
     case r.state is
 
       when s_init_rst => if r.cycle_cnt < g_mdio_rst_cycles then
-                           v.cycle_cnt := v.cycle_cnt + 1;
-                         else
-                           v.cycle_cnt := 0;
-                           v.state := s_init_post_rst;
-                         end if;
+          v.cycle_cnt := v.cycle_cnt + 1;
+        else
+          v.cycle_cnt := 0;
+          v.state := s_init_post_rst;
+        end if;
 
       when s_init_post_rst => v.mdio_rst := not g_mdio_rst_level;
-                              if r.cycle_cnt < g_mdio_post_rst_cycles then
-                                v.cycle_cnt := v.cycle_cnt + 1;
-                              else
-                                v.state := s_idle;
-                              end if;
+        if r.cycle_cnt < g_mdio_post_rst_cycles then
+          v.cycle_cnt := v.cycle_cnt + 1;
+        else
+          v.state := s_idle;
+        end if;
 
       when s_idle => v.cmd_processed := '0';
-                     if g_mdio_cmd_arr'length > v.nof_cmds_processed then
-                       -- Interpret command
-                       v.state := s_write_adr;
-                     else
-                       v.state := s_exec_complete;
-                     end if;
+        if g_mdio_cmd_arr'length > v.nof_cmds_processed then
+          -- Interpret command
+          v.state := s_write_adr;
+        else
+          v.state := s_exec_complete;
+        end if;
 
       when s_write_adr => v.cmd_processed := '0';
-                          -- Set the register address we're going to access
-                          v.tx_dat      := g_mdio_cmd_arr(v.nof_cmds_processed).devreg;
-                          -- Now assign the 'address' header which indicated port address and device address of our register
-                          v.hdr         := mdio_hdr_adr( g_mdio_prtad, g_mdio_cmd_arr(v.nof_cmds_processed).devadr);
-                          -- Assert en_evt and wait for done to go high.
-                          v.mdio_en_evt := '1';
-                          v.state       := s_ackdone;
+        -- Set the register address we're going to access
+        v.tx_dat      := g_mdio_cmd_arr(v.nof_cmds_processed).devreg;
+        -- Now assign the 'address' header which indicated port address and device address of our register
+        v.hdr         := mdio_hdr_adr( g_mdio_prtad, g_mdio_cmd_arr(v.nof_cmds_processed).devadr);
+        -- Assert en_evt and wait for done to go high.
+        v.mdio_en_evt := '1';
+        v.state       := s_ackdone;
 
       when s_write_dat => v.cmd_processed := '1';
-                          -- Assign wr_data to tx_dat; in case of a read access the wr_data is zero.
-                          v.tx_dat      := g_mdio_cmd_arr(v.nof_cmds_processed).wrdata;
-                          -- Now assign a write header or a read header
-                          if g_mdio_cmd_arr(v.nof_cmds_processed).wr_not_rd = '1' then
-                            v.hdr         := mdio_hdr_wr( g_mdio_prtad, g_mdio_cmd_arr(v.nof_cmds_processed).devadr);
-                          else
-                            v.hdr         := mdio_hdr_rd( g_mdio_prtad, g_mdio_cmd_arr(v.nof_cmds_processed).devadr);
-                          end if;
-                          -- Assert en_evt and wait for done to go high.
-                          v.mdio_en_evt   := '1';
-                          v.state         := s_ackdone;
+        -- Assign wr_data to tx_dat; in case of a read access the wr_data is zero.
+        v.tx_dat      := g_mdio_cmd_arr(v.nof_cmds_processed).wrdata;
+        -- Now assign a write header or a read header
+        if g_mdio_cmd_arr(v.nof_cmds_processed).wr_not_rd = '1' then
+          v.hdr         := mdio_hdr_wr( g_mdio_prtad, g_mdio_cmd_arr(v.nof_cmds_processed).devadr);
+        else
+          v.hdr         := mdio_hdr_rd( g_mdio_prtad, g_mdio_cmd_arr(v.nof_cmds_processed).devadr);
+        end if;
+        -- Assert en_evt and wait for done to go high.
+        v.mdio_en_evt   := '1';
+        v.state         := s_ackdone;
 
       when s_ackdone => if mdio_done = '1' then
-                          v.mdio_done_ack_evt := '1';
-                          if r.cmd_processed = '0' then
-                            -- Proceeed with the second part of the MDIO write access
-                            v.state := s_write_dat;
-                          else
-                            -- Fetch a new command
-                            v.nof_cmds_processed := r.nof_cmds_processed + 1;
-                            v.state := s_idle;
-                          end if;
-                        end if;
+          v.mdio_done_ack_evt := '1';
+          if r.cmd_processed = '0' then
+            -- Proceeed with the second part of the MDIO write access
+            v.state := s_write_dat;
+          else
+            -- Fetch a new command
+            v.nof_cmds_processed := r.nof_cmds_processed + 1;
+            v.state := s_idle;
+          end if;
+        end if;
 
       when s_exec_complete => v.exec_complete := '1';
 
diff --git a/libraries/io/mdio/src/vhdl/mdio_mm.vhd b/libraries/io/mdio/src/vhdl/mdio_mm.vhd
index 873015a036..e57888e602 100644
--- a/libraries/io/mdio/src/vhdl/mdio_mm.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio_mm.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.mdio_pkg.all;
 
 entity mdio_mm is
   port (
@@ -65,11 +65,13 @@ end mdio_mm;
 architecture str of mdio_mm is
 
   -- Use MM bus data width = c_halfword_w
-  constant c_reg_mdio  : t_c_mem := (latency  => 1,
-                                     adr_w    => 1,
-                                     dat_w    => c_halfword_w,
-                                     nof_dat  => 1,
-                                     init_sl  => 'X');
+  constant c_reg_mdio  : t_c_mem := (
+    latency  => 1,
+    adr_w    => 1,
+    dat_w    => c_halfword_w,
+    nof_dat  => 1,
+    init_sl  => 'X'
+  );
 
   signal header_write              : std_logic;  -- used to issue mdio access enable
   signal data_read                 : std_logic;  -- used to clear mdio access ready interrupt
@@ -86,25 +88,25 @@ begin
   header_write <= mms_header_write;  -- only one reg, so no need to check mms_header_address
 
   u_mdio_en : entity common_lib.common_request
-  port map (
-    rst         => rst,
-    clk         => clk,
-    in_req      => header_write,
-    out_req_evt => mdio_en_evt
-  );
+    port map (
+      rst         => rst,
+      clk         => clk,
+      in_req      => header_write,
+      out_req_evt => mdio_en_evt
+    );
 
   -- MDIO ready interrupt when the MDIO PHY access has been done
   -- Clear the interrupt by reading the MDIO data register
   data_read <= mms_data_read;  -- only one reg, so no need to check mms_data_address
 
   u_mdio_done : entity common_lib.common_switch
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => mdio_done_evt,
-    switch_low  => data_read,
-    out_level   => ins_mdio_rdy
-  );
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => mdio_done_evt,
+      switch_low  => data_read,
+      out_level   => ins_mdio_rdy
+    );
 
   -- MDIO register
   mdio_hdr    <= reg_header;
@@ -113,43 +115,43 @@ begin
   reg_data_rd <= mdio_rx_dat;  -- always read rx_dat
 
   u_reg_header : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_reg_mdio
-  )
-  port map (
-		rst         => rst,
-		clk         => clk,
-    -- control side
-		wr_en       => mms_header_write,
-		wr_adr      => mms_header_address,
-		wr_dat      => mms_header_writedata,
-		rd_en       => mms_header_read,
-		rd_adr      => mms_header_address,
-		rd_dat      => mms_header_readdata,
-		rd_val      => OPEN,
-    -- data side
-    out_reg     => reg_header,
-    in_reg      => reg_header
-  );
+    generic map (
+      g_reg       => c_reg_mdio
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- control side
+      wr_en       => mms_header_write,
+      wr_adr      => mms_header_address,
+      wr_dat      => mms_header_writedata,
+      rd_en       => mms_header_read,
+      rd_adr      => mms_header_address,
+      rd_dat      => mms_header_readdata,
+      rd_val      => OPEN,
+      -- data side
+      out_reg     => reg_header,
+      in_reg      => reg_header
+    );
 
   u_reg_data : entity common_lib.common_reg_r_w
-  generic map (
-    g_reg       => c_reg_mdio
-  )
-  port map (
-		rst         => rst,
-		clk         => clk,
-    -- control side
-		wr_en       => mms_data_write,
-		wr_adr      => mms_data_address,
-		wr_dat      => mms_data_writedata,
-		rd_en       => mms_data_read,
-		rd_adr      => mms_data_address,
-		rd_dat      => mms_data_readdata,
-		rd_val      => OPEN,
-    -- data side
-    out_reg     => reg_data,
-    in_reg      => reg_data_rd
-  );
+    generic map (
+      g_reg       => c_reg_mdio
+    )
+    port map (
+      rst         => rst,
+      clk         => clk,
+      -- control side
+      wr_en       => mms_data_write,
+      wr_adr      => mms_data_address,
+      wr_dat      => mms_data_writedata,
+      rd_en       => mms_data_read,
+      rd_adr      => mms_data_address,
+      rd_dat      => mms_data_readdata,
+      rd_val      => OPEN,
+      -- data side
+      out_reg     => reg_data,
+      in_reg      => reg_data_rd
+    );
 
 end str;
diff --git a/libraries/io/mdio/src/vhdl/mdio_phy.vhd b/libraries/io/mdio/src/vhdl/mdio_phy.vhd
index 594d6d6930..3ce45e8536 100644
--- a/libraries/io/mdio/src/vhdl/mdio_phy.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio_phy.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 
 -- MDIO = Management Data Input Output
@@ -54,7 +54,7 @@ entity mdio_phy is
     mdc               : out std_logic;
     mdat_in           : in  std_logic;  -- tristate buffer input from line
     mdat_oen          : out std_logic  -- tristate buffer output to line enable
-                                        -- no need for mdat_out, only enable for output '0', else rely on external pull up for output '1'
+  -- no need for mdat_out, only enable for output '0', else rely on external pull up for output '1'
   );
 end mdio_phy;
 
@@ -73,7 +73,7 @@ architecture rtl of mdio_phy is
   constant c_receive_msg_length : natural := 19;
 
   constant c_hdr_rd_bit         : natural := 13;  -- hdr[15: 0] = st(2) & op(2) & prtad(5) & devad(5) & ta(2)
-                                                  -- hdr[13:12] = op[1:0] and op[1]='1' indicates read access
+  -- hdr[13:12] = op[1:0] and op[1]='1' indicates read access
 
   constant c_ta                 : std_logic_vector := "10";
 
@@ -156,25 +156,25 @@ begin
   end process;
 
   u_mdio_en_revt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "RISING",
-    g_out_reg  => false
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => mdio_en_evt,
-    out_evt  => mdio_en_revt
-  );
+    generic map (
+      g_evt_type => "RISING",
+      g_out_reg  => false
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => mdio_en_evt,
+      out_evt  => mdio_en_revt
+    );
 
   u_mdio_done : entity common_lib.common_switch
-  port map (
-    clk         => clk,
-    rst         => rst,
-    switch_high => i_mdio_done_evt,
-    switch_low  => mdio_done_ack_evt,
-    out_level   => mdio_done
-  );
+    port map (
+      clk         => clk,
+      rst         => rst,
+      switch_high => i_mdio_done_evt,
+      switch_low  => mdio_done_ack_evt,
+      out_level   => mdio_done
+    );
 
   p_mdc_counter : process (mdc_cnt)
   begin
@@ -267,9 +267,9 @@ begin
 
   -- Derive signal constants for tx_en and rx_en strobe instants dependend on gs_sim
   cs_tx_en_cnt <= g_mdio_phy.mdc_period / 2 + g_mdio_phy.hold_time when gs_sim = false else
-                       c_sim_mdc_period / 2 +      c_sim_hold_time;
+                  c_sim_mdc_period / 2 +      c_sim_hold_time;
   cs_rx_en_cnt <= g_mdio_phy.mdc_period / 2 - g_mdio_phy.setup_time when gs_sim = false else
-                       c_sim_mdc_period / 2 -      c_sim_setup_time;
+                  c_sim_mdc_period / 2 -      c_sim_setup_time;
 
   tx_en <= '1' when unsigned(mdc_cnt) = cs_tx_en_cnt else '0';
   rx_en <= '1' when unsigned(mdc_cnt) = cs_rx_en_cnt and state = s_receive else '0';
diff --git a/libraries/io/mdio/src/vhdl/mdio_phy_reg.vhd b/libraries/io/mdio/src/vhdl/mdio_phy_reg.vhd
index d8f5e27481..484b91019d 100644
--- a/libraries/io/mdio/src/vhdl/mdio_phy_reg.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio_phy_reg.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.mdio_pkg.all;
 
 entity mdio_phy_reg is
   port (
@@ -45,17 +45,19 @@ entity mdio_phy_reg is
     mdio_hdr          : out std_logic_vector(c_halfword_w - 1 downto 0);
     mdio_tx_dat       : out std_logic_vector(c_halfword_w - 1 downto 0);
     mdio_rx_dat       : in  std_logic_vector(c_halfword_w - 1 downto 0)
-   );
+  );
 end mdio_phy_reg;
 
 
 architecture rtl of mdio_phy_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(5),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 5,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(5),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 5,
+    init_sl  => '0'
+  );
   -- Registers in mm_clk domain
   signal mm_en_evt       : std_logic;
   signal mm_done_ack_evt : std_logic;
@@ -144,39 +146,39 @@ begin
   mm_rx_dat   <= mdio_rx_dat;
 
   u_cross_domain_hdr : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst      => mm_rst,
-    in_clk      => mm_clk,
-    in_new      => mm_en_evt,
-    in_dat      => mm_hdr,
-    in_done     => OPEN,
-    out_rst     => mdio_rst,
-    out_clk     => mdio_clk,
-    out_dat     => mdio_hdr,
-    out_new     => mdio_en_evt
-  );
+    port map (
+      in_rst      => mm_rst,
+      in_clk      => mm_clk,
+      in_new      => mm_en_evt,
+      in_dat      => mm_hdr,
+      in_done     => OPEN,
+      out_rst     => mdio_rst,
+      out_clk     => mdio_clk,
+      out_dat     => mdio_hdr,
+      out_new     => mdio_en_evt
+    );
 
   u_spulse_done_evt : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_done_ack_evt,
-    in_busy   => OPEN,
-    out_rst   => mdio_rst,
-    out_clk   => mdio_clk,
-    out_pulse => mdio_done_ack_evt
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_done_ack_evt,
+      in_busy   => OPEN,
+      out_rst   => mdio_rst,
+      out_clk   => mdio_clk,
+      out_pulse => mdio_done_ack_evt
+    );
 
   u_async_done : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => mdio_done,
-    dout => mm_done
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => mdio_done,
+      dout => mm_done
+    );
 
 end rtl;
 
diff --git a/libraries/io/mdio/src/vhdl/mdio_pkg.vhd b/libraries/io/mdio/src/vhdl/mdio_pkg.vhd
index 1c662bf53a..65f3d72412 100644
--- a/libraries/io/mdio/src/vhdl/mdio_pkg.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio_pkg.vhd
@@ -20,7 +20,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 --USE common_lib.common_pkg.ALL;
 
 package mdio_pkg is
@@ -74,13 +74,13 @@ package mdio_pkg is
     mdc_period : natural;  -- clk period * 256 /2 = mdc period, MDIO slave acts on rising edge
     hold_time  : natural;  -- clk period * 10     = write hold time, must be > 10 ns, choose some larger margin
     setup_time : natural;  -- read mdat_in is stable within 300 ns of rising mdc edge, therefore
-                           -- choose g_setup_time to fit (mdc period/2) + g_setup_time > 300 ns.
-                           -- typically choose mdc period > 2*300 ns, then g_setup_time can be 0.
+  -- choose g_setup_time to fit (mdc period/2) + g_setup_time > 300 ns.
+  -- typically choose mdc period > 2*300 ns, then g_setup_time can be 0.
   end record;
 
   constant c_mdio_phy : t_c_mdio_phy := (c_mdio_phy_mdc_period, c_mdio_phy_hold_time, c_mdio_phy_setup_time);
 
-  function mdio_hdr_adr(prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector;
+  function mdio_hdr_adr (prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector;
   function mdio_hdr_wr (prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector;
   function mdio_hdr_rd (prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector;
 
@@ -88,19 +88,19 @@ end mdio_pkg;
 
 package body mdio_pkg is
 
-  function mdio_hdr_adr(prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector is
+  function mdio_hdr_adr (prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector is
   -- Return a full address header based on port address and device address.
   begin
     return c_mdio_phy_hdr_st & c_mdio_phy_hdr_op_addr & prtad & devad & c_mdio_phy_hdr_ta;
   end;
 
-  function mdio_hdr_wr(prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector is
+  function mdio_hdr_wr (prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector is
   -- Return a full write header based on port address and device address.
   begin
     return c_mdio_phy_hdr_st & c_mdio_phy_hdr_op_wr & prtad & devad & c_mdio_phy_hdr_ta;
   end;
 
-  function mdio_hdr_rd(prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector is
+  function mdio_hdr_rd (prtad: std_logic_vector(c_mdio_phy_hdr_prtad_len - 1 downto 0); devad: std_logic_vector(c_mdio_phy_hdr_devad_len - 1 downto 0) ) return std_logic_vector is
   -- Return a full read header based on port address and device address.
   begin
     return c_mdio_phy_hdr_st & c_mdio_phy_hdr_op_rd & prtad & devad & c_mdio_phy_hdr_ta;
diff --git a/libraries/io/mdio/src/vhdl/mdio_vitesse_vsc8486_pkg.vhd b/libraries/io/mdio/src/vhdl/mdio_vitesse_vsc8486_pkg.vhd
index 3228fc60e0..821ac4b5da 100644
--- a/libraries/io/mdio/src/vhdl/mdio_vitesse_vsc8486_pkg.vhd
+++ b/libraries/io/mdio/src/vhdl/mdio_vitesse_vsc8486_pkg.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 -- Selection of register addresses of the Vitesse VSC8684-11.
 -- To prevent targeting the wrong device number, each register constant has a
@@ -128,7 +128,7 @@ package mdio_vitesse_vsc8486_pkg is
   -- =========================================================================================
   constant c_mdio_vsc8486_init_cmd_arr : t_mdio_cmd_arr(0 to 1) := (
     -- Enable EPCS (override pin value & set EPCS = 2 bits set). EPCS is disabled and pin not overridden by default.
---    ('1', TO_UVEC(1, c_mdio_phy_hdr_devad_len), c_mdio_vsc8486_epcs_ovr_frc_dev1, c_mdio_vsc8486_epcs_ovr_frc_dev1_en),
+    --    ('1', TO_UVEC(1, c_mdio_phy_hdr_devad_len), c_mdio_vsc8486_epcs_ovr_frc_dev1, c_mdio_vsc8486_epcs_ovr_frc_dev1_en),
     -- Enable status LEDs
     ('1', TO_UVEC(1, c_mdio_phy_hdr_devad_len), c_mdio_vsc8486_led_alarm_dev1, c_mdio_vsc8486_led_alarm_dev1_en),
     -- Set RX equalization.
diff --git a/libraries/io/mdio/tb/vhdl/mmd_slave.vhd b/libraries/io/mdio/tb/vhdl/mmd_slave.vhd
index 213d7c88f2..9ea9293cb3 100644
--- a/libraries/io/mdio/tb/vhdl/mmd_slave.vhd
+++ b/libraries/io/mdio/tb/vhdl/mmd_slave.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 
 entity mmd_slave is
diff --git a/libraries/io/mdio/tb/vhdl/tb_mdio.vhd b/libraries/io/mdio/tb/vhdl/tb_mdio.vhd
index abd4972f55..a2164e0096 100644
--- a/libraries/io/mdio/tb/vhdl/tb_mdio.vhd
+++ b/libraries/io/mdio/tb/vhdl/tb_mdio.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 entity tb_mdio is
 end tb_mdio;
@@ -188,58 +188,58 @@ begin
 
   -- MDIO master
   u_mdio : entity work.mdio
-  port map (
-    gs_sim              => c_sim,
+    port map (
+      gs_sim              => c_sim,
 
-    rst                 => rst,
-    clk                 => clk,
+      rst                 => rst,
+      clk                 => clk,
 
-    -- Memory Mapped Slave interface with Interrupt
-    mms_header_address  => mms_header_address,
-    mms_header_write    => mms_header_write,
-    mms_header_read     => mms_header_read,
-    mms_header_writedata => mms_header_writedata,
-    mms_header_readdata => mms_header_readdata,
+      -- Memory Mapped Slave interface with Interrupt
+      mms_header_address  => mms_header_address,
+      mms_header_write    => mms_header_write,
+      mms_header_read     => mms_header_read,
+      mms_header_writedata => mms_header_writedata,
+      mms_header_readdata => mms_header_readdata,
 
-    mms_data_address    => mms_data_address,
-    mms_data_write      => mms_data_write,
-    mms_data_read       => mms_data_read,
-    mms_data_writedata  => mms_data_writedata,
-    mms_data_readdata   => mms_data_readdata,
+      mms_data_address    => mms_data_address,
+      mms_data_write      => mms_data_write,
+      mms_data_read       => mms_data_read,
+      mms_data_writedata  => mms_data_writedata,
+      mms_data_readdata   => mms_data_readdata,
 
-    ins_mdio_rdy        => ins_mdio_rdy,
+      ins_mdio_rdy        => ins_mdio_rdy,
 
-    -- MDIO external interface
-    mdc                 => mdc,
-    mdat_in             => mdat_in,
-    mdat_oen            => mdat_oen
-  );
+      -- MDIO external interface
+      mdc                 => mdc,
+      mdat_in             => mdat_in,
+      mdat_oen            => mdat_oen
+    );
 
   u_iobuf : entity common_lib.common_inout
-  port map (
-    dat_inout        => mdio,
-    dat_in_from_line => mdat_in,
-    dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
-    dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
-  );
+    port map (
+      dat_inout        => mdio,
+      dat_in_from_line => mdat_in,
+      dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
+      dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
+    );
 
 
   -- MDIO slave
   u_slave : entity work.mmd_slave
-  generic map (
-    g_st        => c_st,
-    g_prtad     => c_prtad,
-    g_devad     => c_devad,
-    g_reg_addr  => c_slave_reg_addr,
-    g_reg_dat   => c_slave_reg_data,
-    g_reg_w     => c_halfword_w
-  )
-  port map (
-    mdc         => mdc,
-    mdio        => mdio,
-    addr        => slave_addr,
-    reg_dat     => slave_reg_dat
-  );
+    generic map (
+      g_st        => c_st,
+      g_prtad     => c_prtad,
+      g_devad     => c_devad,
+      g_reg_addr  => c_slave_reg_addr,
+      g_reg_dat   => c_slave_reg_data,
+      g_reg_w     => c_halfword_w
+    )
+    port map (
+      mdc         => mdc,
+      mdio        => mdio,
+      addr        => slave_addr,
+      reg_dat     => slave_reg_dat
+    );
 
   slave_reg_addr <= std_logic_vector(to_unsigned(c_slave_reg_addr, c_halfword_w));
 
diff --git a/libraries/io/mdio/tb/vhdl/tb_mdio_phy.vhd b/libraries/io/mdio/tb/vhdl/tb_mdio_phy.vhd
index 42c9f8a8af..004091a1c3 100644
--- a/libraries/io/mdio/tb/vhdl/tb_mdio_phy.vhd
+++ b/libraries/io/mdio/tb/vhdl/tb_mdio_phy.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 entity tb_mdio_phy is
 end tb_mdio_phy;
@@ -181,49 +181,49 @@ begin
 
   -- MDIO master
   u_mdio_phy : entity work.mdio_phy
-  port map (
-    gs_sim        => c_sim,
+    port map (
+      gs_sim        => c_sim,
 
-    rst           => rst,
-    clk           => clk,
+      rst           => rst,
+      clk           => clk,
 
-    mdio_en_evt   => mdio_en_evt,
-    mdio_done_evt => mdio_done_evt,
+      mdio_en_evt   => mdio_en_evt,
+      mdio_done_evt => mdio_done_evt,
 
-    hdr           => hdr,
-    tx_dat        => tx_dat,
-    rx_dat        => rx_dat,
+      hdr           => hdr,
+      tx_dat        => tx_dat,
+      rx_dat        => rx_dat,
 
-    -- External clock and serial data.
-    mdc           => mdc,
-    mdat_in       => mdat_in,
-    mdat_oen      => mdat_oen
-  );
+      -- External clock and serial data.
+      mdc           => mdc,
+      mdat_in       => mdat_in,
+      mdat_oen      => mdat_oen
+    );
 
   u_iobuf : entity common_lib.common_inout
-  port map (
-    dat_inout        => mdio,
-    dat_in_from_line => mdat_in,
-    dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
-    dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
-  );
+    port map (
+      dat_inout        => mdio,
+      dat_in_from_line => mdat_in,
+      dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
+      dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
+    );
 
   -- MDIO slave
   u_slave : entity work.mmd_slave
-  generic map (
-    g_st        => c_st,
-    g_prtad     => c_prtad,
-    g_devad     => c_devad,
-    g_reg_addr  => c_slave_reg_addr,
-    g_reg_dat   => c_slave_reg_data,
-    g_reg_w     => c_halfword_w
-  )
-  port map (
-    mdc         => mdc,
-    mdio        => mdio,
-    addr        => slave_addr,
-    reg_dat     => slave_reg_dat
-  );
+    generic map (
+      g_st        => c_st,
+      g_prtad     => c_prtad,
+      g_devad     => c_devad,
+      g_reg_addr  => c_slave_reg_addr,
+      g_reg_dat   => c_slave_reg_data,
+      g_reg_w     => c_halfword_w
+    )
+    port map (
+      mdc         => mdc,
+      mdio        => mdio,
+      addr        => slave_addr,
+      reg_dat     => slave_reg_dat
+    );
 
   slave_reg_addr <= std_logic_vector(to_unsigned(c_slave_reg_addr, c_halfword_w));
 
diff --git a/libraries/io/mdio/tb/vhdl/tb_mdio_phy_ctlr.vhd b/libraries/io/mdio/tb/vhdl/tb_mdio_phy_ctlr.vhd
index 181f50ab01..e5b9b61fed 100644
--- a/libraries/io/mdio/tb/vhdl/tb_mdio_phy_ctlr.vhd
+++ b/libraries/io/mdio/tb/vhdl/tb_mdio_phy_ctlr.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use work.mdio_pkg.all;
 
 entity tb_mdio_phy_ctlr is
 end tb_mdio_phy_ctlr;
@@ -112,73 +112,73 @@ begin
 
   -- MDIO controller
   u_mdio_ctlr : entity work.mdio_ctlr
-  generic map (
-     g_mdio_prtad           => c_prtad,
-     g_mdio_cmd_arr         => c_mdio_cmd_arr,
-     g_mdio_rst_level       => '1',
-     g_mdio_rst_cycles      => 10,
-     g_mdio_post_rst_cycles => 5
+    generic map (
+      g_mdio_prtad           => c_prtad,
+      g_mdio_cmd_arr         => c_mdio_cmd_arr,
+      g_mdio_rst_level       => '1',
+      g_mdio_rst_cycles      => 10,
+      g_mdio_post_rst_cycles => 5
     )
-  port map (
-    rst           => rst,
-    clk           => clk,
+    port map (
+      rst           => rst,
+      clk           => clk,
 
-    mdio_en_evt   => mdio_en_evt,
-    mdio_done     => mdio_done,
+      mdio_en_evt   => mdio_en_evt,
+      mdio_done     => mdio_done,
 
-    mdio_done_ack_evt => mdio_done_ack_evt,
+      mdio_done_ack_evt => mdio_done_ack_evt,
 
-    hdr           => hdr,
-    tx_dat        => tx_dat
-  );
+      hdr           => hdr,
+      tx_dat        => tx_dat
+    );
 
   -- MDIO master
   u_mdio_phy : entity work.mdio_phy
-  port map (
-    gs_sim        => c_sim,
+    port map (
+      gs_sim        => c_sim,
 
-    rst           => rst,
-    clk           => clk,
+      rst           => rst,
+      clk           => clk,
 
-    mdio_en_evt   => mdio_en_evt,
-    mdio_done     => mdio_done,
+      mdio_en_evt   => mdio_en_evt,
+      mdio_done     => mdio_done,
 
-    mdio_done_ack_evt => mdio_done_ack_evt,
+      mdio_done_ack_evt => mdio_done_ack_evt,
 
-    hdr           => hdr,
-    tx_dat        => tx_dat,
-    rx_dat        => rx_dat,
+      hdr           => hdr,
+      tx_dat        => tx_dat,
+      rx_dat        => rx_dat,
 
-    -- External clock and serial data.
-    mdc           => mdc,
-    mdat_in       => mdat_in,
-    mdat_oen      => mdat_oen
-  );
+      -- External clock and serial data.
+      mdc           => mdc,
+      mdat_in       => mdat_in,
+      mdat_oen      => mdat_oen
+    );
 
   u_iobuf : entity common_lib.common_inout
-  port map (
-    dat_inout        => mdio,
-    dat_in_from_line => mdat_in,
-    dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
-    dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
-  );
+    port map (
+      dat_inout        => mdio,
+      dat_in_from_line => mdat_in,
+      dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
+      dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
+    );
 
   -- MDIO slave
   u_slave : entity work.mmd_slave
-  generic map (
-    g_st        => c_st,
-    g_prtad     => c_prtad,
-    g_devad     => c_devad,
-    g_reg_addr  => c_slave_reg_addr,
-    g_reg_dat   => c_slave_reg_data,
-    g_reg_w     => c_halfword_w
-  )
-  port map (
-    mdc         => mdc,
-    mdio        => mdio,
-    addr        => slave_addr,
-    reg_dat     => slave_reg_dat
-  );
+    generic map (
+      g_st        => c_st,
+      g_prtad     => c_prtad,
+      g_devad     => c_devad,
+      g_reg_addr  => c_slave_reg_addr,
+      g_reg_dat   => c_slave_reg_data,
+      g_reg_w     => c_halfword_w
+    )
+    port map (
+      mdc         => mdc,
+      mdio        => mdio,
+      addr        => slave_addr,
+      reg_dat     => slave_reg_dat
+    );
 
   slave_reg_addr <= std_logic_vector(to_unsigned(c_slave_reg_addr, c_halfword_w));
 
diff --git a/libraries/io/mdio/tb/vhdl/tb_mdio_phy_reg.vhd b/libraries/io/mdio/tb/vhdl/tb_mdio_phy_reg.vhd
index 2f8aa8d582..8ddb95f9b3 100644
--- a/libraries/io/mdio/tb/vhdl/tb_mdio_phy_reg.vhd
+++ b/libraries/io/mdio/tb/vhdl/tb_mdio_phy_reg.vhd
@@ -21,13 +21,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use work.mdio_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use work.mdio_pkg.all;
 
 entity tb_mdio_phy_reg is
 end tb_mdio_phy_reg;
@@ -182,71 +182,71 @@ begin
 
   -- MDIO phy register access
   u_mdio_phy_reg : entity work.mdio_phy_reg
-  port map (
-    mm_rst            => rst,
-    mm_clk            => clk,
+    port map (
+      mm_rst            => rst,
+      mm_clk            => clk,
 
-    mdio_rst          => rst,
-    mdio_clk          => clk,
+      mdio_rst          => rst,
+      mdio_clk          => clk,
 
-    sla_in            => mdio_mosi,
-    sla_out           => mdio_miso,
+      sla_in            => mdio_mosi,
+      sla_out           => mdio_miso,
 
-    mdio_en_evt       => en_evt,
-    mdio_done_ack_evt => done_ack_evt,
-    mdio_done         => done,
+      mdio_en_evt       => en_evt,
+      mdio_done_ack_evt => done_ack_evt,
+      mdio_done         => done,
 
-    mdio_hdr          => hdr,
-    mdio_tx_dat       => tx_dat,
-    mdio_rx_dat       => rx_dat
-  );
+      mdio_hdr          => hdr,
+      mdio_tx_dat       => tx_dat,
+      mdio_rx_dat       => rx_dat
+    );
 
   -- MDIO master
   u_mdio_phy : entity work.mdio_phy
-  port map (
-    gs_sim            => c_sim,
+    port map (
+      gs_sim            => c_sim,
 
-    rst               => rst,
-    clk               => clk,
+      rst               => rst,
+      clk               => clk,
 
-    mdio_en_evt       => en_evt,
-    mdio_done         => done,
-    mdio_done_ack_evt => done_ack_evt,
+      mdio_en_evt       => en_evt,
+      mdio_done         => done,
+      mdio_done_ack_evt => done_ack_evt,
 
-    hdr               => hdr,
-    tx_dat            => tx_dat,
-    rx_dat            => rx_dat,
+      hdr               => hdr,
+      tx_dat            => tx_dat,
+      rx_dat            => rx_dat,
 
-    -- External clock and serial data.
-    mdc               => mdc,
-    mdat_in           => mdat_in,
-    mdat_oen          => mdat_oen
-  );
+      -- External clock and serial data.
+      mdc               => mdc,
+      mdat_in           => mdat_in,
+      mdat_oen          => mdat_oen
+    );
 
   u_iobuf : entity common_lib.common_inout
-  port map (
-    dat_inout        => mdio,
-    dat_in_from_line => mdat_in,
-    dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
-    dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
-  );
+    port map (
+      dat_inout        => mdio,
+      dat_in_from_line => mdat_in,
+      dat_out_to_line  => '0',  -- for output '1' rely on external pull up, only
+      dat_out_en       => mdat_oen  -- pull low when mdat_oen (so implicitely mdat_out='0')
+    );
 
   -- MDIO slave
   u_slave : entity work.mmd_slave
-  generic map (
-    g_st        => c_st,
-    g_prtad     => c_prtad,
-    g_devad     => c_devad,
-    g_reg_addr  => c_slave_reg_addr,
-    g_reg_dat   => c_slave_reg_data,
-    g_reg_w     => c_halfword_w
-  )
-  port map (
-    mdc         => mdc,
-    mdio        => mdio,
-    addr        => slave_addr,
-    reg_dat     => slave_reg_dat
-  );
+    generic map (
+      g_st        => c_st,
+      g_prtad     => c_prtad,
+      g_devad     => c_devad,
+      g_reg_addr  => c_slave_reg_addr,
+      g_reg_dat   => c_slave_reg_data,
+      g_reg_w     => c_halfword_w
+    )
+    port map (
+      mdc         => mdc,
+      mdio        => mdio,
+      addr        => slave_addr,
+      reg_dat     => slave_reg_dat
+    );
 
   slave_reg_addr <= std_logic_vector(to_unsigned(c_slave_reg_addr, c_halfword_w));
 
diff --git a/libraries/io/nw_10GbE/src/vhdl/nw_10GbE.vhd b/libraries/io/nw_10GbE/src/vhdl/nw_10GbE.vhd
index cf592d5003..d035b50842 100644
--- a/libraries/io/nw_10GbE/src/vhdl/nw_10GbE.vhd
+++ b/libraries/io/nw_10GbE/src/vhdl/nw_10GbE.vhd
@@ -27,17 +27,17 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib, tr_10GbE_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity nw_10GbE is
   generic (
@@ -152,40 +152,40 @@ begin
     -- ARP request
     ---------------------------------------------------------------------------------------
     u_nw_arp_request : entity work.nw_arp_request
-    generic map (
-      g_period_s => g_arp_period_s
-    )
-    port map (
-      dp_pps => dp_pps,
-      dp_clk => dp_clk,
-      dp_rst => dp_rst,
-
-      src_out => nw_arp_request_src_out_arr(I),
-      src_in  => nw_arp_request_src_in_arr(I),
-
-      arp_sha => eth_src_mac_arr(I),
-      arp_spa => ip_src_addr_arr(I),
-      arp_tpa => ip_dst_addr_arr(I)
-    );
+      generic map (
+        g_period_s => g_arp_period_s
+      )
+      port map (
+        dp_pps => dp_pps,
+        dp_clk => dp_clk,
+        dp_rst => dp_rst,
+
+        src_out => nw_arp_request_src_out_arr(I),
+        src_in  => nw_arp_request_src_in_arr(I),
+
+        arp_sha => eth_src_mac_arr(I),
+        arp_spa => ip_src_addr_arr(I),
+        arp_tpa => ip_dst_addr_arr(I)
+      );
 
     ---------------------------------------------------------------------------------------
     -- PING response
     ---------------------------------------------------------------------------------------
     u_nw_ping_response : entity work.nw_ping_response
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      clk => dp_clk,
-      rst => dp_rst,
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        clk => dp_clk,
+        rst => dp_rst,
 
-      snk_in  => tr_10GbE_src_out_arr(I),
+        snk_in  => tr_10GbE_src_out_arr(I),
 
-      src_in  => nw_ping_response_src_in_arr(I),
-      src_out => nw_ping_response_src_out_arr(I),
+        src_in  => nw_ping_response_src_in_arr(I),
+        src_out => nw_ping_response_src_out_arr(I),
 
-      eth_src_mac => eth_src_mac_arr(I)
-    );
+        eth_src_mac => eth_src_mac_arr(I)
+      );
 
     ---------------------------------------------------------------------------------------
     -- dp_mux to multiplex the three possible data streams
@@ -199,22 +199,22 @@ begin
     nw_arp_request_src_in_arr(I)   <= dp_mux_snk_out_2arr(I)(2);
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      g_nof_input => c_nof_mux_streams,
-      g_sel_ctrl_invert => true,
-      g_fifo_size => array_init(0,c_nof_mux_streams),  -- no FIFO used but must match g_nof_input
-      g_fifo_fill => array_init(0,c_nof_mux_streams)  -- no FIFO used but must match g_nof_input
-    )
-    port map (
-      clk => dp_clk,
-      rst => dp_rst,
-
-      snk_in_arr  => dp_mux_snk_in_2arr(I),
-      snk_out_arr => dp_mux_snk_out_2arr(I),
-
-      src_out => tr_10GbE_snk_in_arr(I),
-      src_in  => tr_10GbE_snk_out_arr(I)
-    );
+      generic map (
+        g_nof_input => c_nof_mux_streams,
+        g_sel_ctrl_invert => true,
+        g_fifo_size => array_init(0,c_nof_mux_streams),  -- no FIFO used but must match g_nof_input
+        g_fifo_fill => array_init(0,c_nof_mux_streams)  -- no FIFO used but must match g_nof_input
+      )
+      port map (
+        clk => dp_clk,
+        rst => dp_rst,
+
+        snk_in_arr  => dp_mux_snk_in_2arr(I),
+        snk_out_arr => dp_mux_snk_out_2arr(I),
+
+        src_out => tr_10GbE_snk_in_arr(I),
+        src_in  => tr_10GbE_snk_out_arr(I)
+      );
 
   end generate;
 
@@ -222,72 +222,72 @@ begin
   -- tr_10GbE
   -------------
   u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-  generic map (
-    g_technology             => g_technology,
-    g_sim                    => g_sim,
-    g_sim_level              => g_sim_level,
-    g_nof_macs               => g_nof_macs,
-    g_direction              => g_direction,
-    g_use_mdio               => g_use_mdio,
-    g_mdio_epcs_dis          => g_mdio_epcs_dis,
-    g_tx_fifo_fill           => g_tx_fifo_fill,
-    g_tx_fifo_size           => g_tx_fifo_size,
-    g_rx_fifo_size           => g_rx_fifo_size,
-    g_word_alignment_padding => g_word_alignment_padding,
-    g_xon_backpressure       => g_xon_backpressure
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644      => tr_ref_clk_644,
-    tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-    tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-    tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+    generic map (
+      g_technology             => g_technology,
+      g_sim                    => g_sim,
+      g_sim_level              => g_sim_level,
+      g_nof_macs               => g_nof_macs,
+      g_direction              => g_direction,
+      g_use_mdio               => g_use_mdio,
+      g_mdio_epcs_dis          => g_mdio_epcs_dis,
+      g_tx_fifo_fill           => g_tx_fifo_fill,
+      g_tx_fifo_size           => g_tx_fifo_size,
+      g_rx_fifo_size           => g_rx_fifo_size,
+      g_word_alignment_padding => g_word_alignment_padding,
+      g_xon_backpressure       => g_xon_backpressure
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644      => tr_ref_clk_644,
+      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
 
-    -- Calibration & reconfig clock
-    cal_rec_clk         => cal_rec_clk,  -- for XAUI;
+      -- Calibration & reconfig clock
+      cal_rec_clk         => cal_rec_clk,  -- for XAUI;
 
-    -- MM interface
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
+      -- MM interface
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
 
-    reg_mac_mosi        => reg_mac_mosi,
-    reg_mac_miso        => reg_mac_miso,
+      reg_mac_mosi        => reg_mac_mosi,
+      reg_mac_miso        => reg_mac_miso,
 
-    xaui_mosi           => xaui_mosi,
-    xaui_miso           => xaui_miso,
+      xaui_mosi           => xaui_mosi,
+      xaui_miso           => xaui_miso,
 
-    reg_eth10g_mosi     => reg_eth10g_mosi,
-    reg_eth10g_miso     => reg_eth10g_miso,
+      reg_eth10g_mosi     => reg_eth10g_mosi,
+      reg_eth10g_miso     => reg_eth10g_miso,
 
-    mdio_mosi_arr       => mdio_mosi_arr,
-    mdio_miso_arr       => mdio_miso_arr,
+      mdio_mosi_arr       => mdio_mosi_arr,
+      mdio_miso_arr       => mdio_miso_arr,
 
-    reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-    reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+      reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+      reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
 
-    -- DP interface
-    dp_rst              => dp_rst,
-    dp_clk              => dp_clk,
+      -- DP interface
+      dp_rst              => dp_rst,
+      dp_clk              => dp_clk,
 
-    src_out_arr         => tr_10GbE_src_out_arr,
-    src_in_arr          => tr_10GbE_src_in_arr,
+      src_out_arr         => tr_10GbE_src_out_arr,
+      src_in_arr          => tr_10GbE_src_in_arr,
 
-    snk_out_arr         => tr_10GbE_snk_out_arr,
-    snk_in_arr          => tr_10GbE_snk_in_arr,
+      snk_out_arr         => tr_10GbE_snk_out_arr,
+      snk_in_arr          => tr_10GbE_snk_in_arr,
 
-    -- Serial XAUI IO
-    xaui_tx_arr         => xaui_tx_arr,
-    xaui_rx_arr         => xaui_rx_arr,
+      -- Serial XAUI IO
+      xaui_tx_arr         => xaui_tx_arr,
+      xaui_rx_arr         => xaui_rx_arr,
 
-    -- Serial IO
-    serial_tx_arr       => serial_tx_arr,
-    serial_rx_arr       => serial_rx_arr,
+      -- Serial IO
+      serial_tx_arr       => serial_tx_arr,
+      serial_rx_arr       => serial_rx_arr,
 
-    -- MDIO interface
-    mdio_rst            => mdio_rst,
-    mdio_mdc_arr        => mdio_mdc_arr,
-    mdio_mdat_in_arr    => mdio_mdat_in_arr,
-    mdio_mdat_oen_arr   => mdio_mdat_oen_arr
-  );
+      -- MDIO interface
+      mdio_rst            => mdio_rst,
+      mdio_mdc_arr        => mdio_mdc_arr,
+      mdio_mdat_in_arr    => mdio_mdat_in_arr,
+      mdio_mdat_oen_arr   => mdio_mdat_oen_arr
+    );
 
 end str;
diff --git a/libraries/io/nw_10GbE/src/vhdl/nw_arp_request.vhd b/libraries/io/nw_10GbE/src/vhdl/nw_arp_request.vhd
index 1584384970..026245f973 100644
--- a/libraries/io/nw_10GbE/src/vhdl/nw_arp_request.vhd
+++ b/libraries/io/nw_10GbE/src/vhdl/nw_arp_request.vhd
@@ -25,15 +25,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity nw_arp_request is
   generic (
@@ -60,17 +60,17 @@ architecture rtl of nw_arp_request is
   constant c_nof_hdr_fields : natural := 12;
   constant c_hdr_field_sel  : std_logic_vector(c_nof_hdr_fields - 1 downto 0) := (others => '0');
   constant c_hdr_field_arr : t_common_field_arr(c_nof_hdr_fields - 1 downto 0) := ( ( field_name_pad("eth_dst_mac"       ), "RW", 48, field_default(c_network_eth_bc_mac) ),  -- broadcast address
-                                                                                  ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(0) ),  -- same as arp_sha
-                                                                                  ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0806") ),  -- ARP type
-                                                                                  ( field_name_pad("arp_htype"         ), "RW", 16, field_default(1) ),  -- eth type
-                                                                                  ( field_name_pad("arp_ptype"         ), "RW", 16, field_default(x"0800") ),  -- IP type
-                                                                                  ( field_name_pad("arp_hlen"          ), "RW",  8, field_default(6) ),  -- Mac length is 6 bytes
-                                                                                  ( field_name_pad("arp_plen"          ), "RW",  8, field_default(4) ),  -- IP length is 4 bytes
-                                                                                  ( field_name_pad("arp_operation"     ), "RW", 16, field_default(1) ),  -- operation is request
-                                                                                  ( field_name_pad("arp_sha"           ), "RW", 48, field_default(0) ),  -- set later
-                                                                                  ( field_name_pad("arp_spa"           ), "RW", 32, field_default(0) ),  -- set later
-                                                                                  ( field_name_pad("arp_tha"           ), "RW", 48, field_default(0) ),  -- set to 0
-                                                                                  ( field_name_pad("arp_tpa"           ), "RW", 32, field_default(0) ));  -- set later
+    ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(0) ),  -- same as arp_sha
+    ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0806") ),  -- ARP type
+    ( field_name_pad("arp_htype"         ), "RW", 16, field_default(1) ),  -- eth type
+    ( field_name_pad("arp_ptype"         ), "RW", 16, field_default(x"0800") ),  -- IP type
+    ( field_name_pad("arp_hlen"          ), "RW",  8, field_default(6) ),  -- Mac length is 6 bytes
+    ( field_name_pad("arp_plen"          ), "RW",  8, field_default(4) ),  -- IP length is 4 bytes
+    ( field_name_pad("arp_operation"     ), "RW", 16, field_default(1) ),  -- operation is request
+    ( field_name_pad("arp_sha"           ), "RW", 48, field_default(0) ),  -- set later
+    ( field_name_pad("arp_spa"           ), "RW", 32, field_default(0) ),  -- set later
+    ( field_name_pad("arp_tha"           ), "RW", 48, field_default(0) ),  -- set to 0
+    ( field_name_pad("arp_tpa"           ), "RW", 32, field_default(0) ));  -- set later
 
   constant c_dp_field_blk_snk_data_w : natural := field_slv_len(c_hdr_field_arr);
   constant c_dp_field_blk_src_data_w : natural := c_data_w;
@@ -145,28 +145,28 @@ begin
 
   -- dp_field_blk to convert the ARP packet SLV to multi-cycle
   u_dp_field_blk : entity dp_lib.dp_field_blk
-  generic map (
-    g_field_arr      => c_hdr_field_arr,
-    g_field_sel      => c_hdr_field_sel,
-    g_snk_data_w     => c_dp_field_blk_snk_data_w,
-    g_src_data_w     => c_dp_field_blk_src_data_w,
-    g_in_symbol_w    => c_byte_w,
-    g_out_symbol_w   => c_byte_w
-  )
-  port map (
-    dp_clk       => dp_clk,
-    dp_rst       => dp_rst,
-
-    mm_clk       => '0',
-    mm_rst       => '0',
-
-    snk_in       => dp_field_blk_snk_in,
-    snk_out      => dp_field_blk_snk_out,
-
-    src_out      => src_out,
-    src_in       => src_in
-
-  );
+    generic map (
+      g_field_arr      => c_hdr_field_arr,
+      g_field_sel      => c_hdr_field_sel,
+      g_snk_data_w     => c_dp_field_blk_snk_data_w,
+      g_src_data_w     => c_dp_field_blk_src_data_w,
+      g_in_symbol_w    => c_byte_w,
+      g_out_symbol_w   => c_byte_w
+    )
+    port map (
+      dp_clk       => dp_clk,
+      dp_rst       => dp_rst,
+
+      mm_clk       => '0',
+      mm_rst       => '0',
+
+      snk_in       => dp_field_blk_snk_in,
+      snk_out      => dp_field_blk_snk_out,
+
+      src_out      => src_out,
+      src_in       => src_in
+
+    );
 
 
 end rtl;
diff --git a/libraries/io/nw_10GbE/src/vhdl/nw_ping_response.vhd b/libraries/io/nw_10GbE/src/vhdl/nw_ping_response.vhd
index 0d57d18c18..ae4f2254f1 100644
--- a/libraries/io/nw_10GbE/src/vhdl/nw_ping_response.vhd
+++ b/libraries/io/nw_10GbE/src/vhdl/nw_ping_response.vhd
@@ -26,16 +26,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity nw_ping_response is
   generic (
@@ -78,15 +78,17 @@ architecture rtl of nw_ping_response is
 
   signal r, rin : t_reg;
 
-  constant c_r_rst : t_reg := ( state => s_idle,
-                                word_cnt => 0,
-                                hdr_words_arr => (others => (others => '0')),
-                                hdr_fields => c_network_total_header_ones,
-                                hdr_response =>  (others => (others => '0')),
-                                ip_checksum => (others => '0'),
-                                icmp_checksum => (others => '0'),
-                                ip_sum => (others => '0'),
-                                src_out => c_dp_sosi_rst );
+  constant c_r_rst : t_reg := (
+     state => s_idle,
+    word_cnt => 0,
+    hdr_words_arr => (others => (others => '0')),
+    hdr_fields => c_network_total_header_ones,
+    hdr_response =>  (others => (others => '0')),
+    ip_checksum => (others => '0'),
+    icmp_checksum => (others => '0'),
+    ip_sum => (others => '0'),
+    src_out => c_dp_sosi_rst 
+  );
 
   signal dp_pipeline_src_out : t_dp_sosi;
   signal dp_fifo_sc_src_out : t_dp_sosi;
@@ -94,7 +96,7 @@ architecture rtl of nw_ping_response is
 
 begin
 
--- Combinational Process
+  -- Combinational Process
   p_comb : process(r, rst, snk_in, dp_pipeline_src_out, dp_fifo_sc_rd_emp, eth_src_mac)
     variable v : t_reg;
   begin
@@ -136,15 +138,15 @@ begin
       when s_sum =>  -- Sum halfwords of headers for checksum
         v.state := s_output;
         v.ip_sum := r.ip_sum
-                  + unsigned(r.hdr_response(1)(c_halfword_w - 1 downto 0))  -- ip_version, ip_header_length, ip_services
-                  + unsigned(r.hdr_response(2)(c_halfword_w * 4 - 1 downto c_halfword_w * 3))  -- ip_total_length
-		              + unsigned(r.hdr_response(2)(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_identification
-		              + unsigned(r.hdr_response(2)(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_flags, ip_fragment_offset
- 		              + unsigned(r.hdr_response(2)(c_halfword_w   - 1 downto 0))  -- ip_time_to_live, ip_protocol
-                  + unsigned(r.hdr_response(3)(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_src_addr(1/2)
-		              + unsigned(r.hdr_response(3)(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_src_addr(2/2)
-		              + unsigned(r.hdr_response(3)(c_halfword_w   - 1 downto 0))  -- ip_dst_addr(1/2)
-                  + unsigned(r.hdr_response(4)(c_halfword_w * 4 - 1 downto c_halfword_w * 3));  -- ip_dst_addr(2/2)
+                    + unsigned(r.hdr_response(1)(c_halfword_w - 1 downto 0))  -- ip_version, ip_header_length, ip_services
+                    + unsigned(r.hdr_response(2)(c_halfword_w * 4 - 1 downto c_halfword_w * 3))  -- ip_total_length
+                    + unsigned(r.hdr_response(2)(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_identification
+                    + unsigned(r.hdr_response(2)(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_flags, ip_fragment_offset
+                    + unsigned(r.hdr_response(2)(c_halfword_w   - 1 downto 0))  -- ip_time_to_live, ip_protocol
+                    + unsigned(r.hdr_response(3)(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_src_addr(1/2)
+                    + unsigned(r.hdr_response(3)(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_src_addr(2/2)
+                    + unsigned(r.hdr_response(3)(c_halfword_w   - 1 downto 0))  -- ip_dst_addr(1/2)
+                    + unsigned(r.hdr_response(4)(c_halfword_w * 4 - 1 downto c_halfword_w * 3));  -- ip_dst_addr(2/2)
 
 
       when s_output =>  -- Send out ICMP response
@@ -180,7 +182,7 @@ begin
         end if;
 
       when s_wait =>
-            v.src_out := c_dp_sosi_rst;
+        v.src_out := c_dp_sosi_rst;
         if dp_fifo_sc_rd_emp = '1' then  -- Wait until ping response has left the fifo
           v := c_r_rst;
         end if;
@@ -204,37 +206,37 @@ begin
 
   -- Pipeline packet payload
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline => c_pipeline
-  )
-  port map (
-    clk => clk,
-    rst => rst,
-    snk_in => snk_in,
-    src_out => dp_pipeline_src_out
-  );
+    generic map (
+      g_pipeline => c_pipeline
+    )
+    port map (
+      clk => clk,
+      rst => rst,
+      snk_in => snk_in,
+      src_out => dp_pipeline_src_out
+    );
 
   -- Store response packet until ready
   u_dp_fifo_sc : entity dp_lib.dp_fifo_sc
-  generic map (
-    g_technology  => g_technology,
-    g_data_w      => c_data_w,
-    g_empty_w     => c_dp_fifo_empty_w,
-    g_use_empty   => true,
-    g_fifo_size   => c_dp_fifo_size
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-
-    rd_emp   => dp_fifo_sc_rd_emp,
-
-    snk_out  => OPEN,
-    snk_in   => r.src_out,
-
-    src_in   => src_in,
-    src_out  => dp_fifo_sc_src_out
-  );
+    generic map (
+      g_technology  => g_technology,
+      g_data_w      => c_data_w,
+      g_empty_w     => c_dp_fifo_empty_w,
+      g_use_empty   => true,
+      g_fifo_size   => c_dp_fifo_size
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+
+      rd_emp   => dp_fifo_sc_rd_emp,
+
+      snk_out  => OPEN,
+      snk_in   => r.src_out,
+
+      src_in   => src_in,
+      src_out  => dp_fifo_sc_src_out
+    );
   src_out <= dp_fifo_sc_src_out;
 
 end rtl;
diff --git a/libraries/io/nw_10GbE/tb/vhdl/tb_nw_10GbE.vhd b/libraries/io/nw_10GbE/tb/vhdl/tb_nw_10GbE.vhd
index b6fe67a14d..c3485dc0fb 100644
--- a/libraries/io/nw_10GbE/tb/vhdl/tb_nw_10GbE.vhd
+++ b/libraries/io/nw_10GbE/tb/vhdl/tb_nw_10GbE.vhd
@@ -44,20 +44,20 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use common_lib.common_field_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use common_lib.common_field_pkg.all;
 
 entity tb_nw_10GbE is
   -- Test bench control parameters
@@ -91,7 +91,7 @@ architecture tb of tb_nw_10GbE is
   constant c_tx_fifo_fill       : natural := 100;
 
   constant c_pkt_length_arr1    : t_nat_natural_arr := array_init(0, 50, 1) & (1472, 1473) & 9000;  -- frame longer than 1518-46 = 1472 is received with rx_sosi.err = 8
-                                                                                                    -- jumbo frame is 9018-46 = 8972
+  -- jumbo frame is 9018-46 = 8972
   constant c_pkt_length_arr2    : t_nat_natural_arr := array_init(46, 10, 139) & 1472;
   constant c_pkt_length_arr     : t_nat_natural_arr := c_pkt_length_arr1 & c_pkt_length_arr2;
   constant c_nof_pkt1           : natural := c_pkt_length_arr1'length;
@@ -112,20 +112,20 @@ architecture tb of tb_nw_10GbE is
   signal total_header      : t_network_total_header := c_network_total_header_ones;  -- default fill all fields with value 1
 
   constant c_ip_hdr_field_arr : t_common_field_arr(3 + 12 - 1 downto 0) := ( ( field_name_pad("eth_dst_mac"       ), "RW", 48, field_default(x"ffffffffffff") ),
-                                                                         ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(x"e840f2acff78") ),
-                                                                         ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0800") ),
-                                                                         ( field_name_pad("ip_version"        ), "RW",  4, field_default(4) ),
-                                                                         ( field_name_pad("ip_header_length"  ), "RW",  4, field_default(5) ),
-                                                                         ( field_name_pad("ip_services"       ), "RW",  8, field_default(0) ),
-                                                                         ( field_name_pad("ip_total_length"   ), "RW", 16, field_default(x"54") ),
-                                                                         ( field_name_pad("ip_identification" ), "RW", 16, field_default(x"3daf") ),
-                                                                         ( field_name_pad("ip_flags"          ), "RW",  3, field_default(2) ),
-                                                                         ( field_name_pad("ip_fragment_offset"), "RW", 13, field_default(0) ),
-                                                                         ( field_name_pad("ip_time_to_live"   ), "RW",  8, field_default(x"40") ),
-                                                                         ( field_name_pad("ip_protocol"       ), "RW",  8, field_default(1) ),
-                                                                         ( field_name_pad("ip_header_checksum"), "RW", 16, field_default(x"e580") ),
-                                                                         ( field_name_pad("ip_src_addr"       ), "RW", 32, field_default(x"0a570224") ),
-                                                                         ( field_name_pad("ip_dst_addr"       ), "RW", 32, field_default(x"0a5700a8") ) );
+    ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(x"e840f2acff78") ),
+    ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"        ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"  ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"       ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"   ), "RW", 16, field_default(x"54") ),
+    ( field_name_pad("ip_identification" ), "RW", 16, field_default(x"3daf") ),
+    ( field_name_pad("ip_flags"          ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"   ), "RW",  8, field_default(x"40") ),
+    ( field_name_pad("ip_protocol"       ), "RW",  8, field_default(1) ),
+    ( field_name_pad("ip_header_checksum"), "RW", 16, field_default(x"e580") ),
+    ( field_name_pad("ip_src_addr"       ), "RW", 32, field_default(x"0a570224") ),
+    ( field_name_pad("ip_dst_addr"       ), "RW", 32, field_default(x"0a5700a8") ) );
   -- Clocks and reset
   signal tx_end_arr        : std_logic_vector(g_nof_channels - 1 downto 0);
   signal tx_end            : std_logic;
@@ -208,53 +208,53 @@ begin
     tr_ref_clk_644 <= not tr_ref_clk_644 after g_ref_clk_644_period / 2;
 
     pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      refclk_644 => tr_ref_clk_644,
-      rst_in     => mm_rst,
-      clk_156    => tr_ref_clk_156,
-      clk_312    => tr_ref_clk_312,
-      rst_156    => tr_ref_rst_156,
-      rst_312    => open
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        refclk_644 => tr_ref_clk_644,
+        rst_in     => mm_rst,
+        clk_156    => tr_ref_clk_156,
+        clk_312    => tr_ref_clk_312,
+        rst_156    => tr_ref_rst_156,
+        rst_312    => open
+      );
   end generate;
 
   -- Setup all MACs in series
   u_mm_setup : entity tech_mac_10g_lib.tb_tech_mac_10g_setup
-  generic map (
-    g_technology    => g_technology,
-    g_nof_macs      => g_nof_channels,
-    g_src_mac       => c_src_mac
-  )
-  port map (
-    tb_end    => rx_end,
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
-    mm_init   => mm_init,
-    mac_mosi  => mac_mosi,
-    mac_miso  => mac_miso
-  );
-
-  gen_transmitter : for I in 0 to g_nof_channels - 1 generate
-    -- Packet transmitter
-    u_transmitter : entity tech_mac_10g_lib.tb_tech_mac_10g_transmitter
     generic map (
-      g_data_type            => g_data_type,
-      g_pkt_length_arr1      => c_pkt_length_arr1,
-      g_pkt_length_arr2      => c_pkt_length_arr2,
-      g_verify_link_recovery => g_verify_link_recovery
+      g_technology    => g_technology,
+      g_nof_macs      => g_nof_channels,
+      g_src_mac       => c_src_mac
     )
     port map (
-      mm_init        => mm_init,
-      total_header   => total_header,
-      tx_clk         => dp_clk,
-      tx_siso        => tx_siso_arr(I),
-      tx_sosi        => tx_sosi_arr(I),
-      link_fault     => link_fault_arr(I),
-      tx_end         => tx_end_arr(I)
+      tb_end    => rx_end,
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
+      mm_init   => mm_init,
+      mac_mosi  => mac_mosi,
+      mac_miso  => mac_miso
     );
+
+  gen_transmitter : for I in 0 to g_nof_channels - 1 generate
+    -- Packet transmitter
+    u_transmitter : entity tech_mac_10g_lib.tb_tech_mac_10g_transmitter
+      generic map (
+        g_data_type            => g_data_type,
+        g_pkt_length_arr1      => c_pkt_length_arr1,
+        g_pkt_length_arr2      => c_pkt_length_arr2,
+        g_verify_link_recovery => g_verify_link_recovery
+      )
+      port map (
+        mm_init        => mm_init,
+        total_header   => total_header,
+        tx_clk         => dp_clk,
+        tx_siso        => tx_siso_arr(I),
+        tx_sosi        => tx_sosi_arr(I),
+        link_fault     => link_fault_arr(I),
+        tx_end         => tx_end_arr(I)
+      );
   end generate;
 
   no_dut : if g_no_dut = true generate
@@ -264,148 +264,148 @@ begin
 
   gen_dut : if g_no_dut = false generate
     u_nw_10GbE : entity work.nw_10GbE
-    generic map (
-      g_technology             => g_technology,
-      g_sim                    => c_sim,
-      g_sim_level              => g_sim_level,  -- 0 = use IP; 1 = use fast serdes model
-      g_nof_macs               => g_nof_channels,
-      g_direction              => g_direction,
-      g_use_mdio               => true,
-      g_mdio_epcs_dis          => true,  -- TRUE disables Enhanced PCS on init; e.g. to target a 10GbE card in PC that does not support it
-      g_tx_fifo_fill           => c_tx_fifo_fill,
-      g_tx_fifo_size           => 256,
-      g_word_alignment_padding => true,
-      g_ip_hdr_field_arr       => c_ip_hdr_field_arr
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644      => tr_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
-      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-      -- Calibration & reconfig clock
-      cal_rec_clk         => cal_clk,
-
-      -- MM interface
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-
-      reg_mac_mosi        => mac_mosi,
-      reg_mac_miso        => mac_miso,
-
-      xaui_mosi           => c_mem_mosi_rst,
-      xaui_miso           => OPEN,
-
-      mdio_mosi_arr       => (others => c_mem_mosi_rst),
-      mdio_miso_arr       => OPEN,
-
-      -- DP interface
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-      dp_pps              => dp_pps,
-
-      snk_out_arr         => tx_siso_arr,
-      snk_in_arr          => tx_sosi_arr,
-
-      src_in_arr          => rx_siso_arr,
-      src_out_arr         => rx_sosi_arr,
-
-      -- Serial XAUI interface
-      xaui_tx_arr         => xaui_tx_arr,
-      xaui_rx_arr         => xaui_rx_arr,
-
-      -- Serial IO
-      serial_tx_arr       => serial_tx_arr,
-      serial_rx_arr       => serial_rx_arr,
-
-      -- MDIO interface
-      mdio_rst            => OPEN,
-      mdio_mdc_arr        => OPEN,
-      mdio_mdat_in_arr    => (others => '0'),
-      mdio_mdat_oen_arr   => OPEN,
-
-      hdr_fields_in_arr   => (others => (others => '0'))
-    );
+      generic map (
+        g_technology             => g_technology,
+        g_sim                    => c_sim,
+        g_sim_level              => g_sim_level,  -- 0 = use IP; 1 = use fast serdes model
+        g_nof_macs               => g_nof_channels,
+        g_direction              => g_direction,
+        g_use_mdio               => true,
+        g_mdio_epcs_dis          => true,  -- TRUE disables Enhanced PCS on init; e.g. to target a 10GbE card in PC that does not support it
+        g_tx_fifo_fill           => c_tx_fifo_fill,
+        g_tx_fifo_size           => 256,
+        g_word_alignment_padding => true,
+        g_ip_hdr_field_arr       => c_ip_hdr_field_arr
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644      => tr_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
+        tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+        tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+        tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+        -- Calibration & reconfig clock
+        cal_rec_clk         => cal_clk,
+
+        -- MM interface
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+
+        reg_mac_mosi        => mac_mosi,
+        reg_mac_miso        => mac_miso,
+
+        xaui_mosi           => c_mem_mosi_rst,
+        xaui_miso           => OPEN,
+
+        mdio_mosi_arr       => (others => c_mem_mosi_rst),
+        mdio_miso_arr       => OPEN,
+
+        -- DP interface
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+        dp_pps              => dp_pps,
+
+        snk_out_arr         => tx_siso_arr,
+        snk_in_arr          => tx_sosi_arr,
+
+        src_in_arr          => rx_siso_arr,
+        src_out_arr         => rx_sosi_arr,
+
+        -- Serial XAUI interface
+        xaui_tx_arr         => xaui_tx_arr,
+        xaui_rx_arr         => xaui_rx_arr,
+
+        -- Serial IO
+        serial_tx_arr       => serial_tx_arr,
+        serial_rx_arr       => serial_rx_arr,
+
+        -- MDIO interface
+        mdio_rst            => OPEN,
+        mdio_mdc_arr        => OPEN,
+        mdio_mdat_in_arr    => (others => '0'),
+        mdio_mdat_oen_arr   => OPEN,
+
+        hdr_fields_in_arr   => (others => (others => '0'))
+      );
   end generate;
 
   gen_link_connect : for I in 0 to g_nof_channels - 1 generate
     u_link_connect : entity tech_mac_10g_lib.tb_tech_mac_10g_link_connect
-    generic map (
-      g_loopback    => c_tx_rx_loopback,
-      g_link_delay  => phy_delay
-    )
-    port map (
-      link_fault   => link_fault_arr(I),  -- when '1' then forces rx_serial_arr(0)='0'
-
-      -- 10GBASE-R serial layer connect
-      serial_tx    => serial_tx_arr(I),
-      serial_rx    => serial_rx_arr(I),  -- connects to delayed tx_serial when g_loopback=TRUE
-
-      -- XAUI serial layer connect
-      xaui_tx      => xaui_tx_arr(I),
-      xaui_rx      => xaui_rx_arr(I)  -- connects to delayed xaui_tx when g_loopback=TRUE
-    );
+      generic map (
+        g_loopback    => c_tx_rx_loopback,
+        g_link_delay  => phy_delay
+      )
+      port map (
+        link_fault   => link_fault_arr(I),  -- when '1' then forces rx_serial_arr(0)='0'
+
+        -- 10GBASE-R serial layer connect
+        serial_tx    => serial_tx_arr(I),
+        serial_rx    => serial_rx_arr(I),  -- connects to delayed tx_serial when g_loopback=TRUE
+
+        -- XAUI serial layer connect
+        xaui_tx      => xaui_tx_arr(I),
+        xaui_rx      => xaui_rx_arr(I)  -- connects to delayed xaui_tx when g_loopback=TRUE
+      );
   end generate;
 
   gen_receiver : for I in 0 to g_nof_channels - 1 generate
     u_receiver : entity tech_mac_10g_lib.tb_tech_mac_10_receiver
-    generic map (
-      g_data_type  => g_data_type
-    )
-    port map (
-      mm_init        => mm_init,
-      total_header   => total_header,
-      rx_clk         => dp_clk,
-      rx_sosi        => rx_sosi_arr(I),
-      rx_siso        => rx_siso_arr(I),
-      rx_toggle      => rx_toggle_arr(I)
-    );
+      generic map (
+        g_data_type  => g_data_type
+      )
+      port map (
+        mm_init        => mm_init,
+        total_header   => total_header,
+        rx_clk         => dp_clk,
+        rx_sosi        => rx_sosi_arr(I),
+        rx_siso        => rx_siso_arr(I),
+        rx_toggle      => rx_toggle_arr(I)
+      );
   end generate;
 
   gen_verify_rx_at_eop : for I in 0 to g_nof_channels - 1 generate
     u_verify_rx_at_eop : entity tech_mac_10g_lib.tb_tech_mac_10_verify_rx_at_eop
-    generic map (
-      g_no_padding     => g_no_dut,
-      g_pkt_length_arr => c_pkt_length_arr
-    )
-    port map (
-      tx_clk      => dp_clk,
-      tx_sosi     => tx_sosi_arr(I),
-      rx_clk      => dp_clk,
-      rx_sosi     => rx_sosi_arr(I)
-    );
+      generic map (
+        g_no_padding     => g_no_dut,
+        g_pkt_length_arr => c_pkt_length_arr
+      )
+      port map (
+        tx_clk      => dp_clk,
+        tx_sosi     => tx_sosi_arr(I),
+        rx_clk      => dp_clk,
+        rx_sosi     => rx_sosi_arr(I)
+      );
   end generate;
 
   gen_verify_rx_pkt_cnt : for I in 0 to g_nof_channels - 1 generate
     u_verify_rx_pkt_cnt : entity tech_mac_10g_lib.tb_tech_mac_10g_verify_rx_pkt_cnt
-    generic map (
-      g_nof_pkt   => c_nof_pkt
-    )
-    port map (
-      tx_clk      => dp_clk,
-      tx_sosi     => tx_sosi_arr(I),
-      rx_clk      => dp_clk,
-      rx_sosi     => rx_sosi_arr(I),
-      tx_pkt_cnt  => tx_pkt_cnt_arr(I),
-      rx_pkt_cnt  => rx_pkt_cnt_arr(I),
-      rx_end      => rx_end
-    );
+      generic map (
+        g_nof_pkt   => c_nof_pkt
+      )
+      port map (
+        tx_clk      => dp_clk,
+        tx_sosi     => tx_sosi_arr(I),
+        rx_clk      => dp_clk,
+        rx_sosi     => rx_sosi_arr(I),
+        tx_pkt_cnt  => tx_pkt_cnt_arr(I),
+        rx_pkt_cnt  => rx_pkt_cnt_arr(I),
+        rx_end      => rx_end
+      );
   end generate;
 
   -- Stop the simulation
   tx_end <= andv(tx_end_arr);
 
   u_simulation_end : entity tech_mac_10g_lib.tb_tech_mac_10g_simulation_end
-  generic map (
-    g_tb_end            => g_tb_end,
-    g_nof_clk_to_rx_end => 1000
-  )
-  port map (
-    clk       => dp_clk,
-    tx_end    => tx_end,
-    rx_end    => rx_end,
-    tb_end    => tb_end
-  );
+    generic map (
+      g_tb_end            => g_tb_end,
+      g_nof_clk_to_rx_end => 1000
+    )
+    port map (
+      clk       => dp_clk,
+      tx_end    => tx_end,
+      rx_end    => rx_end,
+      tb_end    => tb_end
+    );
 
 end tb;
diff --git a/libraries/io/nw_10GbE/tb/vhdl/tb_nw_arp_request.vhd b/libraries/io/nw_10GbE/tb/vhdl/tb_nw_arp_request.vhd
index b553fe4617..c119b51b84 100644
--- a/libraries/io/nw_10GbE/tb/vhdl/tb_nw_arp_request.vhd
+++ b/libraries/io/nw_10GbE/tb/vhdl/tb_nw_arp_request.vhd
@@ -28,19 +28,19 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_field_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_nw_arp_request is
-generic (
-  g_test_backpressure : boolean := true
-        );
+  generic (
+    g_test_backpressure : boolean := true
+  );
 end tb_nw_arp_request;
 
 architecture tb of tb_nw_arp_request is
@@ -88,20 +88,20 @@ begin
   end process;
 
   dut: entity work.nw_arp_request
-  generic map(
-    g_period_s  =>  1
-  )
-  port map(
-    dp_pps  => dp_pps,
-    dp_clk  => clk,
-    dp_rst  => rst,
+    generic map(
+      g_period_s  =>  1
+    )
+    port map(
+      dp_pps  => dp_pps,
+      dp_clk  => clk,
+      dp_rst  => rst,
 
-    src_in  => src_in,
-    src_out => src_out,
+      src_in  => src_in,
+      src_out => src_out,
 
-    arp_sha => arp_sha,
-    arp_spa => arp_spa,
-    arp_tpa => arp_tpa
-  );
+      arp_sha => arp_sha,
+      arp_spa => arp_spa,
+      arp_tpa => arp_tpa
+    );
 
 end tb;
diff --git a/libraries/io/nw_10GbE/tb/vhdl/tb_nw_ping_response.vhd b/libraries/io/nw_10GbE/tb/vhdl/tb_nw_ping_response.vhd
index 3fd06e13ed..8b0beb7e1f 100644
--- a/libraries/io/nw_10GbE/tb/vhdl/tb_nw_ping_response.vhd
+++ b/libraries/io/nw_10GbE/tb/vhdl/tb_nw_ping_response.vhd
@@ -28,14 +28,14 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_lfsr_sequences_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_field_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_lfsr_sequences_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_nw_ping_response is
 end tb_nw_ping_response;
@@ -51,48 +51,48 @@ architecture tb of tb_nw_ping_response is
   constant c_nof_udp_packet_fields : natural := 20;
 
   constant c_ping_packet : t_common_field_arr(c_nof_ping_packet_fields - 1 downto 0) := ( ( field_name_pad("eth_dst_mac"       ), "RW", 48, field_default(x"ffffffffffff") ),
-                                                                                        ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(x"e840f2acff78") ),
-                                                                                        ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0800") ),
-                                                                                        ( field_name_pad("ip_version"        ), "RW",  4, field_default(4) ),
-                                                                                        ( field_name_pad("ip_header_length"  ), "RW",  4, field_default(5) ),
-                                                                                        ( field_name_pad("ip_services"       ), "RW",  8, field_default(0) ),
-                                                                                        ( field_name_pad("ip_total_length"   ), "RW", 16, field_default(x"54") ),
-                                                                                        ( field_name_pad("ip_identification" ), "RW", 16, field_default(x"3daf") ),
-                                                                                        ( field_name_pad("ip_flags"          ), "RW",  3, field_default(2) ),
-                                                                                        ( field_name_pad("ip_fragment_offset"), "RW", 13, field_default(0) ),
-                                                                                        ( field_name_pad("ip_time_to_live"   ), "RW",  8, field_default(x"40") ),
-                                                                                        ( field_name_pad("ip_protocol"       ), "RW",  8, field_default(1) ),
-                                                                                        ( field_name_pad("ip_header_checksum"), "RW", 16, field_default(x"e580") ),
-                                                                                        ( field_name_pad("ip_src_addr"       ), "RW", 32, field_default(x"0a570224") ),
-                                                                                        ( field_name_pad("ip_dst_addr"       ), "RW", 32, field_default(x"0a5700a8") ),
-                                                                                        ( field_name_pad("icmp_type"         ), "RW",  8, field_default(8) ),
-                                                                                        ( field_name_pad("icmp_code"         ), "RW",  8, field_default(0) ),
-                                                                                        ( field_name_pad("icmp_checksum"     ), "RW", 16, field_default(x"117B") ),
-                                                                                        ( field_name_pad("icmp_identifier"   ), "RW", 16, field_default(x"7052") ),
-                                                                                        ( field_name_pad("icmp_seq_number"   ), "RW", 16, field_default(x"0001") ),
-                                                                                        ( field_name_pad("icmp_payload"      ), "RW", 160, field_default(x"123456789ABCDEF01234123456789ABCDEF01234") ) );
+    ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(x"e840f2acff78") ),
+    ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"        ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"  ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"       ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"   ), "RW", 16, field_default(x"54") ),
+    ( field_name_pad("ip_identification" ), "RW", 16, field_default(x"3daf") ),
+    ( field_name_pad("ip_flags"          ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"   ), "RW",  8, field_default(x"40") ),
+    ( field_name_pad("ip_protocol"       ), "RW",  8, field_default(1) ),
+    ( field_name_pad("ip_header_checksum"), "RW", 16, field_default(x"e580") ),
+    ( field_name_pad("ip_src_addr"       ), "RW", 32, field_default(x"0a570224") ),
+    ( field_name_pad("ip_dst_addr"       ), "RW", 32, field_default(x"0a5700a8") ),
+    ( field_name_pad("icmp_type"         ), "RW",  8, field_default(8) ),
+    ( field_name_pad("icmp_code"         ), "RW",  8, field_default(0) ),
+    ( field_name_pad("icmp_checksum"     ), "RW", 16, field_default(x"117B") ),
+    ( field_name_pad("icmp_identifier"   ), "RW", 16, field_default(x"7052") ),
+    ( field_name_pad("icmp_seq_number"   ), "RW", 16, field_default(x"0001") ),
+    ( field_name_pad("icmp_payload"      ), "RW", 160, field_default(x"123456789ABCDEF01234123456789ABCDEF01234") ) );
 
 
   constant c_udp_packet : t_common_field_arr(c_nof_udp_packet_fields - 1 downto 0) := ( ( field_name_pad("eth_dst_mac"       ), "RW", 48, field_default(x"00074306C700") ),
-                                                                                      ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(0) ),
-                                                                                      ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0800") ),
-                                                                                      ( field_name_pad("ip_version"        ), "RW",  4, field_default(4) ),
-                                                                                      ( field_name_pad("ip_header_length"  ), "RW",  4, field_default(5) ),
-                                                                                      ( field_name_pad("ip_services"       ), "RW",  8, field_default(0) ),
-                                                                                      ( field_name_pad("ip_total_length"   ), "RW", 16, field_default(810) ),
-                                                                                      ( field_name_pad("ip_identification" ), "RW", 16, field_default(0) ),
-                                                                                      ( field_name_pad("ip_flags"          ), "RW",  3, field_default(2) ),
-                                                                                      ( field_name_pad("ip_fragment_offset"), "RW", 13, field_default(0) ),
-                                                                                      ( field_name_pad("ip_time_to_live"   ), "RW",  8, field_default(127) ),
-                                                                                      ( field_name_pad("ip_protocol"       ), "RW",  8, field_default(17) ),
-                                                                                      ( field_name_pad("ip_header_checksum"), "RW", 16, field_default(0) ),
-                                                                                      ( field_name_pad("ip_src_addr"       ), "RW", 32, field_default(0) ),
-                                                                                      ( field_name_pad("ip_dst_addr"       ), "RW", 32, field_default(x"C0A80001") ),
-                                                                                      ( field_name_pad("udp_src_port"      ), "RW", 16, field_default(0) ),
-                                                                                      ( field_name_pad("udp_dst_port"      ), "RW", 16, field_default(0) ),
-                                                                                      ( field_name_pad("udp_total_length"  ), "RW", 16, field_default(790) ),
-                                                                                      ( field_name_pad("udp_checksum"      ), "RW", 16, field_default(0) ),
-                                                                                      ( field_name_pad("udp_payload"       ), "RW", 160, field_default(x"123456789ABCDEF01234123456789ABCDEF01234") ) );
+    ( field_name_pad("eth_src_mac"       ), "RW", 48, field_default(0) ),
+    ( field_name_pad("eth_type"          ), "RW", 16, field_default(x"0800") ),
+    ( field_name_pad("ip_version"        ), "RW",  4, field_default(4) ),
+    ( field_name_pad("ip_header_length"  ), "RW",  4, field_default(5) ),
+    ( field_name_pad("ip_services"       ), "RW",  8, field_default(0) ),
+    ( field_name_pad("ip_total_length"   ), "RW", 16, field_default(810) ),
+    ( field_name_pad("ip_identification" ), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_flags"          ), "RW",  3, field_default(2) ),
+    ( field_name_pad("ip_fragment_offset"), "RW", 13, field_default(0) ),
+    ( field_name_pad("ip_time_to_live"   ), "RW",  8, field_default(127) ),
+    ( field_name_pad("ip_protocol"       ), "RW",  8, field_default(17) ),
+    ( field_name_pad("ip_header_checksum"), "RW", 16, field_default(0) ),
+    ( field_name_pad("ip_src_addr"       ), "RW", 32, field_default(0) ),
+    ( field_name_pad("ip_dst_addr"       ), "RW", 32, field_default(x"C0A80001") ),
+    ( field_name_pad("udp_src_port"      ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_dst_port"      ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_total_length"  ), "RW", 16, field_default(790) ),
+    ( field_name_pad("udp_checksum"      ), "RW", 16, field_default(0) ),
+    ( field_name_pad("udp_payload"       ), "RW", 160, field_default(x"123456789ABCDEF01234123456789ABCDEF01234") ) );
 
   constant c_ping_packet_len : natural := field_slv_out_len(c_ping_packet);
   constant c_udp_packet_len  : natural := field_slv_out_len(c_udp_packet);
@@ -147,7 +147,7 @@ begin
   end process;
 
   p_stimuli : process(clk)
-  variable start : boolean := false;
+    variable start : boolean := false;
   begin
     if rising_edge(clk) then
       if cnt = 5 then
diff --git a/libraries/io/nw_10GbE/tb/vhdl/tb_tb_nw_10GbE.vhd b/libraries/io/nw_10GbE/tb/vhdl/tb_tb_nw_10GbE.vhd
index 3215edd2d9..c0f55c3658 100644
--- a/libraries/io/nw_10GbE/tb/vhdl/tb_tb_nw_10GbE.vhd
+++ b/libraries/io/nw_10GbE/tb/vhdl/tb_tb_nw_10GbE.vhd
@@ -29,11 +29,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_tb_nw_10GbE is
 end tb_tb_nw_10GbE;
@@ -48,17 +48,17 @@ architecture tb of tb_tb_nw_10GbE is
   signal   tb_end       : std_logic := '0';
 begin
 
--- g_technology              : NATURAL := c_tech_select_default;
--- g_tb_end                  : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- g_no_dut                  : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
--- g_dp_clk_period           : TIME :=  5 ns;     -- 200 MHz
--- g_sim_level               : NATURAL := 1;      -- 0 = use IP; 1 = use fast serdes model
--- g_nof_channels            : NATURAL := 1;
--- g_direction               : STRING := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
--- g_ref_clk_644_period      : TIME := tech_pll_clk_644_period;  -- for 10GBASE-R
--- g_ref_clk_156_period      : TIME := 6.4 ns;                   -- for XAUI
--- g_data_type               : NATURAL := c_tb_tech_mac_10g_data_type_symbols;
--- g_verify_link_recovery    : BOOLEAN := TRUE;
+  -- g_technology              : NATURAL := c_tech_select_default;
+  -- g_tb_end                  : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- g_no_dut                  : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
+  -- g_dp_clk_period           : TIME :=  5 ns;     -- 200 MHz
+  -- g_sim_level               : NATURAL := 1;      -- 0 = use IP; 1 = use fast serdes model
+  -- g_nof_channels            : NATURAL := 1;
+  -- g_direction               : STRING := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+  -- g_ref_clk_644_period      : TIME := tech_pll_clk_644_period;  -- for 10GBASE-R
+  -- g_ref_clk_156_period      : TIME := 6.4 ns;                   -- for XAUI
+  -- g_data_type               : NATURAL := c_tb_tech_mac_10g_data_type_symbols;
+  -- g_verify_link_recovery    : BOOLEAN := TRUE;
 
   u_no_dut                     : entity work.tb_nw_10GbE generic map (c_tech_select_default, false,  true, 5   ns, 0, 1, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(0));
   u_nw_10GbE                   : entity work.tb_nw_10GbE generic map (c_tech_select_default, false, false, 5   ns, 0, 1, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(1));
@@ -70,7 +70,7 @@ begin
   end generate;
   -- For arria10, nof_channels need to be 1, 4, 12, 24, 48.
   gen_24_channels : if c_tech_select_default /= c_tech_stratixiv generate
-  u_nw_10GbE_nof_channels_is_24 : entity work.tb_nw_10GbE generic map (c_tech_select_default, false, false, 5   ns, 0, 24, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(5));
+    u_nw_10GbE_nof_channels_is_24 : entity work.tb_nw_10GbE generic map (c_tech_select_default, false, false, 5   ns, 0, 24, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(5));
   end generate;
   u_nw_10GbE_sim_level_is_1    : entity work.tb_nw_10GbE generic map (c_tech_select_default, false, false, 5   ns, 1, 1, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(6));
 
diff --git a/libraries/io/ppsh/src/vhdl/mm_ppsh.vhd b/libraries/io/ppsh/src/vhdl/mm_ppsh.vhd
index b97ddded78..929d77941d 100644
--- a/libraries/io/ppsh/src/vhdl/mm_ppsh.vhd
+++ b/libraries/io/ppsh/src/vhdl/mm_ppsh.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Purpose : Get info from ppsh into mm_clk domain
 -- Description: See ppsh.vhd
@@ -85,33 +85,33 @@ begin
   end process;
 
   u_pps : entity work.ppsh
-  generic map (
-    g_technology => g_technology,
-    g_clk_freq => g_ext_clk_freq
-  )
-  port map (
-    rst           => ext_rst,
-    clk           => ext_clk,
-    -- PPS
-    pps_ext       => ext_pps,
-    pps_sys       => i_pps_pulse,
-    -- MM control
-    pps_toggle    => i_pps_toggle,
-    capture_edge  => ext_capture_edge,
-    capture_cnt   => pps_capture_cnt
-  );
+    generic map (
+      g_technology => g_technology,
+      g_clk_freq => g_ext_clk_freq
+    )
+    port map (
+      rst           => ext_rst,
+      clk           => ext_clk,
+      -- PPS
+      pps_ext       => ext_pps,
+      pps_sys       => i_pps_pulse,
+      -- MM control
+      pps_toggle    => i_pps_toggle,
+      capture_edge  => ext_capture_edge,
+      capture_cnt   => pps_capture_cnt
+    );
 
   -- Use pps_pulse to get the PPS info from u_pps into the MM clock domain,
   -- because after the pps_pulse the pps_toggle and pps_capture_cnt are stable
   u_pps_pulse : entity common_lib.common_spulse
-  port map (
-    in_rst       => ext_rst,
-    in_clk       => ext_clk,
-    in_pulse     => i_pps_pulse,
-    out_rst      => mm_rst,
-    out_clk      => mm_clk,
-    out_pulse    => i_mm_pps_pulse
-  );
+    port map (
+      in_rst       => ext_rst,
+      in_clk       => ext_clk,
+      in_pulse     => i_pps_pulse,
+      out_rst      => mm_rst,
+      out_clk      => mm_clk,
+      out_pulse    => i_mm_pps_pulse
+    );
 
   nxt_mm_pps_toggle      <= i_pps_toggle    when i_mm_pps_pulse = '1' else i_mm_pps_toggle;
   nxt_mm_pps_capture_cnt <= pps_capture_cnt when i_mm_pps_pulse = '1' else i_mm_pps_capture_cnt;
diff --git a/libraries/io/ppsh/src/vhdl/mms_ppsh.vhd b/libraries/io/ppsh/src/vhdl/mms_ppsh.vhd
index 3bd213f470..74ac573ab0 100644
--- a/libraries/io/ppsh/src/vhdl/mms_ppsh.vhd
+++ b/libraries/io/ppsh/src/vhdl/mms_ppsh.vhd
@@ -23,11 +23,11 @@
 -- Description: See ppsh.vhd
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_ppsh is
   generic (
@@ -83,55 +83,55 @@ begin
   pps_sys <= st_pps_sys;
 
   u_ppsh : entity work.ppsh
-  generic map (
-    g_technology     => g_technology,
-    g_clk_freq       => g_st_clk_freq
-  )
-  port map (
-    rst              => st_rst,
-    clk              => st_clk,
-    -- PPS
-    pps_ext          => pps_ext,
-    pps_sys          => st_pps_sys,
-    -- MM control
-    pps_toggle       => st_pps_toggle,
-    pps_stable       => st_pps_stable,
-    capture_cnt      => st_capture_cnt,
-    offset_cnt       => st_offset_cnt,
-    pps_stable_ack   => st_pps_stable_ack,
-    capture_edge     => st_capture_edge,
-    expected_cnt     => st_expected_cnt
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_clk_freq       => g_st_clk_freq
+    )
+    port map (
+      rst              => st_rst,
+      clk              => st_clk,
+      -- PPS
+      pps_ext          => pps_ext,
+      pps_sys          => st_pps_sys,
+      -- MM control
+      pps_toggle       => st_pps_toggle,
+      pps_stable       => st_pps_stable,
+      capture_cnt      => st_capture_cnt,
+      offset_cnt       => st_offset_cnt,
+      pps_stable_ack   => st_pps_stable_ack,
+      capture_edge     => st_capture_edge,
+      expected_cnt     => st_expected_cnt
+    );
 
   ------------------------------------------------------------------------------
   -- New MM interface via avs_common_mm
   ------------------------------------------------------------------------------
 
   u_mm_reg : entity work.ppsh_reg
-  generic map (
-    g_cross_clock_domain => g_cross_clock_domain,
-    g_st_clk_freq        => g_st_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst              => mm_rst,
-    mm_clk              => mm_clk,
-    st_rst              => st_rst,
-    st_clk              => st_clk,
-
-    -- Memory Mapped Slave in mm_clk domain
-    sla_in              => reg_mosi,
-    sla_out             => reg_miso,
-
-    -- MM registers in st_clk domain
-    st_pps_toggle       => st_pps_toggle,
-    st_pps_stable       => st_pps_stable,
-    st_pps_stable_ack   => st_pps_stable_ack,
-    st_capture_cnt      => st_capture_cnt,
-    st_offset_cnt       => st_offset_cnt,
-    st_capture_edge     => st_capture_edge,
-    st_expected_cnt     => st_expected_cnt
-  );
+    generic map (
+      g_cross_clock_domain => g_cross_clock_domain,
+      g_st_clk_freq        => g_st_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst              => mm_rst,
+      mm_clk              => mm_clk,
+      st_rst              => st_rst,
+      st_clk              => st_clk,
+
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in              => reg_mosi,
+      sla_out             => reg_miso,
+
+      -- MM registers in st_clk domain
+      st_pps_toggle       => st_pps_toggle,
+      st_pps_stable       => st_pps_stable,
+      st_pps_stable_ack   => st_pps_stable_ack,
+      st_capture_cnt      => st_capture_cnt,
+      st_offset_cnt       => st_offset_cnt,
+      st_capture_edge     => st_capture_edge,
+      st_expected_cnt     => st_expected_cnt
+    );
 
   ------------------------------------------------------------------------------
   -- Old MM interface via PIO
@@ -151,14 +151,14 @@ begin
   -- Use mm_pps to get the PPS info from u_pps into the MM clock domain,
   -- because after the pps_pulse the pps_toggle and capture_cnt are stable
   u_mm_pps : entity common_lib.common_spulse
-  port map (
-    in_rst       => st_rst,
-    in_clk       => st_clk,
-    in_pulse     => st_pps_sys,
-    out_rst      => mm_rst,
-    out_clk      => mm_clk,
-    out_pulse    => mm_pps_sys
-  );
+    port map (
+      in_rst       => st_rst,
+      in_clk       => st_clk,
+      in_pulse     => st_pps_sys,
+      out_rst      => mm_rst,
+      out_clk      => mm_clk,
+      out_pulse    => mm_pps_sys
+    );
 
   nxt_mm_pps_toggle  <= st_pps_toggle  when mm_pps_sys = '1' else mm_pps_toggle;
   nxt_mm_capture_cnt <= st_capture_cnt when mm_pps_sys = '1' else mm_capture_cnt;
diff --git a/libraries/io/ppsh/src/vhdl/ppsh.vhd b/libraries/io/ppsh/src/vhdl/ppsh.vhd
index ebc760809e..1292cc2542 100644
--- a/libraries/io/ppsh/src/vhdl/ppsh.vhd
+++ b/libraries/io/ppsh/src/vhdl/ppsh.vhd
@@ -48,10 +48,10 @@
 --   pin.
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity ppsh is
   generic (
@@ -72,7 +72,7 @@ entity ppsh is
     pps_stable_ack : in  std_logic := '0';  -- pps stable acknowledge in clk domain
     capture_edge   : in  std_logic := '0';  -- when '0' then clock pps_ext on rising edge of clk, else use falling edge of clk
     expected_cnt   : in  std_logic_vector(ceil_log2(g_clk_freq) - 1 downto 0) := (others => '1')  -- expected number of clk clock cycles between subsequent pps_ext pulses
- );
+  );
 end ppsh;
 
 
@@ -108,17 +108,17 @@ begin
   pps_ext_delayed(0) <= pps_ext;  -- no input delay support
 
   u_in : entity common_lib.common_ddio_in
-  generic map(
-    g_technology => g_technology,
-    g_width     => 1
-  )
-  port map(
-    in_dat      => pps_ext_delayed,
-    in_clk      => clk,
-    rst         => '0',  -- no need to use rst, this eases timing closure
-    out_dat_hi  => pps_ext_rising,
-    out_dat_lo  => pps_ext_falling
-  );
+    generic map(
+      g_technology => g_technology,
+      g_width     => 1
+    )
+    port map(
+      in_dat      => pps_ext_delayed,
+      in_clk      => clk,
+      rst         => '0',  -- no need to use rst, this eases timing closure
+      out_dat_hi  => pps_ext_rising,
+      out_dat_lo  => pps_ext_falling
+    );
 
   nxt_pps_ext_cap <= pps_ext_rising(0) when capture_edge = '0' else pps_ext_falling(0);  -- captured ext_sync
 
@@ -137,58 +137,58 @@ begin
 
   -- Conquer potential meta-stability
   u_pps_sync : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0',
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    rst  => rst,
-    clk  => clk,
-    din  => pps_ext_cap,
-    dout => pps_ext_sync
-  );
+    generic map (
+      g_rst_level => '0',
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      rst  => rst,
+      clk  => clk,
+      din  => pps_ext_cap,
+      dout => pps_ext_sync
+    );
 
   -- Maintain the capture interval counter
   u_pps_revt : entity common_lib.common_evt
-  generic map (
-    g_evt_type => "RISING",
-    g_out_reg  => true
-  )
-  port map (
-    rst      => rst,
-    clk      => clk,
-    in_sig   => pps_ext_sync,
-    out_evt  => pps_ext_revt
-  );
+    generic map (
+      g_evt_type => "RISING",
+      g_out_reg  => true
+    )
+    port map (
+      rst      => rst,
+      clk      => clk,
+      in_sig   => pps_ext_sync,
+      out_evt  => pps_ext_revt
+    );
 
   u_capture_cnt : entity common_lib.common_interval_monitor
-  generic map (
-    g_interval_cnt_w => capture_cnt'length
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- ST
-    in_val        => '1',
-    in_evt        => pps_ext_revt,
-    -- MM
-    interval_cnt  => i_capture_cnt,
-    clk_cnt       => offset_cnt
-  );
+    generic map (
+      g_interval_cnt_w => capture_cnt'length
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- ST
+      in_val        => '1',
+      in_evt        => pps_ext_revt,
+      -- MM
+      interval_cnt  => i_capture_cnt,
+      clk_cnt       => offset_cnt
+    );
 
   -- Output the pps_sys with extra pipelining to ease timing of pps_sys fan out
   u_pps_sys : entity common_lib.common_pipeline_sl
-  generic map (
-    g_pipeline       => c_pipeline_output,
-    g_reset_value    => 0,
-    g_out_invert     => false
-  )
-  port map (
-    rst     => rst,
-    clk     => clk,
-    in_dat  => pps_ext_revt,
-    out_dat => pps_sys_buf
-  );
+    generic map (
+      g_pipeline       => c_pipeline_output,
+      g_reset_value    => 0,
+      g_out_invert     => false
+    )
+    port map (
+      rst     => rst,
+      clk     => clk,
+      in_dat  => pps_ext_revt,
+      out_dat => pps_sys_buf
+    );
 
   pps_sys <= pps_sys_buf;
 
@@ -199,14 +199,14 @@ begin
   nxt_pps_locked <= '1' when unsigned(i_capture_cnt) = unsigned(expected_cnt) else '0';
 
   u_pps_stable : entity common_lib.common_stable_monitor
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- MM
-    r_in         => pps_locked,
-    r_stable     => pps_stable,
-    r_stable_ack => pps_stable_ack
-  );
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- MM
+      r_in         => pps_locked,
+      r_stable     => pps_stable,
+      r_stable_ack => pps_stable_ack
+    );
 
 end rtl;
 
diff --git a/libraries/io/ppsh/src/vhdl/ppsh_reg.vhd b/libraries/io/ppsh/src/vhdl/ppsh_reg.vhd
index 6fee943ec5..c1aa3be667 100644
--- a/libraries/io/ppsh/src/vhdl/ppsh_reg.vhd
+++ b/libraries/io/ppsh/src/vhdl/ppsh_reg.vhd
@@ -50,9 +50,9 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity ppsh_reg is
   generic (
@@ -80,18 +80,20 @@ entity ppsh_reg is
 
     st_capture_edge   : out std_logic;
     st_expected_cnt   : out std_logic_vector(ceil_log2(g_st_clk_freq) - 1 downto 0)  -- expected number of clk clock cycles between subsequent pps_ext pulses
- );
+  );
 end ppsh_reg;
 
 
 architecture rtl of ppsh_reg is
 
   -- Define the actual size of the MM slave register
-  constant c_mm_reg : t_c_mem := (latency  => 1,
-                                  adr_w    => ceil_log2(4),
-                                  dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                  nof_dat  => 4,
-                                  init_sl  => '0');
+  constant c_mm_reg : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(4),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 4,
+    init_sl  => '0'
+  );
 
   -- Register access control signal in mm_clk domain
   signal mm_pps_stable_ack   : std_logic;
@@ -199,85 +201,85 @@ begin
   gen_cross : if g_cross_clock_domain = true generate
     -- ST --> MM
     u_pps_toggle : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_pps_toggle,
-      dout => mm_pps_toggle
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_pps_toggle,
+        dout => mm_pps_toggle
+      );
 
     u_pps_stable : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => mm_rst,
-      clk  => mm_clk,
-      din  => st_pps_stable,
-      dout => mm_pps_stable
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => st_pps_stable,
+        dout => mm_pps_stable
+      );
 
     u_capture_cnt : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_capture_cnt,
-      in_done     => OPEN,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_capture_cnt,
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_capture_cnt,
+        in_done     => OPEN,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_capture_cnt,
+        out_new     => open
+      );
 
     u_offset_cnt : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => st_rst,
-      in_clk      => st_clk,
-      in_dat      => st_offset_cnt,
-      in_done     => OPEN,
-      out_rst     => mm_rst,
-      out_clk     => mm_clk,
-      out_dat     => mm_offset_cnt,
-      out_new     => open
-    );
+      port map (
+        in_rst      => st_rst,
+        in_clk      => st_clk,
+        in_dat      => st_offset_cnt,
+        in_done     => OPEN,
+        out_rst     => mm_rst,
+        out_clk     => mm_clk,
+        out_dat     => mm_offset_cnt,
+        out_new     => open
+      );
 
     -- MM --> ST
     u_pps_stable_ack : entity common_lib.common_spulse
-    port map (
-      in_rst    => mm_rst,
-      in_clk    => mm_clk,
-      in_pulse  => mm_pps_stable_ack,
-      in_busy   => OPEN,
-      out_rst   => st_rst,
-      out_clk   => st_clk,
-      out_pulse => st_pps_stable_ack
-    );
+      port map (
+        in_rst    => mm_rst,
+        in_clk    => mm_clk,
+        in_pulse  => mm_pps_stable_ack,
+        in_busy   => OPEN,
+        out_rst   => st_rst,
+        out_clk   => st_clk,
+        out_pulse => st_pps_stable_ack
+      );
 
     u_capture_edge : entity common_lib.common_async
-    generic map (
-      g_rst_level => '0'
-    )
-    port map (
-      rst  => st_rst,
-      clk  => st_clk,
-      din  => mm_capture_edge,
-      dout => st_capture_edge
-    );
+      generic map (
+        g_rst_level => '0'
+      )
+      port map (
+        rst  => st_rst,
+        clk  => st_clk,
+        din  => mm_capture_edge,
+        dout => st_capture_edge
+      );
 
     u_expected_cnt : entity common_lib.common_reg_cross_domain
-    port map (
-      in_rst      => mm_rst,
-      in_clk      => mm_clk,
-      in_dat      => mm_expected_cnt,
-      in_done     => OPEN,
-      out_rst     => st_rst,
-      out_clk     => st_clk,
-      out_dat     => st_expected_cnt,
-      out_new     => open
-    );
+      port map (
+        in_rst      => mm_rst,
+        in_clk      => mm_clk,
+        in_dat      => mm_expected_cnt,
+        in_done     => OPEN,
+        out_rst     => st_rst,
+        out_clk     => st_clk,
+        out_dat     => st_expected_cnt,
+        out_new     => open
+      );
 
   end generate;  -- gen_cross
 
diff --git a/libraries/io/ppsh/tb/vhdl/tb_mms_ppsh.vhd b/libraries/io/ppsh/tb/vhdl/tb_mms_ppsh.vhd
index ea7035f558..f592c43b9f 100644
--- a/libraries/io/ppsh/tb/vhdl/tb_mms_ppsh.vhd
+++ b/libraries/io/ppsh/tb/vhdl/tb_mms_ppsh.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
 
 entity tb_mms_ppsh is
 end tb_mms_ppsh;
@@ -106,7 +106,7 @@ begin
     proc_common_wait_some_cycles(mm_clk, 10);  -- Wait an additional amount of cycles
 
     v_word := '0' & TO_UVEC(c_pps_period, 31);  -- capture_edge = '0' = at rising edge
-                                                 -- expected_cnt = c_pps_period = 1000
+    -- expected_cnt = c_pps_period = 1000
     proc_mem_mm_bus_wr(1, v_word, mm_clk, reg_mosi);
 
 
@@ -194,23 +194,23 @@ begin
   -----------------------------------------------------------------------------
 
   dut : entity work.mms_ppsh
-  generic map (
-    g_st_clk_freq    => c_st_clk_freq
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst           => mm_rst,
-    mm_clk           => mm_clk,
-    st_rst           => st_rst,
-    st_clk           => st_clk,
-    pps_ext          => pps_ext,
-
-    -- Memory-mapped clock domain
-    reg_mosi         => reg_mosi,
-    reg_miso         => reg_miso,
-
-    -- Streaming clock domain
-    pps_sys          => pps_sys
-  );
+    generic map (
+      g_st_clk_freq    => c_st_clk_freq
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst           => mm_rst,
+      mm_clk           => mm_clk,
+      st_rst           => st_rst,
+      st_clk           => st_clk,
+      pps_ext          => pps_ext,
+
+      -- Memory-mapped clock domain
+      reg_mosi         => reg_mosi,
+      reg_miso         => reg_miso,
+
+      -- Streaming clock domain
+      pps_sys          => pps_sys
+    );
 
 end tb;
diff --git a/libraries/io/ppsh/tb/vhdl/tb_ppsh.vhd b/libraries/io/ppsh/tb/vhdl/tb_ppsh.vhd
index f9d316579e..73a595b6ca 100644
--- a/libraries/io/ppsh/tb/vhdl/tb_ppsh.vhd
+++ b/libraries/io/ppsh/tb/vhdl/tb_ppsh.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tb_ppsh is
 end tb_ppsh;
@@ -183,24 +183,24 @@ begin
   -----------------------------------------------------------------------------
 
   dut : entity work.ppsh
-  generic map (
-    g_clk_freq    => c_clk_freq
-  )
-  port map (
-    rst           => rst,
-    clk           => clk,
-    -- PPS
-    pps_ext       => pps_ext,
-    pps_sys       => pps_sys,
-    -- MM control
-    pps_toggle     => pps_toggle,
-    pps_stable     => pps_stable,
-    pps_stable_ack => pps_stable_ack,
-    capture_edge   => capture_edge,
-    capture_cnt    => capture_cnt,
-    offset_cnt     => offset_cnt,
-    expected_cnt   => expected_cnt
-  );
+    generic map (
+      g_clk_freq    => c_clk_freq
+    )
+    port map (
+      rst           => rst,
+      clk           => clk,
+      -- PPS
+      pps_ext       => pps_ext,
+      pps_sys       => pps_sys,
+      -- MM control
+      pps_toggle     => pps_toggle,
+      pps_stable     => pps_stable,
+      pps_stable_ack => pps_stable_ack,
+      capture_edge   => capture_edge,
+      capture_cnt    => capture_cnt,
+      offset_cnt     => offset_cnt,
+      expected_cnt   => expected_cnt
+    );
 
   -----------------------------------------------------------------------------
   -- Verify capture_cnt
diff --git a/libraries/io/remu/src/vhdl/mms_remu.vhd b/libraries/io/remu/src/vhdl/mms_remu.vhd
index 3000f356bc..39fc83fcf6 100644
--- a/libraries/io/remu/src/vhdl/mms_remu.vhd
+++ b/libraries/io/remu/src/vhdl/mms_remu.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, tech_flash_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_flash_lib.tech_flash_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_flash_lib.tech_flash_component_pkg.all;
 
 entity mms_remu is
   generic (
@@ -68,45 +68,45 @@ architecture str of mms_remu is
 begin
 
   u_remu: entity tech_flash_lib.tech_flash_remote_update
-  generic map (
-    g_technology  => g_technology
-  )
-  port map (
-    clock         => epcs_clk,
-    param         => fall_remu_param,
-    read_param    => fall_remu_read_param,
-    reconfig      => fall_remu_reconfigure,
-    reset         => epcs_rst,
-    reset_timer   => '0',
-    busy          => remu_busy,
-    data_out      => remu_data_out,
-    write_param   => fall_remu_write_param,
-    data_in       => fall_remu_data_in
-   );
+    generic map (
+      g_technology  => g_technology
+    )
+    port map (
+      clock         => epcs_clk,
+      param         => fall_remu_param,
+      read_param    => fall_remu_read_param,
+      reconfig      => fall_remu_reconfigure,
+      reset         => epcs_rst,
+      reset_timer   => '0',
+      busy          => remu_busy,
+      data_out      => remu_data_out,
+      write_param   => fall_remu_write_param,
+      data_in       => fall_remu_data_in
+    );
 
   u_remu_reg: entity work.remu_reg
-  generic map (
-    g_data_w  => c_data_w
-  )
-  port map (
-    mm_rst            => mm_rst,
-    mm_clk            => mm_clk,
-
-    epcs_rst          => epcs_rst,
-    epcs_clk          => epcs_clk,
-
-    sla_in            => remu_mosi,
-    sla_out           => remu_miso,
-
-    reconfigure       => nxt_fall_remu_reconfigure,
-    read_param        => nxt_fall_remu_read_param,
-    param             => nxt_fall_remu_param,
-    busy              => remu_busy,
-
-    data_out          => remu_data_out,
-    write_param       => nxt_fall_remu_write_param,
-    data_in           => nxt_fall_remu_data_in
-  );
+    generic map (
+      g_data_w  => c_data_w
+    )
+    port map (
+      mm_rst            => mm_rst,
+      mm_clk            => mm_clk,
+
+      epcs_rst          => epcs_rst,
+      epcs_clk          => epcs_clk,
+
+      sla_in            => remu_mosi,
+      sla_out           => remu_miso,
+
+      reconfigure       => nxt_fall_remu_reconfigure,
+      read_param        => nxt_fall_remu_read_param,
+      param             => nxt_fall_remu_param,
+      busy              => remu_busy,
+
+      data_out          => remu_data_out,
+      write_param       => nxt_fall_remu_write_param,
+      data_in           => nxt_fall_remu_data_in
+    );
 
   -- The Remote Upgrade megafunction uses an ASMI_PARALLEL instance internally and therefore requires
   -- the same clocking scheme as the EPCS module:
@@ -139,11 +139,11 @@ begin
   end process;
 
   u_common_areset: entity common_lib.common_areset
-  port map (
-    in_rst             => mm_rst,
-    clk                => epcs_clk,
-    out_rst            => epcs_rst
-  );
+    port map (
+      in_rst             => mm_rst,
+      clk                => epcs_clk,
+      out_rst            => epcs_rst
+    );
 
 end str;
 
diff --git a/libraries/io/remu/src/vhdl/remu_reg.vhd b/libraries/io/remu/src/vhdl/remu_reg.vhd
index 0df4a3a49a..0ced2fc9f2 100644
--- a/libraries/io/remu/src/vhdl/remu_reg.vhd
+++ b/libraries/io/remu/src/vhdl/remu_reg.vhd
@@ -40,9 +40,9 @@
 --  =============================================================================
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity remu_reg is
   generic (
@@ -67,17 +67,19 @@ entity remu_reg is
     busy              : in  std_logic;
     data_out          : in  std_logic_vector(g_data_w - 1 downto 0);
     data_in           : out std_logic_vector(g_data_w - 1 downto 0)
-    );
+  );
 end remu_reg;
 
 
 architecture rtl of remu_reg is
 
-  constant c_mm_reg        : t_c_mem := (latency  => 1,
-                                         adr_w    => ceil_log2(7),
-                                         dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
-                                         nof_dat  => 7,
-                                         init_sl  => '0');
+  constant c_mm_reg        : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(7),
+    dat_w    => c_word_w,  -- Use MM bus data width = c_word_w = 32 for all MM registers
+    nof_dat  => 7,
+    init_sl  => '0'
+  );
 
   -- For safety, some commands require specific words to be written
   constant c_cmd_reconfigure : std_logic_vector(c_word_w - 1 downto 0 ) := x"B007FAC7";  -- "Boot factory"
@@ -148,84 +150,84 @@ begin
   end process;
 
   u_spulse_write_param : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_write_param,
-    in_busy   => OPEN,
-    out_rst   => epcs_rst,
-    out_clk   => epcs_clk,
-    out_pulse => write_param
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_write_param,
+      in_busy   => OPEN,
+      out_rst   => epcs_rst,
+      out_clk   => epcs_clk,
+      out_pulse => write_param
+    );
 
   u_spulse_read_param : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_read_param,
-    in_busy   => OPEN,
-    out_rst   => epcs_rst,
-    out_clk   => epcs_clk,
-    out_pulse => read_param
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_read_param,
+      in_busy   => OPEN,
+      out_rst   => epcs_rst,
+      out_clk   => epcs_clk,
+      out_pulse => read_param
+    );
 
   u_spulse_reconfigure : entity common_lib.common_spulse
-  port map (
-    in_rst    => mm_rst,
-    in_clk    => mm_clk,
-    in_pulse  => mm_reconfigure,
-    in_busy   => OPEN,
-    out_rst   => epcs_rst,
-    out_clk   => epcs_clk,
-    out_pulse => reconfigure
-  );
+    port map (
+      in_rst    => mm_rst,
+      in_clk    => mm_clk,
+      in_pulse  => mm_reconfigure,
+      in_busy   => OPEN,
+      out_rst   => epcs_rst,
+      out_clk   => epcs_clk,
+      out_pulse => reconfigure
+    );
 
   u_async_busy : entity common_lib.common_async
-  generic map (
-    g_rst_level => '0'
-  )
-  port map (
-    rst  => mm_rst,
-    clk  => mm_clk,
-    din  => busy,
-    dout => mm_busy
-  );
+    generic map (
+      g_rst_level => '0'
+    )
+    port map (
+      rst  => mm_rst,
+      clk  => mm_clk,
+      din  => busy,
+      dout => mm_busy
+    );
 
   u_cross_param : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst      => mm_rst,
-    in_clk      => mm_clk,
-    in_dat      => mm_param,
-    in_done     => OPEN,
-    out_rst     => epcs_rst,
-    out_clk     => epcs_clk,
-    out_dat     => param,
-    out_new     => open
-  );
+    port map (
+      in_rst      => mm_rst,
+      in_clk      => mm_clk,
+      in_dat      => mm_param,
+      in_done     => OPEN,
+      out_rst     => epcs_rst,
+      out_clk     => epcs_clk,
+      out_dat     => param,
+      out_new     => open
+    );
 
   u_cross_data_in : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst      => mm_rst,
-    in_clk      => mm_clk,
-    in_dat      => mm_data_in,
-    in_done     => OPEN,
-    out_rst     => epcs_rst,
-    out_clk     => epcs_clk,
-    out_dat     => data_in,
-    out_new     => open
-  );
+    port map (
+      in_rst      => mm_rst,
+      in_clk      => mm_clk,
+      in_dat      => mm_data_in,
+      in_done     => OPEN,
+      out_rst     => epcs_rst,
+      out_clk     => epcs_clk,
+      out_dat     => data_in,
+      out_new     => open
+    );
 
   u_cross_data_out : entity common_lib.common_reg_cross_domain
-  port map (
-    in_rst      => epcs_rst,
-    in_clk      => epcs_clk,
-    in_dat      => data_out,
-    in_done     => OPEN,
-    out_rst     => mm_rst,
-    out_clk     => mm_clk,
-    out_dat     => mm_data_out,
-    out_new     => open
-  );
+    port map (
+      in_rst      => epcs_rst,
+      in_clk      => epcs_clk,
+      in_dat      => data_out,
+      in_done     => OPEN,
+      out_rst     => mm_rst,
+      out_clk     => mm_clk,
+      out_dat     => mm_data_out,
+      out_new     => open
+    );
 
 end rtl;
 
diff --git a/libraries/io/tr_10GbE/src/vhdl/tr_10GbE.vhd b/libraries/io/tr_10GbE/src/vhdl/tr_10GbE.vhd
index 491ffac9c0..2608b7e3e1 100644
--- a/libraries/io/tr_10GbE/src/vhdl/tr_10GbE.vhd
+++ b/libraries/io/tr_10GbE/src/vhdl/tr_10GbE.vhd
@@ -60,16 +60,16 @@
 --    using g_xon_backpressure = TRUE uses extra RAM.
 
 library IEEE, common_lib, dp_lib, diag_lib, technology_lib, tech_mac_10g_lib, tech_eth_10g_lib, tr_xaui_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use technology_lib.technology_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity tr_10GbE is
   generic (
@@ -174,30 +174,30 @@ architecture str of tr_10GbE is
 
 
   component tr_xaui_mdio is
-  generic (
-    g_sim                   : boolean := false;
-    g_nof_xaui              : natural := 1;  -- Up to 3 (hard XAUI only) supported
-    g_mdio_epcs_dis         : boolean := false  -- TRUE disables EPCS on init; e.g. to target a 10GbE card in PC that does not support it
-  );
-  port (
-    -- Transceiver PLL reference clock
-    tr_clk                  : in  std_logic;
-    tr_rst                  : in  std_logic;
-
-    -- MM clock for register of optional MDIO master
-    mm_clk                  : in  std_logic := '0';
-    mm_rst                  : in  std_logic := '0';
-
-    -- MDIO master = mm slave
-    mdio_mosi_arr           : in  t_mem_mosi_arr(g_nof_xaui - 1 downto 0) := (others => c_mem_mosi_rst);
-    mdio_miso_arr           : out t_mem_miso_arr(g_nof_xaui - 1 downto 0);
-
-    -- MDIO External clock and serial data.
-    mdio_rst                : out std_logic;
-    mdio_mdc_arr            : out std_logic_vector(g_nof_xaui - 1 downto 0);
-    mdio_mdat_in_arr        : in  std_logic_vector(g_nof_xaui - 1 downto 0) := (others => '0');
-    mdio_mdat_oen_arr       : out std_logic_vector(g_nof_xaui - 1 downto 0)
-  );
+    generic (
+      g_sim                   : boolean := false;
+      g_nof_xaui              : natural := 1;  -- Up to 3 (hard XAUI only) supported
+      g_mdio_epcs_dis         : boolean := false  -- TRUE disables EPCS on init; e.g. to target a 10GbE card in PC that does not support it
+    );
+    port (
+      -- Transceiver PLL reference clock
+      tr_clk                  : in  std_logic;
+      tr_rst                  : in  std_logic;
+
+      -- MM clock for register of optional MDIO master
+      mm_clk                  : in  std_logic := '0';
+      mm_rst                  : in  std_logic := '0';
+
+      -- MDIO master = mm slave
+      mdio_mosi_arr           : in  t_mem_mosi_arr(g_nof_xaui - 1 downto 0) := (others => c_mem_mosi_rst);
+      mdio_miso_arr           : out t_mem_miso_arr(g_nof_xaui - 1 downto 0);
+
+      -- MDIO External clock and serial data.
+      mdio_rst                : out std_logic;
+      mdio_mdc_arr            : out std_logic_vector(g_nof_xaui - 1 downto 0);
+      mdio_mdat_in_arr        : in  std_logic_vector(g_nof_xaui - 1 downto 0) := (others => '0');
+      mdio_mdat_oen_arr       : out std_logic_vector(g_nof_xaui - 1 downto 0)
+    );
   end component;
 
 
@@ -210,37 +210,37 @@ begin
 
   -- Apply the clocks from top level down such that they have their rising_edge() aligned without any delta-delay
   u_tech_eth_10g_clocks : entity tech_eth_10g_lib.tech_eth_10g_clocks
-  generic map (
-    g_technology     => g_technology,
-    g_nof_channels   => g_nof_macs
-  )
-  port map (
-    -- Input clocks
-    -- . Reference
-    tr_ref_clk_644    => tr_ref_clk_644,
-    tr_ref_clk_312    => tr_ref_clk_312,
-    tr_ref_clk_156    => tr_ref_clk_156,
-    tr_ref_rst_156    => tr_ref_rst_156,
-
-    -- . XAUI
-    tx_rst_arr        => tx_rst_arr_out,
-    rx_clk_arr        => rx_clk_arr_out,
-    rx_rst_arr        => rx_rst_arr_out,
-
-    -- Output clocks
-    -- . Reference
-    eth_ref_clk_644   => eth_ref_clk_644,
-    eth_ref_clk_312   => eth_ref_clk_312,
-    eth_ref_clk_156   => eth_ref_clk_156,
-    eth_ref_rst_156   => eth_ref_rst_156,
-
-    -- . Data
-    eth_tx_clk_arr    => eth_tx_clk_arr,
-    eth_tx_rst_arr    => eth_tx_rst_arr,
-
-    eth_rx_clk_arr    => eth_rx_clk_arr,
-    eth_rx_rst_arr    => eth_rx_rst_arr
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_nof_channels   => g_nof_macs
+    )
+    port map (
+      -- Input clocks
+      -- . Reference
+      tr_ref_clk_644    => tr_ref_clk_644,
+      tr_ref_clk_312    => tr_ref_clk_312,
+      tr_ref_clk_156    => tr_ref_clk_156,
+      tr_ref_rst_156    => tr_ref_rst_156,
+
+      -- . XAUI
+      tx_rst_arr        => tx_rst_arr_out,
+      rx_clk_arr        => rx_clk_arr_out,
+      rx_rst_arr        => rx_rst_arr_out,
+
+      -- Output clocks
+      -- . Reference
+      eth_ref_clk_644   => eth_ref_clk_644,
+      eth_ref_clk_312   => eth_ref_clk_312,
+      eth_ref_clk_156   => eth_ref_clk_156,
+      eth_ref_rst_156   => eth_ref_rst_156,
+
+      -- . Data
+      eth_tx_clk_arr    => eth_tx_clk_arr,
+      eth_tx_rst_arr    => eth_tx_rst_arr,
+
+      eth_rx_clk_arr    => eth_rx_clk_arr,
+      eth_rx_rst_arr    => eth_rx_rst_arr
+    );
 
   ---------------------------------------------------------------------------------------
   -- TX FIFO for buffering last packet when xon = 0 to prevent corrupt frames.
@@ -248,23 +248,23 @@ begin
   gen_xon_backpressure : if g_xon_backpressure generate
     gen_dp_fifo_sc_tx : for i in 0 to g_nof_macs - 1 generate
       u_dp_fifo_sc_tx : entity dp_lib.dp_fifo_sc
-      generic map (
-        g_technology  => g_technology,
-        g_data_w      => c_xgmii_data_w,
-        g_empty_w     => c_tech_mac_10g_empty_w,
-        g_use_empty   => true,
-        g_fifo_size   => g_tx_fifo_size
-      )
-      port map (
-        rst         => dp_rst,
-        clk         => dp_clk,
-
-        snk_out     => snk_out_arr(i),
-        snk_in      => snk_in_arr(i),
-
-        src_in      => dp_fifo_sc_tx_src_in_arr(i),
-        src_out     => dp_fifo_sc_tx_src_out_arr(i)
-      );
+        generic map (
+          g_technology  => g_technology,
+          g_data_w      => c_xgmii_data_w,
+          g_empty_w     => c_tech_mac_10g_empty_w,
+          g_use_empty   => true,
+          g_fifo_size   => g_tx_fifo_size
+        )
+        port map (
+          rst         => dp_rst,
+          clk         => dp_clk,
+
+          snk_out     => snk_out_arr(i),
+          snk_in      => snk_in_arr(i),
+
+          src_in      => dp_fifo_sc_tx_src_in_arr(i),
+          src_out     => dp_fifo_sc_tx_src_out_arr(i)
+        );
     end generate;
 
     -- When MAC receives pause frames, it's ready signal is low for a long time
@@ -288,121 +288,121 @@ begin
   ---------------------------------------------------------------------------------------
   gen_dp_fifo_fill_eop : for i in 0 to g_nof_macs - 1 generate
     u_dp_fifo_fill_eop : entity dp_lib.dp_fifo_fill_eop
-    generic map (
-      g_technology     => g_technology,
-      g_use_dual_clock => true,
-      g_data_w         => c_xgmii_data_w,
-      g_empty_w        => c_tech_mac_10g_empty_w,
-      g_use_empty      => true,
-      g_fifo_fill      => g_tx_fifo_fill,
-      g_fifo_size      => g_tx_fifo_size
-    )
-    port map (
-      wr_rst      => dp_rst,
-      wr_clk      => dp_clk,
-      rd_rst      => eth_tx_rst_arr(i),
-      rd_clk      => eth_tx_clk_arr(i),
+      generic map (
+        g_technology     => g_technology,
+        g_use_dual_clock => true,
+        g_data_w         => c_xgmii_data_w,
+        g_empty_w        => c_tech_mac_10g_empty_w,
+        g_use_empty      => true,
+        g_fifo_fill      => g_tx_fifo_fill,
+        g_fifo_size      => g_tx_fifo_size
+      )
+      port map (
+        wr_rst      => dp_rst,
+        wr_clk      => dp_clk,
+        rd_rst      => eth_tx_rst_arr(i),
+        rd_clk      => eth_tx_clk_arr(i),
 
-      snk_out     => dp_fifo_fill_tx_snk_out_arr(i),
-      snk_in      => dp_fifo_sc_tx_src_out_arr(i),
+        snk_out     => dp_fifo_fill_tx_snk_out_arr(i),
+        snk_in      => dp_fifo_sc_tx_src_out_arr(i),
 
-      src_in      => dp_fifo_fill_tx_src_in_arr(i),
-      src_out     => dp_fifo_fill_tx_src_out_arr(i)
-    );
+        src_in      => dp_fifo_fill_tx_src_in_arr(i),
+        src_out     => dp_fifo_fill_tx_src_out_arr(i)
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
   -- ETH MAC + PHY
   ---------------------------------------------------------------------------------------
   u_tech_eth_10g : entity tech_eth_10g_lib.tech_eth_10g
-  generic map (
-    g_technology          => g_technology,
-    g_sim                 => g_sim,
-    g_sim_level           => g_sim_level,  -- 0 = use IP; 1 = use fast serdes model
-    g_nof_channels        => g_nof_macs,
-    g_direction           => g_direction,
-    g_use_loopback        => g_use_loopback,
-    g_pre_header_padding  => g_word_alignment_padding
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644   => eth_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
-    tr_ref_clk_312   => eth_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-    tr_ref_clk_156   => eth_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-    tr_ref_rst_156   => eth_ref_rst_156,  -- for 10GBASE-R or for XAUI
+    generic map (
+      g_technology          => g_technology,
+      g_sim                 => g_sim,
+      g_sim_level           => g_sim_level,  -- 0 = use IP; 1 = use fast serdes model
+      g_nof_channels        => g_nof_macs,
+      g_direction           => g_direction,
+      g_use_loopback        => g_use_loopback,
+      g_pre_header_padding  => g_word_alignment_padding
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644   => eth_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
+      tr_ref_clk_312   => eth_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+      tr_ref_clk_156   => eth_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+      tr_ref_rst_156   => eth_ref_rst_156,  -- for 10GBASE-R or for XAUI
 
-    -- Calibration & reconfig clock
-    cal_rec_clk      => cal_rec_clk,  -- for XAUI;
+      -- Calibration & reconfig clock
+      cal_rec_clk      => cal_rec_clk,  -- for XAUI;
 
-    -- XAUI clocks
-    tx_clk_arr_in    => eth_tx_clk_arr,  -- 156.25 MHz, externally connect tr_ref_clk_156 to tx_clk_arr or connect rx_clk_arr to tx_clk_arr
-    tx_rst_arr_out   => tx_rst_arr_out,
-    rx_clk_arr_out   => rx_clk_arr_out,
-    rx_clk_arr_in    => eth_rx_clk_arr,  -- externally connect to rx_clk_arr_out to avoid clock delta-delay
-    rx_rst_arr_out   => rx_rst_arr_out,
+      -- XAUI clocks
+      tx_clk_arr_in    => eth_tx_clk_arr,  -- 156.25 MHz, externally connect tr_ref_clk_156 to tx_clk_arr or connect rx_clk_arr to tx_clk_arr
+      tx_rst_arr_out   => tx_rst_arr_out,
+      rx_clk_arr_out   => rx_clk_arr_out,
+      rx_clk_arr_in    => eth_rx_clk_arr,  -- externally connect to rx_clk_arr_out to avoid clock delta-delay
+      rx_rst_arr_out   => rx_rst_arr_out,
 
-    -- MM
-    mm_clk           => mm_clk,
-    mm_rst           => mm_rst,
+      -- MM
+      mm_clk           => mm_clk,
+      mm_rst           => mm_rst,
 
-    mac_mosi         => reg_mac_mosi,  -- MAG_10G (CSR), aggregated for all g_nof_channels
-    mac_miso         => reg_mac_miso,
+      mac_mosi         => reg_mac_mosi,  -- MAG_10G (CSR), aggregated for all g_nof_channels
+      mac_miso         => reg_mac_miso,
 
-    xaui_mosi        => xaui_mosi,  -- XAUI control
-    xaui_miso        => xaui_miso,
+      xaui_mosi        => xaui_mosi,  -- XAUI control
+      xaui_miso        => xaui_miso,
 
-    reg_eth10g_mosi  => reg_eth10g_mosi,  -- ETH10G (link status register)
-    reg_eth10g_miso  => reg_eth10g_miso,
+      reg_eth10g_mosi  => reg_eth10g_mosi,  -- ETH10G (link status register)
+      reg_eth10g_miso  => reg_eth10g_miso,
 
-    reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-    reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+      reg_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+      reg_10gbase_r_24_miso => reg_10gbase_r_24_miso,
 
-    -- ST
-    tx_snk_in_arr    => dp_fifo_fill_tx_src_out_arr,  -- 64 bit data @ 156 MHz
-    tx_snk_out_arr   => dp_fifo_fill_tx_src_in_arr ,
+      -- ST
+      tx_snk_in_arr    => dp_fifo_fill_tx_src_out_arr,  -- 64 bit data @ 156 MHz
+      tx_snk_out_arr   => dp_fifo_fill_tx_src_in_arr ,
 
-    rx_src_out_arr   => mac_10g_src_out_arr,  -- 64 bit data @ 156 MHz
-    rx_src_in_arr    => mac_10g_src_in_arr,
+      rx_src_out_arr   => mac_10g_src_out_arr,  -- 64 bit data @ 156 MHz
+      rx_src_in_arr    => mac_10g_src_in_arr,
 
-    -- PHY serial IO
-    -- . 10GBASE-R (single lane)
-    serial_tx_arr    => serial_tx_arr,
-    serial_rx_arr    => serial_rx_arr,
+      -- PHY serial IO
+      -- . 10GBASE-R (single lane)
+      serial_tx_arr    => serial_tx_arr,
+      serial_rx_arr    => serial_rx_arr,
 
-    -- . XAUI (four lanes)
-    xaui_tx_arr      => xaui_tx_arr,
-    xaui_rx_arr      => xaui_rx_arr
-  );
+      -- . XAUI (four lanes)
+      xaui_tx_arr      => xaui_tx_arr,
+      xaui_rx_arr      => xaui_rx_arr
+    );
 
   ---------------------------------------------------------------------------
   -- MDIO
   ---------------------------------------------------------------------------
   gen_mdio: if g_use_mdio = true generate
     u_tr_xaui_mdio : tr_xaui_mdio  -- ENTITY tr_xaui_lib.tr_xaui_mdio
-    generic map (
-      g_sim           => g_sim,
-      g_nof_xaui      => g_nof_macs,
-      g_mdio_epcs_dis => g_mdio_epcs_dis
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_clk            => eth_ref_clk_156,
-      tr_rst            => eth_ref_rst_156,
-
-      -- MM clock for register of optional MDIO master
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-
-      -- MDIO master = mm slave
-      mdio_mosi_arr     => mdio_mosi_arr,
-      mdio_miso_arr     => mdio_miso_arr,
-
-      -- MDIO External clock and serial data.
-      mdio_rst          => mdio_rst,
-      mdio_mdc_arr      => mdio_mdc_arr,
-      mdio_mdat_in_arr  => mdio_mdat_in_arr,
-      mdio_mdat_oen_arr => mdio_mdat_oen_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_nof_xaui      => g_nof_macs,
+        g_mdio_epcs_dis => g_mdio_epcs_dis
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_clk            => eth_ref_clk_156,
+        tr_rst            => eth_ref_rst_156,
+
+        -- MM clock for register of optional MDIO master
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+
+        -- MDIO master = mm slave
+        mdio_mosi_arr     => mdio_mosi_arr,
+        mdio_miso_arr     => mdio_miso_arr,
+
+        -- MDIO External clock and serial data.
+        mdio_rst          => mdio_rst,
+        mdio_mdc_arr      => mdio_mdc_arr,
+        mdio_mdat_in_arr  => mdio_mdat_in_arr,
+        mdio_mdat_oen_arr => mdio_mdat_oen_arr
+      );
   end generate;
 
   ---------------------------------------------------------------------------------------
@@ -410,25 +410,25 @@ begin
   ---------------------------------------------------------------------------------------
   gen_dp_fifo_dc_rx : for i in g_nof_macs - 1 downto 0 generate
     u_dp_fifo_dc_rx : entity dp_lib.dp_fifo_dc
-    generic map (
-      g_technology  => g_technology,
-      g_data_w      => c_xgmii_data_w,
-      g_empty_w     => c_tech_mac_10g_empty_w,
-      g_use_empty   => true,
-      g_fifo_size   => g_rx_fifo_size
-    )
-    port map (
-      wr_rst      => eth_rx_rst_arr(i),
-      wr_clk      => eth_rx_clk_arr(i),
-      rd_rst      => dp_rst,
-      rd_clk      => dp_clk,
+      generic map (
+        g_technology  => g_technology,
+        g_data_w      => c_xgmii_data_w,
+        g_empty_w     => c_tech_mac_10g_empty_w,
+        g_use_empty   => true,
+        g_fifo_size   => g_rx_fifo_size
+      )
+      port map (
+        wr_rst      => eth_rx_rst_arr(i),
+        wr_clk      => eth_rx_clk_arr(i),
+        rd_rst      => dp_rst,
+        rd_clk      => dp_clk,
 
-      snk_out     => mac_10g_src_in_arr(i),
-      snk_in      => mac_10g_src_out_arr(i),
+        snk_out     => mac_10g_src_in_arr(i),
+        snk_in      => mac_10g_src_out_arr(i),
 
-      src_in      => dp_fifo_dc_rx_src_in_arr(i),
-      src_out     => dp_fifo_dc_rx_src_out_arr(i)
-    );
+        src_in      => dp_fifo_dc_rx_src_in_arr(i),
+        src_out     => dp_fifo_dc_rx_src_out_arr(i)
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -436,16 +436,16 @@ begin
   -----------------------------------------------------------------------------
   gen_dp_xonoff : for i in g_nof_macs - 1 downto 0 generate
     u_dp_xonoff : entity dp_lib.dp_xonoff
-    port map (
-      rst      => dp_rst,
-      clk      => dp_clk,
+      port map (
+        rst      => dp_rst,
+        clk      => dp_clk,
 
-      in_siso  => dp_fifo_dc_rx_src_in_arr(i),
-      in_sosi  => dp_fifo_dc_rx_src_out_arr(i),
+        in_siso  => dp_fifo_dc_rx_src_in_arr(i),
+        in_sosi  => dp_fifo_dc_rx_src_out_arr(i),
 
-      out_siso => src_in_arr(i),
-      out_sosi => src_out_arr(i)
-    );
+        out_siso => src_in_arr(i),
+        out_sosi => src_out_arr(i)
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_ip_checksum.vhd b/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_ip_checksum.vhd
index 1b485b10e3..68e6a1fbad 100644
--- a/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_ip_checksum.vhd
+++ b/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_ip_checksum.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 ----------------------------------------------------------------------------------
 -- Purpose:                                                                     --
 --   Can be used to calculate and insert the checksum for the IP header         --
@@ -74,23 +74,23 @@ begin
         sum <= (others => '0');
 
       elsif cnt_en = '1' then
-	      case TO_UINT(count) is
-		      when 0 =>  -- 0 is the cycle after the sop due to the common_counter latency
-		        sum <= sum + unsigned(snk_in.data(c_halfword_w - 1 downto 0));  -- ip_version, ip_header_length, ip_services
-		      when 1 =>
-		        sum <= sum + unsigned(snk_in.data(c_halfword_w * 4 - 1 downto c_halfword_w * 3))  -- ip_total_length
-		                   + unsigned(snk_in.data(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_identification
-		                   + unsigned(snk_in.data(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_flags, ip_fragment_offset
-		                   + unsigned(snk_in.data(c_halfword_w   - 1 downto 0));  -- ip_time_to_live, ip_protocol
-		      when 2 =>  -- skip ip_header_checksum
-		        sum <= sum + unsigned(snk_in.data(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_src_addr(1/2)
-		                   + unsigned(snk_in.data(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_src_addr(2/2)
-		                   + unsigned(snk_in.data(c_halfword_w   - 1 downto 0));  -- ip_dst_addr(1/2)
-		      when 3 =>
-		        sum <= sum + unsigned(snk_in.data(c_halfword_w * 4 - 1 downto c_halfword_w * 3));  -- ip_dst_addr(2/2)
-
-		      when others =>
-		    end case;
+        case TO_UINT(count) is
+          when 0 =>  -- 0 is the cycle after the sop due to the common_counter latency
+            sum <= sum + unsigned(snk_in.data(c_halfword_w - 1 downto 0));  -- ip_version, ip_header_length, ip_services
+          when 1 =>
+            sum <= sum + unsigned(snk_in.data(c_halfword_w * 4 - 1 downto c_halfword_w * 3))  -- ip_total_length
+                   + unsigned(snk_in.data(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_identification
+                   + unsigned(snk_in.data(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_flags, ip_fragment_offset
+                   + unsigned(snk_in.data(c_halfword_w   - 1 downto 0));  -- ip_time_to_live, ip_protocol
+          when 2 =>  -- skip ip_header_checksum
+            sum <= sum + unsigned(snk_in.data(c_halfword_w * 3 - 1 downto c_halfword_w * 2))  -- ip_src_addr(1/2)
+                   + unsigned(snk_in.data(c_halfword_w * 2 - 1 downto c_halfword_w))  -- ip_src_addr(2/2)
+                   + unsigned(snk_in.data(c_halfword_w   - 1 downto 0));  -- ip_dst_addr(1/2)
+          when 3 =>
+            sum <= sum + unsigned(snk_in.data(c_halfword_w * 4 - 1 downto c_halfword_w * 3));  -- ip_dst_addr(2/2)
+
+          when others =>
+        end case;
       end if;
     end if;
   end process;
@@ -114,13 +114,13 @@ begin
   cnt_clr <= snk_in.sop;  -- restart counter on sop
 
   u_calc_counter : entity common_lib.common_counter
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => cnt_clr,
-    cnt_en  => cnt_en,
-    count   => count
-  );
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => cnt_clr,
+      cnt_en  => cnt_en,
+      count   => count
+    );
   ----------------------------------------------------------------------------------------
   -- using common_counter to keep track of the word alignment during checksum insertion --
   ----------------------------------------------------------------------------------------
@@ -128,30 +128,30 @@ begin
   cnt_p_clr <= dp_pipeline_src_out.sop;  -- restart counter on sop
 
   u_pipe_counter : entity common_lib.common_counter
-  port map (
-    rst     => rst,
-    clk     => clk,
-    cnt_clr => cnt_p_clr,
-    cnt_en  => cnt_p_en,
-    count   => count_p
-  );
+    port map (
+      rst     => rst,
+      clk     => clk,
+      cnt_clr => cnt_p_clr,
+      cnt_en  => cnt_p_en,
+      count   => count_p
+    );
 
   -------------------------------------------------------------------------------
   -- using dp_pipeline to make room for the checksum calculation and insertion --
   -------------------------------------------------------------------------------
   u_dp_pipeline : entity dp_lib.dp_pipeline
-  generic map (
-    g_pipeline   => c_pipeline_delay
-  )
-  port map (
-    rst          => rst,
-    clk          => clk,
-    -- ST sink
-    snk_out      => snk_out,
-    snk_in       => snk_in,
-    -- ST source
-    src_in       => src_in,
-    src_out      => dp_pipeline_src_out
-  );
+    generic map (
+      g_pipeline   => c_pipeline_delay
+    )
+    port map (
+      rst          => rst,
+      clk          => clk,
+      -- ST sink
+      snk_out      => snk_out,
+      snk_in       => snk_in,
+      -- ST source
+      src_in       => src_in,
+      src_out      => dp_pipeline_src_out
+    );
 
 end rtl;
diff --git a/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_statistics.vhd b/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_statistics.vhd
index 2a43dc775b..eac4169dd1 100644
--- a/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_statistics.vhd
+++ b/libraries/io/tr_10GbE/src/vhdl/tr_10GbE_statistics.vhd
@@ -25,15 +25,15 @@
 -- . 10GbE wrapper for dp_statistics
 
 library IEEE, common_lib, work, technology_lib, dp_lib, tr_10GbE_lib, tech_pll_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_field_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_field_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tr_10GbE_statistics is
   generic (
@@ -112,29 +112,29 @@ begin
     -- Use tr_10GbE with internal simulation model as Ethernet receiver
     ----------------------------------------------------------------------------
     u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-    generic map (
-      g_sim           => true,
-      g_sim_level     => 1,
-      g_technology    => g_technology,
-      g_nof_macs      => 1,
-      g_use_mdio      => false
-    )
-    port map (
-      tr_ref_clk_156      => eth_clk,
-      tr_ref_rst_156      => eth_rst,
+      generic map (
+        g_sim           => true,
+        g_sim_level     => 1,
+        g_technology    => g_technology,
+        g_nof_macs      => 1,
+        g_use_mdio      => false
+      )
+      port map (
+        tr_ref_clk_156      => eth_clk,
+        tr_ref_rst_156      => eth_rst,
 
-      cal_rec_clk         => cal_rec_clk,
+        cal_rec_clk         => cal_rec_clk,
 
-      mm_rst              => '0',
-      mm_clk              => '0',
+        mm_rst              => '0',
+        mm_clk              => '0',
 
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
 
-      xaui_rx_arr(0)      => xaui_in,
+        xaui_rx_arr(0)      => xaui_in,
 
-      src_out_arr(0)      => tr_10GbE_src_out
-    );
+        src_out_arr(0)      => tr_10GbE_src_out
+      );
 
   end generate;
 
@@ -145,46 +145,46 @@ begin
     sa_clk <= not sa_clk or i_tb_end after c_sa_clk_period / 2;
 
     u_unb2_board_clk644_pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      refclk_644 => sa_clk,
-      rst_in     => mm_rst,
-      clk_156    => tr_ref_clk_156,
-      clk_312    => tr_ref_clk_312,
-      rst_156    => tr_ref_rst_156,
-      rst_312    => open
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        refclk_644 => sa_clk,
+        rst_in     => mm_rst,
+        clk_156    => tr_ref_clk_156,
+        clk_312    => tr_ref_clk_312,
+        rst_156    => tr_ref_rst_156,
+        rst_312    => open
+      );
 
     u_tr_10GbE: entity tr_10GbE_lib.tr_10GbE
-    generic map (
-      g_sim           => true,
-      g_sim_level     => 1,
-      g_technology    => g_technology,
-      g_nof_macs      => 1,
-      g_use_mdio      => false
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644      => sa_clk,
-      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-      -- MM interface
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-
-      -- DP interface
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      serial_rx_arr(0)    => serial_in,
-
-      src_out_arr(0)      => tr_10GbE_src_out
-
-    );
+      generic map (
+        g_sim           => true,
+        g_sim_level     => 1,
+        g_technology    => g_technology,
+        g_nof_macs      => 1,
+        g_use_mdio      => false
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644      => sa_clk,
+        tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+        tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+        tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+        -- MM interface
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+
+        -- DP interface
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        serial_rx_arr(0)    => serial_in,
+
+        src_out_arr(0)      => tr_10GbE_src_out
+
+      );
 
   end generate;
 
@@ -192,20 +192,20 @@ begin
   -- dp_statistics
   ------------------------------------------------------------------------------
   u_dp_statistics : entity dp_lib.dp_statistics
-  generic map (
-    g_runtime_nof_packets      => g_runtime_nof_packets,
-    g_runtime_timeout          => g_runtime_timeout,
-    g_check_nof_valid          => g_check_nof_valid,
-    g_check_nof_valid_ref      => g_check_nof_valid_ref,
-    g_dp_word_w                => c_dp_word_w
+    generic map (
+      g_runtime_nof_packets      => g_runtime_nof_packets,
+      g_runtime_timeout          => g_runtime_timeout,
+      g_check_nof_valid          => g_check_nof_valid,
+      g_check_nof_valid_ref      => g_check_nof_valid_ref,
+      g_dp_word_w                => c_dp_word_w
     )
-  port map (
-    dp_clk => dp_clk,
-    dp_rst => dp_rst,
+    port map (
+      dp_clk => dp_clk,
+      dp_rst => dp_rst,
 
-    snk_in => tr_10GbE_src_out,
+      snk_in => tr_10GbE_src_out,
 
-    tb_end => i_tb_end
-  );
+      tb_end => i_tb_end
+    );
 
 end str;
diff --git a/libraries/io/tr_10GbE/tb/vhdl/tb_tb_tr_10GbE.vhd b/libraries/io/tr_10GbE/tb/vhdl/tb_tb_tr_10GbE.vhd
index 5da8f7f240..061cd2331e 100644
--- a/libraries/io/tr_10GbE/tb/vhdl/tb_tb_tr_10GbE.vhd
+++ b/libraries/io/tr_10GbE/tb/vhdl/tb_tb_tr_10GbE.vhd
@@ -27,11 +27,11 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 
 entity tb_tb_tr_10GbE is
@@ -48,17 +48,17 @@ architecture tb of tb_tb_tr_10GbE is
   signal   tb_end       : std_logic := '0';
 begin
 
--- g_technology              : NATURAL := c_tech_select_default;
--- g_tb_end                  : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- g_no_dut                  : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
--- g_dp_clk_period           : TIME :=  5 ns;     -- 200 MHz
--- g_sim_level               : NATURAL := 1;      -- 0 = use IP; 1 = use fast serdes model
--- g_nof_channels            : NATURAL := 1;
--- g_direction               : STRING := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
--- g_ref_clk_644_period      : TIME := tech_pll_clk_644_period;  -- for 10GBASE-R
--- g_ref_clk_156_period      : TIME := 6.4 ns;                   -- for XAUI
--- g_data_type               : NATURAL := c_tb_tech_mac_10g_data_type_symbols;
--- g_verify_link_recovery    : BOOLEAN := TRUE;
+  -- g_technology              : NATURAL := c_tech_select_default;
+  -- g_tb_end                  : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- g_no_dut                  : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
+  -- g_dp_clk_period           : TIME :=  5 ns;     -- 200 MHz
+  -- g_sim_level               : NATURAL := 1;      -- 0 = use IP; 1 = use fast serdes model
+  -- g_nof_channels            : NATURAL := 1;
+  -- g_direction               : STRING := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+  -- g_ref_clk_644_period      : TIME := tech_pll_clk_644_period;  -- for 10GBASE-R
+  -- g_ref_clk_156_period      : TIME := 6.4 ns;                   -- for XAUI
+  -- g_data_type               : NATURAL := c_tb_tech_mac_10g_data_type_symbols;
+  -- g_verify_link_recovery    : BOOLEAN := TRUE;
 
   u_no_dut                     : entity work.tb_tr_10GbE generic map (c_tech_select_default, false,  true, 5   ns, 0, 1, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(0));
   u_tr_10GbE                   : entity work.tb_tr_10GbE generic map (c_tech_select_default, false, false, 5   ns, 0, 1, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(1));
@@ -70,7 +70,7 @@ begin
   end generate;
   -- For arria10, nof_channels need to be 1, 4, 12, 24, 48.
   gen_24_channels : if c_tech_select_default /= c_tech_stratixiv generate
-  u_tr_10GbE_nof_channels_is_24 : entity work.tb_tr_10GbE generic map (c_tech_select_default, false, false, 5   ns, 0, 24, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(5));
+    u_tr_10GbE_nof_channels_is_24 : entity work.tb_tr_10GbE generic map (c_tech_select_default, false, false, 5   ns, 0, 24, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(5));
   end generate;
   u_tr_10GbE_sim_level_is_1    : entity work.tb_tr_10GbE generic map (c_tech_select_default, false, false, 5   ns, 1, 1, "TX_RX",   c_644, c_156, c_data_type, true) port map (tb_end_vec(6));
 
diff --git a/libraries/io/tr_10GbE/tb/vhdl/tb_tr_10GbE.vhd b/libraries/io/tr_10GbE/tb/vhdl/tb_tr_10GbE.vhd
index add50b1302..ac4136ead0 100644
--- a/libraries/io/tr_10GbE/tb/vhdl/tb_tr_10GbE.vhd
+++ b/libraries/io/tr_10GbE/tb/vhdl/tb_tr_10GbE.vhd
@@ -42,19 +42,19 @@
 --   not used and set to c_tx_rx_loopback = FALSE.
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_tr_10GbE is
   -- Test bench control parameters
@@ -89,7 +89,7 @@ architecture tb of tb_tr_10GbE is
   constant c_tx_fifo_fill       : natural := 100;
 
   constant c_pkt_length_arr1    : t_nat_natural_arr := array_init(0, 50, 1) & (1472, 1473) & 9000;  -- frame longer than 1518-46 = 1472 is received with rx_sosi.err = 8
-                                                                                                    -- jumbo frame is 9018-46 = 8972
+  -- jumbo frame is 9018-46 = 8972
   constant c_pkt_length_arr2    : t_nat_natural_arr := array_init(46, 10, 139) & 1472;
   constant c_pkt_length_arr     : t_nat_natural_arr := c_pkt_length_arr1 & c_pkt_length_arr2;
   constant c_nof_pkt1           : natural := c_pkt_length_arr1'length;
@@ -190,53 +190,53 @@ begin
     tr_ref_clk_644 <= not tr_ref_clk_644 after g_ref_clk_644_period / 2;
 
     pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      refclk_644 => tr_ref_clk_644,
-      rst_in     => mm_rst,
-      clk_156    => tr_ref_clk_156,
-      clk_312    => tr_ref_clk_312,
-      rst_156    => tr_ref_rst_156,
-      rst_312    => open
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        refclk_644 => tr_ref_clk_644,
+        rst_in     => mm_rst,
+        clk_156    => tr_ref_clk_156,
+        clk_312    => tr_ref_clk_312,
+        rst_156    => tr_ref_rst_156,
+        rst_312    => open
+      );
   end generate;
 
   -- Setup all MACs in series
   u_mm_setup : entity tech_mac_10g_lib.tb_tech_mac_10g_setup
-  generic map (
-    g_technology    => g_technology,
-    g_nof_macs      => g_nof_channels,
-    g_src_mac       => c_src_mac
-  )
-  port map (
-    tb_end    => rx_end,
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
-    mm_init   => mm_init,
-    mac_mosi  => mac_mosi,
-    mac_miso  => mac_miso
-  );
-
-  gen_transmitter : for I in 0 to g_nof_channels - 1 generate
-    -- Packet transmitter
-    u_transmitter : entity tech_mac_10g_lib.tb_tech_mac_10g_transmitter
     generic map (
-      g_data_type            => g_data_type,
-      g_pkt_length_arr1      => c_pkt_length_arr1,
-      g_pkt_length_arr2      => c_pkt_length_arr2,
-      g_verify_link_recovery => g_verify_link_recovery
+      g_technology    => g_technology,
+      g_nof_macs      => g_nof_channels,
+      g_src_mac       => c_src_mac
     )
     port map (
-      mm_init        => mm_init,
-      total_header   => total_header,
-      tx_clk         => dp_clk,
-      tx_siso        => tx_siso_arr(I),
-      tx_sosi        => tx_sosi_arr(I),
-      link_fault     => link_fault_arr(I),
-      tx_end         => tx_end_arr(I)
+      tb_end    => rx_end,
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
+      mm_init   => mm_init,
+      mac_mosi  => mac_mosi,
+      mac_miso  => mac_miso
     );
+
+  gen_transmitter : for I in 0 to g_nof_channels - 1 generate
+    -- Packet transmitter
+    u_transmitter : entity tech_mac_10g_lib.tb_tech_mac_10g_transmitter
+      generic map (
+        g_data_type            => g_data_type,
+        g_pkt_length_arr1      => c_pkt_length_arr1,
+        g_pkt_length_arr2      => c_pkt_length_arr2,
+        g_verify_link_recovery => g_verify_link_recovery
+      )
+      port map (
+        mm_init        => mm_init,
+        total_header   => total_header,
+        tx_clk         => dp_clk,
+        tx_siso        => tx_siso_arr(I),
+        tx_sosi        => tx_sosi_arr(I),
+        link_fault     => link_fault_arr(I),
+        tx_end         => tx_end_arr(I)
+      );
   end generate;
 
   no_dut : if g_no_dut = true generate
@@ -246,144 +246,144 @@ begin
 
   gen_dut : if g_no_dut = false generate
     u_tr_10GbE : entity work.tr_10GbE
-    generic map (
-      g_technology             => g_technology,
-      g_sim                    => c_sim,
-      g_sim_level              => g_sim_level,  -- 0 = use IP; 1 = use fast serdes model
-      g_nof_macs               => g_nof_channels,
-      g_direction              => g_direction,
-      g_use_mdio               => true,
-      g_mdio_epcs_dis          => true,  -- TRUE disables Enhanced PCS on init; e.g. to target a 10GbE card in PC that does not support it
-      g_tx_fifo_fill           => c_tx_fifo_fill,
-      g_tx_fifo_size           => 256,
-      g_word_alignment_padding => true
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644      => tr_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
-      tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
-      tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
-      tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
-
-      -- Calibration & reconfig clock
-      cal_rec_clk         => cal_clk,
-
-      -- MM interface
-      mm_rst              => mm_rst,
-      mm_clk              => mm_clk,
-
-      reg_mac_mosi        => mac_mosi,
-      reg_mac_miso        => mac_miso,
-
-      xaui_mosi           => c_mem_mosi_rst,
-      xaui_miso           => OPEN,
-
-      mdio_mosi_arr       => (others => c_mem_mosi_rst),
-      mdio_miso_arr       => OPEN,
-
-      -- DP interface
-      dp_rst              => dp_rst,
-      dp_clk              => dp_clk,
-
-      snk_out_arr         => tx_siso_arr,
-      snk_in_arr          => tx_sosi_arr,
-
-      src_in_arr          => rx_siso_arr,
-      src_out_arr         => rx_sosi_arr,
-
-      -- Serial XAUI interface
-      xaui_tx_arr         => xaui_tx_arr,
-      xaui_rx_arr         => xaui_rx_arr,
-
-      -- Serial IO
-      serial_tx_arr       => serial_tx_arr,
-      serial_rx_arr       => serial_rx_arr,
-
-      -- MDIO interface
-      mdio_rst            => OPEN,
-      mdio_mdc_arr        => OPEN,
-      mdio_mdat_in_arr    => (others => '0'),
-      mdio_mdat_oen_arr   => open
-    );
+      generic map (
+        g_technology             => g_technology,
+        g_sim                    => c_sim,
+        g_sim_level              => g_sim_level,  -- 0 = use IP; 1 = use fast serdes model
+        g_nof_macs               => g_nof_channels,
+        g_direction              => g_direction,
+        g_use_mdio               => true,
+        g_mdio_epcs_dis          => true,  -- TRUE disables Enhanced PCS on init; e.g. to target a 10GbE card in PC that does not support it
+        g_tx_fifo_fill           => c_tx_fifo_fill,
+        g_tx_fifo_size           => 256,
+        g_word_alignment_padding => true
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644      => tr_ref_clk_644,  -- 644.531250 MHz for 10GBASE-R
+        tr_ref_clk_312      => tr_ref_clk_312,  -- 312.5      MHz for 10GBASE-R
+        tr_ref_clk_156      => tr_ref_clk_156,  -- 156.25     MHz for 10GBASE-R or for XAUI
+        tr_ref_rst_156      => tr_ref_rst_156,  -- for 10GBASE-R or for XAUI
+
+        -- Calibration & reconfig clock
+        cal_rec_clk         => cal_clk,
+
+        -- MM interface
+        mm_rst              => mm_rst,
+        mm_clk              => mm_clk,
+
+        reg_mac_mosi        => mac_mosi,
+        reg_mac_miso        => mac_miso,
+
+        xaui_mosi           => c_mem_mosi_rst,
+        xaui_miso           => OPEN,
+
+        mdio_mosi_arr       => (others => c_mem_mosi_rst),
+        mdio_miso_arr       => OPEN,
+
+        -- DP interface
+        dp_rst              => dp_rst,
+        dp_clk              => dp_clk,
+
+        snk_out_arr         => tx_siso_arr,
+        snk_in_arr          => tx_sosi_arr,
+
+        src_in_arr          => rx_siso_arr,
+        src_out_arr         => rx_sosi_arr,
+
+        -- Serial XAUI interface
+        xaui_tx_arr         => xaui_tx_arr,
+        xaui_rx_arr         => xaui_rx_arr,
+
+        -- Serial IO
+        serial_tx_arr       => serial_tx_arr,
+        serial_rx_arr       => serial_rx_arr,
+
+        -- MDIO interface
+        mdio_rst            => OPEN,
+        mdio_mdc_arr        => OPEN,
+        mdio_mdat_in_arr    => (others => '0'),
+        mdio_mdat_oen_arr   => open
+      );
   end generate;
 
   gen_link_connect : for I in 0 to g_nof_channels - 1 generate
     u_link_connect : entity tech_mac_10g_lib.tb_tech_mac_10g_link_connect
-    generic map (
-      g_loopback    => c_tx_rx_loopback,
-      g_link_delay  => phy_delay
-    )
-    port map (
-      link_fault   => link_fault_arr(I),  -- when '1' then forces rx_serial_arr(0)='0'
-
-      -- 10GBASE-R serial layer connect
-      serial_tx    => serial_tx_arr(I),
-      serial_rx    => serial_rx_arr(I),  -- connects to delayed tx_serial when g_loopback=TRUE
-
-      -- XAUI serial layer connect
-      xaui_tx      => xaui_tx_arr(I),
-      xaui_rx      => xaui_rx_arr(I)  -- connects to delayed xaui_tx when g_loopback=TRUE
-    );
+      generic map (
+        g_loopback    => c_tx_rx_loopback,
+        g_link_delay  => phy_delay
+      )
+      port map (
+        link_fault   => link_fault_arr(I),  -- when '1' then forces rx_serial_arr(0)='0'
+
+        -- 10GBASE-R serial layer connect
+        serial_tx    => serial_tx_arr(I),
+        serial_rx    => serial_rx_arr(I),  -- connects to delayed tx_serial when g_loopback=TRUE
+
+        -- XAUI serial layer connect
+        xaui_tx      => xaui_tx_arr(I),
+        xaui_rx      => xaui_rx_arr(I)  -- connects to delayed xaui_tx when g_loopback=TRUE
+      );
   end generate;
 
   gen_receiver : for I in 0 to g_nof_channels - 1 generate
     u_receiver : entity tech_mac_10g_lib.tb_tech_mac_10_receiver
-    generic map (
-      g_data_type  => g_data_type
-    )
-    port map (
-      mm_init        => mm_init,
-      total_header   => total_header,
-      rx_clk         => dp_clk,
-      rx_sosi        => rx_sosi_arr(I),
-      rx_siso        => rx_siso_arr(I),
-      rx_toggle      => rx_toggle_arr(I)
-    );
+      generic map (
+        g_data_type  => g_data_type
+      )
+      port map (
+        mm_init        => mm_init,
+        total_header   => total_header,
+        rx_clk         => dp_clk,
+        rx_sosi        => rx_sosi_arr(I),
+        rx_siso        => rx_siso_arr(I),
+        rx_toggle      => rx_toggle_arr(I)
+      );
   end generate;
 
   gen_verify_rx_at_eop : for I in 0 to g_nof_channels - 1 generate
     u_verify_rx_at_eop : entity tech_mac_10g_lib.tb_tech_mac_10_verify_rx_at_eop
-    generic map (
-      g_no_padding     => g_no_dut,
-      g_pkt_length_arr => c_pkt_length_arr
-    )
-    port map (
-      tx_clk      => dp_clk,
-      tx_sosi     => tx_sosi_arr(I),
-      rx_clk      => dp_clk,
-      rx_sosi     => rx_sosi_arr(I)
-    );
+      generic map (
+        g_no_padding     => g_no_dut,
+        g_pkt_length_arr => c_pkt_length_arr
+      )
+      port map (
+        tx_clk      => dp_clk,
+        tx_sosi     => tx_sosi_arr(I),
+        rx_clk      => dp_clk,
+        rx_sosi     => rx_sosi_arr(I)
+      );
   end generate;
 
   gen_verify_rx_pkt_cnt : for I in 0 to g_nof_channels - 1 generate
     u_verify_rx_pkt_cnt : entity tech_mac_10g_lib.tb_tech_mac_10g_verify_rx_pkt_cnt
-    generic map (
-      g_nof_pkt   => c_nof_pkt
-    )
-    port map (
-      tx_clk      => dp_clk,
-      tx_sosi     => tx_sosi_arr(I),
-      rx_clk      => dp_clk,
-      rx_sosi     => rx_sosi_arr(I),
-      tx_pkt_cnt  => tx_pkt_cnt_arr(I),
-      rx_pkt_cnt  => rx_pkt_cnt_arr(I),
-      rx_end      => rx_end
-    );
+      generic map (
+        g_nof_pkt   => c_nof_pkt
+      )
+      port map (
+        tx_clk      => dp_clk,
+        tx_sosi     => tx_sosi_arr(I),
+        rx_clk      => dp_clk,
+        rx_sosi     => rx_sosi_arr(I),
+        tx_pkt_cnt  => tx_pkt_cnt_arr(I),
+        rx_pkt_cnt  => rx_pkt_cnt_arr(I),
+        rx_end      => rx_end
+      );
   end generate;
 
   -- Stop the simulation
   tx_end <= andv(tx_end_arr);
 
   u_simulation_end : entity tech_mac_10g_lib.tb_tech_mac_10g_simulation_end
-  generic map (
-    g_tb_end            => g_tb_end,
-    g_nof_clk_to_rx_end => 1000
-  )
-  port map (
-    clk       => dp_clk,
-    tx_end    => tx_end,
-    rx_end    => rx_end,
-    tb_end    => tb_end
-  );
+    generic map (
+      g_tb_end            => g_tb_end,
+      g_nof_clk_to_rx_end => 1000
+    )
+    port map (
+      clk       => dp_clk,
+      tx_end    => tx_end,
+      rx_end    => rx_end,
+      tb_end    => tb_end
+    );
 
 end tb;
diff --git a/libraries/io/tr_nonbonded/src/vhdl/mms_tr_nonbonded.vhd b/libraries/io/tr_nonbonded/src/vhdl/mms_tr_nonbonded.vhd
index 3ac1def239..44f5396004 100644
--- a/libraries/io/tr_nonbonded/src/vhdl/mms_tr_nonbonded.vhd
+++ b/libraries/io/tr_nonbonded/src/vhdl/mms_tr_nonbonded.vhd
@@ -23,12 +23,12 @@
 -- Description:
 
 library IEEE, common_lib, technology_lib, dp_lib, diag_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_tr_nonbonded is
   generic (
@@ -143,19 +143,19 @@ architecture str of mms_tr_nonbonded is
 begin
 
   u_tr_nonbonded : entity work.tr_nonbonded
-  generic map (
-    g_sim             => g_sim,
-    g_sim_level       => g_sim_level,
-    g_technology      => g_technology,
-    g_data_w          => g_data_w,
-    g_nof_gx          => g_nof_gx,
-    g_mbps            => g_mbps,
-    g_tx              => g_tx,
-    g_rx              => g_rx,
-    g_fifos           => true,
-    g_tx_fifo_depth   => g_tx_fifo_depth,
-    g_rx_fifo_depth   => g_rx_fifo_depth
-  )
+    generic map (
+      g_sim             => g_sim,
+      g_sim_level       => g_sim_level,
+      g_technology      => g_technology,
+      g_data_w          => g_data_w,
+      g_nof_gx          => g_nof_gx,
+      g_mbps            => g_mbps,
+      g_tx              => g_tx,
+      g_rx              => g_rx,
+      g_fifos           => true,
+      g_tx_fifo_depth   => g_tx_fifo_depth,
+      g_rx_fifo_depth   => g_rx_fifo_depth
+    )
     port map (
       tb_end          => tb_end,
 
@@ -197,54 +197,54 @@ begin
     );
 
   u_tr_nonbonded_reg: entity work.tr_nonbonded_reg
-  generic map(
-    g_nof_gx     => g_nof_gx
-  )
-  port map (
-    mm_rst       => mm_rst,
-    mm_clk       => mm_clk,
+    generic map(
+      g_nof_gx     => g_nof_gx
+    )
+    port map (
+      mm_rst       => mm_rst,
+      mm_clk       => mm_clk,
 
-    tx_rst       => tx_rst,
-    tx_clk       => tx_clk,
+      tx_rst       => tx_rst,
+      tx_clk       => tx_clk,
 
-    rx_rst       => rx_rst,
-    rx_clk       => rx_clk,
+      rx_rst       => rx_rst,
+      rx_clk       => rx_clk,
 
-    sla_in       => tr_nonbonded_mm_mosi,
-    sla_out      => tr_nonbonded_mm_miso,
+      sla_in       => tr_nonbonded_mm_mosi,
+      sla_out      => tr_nonbonded_mm_miso,
 
-    tx_state     => tx_state,
-    tx_align_en  => tx_align_en,
+      tx_state     => tx_state,
+      tx_align_en  => tx_align_en,
 
-    rx_state     => rx_state,
-    rx_align_en  => rx_align_en,
-    rx_dataout   => rx_dataout
-  );
+      rx_state     => rx_state,
+      rx_align_en  => rx_align_en,
+      rx_dataout   => rx_dataout
+    );
 
   u_mms_diagnostics: entity diagnostics_lib.mms_diagnostics
-  generic map(
-    g_data_w      => g_data_w,
-    g_nof_streams => g_nof_gx
-  )
-  port map (
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
+    generic map(
+      g_data_w      => g_data_w,
+      g_nof_streams => g_nof_gx
+    )
+    port map (
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
 
-    st_rst          => st_rst,
-    st_clk          => st_clk,
+      st_rst          => st_rst,
+      st_clk          => st_clk,
 
-    mm_mosi         => diagnostics_mm_mosi,
-    mm_miso         => diagnostics_mm_miso,
+      mm_mosi         => diagnostics_mm_mosi,
+      mm_miso         => diagnostics_mm_miso,
 
-    src_out_arr     => diagnostics_tx_sosi_arr,
-    src_in_arr      => diagnostics_tx_siso_arr,
+      src_out_arr     => diagnostics_tx_sosi_arr,
+      src_in_arr      => diagnostics_tx_siso_arr,
 
-    snk_out_arr     => diagnostics_rx_siso_arr,
-    snk_in_arr      => diagnostics_rx_sosi_arr,
+      snk_out_arr     => diagnostics_rx_siso_arr,
+      snk_in_arr      => diagnostics_rx_sosi_arr,
 
-    src_en_out      => diagnostics_tx_en_arr,
-    snk_en_out      => diagnostics_rx_en_arr
-  );
+      src_en_out      => diagnostics_tx_en_arr,
+      snk_en_out      => diagnostics_rx_en_arr
+    );
 
   gen_select : for i in 0 to g_nof_gx - 1 generate
 
@@ -269,53 +269,53 @@ begin
     nxt_demux_select_arr(i) <= slv(diagnostics_rx_en_arr(i));
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      g_technology        => g_technology,
-      -- Mux
-      g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in our streaming arrays, so we must invert the selection input
-      g_mode              => 2,
-      g_nof_input         => c_nof_select,
-      g_append_channel_lo => false,
-      -- Input FIFO
-      g_use_fifo          => false,
-      g_fifo_size         => array_init(1024, c_nof_select),
-      g_fifo_fill         => array_init(   0, c_nof_select)
-    )
-    port map (
-      rst         => st_rst,
-      clk         => st_clk,
-
-      sel_ctrl    => TO_UINT(mux_select_arr(i)),
-
-      -- ST sinks
-      snk_out_arr => mux_in_siso_2arr(i),
-      snk_in_arr  => mux_in_sosi_2arr(i),
-      -- ST source
-      src_in      => mux_out_siso_arr(i),
-      src_out     => mux_out_sosi_arr(i)
-    );
+      generic map (
+        g_technology        => g_technology,
+        -- Mux
+        g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in our streaming arrays, so we must invert the selection input
+        g_mode              => 2,
+        g_nof_input         => c_nof_select,
+        g_append_channel_lo => false,
+        -- Input FIFO
+        g_use_fifo          => false,
+        g_fifo_size         => array_init(1024, c_nof_select),
+        g_fifo_fill         => array_init(   0, c_nof_select)
+      )
+      port map (
+        rst         => st_rst,
+        clk         => st_clk,
+
+        sel_ctrl    => TO_UINT(mux_select_arr(i)),
+
+        -- ST sinks
+        snk_out_arr => mux_in_siso_2arr(i),
+        snk_in_arr  => mux_in_sosi_2arr(i),
+        -- ST source
+        src_in      => mux_out_siso_arr(i),
+        src_out     => mux_out_sosi_arr(i)
+      );
 
     u_dp_demux : entity dp_lib.dp_demux
-    generic map (
-      g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in our streaming arrays, so we must invert the selection input
-      g_mode              => 2,
-      g_nof_output        => c_nof_select,
-      g_remove_channel_lo => false,
-      g_combined          => false
-    )
-    port map (
-      rst         => st_rst,
-      clk         => st_clk,
-
-      sel_ctrl    => TO_UINT(demux_select_arr(i)),
-
-      -- ST sinks
-      snk_out     => demux_in_siso_arr(i),
-      snk_in      => demux_in_sosi_arr(i),
-      -- ST source
-      src_in_arr  => demux_out_siso_2arr(i),
-      src_out_arr => demux_out_sosi_2arr(i)
-    );
+      generic map (
+        g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in our streaming arrays, so we must invert the selection input
+        g_mode              => 2,
+        g_nof_output        => c_nof_select,
+        g_remove_channel_lo => false,
+        g_combined          => false
+      )
+      port map (
+        rst         => st_rst,
+        clk         => st_clk,
+
+        sel_ctrl    => TO_UINT(demux_select_arr(i)),
+
+        -- ST sinks
+        snk_out     => demux_in_siso_arr(i),
+        snk_in      => demux_in_sosi_arr(i),
+        -- ST source
+        src_in_arr  => demux_out_siso_2arr(i),
+        src_out_arr => demux_out_sosi_2arr(i)
+      );
 
   end generate;
 
@@ -333,27 +333,27 @@ begin
   -- Optional RX data buffer
   gen_data_buf : if g_rx = true and g_rx_use_data_buf = true generate
     u_data_buf : entity diag_lib.mms_diag_data_buffer
-    generic map (
-      g_technology   => g_technology,
-      g_nof_streams  => g_nof_gx,
-      g_data_w       => g_data_w,
-      g_buf_nof_data => g_rx_data_buf_nof_words,
-      g_buf_use_sync => false
-    )
-    port map (
-      -- System
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
-      dp_rst            => st_rst,
-      dp_clk            => st_clk,
-      -- MM interface
-      ram_data_buf_mosi => ram_diag_data_buf_mosi,
-      ram_data_buf_miso => ram_diag_data_buf_miso,
-      reg_data_buf_mosi => reg_diag_data_buf_mosi,
-      reg_data_buf_miso => reg_diag_data_buf_miso,
-      -- ST interface
-      in_sosi_arr       => demux_in_sosi_arr
-    );
+      generic map (
+        g_technology   => g_technology,
+        g_nof_streams  => g_nof_gx,
+        g_data_w       => g_data_w,
+        g_buf_nof_data => g_rx_data_buf_nof_words,
+        g_buf_use_sync => false
+      )
+      port map (
+        -- System
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
+        dp_rst            => st_rst,
+        dp_clk            => st_clk,
+        -- MM interface
+        ram_data_buf_mosi => ram_diag_data_buf_mosi,
+        ram_data_buf_miso => ram_diag_data_buf_miso,
+        reg_data_buf_mosi => reg_diag_data_buf_mosi,
+        reg_data_buf_miso => reg_diag_data_buf_miso,
+        -- ST interface
+        in_sosi_arr       => demux_in_sosi_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded.vhd b/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded.vhd
index 1ef1211278..b44a006502 100644
--- a/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded.vhd
+++ b/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded.vhd
@@ -21,11 +21,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_transceiver_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity tr_nonbonded is
   generic(
@@ -125,73 +125,73 @@ begin
   gen_phy: if g_sim = false or g_sim_level = 0 generate
     -- PHY IP
     u_tech_transceiver_gx: entity tech_transceiver_lib.tech_transceiver_gx
-    generic map (
-      g_technology    => g_technology,
-      g_data_w        => g_data_w,
-      g_nof_gx        => g_nof_gx,
-      g_mbps          => g_mbps,
-      g_tx            => g_tx,
-      g_rx            => g_rx,
-      g_sim           => g_sim
+      generic map (
+        g_technology    => g_technology,
+        g_data_w        => g_data_w,
+        g_nof_gx        => g_nof_gx,
+        g_mbps          => g_mbps,
+        g_tx            => g_tx,
+        g_rx            => g_rx,
+        g_sim           => g_sim
       )
-    port map (
-      tr_clk          => tr_clk,
+      port map (
+        tr_clk          => tr_clk,
 
-      cal_rec_clk     => cal_rec_clk,
+        cal_rec_clk     => cal_rec_clk,
 
-      rx_clk          => i_rx_clk,
-      rx_rst          => i_rx_rst,
+        rx_clk          => i_rx_clk,
+        rx_rst          => i_rx_rst,
 
-      rx_sosi_arr     => rx_fifo_sosi_arr,
-      rx_siso_arr     => rx_fifo_siso_arr,
+        rx_sosi_arr     => rx_fifo_sosi_arr,
+        rx_siso_arr     => rx_fifo_siso_arr,
 
-      tx_clk          => i_tx_clk,
-      tx_rst          => i_tx_rst,
+        tx_clk          => i_tx_clk,
+        tx_rst          => i_tx_rst,
 
-      tx_sosi_arr     => tx_fifo_sosi_arr,
-      tx_siso_arr     => tx_fifo_siso_arr,
+        tx_sosi_arr     => tx_fifo_sosi_arr,
+        tx_siso_arr     => tx_fifo_siso_arr,
 
-      tx_dataout      => tx_dataout,
-      rx_datain       => rx_datain,
+        tx_dataout      => tx_dataout,
+        rx_datain       => rx_datain,
 
-      tx_state        => tx_state,
-      tx_align_en     => tx_align_en,
+        tx_state        => tx_state,
+        tx_align_en     => tx_align_en,
 
-      rx_state        => rx_state,
-      rx_align_en     => rx_align_en
-    );
+        rx_state        => rx_state,
+        rx_align_en     => rx_align_en
+      );
   end generate;
 
   gen_sim: if g_sim = true and g_sim_level = 1 generate
     -- Behavioural serdes model (fast)
     u_sim_gx: entity tech_transceiver_lib.sim_transceiver_gx
-    generic map (
-      g_data_w        => g_data_w,
-      g_nof_gx        => g_nof_gx,
-      g_mbps          => g_mbps,
-      g_tx            => g_tx,
-      g_rx            => g_rx
+      generic map (
+        g_data_w        => g_data_w,
+        g_nof_gx        => g_nof_gx,
+        g_mbps          => g_mbps,
+        g_tx            => g_tx,
+        g_rx            => g_rx
       )
-    port map (
-      tb_end          => tb_end,
+      port map (
+        tb_end          => tb_end,
 
-      tr_clk          => tr_clk,
+        tr_clk          => tr_clk,
 
-      rx_clk          => i_rx_clk,
-      rx_rst          => i_rx_rst,
+        rx_clk          => i_rx_clk,
+        rx_rst          => i_rx_rst,
 
-      rx_sosi_arr     => rx_fifo_sosi_arr,
-      rx_siso_arr     => rx_fifo_siso_arr,
+        rx_sosi_arr     => rx_fifo_sosi_arr,
+        rx_siso_arr     => rx_fifo_siso_arr,
 
-      tx_clk          => i_tx_clk,
-      tx_rst          => i_tx_rst,
+        tx_clk          => i_tx_clk,
+        tx_rst          => i_tx_rst,
 
-      tx_sosi_arr     => tx_fifo_sosi_arr,
-      tx_siso_arr     => tx_fifo_siso_arr,
+        tx_sosi_arr     => tx_fifo_sosi_arr,
+        tx_siso_arr     => tx_fifo_siso_arr,
 
-      tx_dataout      => tx_dataout,
-      rx_datain       => rx_datain
-    );
+        tx_dataout      => tx_dataout,
+        rx_datain       => rx_datain
+      );
   end generate;
 
   --  === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX === TX
@@ -209,30 +209,30 @@ begin
 
       gen_tx_fifo : if g_fifos = true generate
         u_tx_fifo : entity dp_lib.dp_fifo_dc
-        generic map (
-          g_technology => g_technology,
-          g_data_w    => g_data_w,
-          g_use_ctrl  => false,
-          g_fifo_size => g_tx_fifo_depth,
-          g_fifo_rl   => 1
-        )
-        port map (
-          wr_rst      => st_rst,
-          wr_clk      => st_clk,
-          rd_rst      => i_tx_rst(i),
-          rd_clk      => i_tx_clk(i),
-          -- ST sink
-          snk_out     => dp_tx_siso_arr(i),
-          snk_in      => dp_tx_sosi_arr(i),
-          -- Monitor FIFO filling
-          wr_ful      => gp_out_tx(i),
-          wr_usedw    => OPEN,
-          rd_usedw    => OPEN,
-          rd_emp      => OPEN,
-          -- ST source
-          src_in      => tx_fifo_siso_arr(i),
-          src_out     => tx_fifo_sosi_arr(i)
-        );
+          generic map (
+            g_technology => g_technology,
+            g_data_w    => g_data_w,
+            g_use_ctrl  => false,
+            g_fifo_size => g_tx_fifo_depth,
+            g_fifo_rl   => 1
+          )
+          port map (
+            wr_rst      => st_rst,
+            wr_clk      => st_clk,
+            rd_rst      => i_tx_rst(i),
+            rd_clk      => i_tx_clk(i),
+            -- ST sink
+            snk_out     => dp_tx_siso_arr(i),
+            snk_in      => dp_tx_sosi_arr(i),
+            -- Monitor FIFO filling
+            wr_ful      => gp_out_tx(i),
+            wr_usedw    => OPEN,
+            rd_usedw    => OPEN,
+            rd_emp      => OPEN,
+            -- ST source
+            src_in      => tx_fifo_siso_arr(i),
+            src_out     => tx_fifo_sosi_arr(i)
+          );
       end generate;  -- gen_tx_fifo
     end generate;  -- gen_i
   end generate;  -- gen_tx
@@ -255,30 +255,30 @@ begin
 
       gen_rx_fifo : if g_fifos = true generate
         u_rx_fifo : entity dp_lib.dp_fifo_dc
-        generic map (
-          g_technology => g_technology,
-          g_data_w    => g_data_w,
-          g_use_ctrl  => false,
-          g_fifo_size => g_rx_fifo_depth,
-          g_fifo_rl   => 1
-        )
-        port map (
-          wr_rst      => i_rx_rst(i),
-          wr_clk      => i_rx_clk(i),
-          rd_rst      => st_rst,
-          rd_clk      => st_clk,
-          -- ST sink
-          snk_out     => rx_fifo_siso_arr(i),
-          snk_in      => rx_fifo_sosi_arr(i),
-          -- Monitor FIFO filling
-          wr_ful      => gp_out_rx(i),
-          wr_usedw    => OPEN,
-          rd_usedw    => OPEN,
-          rd_emp      => OPEN,
-          -- ST source
-          src_in      => dp_rx_siso_arr(i),
-          src_out     => dp_rx_sosi_arr(i)
-        );
+          generic map (
+            g_technology => g_technology,
+            g_data_w    => g_data_w,
+            g_use_ctrl  => false,
+            g_fifo_size => g_rx_fifo_depth,
+            g_fifo_rl   => 1
+          )
+          port map (
+            wr_rst      => i_rx_rst(i),
+            wr_clk      => i_rx_clk(i),
+            rd_rst      => st_rst,
+            rd_clk      => st_clk,
+            -- ST sink
+            snk_out     => rx_fifo_siso_arr(i),
+            snk_in      => rx_fifo_sosi_arr(i),
+            -- Monitor FIFO filling
+            wr_ful      => gp_out_rx(i),
+            wr_usedw    => OPEN,
+            rd_usedw    => OPEN,
+            rd_emp      => OPEN,
+            -- ST source
+            src_in      => dp_rx_siso_arr(i),
+            src_out     => dp_rx_sosi_arr(i)
+          );
       end generate;  -- gen_rx_fifo
     end generate;  -- gen_i
   end generate;  -- gen_rx
diff --git a/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded_reg.vhd b/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded_reg.vhd
index b7732b1c32..12ae1d144e 100644
--- a/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded_reg.vhd
+++ b/libraries/io/tr_nonbonded/src/vhdl/tr_nonbonded_reg.vhd
@@ -20,15 +20,15 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, diag_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use diag_lib.diag_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use diag_lib.diag_pkg.all;
 
 entity tr_nonbonded_reg is
   generic (
-     g_nof_gx             : natural
- );
+    g_nof_gx             : natural
+  );
   port (
     -- Clocks and reset
     mm_rst               : in  std_logic;
@@ -51,7 +51,7 @@ entity tr_nonbonded_reg is
     rx_align_en          : out std_logic_vector(g_nof_gx - 1 downto 0);
 
     rx_dataout           : in t_slv_32_arr(g_nof_gx - 1 downto 0)
-   );
+  );
 end tr_nonbonded_reg;
 
 
@@ -61,11 +61,13 @@ architecture rtl of tr_nonbonded_reg is
   constant c_max_nof_gx       : natural := 12;
 
 
-  constant c_mm_reg           : t_c_mem := (latency  => 1,
-                                            adr_w    => ceil_log2(c_nof_addr),
-                                            dat_w    => c_word_w,
-                                            nof_dat  => c_nof_addr,
-                                            init_sl  => '0');
+  constant c_mm_reg           : t_c_mem := (
+    latency  => 1,
+    adr_w    => ceil_log2(c_nof_addr),
+    dat_w    => c_word_w,
+    nof_dat  => c_nof_addr,
+    init_sl  => '0'
+  );
 
   signal mm_tx_state              : std_logic_vector(c_word_w - 1 downto 0);
   signal mm_tx_align_en           : std_logic_vector(c_word_w - 1 downto 0);
@@ -155,26 +157,26 @@ begin
   gen_asyncs: for i in 0 to g_nof_gx - 1 generate
 
     u_async_tx_align_en: entity common_lib.common_async
-    generic map(
-      g_rst_level => '0'
-    )
-    port map(
-      rst  => tx_rst(i),
-      clk  => tx_clk(i),
-      din  => mm_tx_align_en(i),
-      dout => tx_align_en(i)
-    );
+      generic map(
+        g_rst_level => '0'
+      )
+      port map(
+        rst  => tx_rst(i),
+        clk  => tx_clk(i),
+        din  => mm_tx_align_en(i),
+        dout => tx_align_en(i)
+      );
 
     u_async_rx_align_en: entity common_lib.common_async
-    generic map(
-      g_rst_level => '0'
-    )
-    port map(
-      rst  => rx_rst(i),
-      clk  => rx_clk(i),
-      din  => mm_rx_align_en(i),
-      dout => rx_align_en(i)
-    );
+      generic map(
+        g_rst_level => '0'
+      )
+      port map(
+        rst  => rx_rst(i),
+        clk  => rx_clk(i),
+        din  => mm_rx_align_en(i),
+        dout => rx_align_en(i)
+      );
 
   end generate;
 
diff --git a/libraries/io/tr_nonbonded/tb/vhdl/tb_tb_tr_nonbonded.vhd b/libraries/io/tr_nonbonded/tb/vhdl/tb_tb_tr_nonbonded.vhd
index d20b660dd8..0dbe3e8f34 100644
--- a/libraries/io/tr_nonbonded/tb/vhdl/tb_tb_tr_nonbonded.vhd
+++ b/libraries/io/tr_nonbonded/tb/vhdl/tb_tb_tr_nonbonded.vhd
@@ -26,9 +26,9 @@
 --   > run -all
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_tb_tr_nonbonded is
diff --git a/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd b/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd
index 007d637ff2..85b5ed70a1 100644
--- a/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd
+++ b/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd
@@ -62,12 +62,12 @@
 
 
 library IEEE, tr_nonbonded_lib, common_lib, dp_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tb_tr_nonbonded is
   generic (
@@ -149,136 +149,136 @@ begin
   cal_rec_clk  <= not cal_rec_clk after cal_rec_clk_period / 2;
 
   u_tr_nonbonded_fn: entity WORK.tr_nonbonded
-  generic map (
-    g_data_w         => g_data_w,
-    g_nof_gx         => c_nof_gx,
-    g_mbps           => c_mbps,
-    g_sim            => c_sim,
-    g_sim_level      => g_sim_level,
-    g_tx             => true,
-    g_rx             => true,
-    g_fifos          => true
-  )
-  port map (
-    st_rst             => st_rst,
-    st_clk             => st_clk,
-
-    tr_clk             => fn_tr_clk,
-    cal_rec_clk        => cal_rec_clk,
-
-    --Serial data
-    tx_dataout         => fn_tx_dataout,
-    rx_datain          => fn_rx_datain,
-
-    --Parallel data
-    rx_rst             => OPEN,
-    rx_clk             => OPEN,
-    rx_sosi_arr        => OPEN,
-    rx_siso_arr        => (others => c_dp_siso_rst),
-
-    tx_rst             => OPEN,
-    tx_clk             => OPEN,
-    tx_sosi_arr        => (others => c_dp_sosi_rst),
-    tx_siso_arr        => OPEN,
-
-    dp_rx_sosi_arr     => fn_dp_rx_sosi_arr,
-    dp_rx_siso_arr     => fn_dp_rx_siso_arr,
-    dp_tx_sosi_arr     => fn_dp_tx_sosi_arr,
-    dp_tx_siso_arr     => fn_dp_tx_siso_arr,
-
-    tx_align_en        => (others => '0'),
-    rx_align_en        => (others => '0')
-  );
+    generic map (
+      g_data_w         => g_data_w,
+      g_nof_gx         => c_nof_gx,
+      g_mbps           => c_mbps,
+      g_sim            => c_sim,
+      g_sim_level      => g_sim_level,
+      g_tx             => true,
+      g_rx             => true,
+      g_fifos          => true
+    )
+    port map (
+      st_rst             => st_rst,
+      st_clk             => st_clk,
+
+      tr_clk             => fn_tr_clk,
+      cal_rec_clk        => cal_rec_clk,
+
+      --Serial data
+      tx_dataout         => fn_tx_dataout,
+      rx_datain          => fn_rx_datain,
+
+      --Parallel data
+      rx_rst             => OPEN,
+      rx_clk             => OPEN,
+      rx_sosi_arr        => OPEN,
+      rx_siso_arr        => (others => c_dp_siso_rst),
+
+      tx_rst             => OPEN,
+      tx_clk             => OPEN,
+      tx_sosi_arr        => (others => c_dp_sosi_rst),
+      tx_siso_arr        => OPEN,
+
+      dp_rx_sosi_arr     => fn_dp_rx_sosi_arr,
+      dp_rx_siso_arr     => fn_dp_rx_siso_arr,
+      dp_tx_sosi_arr     => fn_dp_tx_sosi_arr,
+      dp_tx_siso_arr     => fn_dp_tx_siso_arr,
+
+      tx_align_en        => (others => '0'),
+      rx_align_en        => (others => '0')
+    );
 
   u_diagnostics_fn: entity diagnostics_lib.diagnostics
-  generic map (
-    g_dat_w          => g_data_w,
-    g_nof_streams    => c_nof_gx
-  )
-  port map (
-    rst              => st_rst,
-    clk              => st_clk,
-
-    snk_out_arr      => fn_dp_rx_siso_arr,
-    snk_in_arr       => fn_dp_rx_sosi_arr,
-    snk_diag_en      => (others => '1'),
-    snk_diag_md      => (others => '1'),
-    snk_diag_res     => fn_snk_diag_res,
-    snk_diag_res_val => fn_snk_diag_res_val,
-    snk_val_cnt      => fn_snk_val_cnt,
-
-    src_out_arr      => fn_dp_tx_sosi_arr,
-    src_in_arr       => fn_dp_tx_siso_arr,
-    src_diag_en      => (others => '1'),
-    src_diag_md      => (others => '1'),
-    src_val_cnt      => fn_src_val_cnt
-  );
+    generic map (
+      g_dat_w          => g_data_w,
+      g_nof_streams    => c_nof_gx
+    )
+    port map (
+      rst              => st_rst,
+      clk              => st_clk,
+
+      snk_out_arr      => fn_dp_rx_siso_arr,
+      snk_in_arr       => fn_dp_rx_sosi_arr,
+      snk_diag_en      => (others => '1'),
+      snk_diag_md      => (others => '1'),
+      snk_diag_res     => fn_snk_diag_res,
+      snk_diag_res_val => fn_snk_diag_res_val,
+      snk_val_cnt      => fn_snk_val_cnt,
+
+      src_out_arr      => fn_dp_tx_sosi_arr,
+      src_in_arr       => fn_dp_tx_siso_arr,
+      src_diag_en      => (others => '1'),
+      src_diag_md      => (others => '1'),
+      src_val_cnt      => fn_src_val_cnt
+    );
 
   u_tr_nonbonded_bn: entity WORK.tr_nonbonded
-  generic map (
-    g_data_w         => g_data_w,
-    g_nof_gx         => c_nof_gx,
-    g_mbps           => c_mbps,
-    g_sim            => c_sim,
-    g_sim_level      => g_sim_level,
-    g_tx             => true,
-    g_rx             => true,
-    g_fifos          => true
-  )
-  port map (
-    st_rst         => st_rst,
-    st_clk         => st_clk,
-
-    tr_clk         => bn_tr_clk,
-    cal_rec_clk    => cal_rec_clk,
-
-    --Serial data
-    tx_dataout     => fn_rx_datain,
-    rx_datain      => fn_tx_dataout,
-
-    --Parallel data
-    rx_rst         => OPEN,
-    rx_clk         => OPEN,
-    rx_sosi_arr    => OPEN,
-    rx_siso_arr    => (others => c_dp_siso_rst),
-
-    tx_rst         => OPEN,
-    tx_clk         => OPEN,
-    tx_sosi_arr    => (others => c_dp_sosi_rst),
-    tx_siso_arr    => OPEN,
-
-    dp_rx_sosi_arr => bn_dp_rx_sosi_arr,
-    dp_rx_siso_arr => bn_dp_rx_siso_arr,
-    dp_tx_sosi_arr => bn_dp_tx_sosi_arr,
-    dp_tx_siso_arr => bn_dp_tx_siso_arr,
-
-    tx_align_en        => (others => '0'),
-    rx_align_en        => (others => '0')
-  );
+    generic map (
+      g_data_w         => g_data_w,
+      g_nof_gx         => c_nof_gx,
+      g_mbps           => c_mbps,
+      g_sim            => c_sim,
+      g_sim_level      => g_sim_level,
+      g_tx             => true,
+      g_rx             => true,
+      g_fifos          => true
+    )
+    port map (
+      st_rst         => st_rst,
+      st_clk         => st_clk,
+
+      tr_clk         => bn_tr_clk,
+      cal_rec_clk    => cal_rec_clk,
+
+      --Serial data
+      tx_dataout     => fn_rx_datain,
+      rx_datain      => fn_tx_dataout,
+
+      --Parallel data
+      rx_rst         => OPEN,
+      rx_clk         => OPEN,
+      rx_sosi_arr    => OPEN,
+      rx_siso_arr    => (others => c_dp_siso_rst),
+
+      tx_rst         => OPEN,
+      tx_clk         => OPEN,
+      tx_sosi_arr    => (others => c_dp_sosi_rst),
+      tx_siso_arr    => OPEN,
+
+      dp_rx_sosi_arr => bn_dp_rx_sosi_arr,
+      dp_rx_siso_arr => bn_dp_rx_siso_arr,
+      dp_tx_sosi_arr => bn_dp_tx_sosi_arr,
+      dp_tx_siso_arr => bn_dp_tx_siso_arr,
+
+      tx_align_en        => (others => '0'),
+      rx_align_en        => (others => '0')
+    );
 
   u_diagnostics_bn: entity diagnostics_lib.diagnostics
-  generic map (
-    g_dat_w          => g_data_w,
-    g_nof_streams    => c_nof_gx
-  )
-  port map (
-    rst              => st_rst,
-    clk              => st_clk,
-
-    snk_out_arr      => bn_dp_rx_siso_arr,
-    snk_in_arr       => bn_dp_rx_sosi_arr,
-    snk_diag_en      => (others => '1'),
-    snk_diag_md      => (others => '1'),
-    snk_diag_res     => bn_snk_diag_res,
-    snk_diag_res_val => bn_snk_diag_res_val,
-    snk_val_cnt      => bn_snk_val_cnt,
-
-    src_out_arr      => bn_dp_tx_sosi_arr,
-    src_in_arr       => bn_dp_tx_siso_arr,
-    src_diag_en      => (others => '1'),
-    src_diag_md      => (others => '1'),
-    src_val_cnt      => bn_src_val_cnt
-  );
+    generic map (
+      g_dat_w          => g_data_w,
+      g_nof_streams    => c_nof_gx
+    )
+    port map (
+      rst              => st_rst,
+      clk              => st_clk,
+
+      snk_out_arr      => bn_dp_rx_siso_arr,
+      snk_in_arr       => bn_dp_rx_sosi_arr,
+      snk_diag_en      => (others => '1'),
+      snk_diag_md      => (others => '1'),
+      snk_diag_res     => bn_snk_diag_res,
+      snk_diag_res_val => bn_snk_diag_res_val,
+      snk_val_cnt      => bn_snk_val_cnt,
+
+      src_out_arr      => bn_dp_tx_sosi_arr,
+      src_in_arr       => bn_dp_tx_siso_arr,
+      src_diag_en      => (others => '1'),
+      src_diag_md      => (others => '1'),
+      src_val_cnt      => bn_src_val_cnt
+    );
 
   -- Verification
   verify_en <= '0', '1' after 10 us;
diff --git a/libraries/io/tr_xaui/src/vhdl/mms_tr_xaui.vhd b/libraries/io/tr_xaui/src/vhdl/mms_tr_xaui.vhd
index 7af2f24787..0409e59594 100644
--- a/libraries/io/tr_xaui/src/vhdl/mms_tr_xaui.vhd
+++ b/libraries/io/tr_xaui/src/vhdl/mms_tr_xaui.vhd
@@ -21,14 +21,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib, dp_lib, mdio_lib, diagnostics_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mdio_lib.mdio_pkg.all;
-use mdio_lib.mdio_vitesse_vsc8486_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mdio_lib.mdio_pkg.all;
+  use mdio_lib.mdio_vitesse_vsc8486_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity mms_tr_xaui is
   generic (
@@ -132,80 +132,80 @@ begin
   rx_rst_arr <= i_rx_rst_arr;
 
   u_tr_xaui: entity work.tr_xaui
-  generic map (
-    g_technology       => g_technology,
-    g_sim              => g_sim,
-    g_use_mdio         => g_use_mdio,
-    g_nof_xaui         => g_nof_xaui
-  )
-  port map (
-    tr_clk             => tr_clk,
-    tr_rst             => tr_rst,
-
-    cal_rec_clk        => cal_rec_clk,
-
-    mm_rst             => mm_rst,
-    mm_clk             => mm_clk,
-
-    xaui_mosi          => xaui_mosi,
-    xaui_miso          => xaui_miso,
-
-    mdio_mosi_arr      => mdio_mosi_arr,
-    mdio_miso_arr      => mdio_miso_arr,
-
-    --Parallel data
-    tx_clk_arr         => tx_clk_arr,
-    tx_rst_arr         => i_tx_rst_arr,
-    tx_sosi_arr        => mux_out_sosi_arr,
-    tx_siso_arr        => mux_out_siso_arr,
-
-    rx_clk_arr_out     => rx_clk_arr_out,
-    rx_clk_arr_in      => rx_clk_arr_in,
-    rx_rst_arr         => i_rx_rst_arr,
-    rx_sosi_arr        => demux_in_sosi_arr,
-    rx_siso_arr        => demux_in_siso_arr,
-
-    --Serial data
-    xaui_tx_arr        => xaui_tx_arr,
-    xaui_rx_arr        => xaui_rx_arr,
+    generic map (
+      g_technology       => g_technology,
+      g_sim              => g_sim,
+      g_use_mdio         => g_use_mdio,
+      g_nof_xaui         => g_nof_xaui
+    )
+    port map (
+      tr_clk             => tr_clk,
+      tr_rst             => tr_rst,
 
-    -- MDIO interface
-    mdio_rst           => mdio_rst,
-    mdio_mdc_arr       => mdio_mdc_arr,
-    mdio_mdat_in_arr   => mdio_mdat_in_arr,
-    mdio_mdat_oen_arr  => mdio_mdat_oen_arr
-  );
+      cal_rec_clk        => cal_rec_clk,
+
+      mm_rst             => mm_rst,
+      mm_clk             => mm_clk,
+
+      xaui_mosi          => xaui_mosi,
+      xaui_miso          => xaui_miso,
+
+      mdio_mosi_arr      => mdio_mosi_arr,
+      mdio_miso_arr      => mdio_miso_arr,
+
+      --Parallel data
+      tx_clk_arr         => tx_clk_arr,
+      tx_rst_arr         => i_tx_rst_arr,
+      tx_sosi_arr        => mux_out_sosi_arr,
+      tx_siso_arr        => mux_out_siso_arr,
+
+      rx_clk_arr_out     => rx_clk_arr_out,
+      rx_clk_arr_in      => rx_clk_arr_in,
+      rx_rst_arr         => i_rx_rst_arr,
+      rx_sosi_arr        => demux_in_sosi_arr,
+      rx_siso_arr        => demux_in_siso_arr,
+
+      --Serial data
+      xaui_tx_arr        => xaui_tx_arr,
+      xaui_rx_arr        => xaui_rx_arr,
+
+      -- MDIO interface
+      mdio_rst           => mdio_rst,
+      mdio_mdc_arr       => mdio_mdc_arr,
+      mdio_mdat_in_arr   => mdio_mdat_in_arr,
+      mdio_mdat_oen_arr  => mdio_mdat_oen_arr
+    );
 
   u_mms_diagnostics: entity diagnostics_lib.mms_diagnostics
-  generic map(
-    g_data_w       => c_xgmii_data_w,
-    g_nof_streams  => g_nof_xaui,
-    g_separate_clk => true
-  )
-  port map (
-    mm_rst          => mm_rst,
-    mm_clk          => mm_clk,
+    generic map(
+      g_data_w       => c_xgmii_data_w,
+      g_nof_streams  => g_nof_xaui,
+      g_separate_clk => true
+    )
+    port map (
+      mm_rst          => mm_rst,
+      mm_clk          => mm_clk,
 
-    src_rst         => i_tx_rst_arr,
-    src_clk         => tx_clk_arr,
+      src_rst         => i_tx_rst_arr,
+      src_clk         => tx_clk_arr,
 
-    snk_rst         => i_rx_rst_arr,
-    snk_clk         => rx_clk_arr_in,
+      snk_rst         => i_rx_rst_arr,
+      snk_clk         => rx_clk_arr_in,
 
-    mm_mosi         => diagnostics_mosi,
-    mm_miso         => diagnostics_miso,
+      mm_mosi         => diagnostics_mosi,
+      mm_miso         => diagnostics_miso,
 
-    src_out_arr     => diagnostics_tx_sosi_arr,
-    src_in_arr      => diagnostics_tx_siso_arr,
+      src_out_arr     => diagnostics_tx_sosi_arr,
+      src_in_arr      => diagnostics_tx_siso_arr,
 
-    snk_out_arr     => diagnostics_rx_siso_arr,
-    snk_in_arr      => diagnostics_rx_sosi_arr,
+      snk_out_arr     => diagnostics_rx_siso_arr,
+      snk_in_arr      => diagnostics_rx_sosi_arr,
 
-    src_en_out      => diagnostics_tx_en_arr,
-    snk_en_out      => diagnostics_rx_en_arr
-  );
+      src_en_out      => diagnostics_tx_en_arr,
+      snk_en_out      => diagnostics_rx_en_arr
+    );
 
-   gen_select : for i in 0 to g_nof_xaui - 1 generate
+  gen_select : for i in 0 to g_nof_xaui - 1 generate
 
     -- 0 = user data,
     tx_siso_arr(i)                     <= mux_in_siso_2arr(i)(c_sel_user);
@@ -228,30 +228,30 @@ begin
     nxt_demux_select_arr(i) <= slv(diagnostics_rx_en_arr(i));
 
     u_dp_mux : entity dp_lib.dp_mux
-    generic map (
-      -- Mux
-      g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in out streaming arrays, so we must invert the selection input
-      g_mode              => 2,
-      g_nof_input         => c_nof_select,
-      g_append_channel_lo => false,
-      -- Input FIFO
-      g_use_fifo          => false,
-      g_fifo_size         => array_init(1024, c_nof_select),
-      g_fifo_fill         => array_init(   0, c_nof_select)
-    )
-    port map (
-      rst         => i_tx_rst_arr(i),
-      clk         => tx_clk_arr(i),
-
-      sel_ctrl    => TO_UINT(mux_select_arr(i)),
-
-      -- ST sinks
-      snk_out_arr => mux_in_siso_2arr(i),
-      snk_in_arr  => mux_in_sosi_2arr(i),
-      -- ST source
-      src_in      => mux_out_siso_arr(i),
-      src_out     => mux_out_sosi_arr(i)
-    );
+      generic map (
+        -- Mux
+        g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in out streaming arrays, so we must invert the selection input
+        g_mode              => 2,
+        g_nof_input         => c_nof_select,
+        g_append_channel_lo => false,
+        -- Input FIFO
+        g_use_fifo          => false,
+        g_fifo_size         => array_init(1024, c_nof_select),
+        g_fifo_fill         => array_init(   0, c_nof_select)
+      )
+      port map (
+        rst         => i_tx_rst_arr(i),
+        clk         => tx_clk_arr(i),
+
+        sel_ctrl    => TO_UINT(mux_select_arr(i)),
+
+        -- ST sinks
+        snk_out_arr => mux_in_siso_2arr(i),
+        snk_in_arr  => mux_in_sosi_2arr(i),
+        -- ST source
+        src_in      => mux_out_siso_arr(i),
+        src_out     => mux_out_sosi_arr(i)
+      );
 
     p_tx_clk : process(i_tx_rst_arr, tx_clk_arr)
     begin
@@ -263,26 +263,26 @@ begin
     end process;
 
     u_dp_demux : entity dp_lib.dp_demux
-    generic map (
-      g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in out streaming arrays, so we must invert the selection input
-      g_mode              => 2,
-      g_nof_output        => c_nof_select,
-      g_remove_channel_lo => false,
-      g_combined          => false
-    )
-    port map (
-      rst         => i_rx_rst_arr(i),
-      clk         => rx_clk_arr_in(i),
-
-      sel_ctrl    => TO_UINT(demux_select_arr(i)),
-
-      -- ST sinks
-      snk_out     => demux_in_siso_arr(i),
-      snk_in      => demux_in_sosi_arr(i),
-      -- ST source
-      src_in_arr  => demux_out_siso_2arr(i),
-      src_out_arr => demux_out_sosi_2arr(i)
-    );
+      generic map (
+        g_sel_ctrl_invert   => true,  -- We're using DOWNTO ranges in out streaming arrays, so we must invert the selection input
+        g_mode              => 2,
+        g_nof_output        => c_nof_select,
+        g_remove_channel_lo => false,
+        g_combined          => false
+      )
+      port map (
+        rst         => i_rx_rst_arr(i),
+        clk         => rx_clk_arr_in(i),
+
+        sel_ctrl    => TO_UINT(demux_select_arr(i)),
+
+        -- ST sinks
+        snk_out     => demux_in_siso_arr(i),
+        snk_in      => demux_in_sosi_arr(i),
+        -- ST source
+        src_in_arr  => demux_out_siso_2arr(i),
+        src_out_arr => demux_out_sosi_2arr(i)
+      );
 
     p_rx_clk_arr : process(i_rx_rst_arr, rx_clk_arr_in)
     begin
diff --git a/libraries/io/tr_xaui/src/vhdl/tr_xaui.vhd b/libraries/io/tr_xaui/src/vhdl/tr_xaui.vhd
index f4ce311958..56fb596bf3 100644
--- a/libraries/io/tr_xaui/src/vhdl/tr_xaui.vhd
+++ b/libraries/io/tr_xaui/src/vhdl/tr_xaui.vhd
@@ -21,14 +21,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib, mdio_lib, technology_lib, tech_xaui_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use mdio_lib.mdio_pkg.all;
-use mdio_lib.mdio_vitesse_vsc8486_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use mdio_lib.mdio_pkg.all;
+  use mdio_lib.mdio_vitesse_vsc8486_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity tr_xaui is
   generic (
@@ -125,38 +125,38 @@ begin
   i_rx_rst_arr <= not rxc_rx_ready_arr;
 
   u_tech_xaui : entity tech_xaui_lib.tech_xaui
-  generic map (
-    g_technology => g_technology,
-    g_sim        => g_sim,
-    g_sim_level  => g_sim_level,
-    g_nof_xaui   => g_nof_xaui
-  )
-  port map (
-    tr_clk                    => tr_clk,
-    tr_rst                    => tr_rst,
+    generic map (
+      g_technology => g_technology,
+      g_sim        => g_sim,
+      g_sim_level  => g_sim_level,
+      g_nof_xaui   => g_nof_xaui
+    )
+    port map (
+      tr_clk                    => tr_clk,
+      tr_rst                    => tr_rst,
 
-    cal_rec_clk               => cal_rec_clk,
+      cal_rec_clk               => cal_rec_clk,
 
-    mm_rst                    => mm_rst,
-    mm_clk                    => mm_clk,
+      mm_rst                    => mm_rst,
+      mm_clk                    => mm_clk,
 
-    xaui_mosi                 => xaui_mosi,
-    xaui_miso                 => xaui_miso,
+      xaui_mosi                 => xaui_mosi,
+      xaui_miso                 => xaui_miso,
 
-    tx_clk_arr                => tx_clk_arr,
-    rx_clk_arr_out            => rx_clk_arr_out,
-    rx_clk_arr_in             => rx_clk_arr_in,
+      tx_clk_arr                => tx_clk_arr,
+      rx_clk_arr_out            => rx_clk_arr_out,
+      rx_clk_arr_in             => rx_clk_arr_in,
 
-    txc_tx_ready_arr          => txc_tx_ready_arr,
-    rxc_rx_ready_arr          => rxc_rx_ready_arr,
-    txc_rx_channelaligned_arr => txc_rx_channelaligned_arr,
+      txc_tx_ready_arr          => txc_tx_ready_arr,
+      rxc_rx_ready_arr          => rxc_rx_ready_arr,
+      txc_rx_channelaligned_arr => txc_rx_channelaligned_arr,
 
-    xgmii_tx_dc_arr           => xgmii_tx_dc_in_arr,
-    xgmii_rx_dc_arr           => xgmii_rx_dc_out_arr,
+      xgmii_tx_dc_arr           => xgmii_tx_dc_in_arr,
+      xgmii_rx_dc_arr           => xgmii_rx_dc_out_arr,
 
-    xaui_tx_arr               => xaui_tx_arr,
-    xaui_rx_arr               => xaui_rx_arr
-  );
+      xaui_tx_arr               => xaui_tx_arr,
+      xaui_rx_arr               => xaui_rx_arr
+    );
 
   -----------------------------------------------------------------------------
   -- SOSI-XGMII user interface
@@ -175,31 +175,31 @@ begin
       tx_framer_sosi_arr(i) <= tx_sosi_arr(i);
 
       u_tx_framer : entity work.tr_xaui_framer
-      generic map (
-        g_dat_len => sel_a_b(g_sim, 100, 100000),
-        g_gap_len => 10
-       )
-      port map (
-        tx_rst     => i_tx_rst_arr(i),
-        tx_clk     => tx_clk_arr(i),
+        generic map (
+          g_dat_len => sel_a_b(g_sim, 100, 100000),
+          g_gap_len => 10
+        )
+        port map (
+          tx_rst     => i_tx_rst_arr(i),
+          tx_clk     => tx_clk_arr(i),
 
-        snk_out    => tx_framer_siso_arr(i),
-        snk_in     => tx_framer_sosi_arr(i),
+          snk_out    => tx_framer_siso_arr(i),
+          snk_in     => tx_framer_sosi_arr(i),
 
-        xgmii_tx_d => xgmii_tx_d_arr(i),
-        xgmii_tx_c => xgmii_tx_c_arr(i)
-      );
+          xgmii_tx_d => xgmii_tx_d_arr(i),
+          xgmii_tx_c => xgmii_tx_c_arr(i)
+        );
 
       u_rx_deframer : entity work.tr_xaui_deframer
-      port map (
-        rx_rst     => i_rx_rst_arr(i),
-        rx_clk     => rx_clk_arr_in(i),
+        port map (
+          rx_rst     => i_rx_rst_arr(i),
+          rx_clk     => rx_clk_arr_in(i),
 
-        xgmii_rx_d => xgmii_rx_d_arr(i),
-        xgmii_rx_c => xgmii_rx_c_arr(i),
+          xgmii_rx_d => xgmii_rx_d_arr(i),
+          xgmii_rx_c => xgmii_rx_c_arr(i),
 
-        src_out    => rx_sosi_arr(i)
-      );
+          src_out    => rx_sosi_arr(i)
+        );
     end generate;  -- g_nof_xaui
   end generate;
 
@@ -216,30 +216,30 @@ begin
   ---------------------------------------------------------------------------
   gen_mdio: if g_use_mdio = true generate
     u_tr_xaui_mdio : entity work.tr_xaui_mdio
-    generic map (
-      g_sim           => g_sim,
-      g_nof_xaui      => g_nof_xaui,
-      g_mdio_epcs_dis => g_mdio_epcs_dis
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_clk            => tr_clk,
-      tr_rst            => tr_rst,
-
-      -- MM clock for register of optional MDIO master
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-
-      -- MDIO master = mm slave
-      mdio_mosi_arr     => mdio_mosi_arr,
-      mdio_miso_arr     => mdio_miso_arr,
-
-      -- MDIO External clock and serial data.
-      mdio_rst          => mdio_rst,
-      mdio_mdc_arr      => mdio_mdc_arr,
-      mdio_mdat_in_arr  => mdio_mdat_in_arr,
-      mdio_mdat_oen_arr => mdio_mdat_oen_arr
-    );
+      generic map (
+        g_sim           => g_sim,
+        g_nof_xaui      => g_nof_xaui,
+        g_mdio_epcs_dis => g_mdio_epcs_dis
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_clk            => tr_clk,
+        tr_rst            => tr_rst,
+
+        -- MM clock for register of optional MDIO master
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+
+        -- MDIO master = mm slave
+        mdio_mosi_arr     => mdio_mosi_arr,
+        mdio_miso_arr     => mdio_miso_arr,
+
+        -- MDIO External clock and serial data.
+        mdio_rst          => mdio_rst,
+        mdio_mdc_arr      => mdio_mdc_arr,
+        mdio_mdat_in_arr  => mdio_mdat_in_arr,
+        mdio_mdat_oen_arr => mdio_mdat_oen_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/io/tr_xaui/src/vhdl/tr_xaui_deframer.vhd b/libraries/io/tr_xaui/src/vhdl/tr_xaui_deframer.vhd
index 30ce21748b..d0cfd2d9b7 100644
--- a/libraries/io/tr_xaui/src/vhdl/tr_xaui_deframer.vhd
+++ b/libraries/io/tr_xaui/src/vhdl/tr_xaui_deframer.vhd
@@ -21,10 +21,10 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tr_xaui_deframer is
   port (
@@ -150,59 +150,59 @@ begin
   begin
     nxt_state  <= state;
 
-  case state is
-
-    when s_gap =>
-      nxt_rx_data_hi  <= (others => '0');
-      nxt_rx_data_lo  <= (others => '0');
-      nxt_rx_data_val <= '0';
-      if xgmii_rx_c_lo = c_xgmii_c_start_lo and xgmii_rx_d_lo = c_xgmii_d_start_lo then
-        -- Data happens to be aligned correctly
-        nxt_state <= s_data;
-      elsif xgmii_rx_c_hi = c_xgmii_c_start_lo and xgmii_rx_d_hi = c_xgmii_d_start_lo then
-        -- Data misaligned
-        nxt_state <= s_data_misaligned;
-      end if;
-
-    when s_data =>
-      nxt_rx_data_hi  <= xgmii_rx_d_hi;
-      nxt_rx_data_lo  <= xgmii_rx_d_lo;
-      nxt_rx_data_val <= '1';
-      if xgmii_rx_c_lo = c_xgmii_c_term_lo then
-        -- As we're aligned properly, we can expect a TERM char in the LS word.
-        -- The MS word will contain the MS portion of the c_xgmii_c_term,
-        -- and after that we expect idle patterns, so nxt_rx_data is a GAP.
-        nxt_state       <= s_gap;
+    case state is
+
+      when s_gap =>
         nxt_rx_data_hi  <= (others => '0');
         nxt_rx_data_lo  <= (others => '0');
         nxt_rx_data_val <= '0';
-      elsif xgmii_rx_c = c_xgmii_c_init then
-        -- Data interrupted due to re-initialization
-        nxt_state <= s_gap;
-      end if;
-
-    when s_data_misaligned =>
-      nxt_rx_data_hi  <= xgmii_rx_d_lo;
-      nxt_rx_data_lo  <= prev_xgmii_rx_d_hi;
-      nxt_rx_data_val <= '1';
-      if prev_state = s_gap then
+        if xgmii_rx_c_lo = c_xgmii_c_start_lo and xgmii_rx_d_lo = c_xgmii_d_start_lo then
+          -- Data happens to be aligned correctly
+          nxt_state <= s_data;
+        elsif xgmii_rx_c_hi = c_xgmii_c_start_lo and xgmii_rx_d_hi = c_xgmii_d_start_lo then
+          -- Data misaligned
+          nxt_state <= s_data_misaligned;
+        end if;
+
+      when s_data =>
+        nxt_rx_data_hi  <= xgmii_rx_d_hi;
+        nxt_rx_data_lo  <= xgmii_rx_d_lo;
+        nxt_rx_data_val <= '1';
+        if xgmii_rx_c_lo = c_xgmii_c_term_lo then
+          -- As we're aligned properly, we can expect a TERM char in the LS word.
+          -- The MS word will contain the MS portion of the c_xgmii_c_term,
+          -- and after that we expect idle patterns, so nxt_rx_data is a GAP.
+          nxt_state       <= s_gap;
+          nxt_rx_data_hi  <= (others => '0');
+          nxt_rx_data_lo  <= (others => '0');
+          nxt_rx_data_val <= '0';
+        elsif xgmii_rx_c = c_xgmii_c_init then
+          -- Data interrupted due to re-initialization
+          nxt_state <= s_gap;
+        end if;
+
+      when s_data_misaligned =>
+        nxt_rx_data_hi  <= xgmii_rx_d_lo;
+        nxt_rx_data_lo  <= prev_xgmii_rx_d_hi;
+        nxt_rx_data_val <= '1';
+        if prev_state = s_gap then
+          nxt_rx_data_hi  <= (others => '0');
+          nxt_rx_data_lo  <= (others => '0');
+          nxt_rx_data_val <= '0';
+        end if;
+        if xgmii_rx_c_hi = c_xgmii_c_term_lo then
+          -- As we're misaligned, we can expect the LS portion of c_xgmii_c_term on the MS position.
+          nxt_state <= s_gap;
+        elsif xgmii_rx_c = c_xgmii_c_init then
+          -- Data interrupted due to re-initialization
+          nxt_state <= s_gap;
+        end if;
+
+      when others =>
+        nxt_state       <= s_gap;
         nxt_rx_data_hi  <= (others => '0');
         nxt_rx_data_lo  <= (others => '0');
         nxt_rx_data_val <= '0';
-      end if;
-      if xgmii_rx_c_hi = c_xgmii_c_term_lo then
-        -- As we're misaligned, we can expect the LS portion of c_xgmii_c_term on the MS position.
-        nxt_state <= s_gap;
-      elsif xgmii_rx_c = c_xgmii_c_init then
-        -- Data interrupted due to re-initialization
-        nxt_state <= s_gap;
-      end if;
-
-    when others =>
-      nxt_state       <= s_gap;
-      nxt_rx_data_hi  <= (others => '0');
-      nxt_rx_data_lo  <= (others => '0');
-      nxt_rx_data_val <= '0';
 
     end case;
   end process;
diff --git a/libraries/io/tr_xaui/src/vhdl/tr_xaui_framer.vhd b/libraries/io/tr_xaui/src/vhdl/tr_xaui_framer.vhd
index 30cda903e9..6c71f634bc 100644
--- a/libraries/io/tr_xaui/src/vhdl/tr_xaui_framer.vhd
+++ b/libraries/io/tr_xaui/src/vhdl/tr_xaui_framer.vhd
@@ -22,16 +22,16 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tr_xaui_framer is
   generic (
     g_dat_len : natural := 1000000;  -- Max number of cycles carrying user data
     g_gap_len : natural := 5  -- Gap length, including 2 cycles for the START and TERMINATE words
-    );
+  );
   port (
     tx_clk     : in std_logic;
     tx_rst     : in std_logic;
@@ -74,21 +74,21 @@ begin
   -- chars by the xaui phy IP) are inserted during these gaps. Dp_gap also extends any
   -- gap on its snk_in to the minimum gap_len by deasserting snk_out.ready.
   u_dp_gap : entity dp_lib.dp_gap
-  generic map (
-    g_dat_len    => g_dat_len,
-    g_gap_len    => g_gap_len,
-    g_gap_extend => true
-  )
-  port map (
-    rst       => tx_rst,
-    clk       => tx_clk,
-
-    snk_out   => snk_out,
-    snk_in    => snk_in,
-
-    src_in    => gap_siso,
-    src_out   => gap_sosi
-  );
+    generic map (
+      g_dat_len    => g_dat_len,
+      g_gap_len    => g_gap_len,
+      g_gap_extend => true
+    )
+    port map (
+      rst       => tx_rst,
+      clk       => tx_clk,
+
+      snk_out   => snk_out,
+      snk_in    => snk_in,
+
+      src_in    => gap_siso,
+      src_out   => gap_sosi
+    );
 
   gap_siso <= c_dp_siso_rdy;  -- no flow control
 
@@ -123,35 +123,35 @@ begin
   begin
     nxt_state  <= state;
 
-  case state is
-
-    when s_gap =>
-      -- Insert idle words during gaps
-      nxt_xgmii_tx_d <= c_xgmii_d_idle;
-      nxt_xgmii_tx_c <= c_xgmii_c_idle;
-      if prev_state = s_data then
-        -- Insert the TERM word during transition from data to idle
-        nxt_xgmii_tx_d <= c_xgmii_d_term;
-        nxt_xgmii_tx_c <= c_xgmii_c_term;
-      end if;
-      if gap_sosi.valid = '1' then
-        -- Insert the START word during transition from idle to data
-        nxt_state      <= s_data;
-        nxt_xgmii_tx_d <= c_xgmii_d_start;
-        nxt_xgmii_tx_c <= c_xgmii_c_start;
-      end if;
-
-    when s_data =>  -- Forward the data stored in prev_gap_sosi
-      nxt_xgmii_tx_d <= prev_gap_sosi.data(c_xgmii_data_w - 1 downto 0);
-      nxt_xgmii_tx_c <= c_xgmii_c_data;
-      if gap_sosi.valid = '0' then
+    case state is
+
+      when s_gap =>
+        -- Insert idle words during gaps
+        nxt_xgmii_tx_d <= c_xgmii_d_idle;
+        nxt_xgmii_tx_c <= c_xgmii_c_idle;
+        if prev_state = s_data then
+          -- Insert the TERM word during transition from data to idle
+          nxt_xgmii_tx_d <= c_xgmii_d_term;
+          nxt_xgmii_tx_c <= c_xgmii_c_term;
+        end if;
+        if gap_sosi.valid = '1' then
+          -- Insert the START word during transition from idle to data
+          nxt_state      <= s_data;
+          nxt_xgmii_tx_d <= c_xgmii_d_start;
+          nxt_xgmii_tx_c <= c_xgmii_c_start;
+        end if;
+
+      when s_data =>  -- Forward the data stored in prev_gap_sosi
+        nxt_xgmii_tx_d <= prev_gap_sosi.data(c_xgmii_data_w - 1 downto 0);
+        nxt_xgmii_tx_c <= c_xgmii_c_data;
+        if gap_sosi.valid = '0' then
+          nxt_state      <= s_gap;
+        end if;
+
+      when others =>  -- s_init
         nxt_state      <= s_gap;
-      end if;
-
-    when others =>  -- s_init
-      nxt_state      <= s_gap;
-      nxt_xgmii_tx_d <= c_xgmii_d_idle;
-      nxt_xgmii_tx_c <= c_xgmii_c_idle;
+        nxt_xgmii_tx_d <= c_xgmii_d_idle;
+        nxt_xgmii_tx_c <= c_xgmii_c_idle;
 
     end case;
   end process;
diff --git a/libraries/io/tr_xaui/src/vhdl/tr_xaui_mdio.vhd b/libraries/io/tr_xaui/src/vhdl/tr_xaui_mdio.vhd
index 9ce3699751..a86fbf3a57 100644
--- a/libraries/io/tr_xaui/src/vhdl/tr_xaui_mdio.vhd
+++ b/libraries/io/tr_xaui/src/vhdl/tr_xaui_mdio.vhd
@@ -21,11 +21,11 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib, mdio_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use mdio_lib.mdio_pkg.all;
-use mdio_lib.mdio_vitesse_vsc8486_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use mdio_lib.mdio_pkg.all;
+  use mdio_lib.mdio_vitesse_vsc8486_pkg.all;
 
 entity tr_xaui_mdio is
   generic (
@@ -96,103 +96,103 @@ begin
 
     -- PHY core
     u_mdio_phy : entity mdio_lib.mdio_phy
-    generic map(
-      g_mdio_phy      => c_mdio_xaui_phy,
-      g_add_mdc_cycle => true
-    )
-    port map (
-      gs_sim            => g_sim,
-
-      rst               => tr_rst,
-      clk               => tr_clk,
-
-      mdio_en_evt       => mdio_en_evt(i),
-      mdio_done         => mdio_done(i),
-      mdio_done_ack_evt => mdio_done_ack_evt(i),
-
-      hdr               => mdio_hdr(i),
-      tx_dat            => mdio_tx_dat(i),
-      rx_dat            => mdio_rx_dat(i),
-
-      -- External clock and serial data
-      mdc               => mdio_mdc_arr(i),
-      mdat_in           => mdio_mdat_in_arr(i),
-      mdat_oen          => mdio_mdat_oen_arr(i)
-    );
+      generic map(
+        g_mdio_phy      => c_mdio_xaui_phy,
+        g_add_mdc_cycle => true
+      )
+      port map (
+        gs_sim            => g_sim,
+
+        rst               => tr_rst,
+        clk               => tr_clk,
+
+        mdio_en_evt       => mdio_en_evt(i),
+        mdio_done         => mdio_done(i),
+        mdio_done_ack_evt => mdio_done_ack_evt(i),
+
+        hdr               => mdio_hdr(i),
+        tx_dat            => mdio_tx_dat(i),
+        rx_dat            => mdio_rx_dat(i),
+
+        -- External clock and serial data
+        mdc               => mdio_mdc_arr(i),
+        mdat_in           => mdio_mdat_in_arr(i),
+        mdat_oen          => mdio_mdat_oen_arr(i)
+      );
 
     -- MM port
     u_mdio_phy_reg : entity mdio_lib.mdio_phy_reg
-    port map (
-      mm_rst            => mm_rst,
-      mm_clk            => mm_clk,
+      port map (
+        mm_rst            => mm_rst,
+        mm_clk            => mm_clk,
 
-      mdio_rst          => tr_rst,
-      mdio_clk          => tr_clk,
+        mdio_rst          => tr_rst,
+        mdio_clk          => tr_clk,
 
-      sla_in            => mdio_mosi_arr(i),
-      sla_out           => mdio_miso_arr(i),
+        sla_in            => mdio_mosi_arr(i),
+        sla_out           => mdio_miso_arr(i),
 
-      mdio_en_evt       => reg_mdio_en_evt(i),
-      mdio_done_ack_evt => reg_mdio_done_ack_evt(i),
-      mdio_done         => reg_mdio_done(i),
+        mdio_en_evt       => reg_mdio_en_evt(i),
+        mdio_done_ack_evt => reg_mdio_done_ack_evt(i),
+        mdio_done         => reg_mdio_done(i),
 
-      mdio_hdr          => reg_mdio_hdr(i),
-      mdio_tx_dat       => reg_mdio_tx_dat(i),
-      mdio_rx_dat       => reg_mdio_rx_dat(i)
-    );
+        mdio_hdr          => reg_mdio_hdr(i),
+        mdio_tx_dat       => reg_mdio_tx_dat(i),
+        mdio_rx_dat       => reg_mdio_rx_dat(i)
+      );
 
     -- MDIO controller auto-executes MDIO sequence on startup
     gen_mdio_epcs : if g_mdio_epcs_dis = false generate
       u_mdio_ctlr_epcs : entity mdio_lib.mdio_ctlr
-      generic map (
-         g_mdio_prtad           => c_mdio_vsc8486_prtad,
-         g_mdio_cmd_arr         => c_mdio_vsc8486_init_cmd_arr,
-         g_mdio_rst_level       => '0',
-         g_mdio_rst_cycles      => sel_a_b(g_sim, 10, 250000),
-         g_mdio_post_rst_cycles => sel_a_b(g_sim, 10, 250000)
+        generic map (
+          g_mdio_prtad           => c_mdio_vsc8486_prtad,
+          g_mdio_cmd_arr         => c_mdio_vsc8486_init_cmd_arr,
+          g_mdio_rst_level       => '0',
+          g_mdio_rst_cycles      => sel_a_b(g_sim, 10, 250000),
+          g_mdio_post_rst_cycles => sel_a_b(g_sim, 10, 250000)
         )
-      port map (
-        rst               => tr_rst,
-        clk               => tr_clk,
+        port map (
+          rst               => tr_rst,
+          clk               => tr_clk,
 
-        mdio_rst          => ctlr_mdio_rst(i),
-        mdio_en_evt       => ctlr_mdio_en_evt(i),
-        mdio_done         => ctlr_mdio_done(i),
+          mdio_rst          => ctlr_mdio_rst(i),
+          mdio_en_evt       => ctlr_mdio_en_evt(i),
+          mdio_done         => ctlr_mdio_done(i),
 
-        mdio_done_ack_evt => ctlr_mdio_done_ack_evt(i),
+          mdio_done_ack_evt => ctlr_mdio_done_ack_evt(i),
 
-        hdr               => ctlr_mdio_hdr(i),
-        tx_dat            => ctlr_mdio_tx_dat(i),
+          hdr               => ctlr_mdio_hdr(i),
+          tx_dat            => ctlr_mdio_tx_dat(i),
 
-        exec_complete     => ctlr_exec_complete(i)
-      );
+          exec_complete     => ctlr_exec_complete(i)
+        );
     end generate;
 
     -- MDIO controller auto-executes MDIO sequence on startup
     gen_mdio_no_epcs : if g_mdio_epcs_dis = true generate
       u_mdio_ctlr_no_epcs : entity mdio_lib.mdio_ctlr
-      generic map (
-         g_mdio_prtad           => c_mdio_vsc8486_prtad,
-         g_mdio_cmd_arr         => c_mdio_vsc8486_init_epcs_dis_cmd_arr,
-         g_mdio_rst_level       => '0',
-         g_mdio_rst_cycles      => sel_a_b(g_sim, 10, 250000),
-         g_mdio_post_rst_cycles => sel_a_b(g_sim, 10, 250000)
+        generic map (
+          g_mdio_prtad           => c_mdio_vsc8486_prtad,
+          g_mdio_cmd_arr         => c_mdio_vsc8486_init_epcs_dis_cmd_arr,
+          g_mdio_rst_level       => '0',
+          g_mdio_rst_cycles      => sel_a_b(g_sim, 10, 250000),
+          g_mdio_post_rst_cycles => sel_a_b(g_sim, 10, 250000)
         )
-      port map (
-        rst               => tr_rst,
-        clk               => tr_clk,
+        port map (
+          rst               => tr_rst,
+          clk               => tr_clk,
 
-        mdio_rst          => ctlr_mdio_rst(i),
-        mdio_en_evt       => ctlr_mdio_en_evt(i),
-        mdio_done         => ctlr_mdio_done(i),
+          mdio_rst          => ctlr_mdio_rst(i),
+          mdio_en_evt       => ctlr_mdio_en_evt(i),
+          mdio_done         => ctlr_mdio_done(i),
 
-        mdio_done_ack_evt => ctlr_mdio_done_ack_evt(i),
+          mdio_done_ack_evt => ctlr_mdio_done_ack_evt(i),
 
-        hdr               => ctlr_mdio_hdr(i),
-        tx_dat            => ctlr_mdio_tx_dat(i),
+          hdr               => ctlr_mdio_hdr(i),
+          tx_dat            => ctlr_mdio_tx_dat(i),
 
-        exec_complete     => ctlr_exec_complete(i)
-      );
+          exec_complete     => ctlr_exec_complete(i)
+        );
     end generate;
 
     -- Connect the mdio_ctlr to the mdio_phy initially, when it's done connect the MM controller to allow user control/monitoring.
diff --git a/libraries/io/tr_xaui/tb/vhdl/tb_tb_tr_xaui.vhd b/libraries/io/tr_xaui/tb/vhdl/tb_tb_tr_xaui.vhd
index 95bfa9185e..73b5a31bdf 100644
--- a/libraries/io/tr_xaui/tb/vhdl/tb_tb_tr_xaui.vhd
+++ b/libraries/io/tr_xaui/tb/vhdl/tb_tb_tr_xaui.vhd
@@ -27,8 +27,8 @@
 --   > run -all
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_select_pkg.all;
 
 
 entity tb_tb_tr_xaui is
@@ -41,9 +41,9 @@ architecture tb of tb_tb_tr_xaui is
   signal   tb_end       : std_logic := '0';
 begin
 
--- g_technology         : NATURAL := c_tech_stratixiv;
--- g_tb_end             : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- g_sim_level          : NATURAL := 0;      -- 0 = use IP; 1 = use fast serdes model
+  -- g_technology         : NATURAL := c_tech_stratixiv;
+  -- g_tb_end             : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- g_sim_level          : NATURAL := 0;      -- 0 = use IP; 1 = use fast serdes model
 
   u_tr_xaui_sim_level_0   : entity work.tb_tr_xaui generic map (c_tech_select_default, false, 0) port map (tb_end_vec(0));
   u_tr_xaui_sim_level_1   : entity work.tb_tr_xaui generic map (c_tech_select_default, false, 1) port map (tb_end_vec(1));
diff --git a/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui.vhd b/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui.vhd
index 0beb2527cc..a8ad43286a 100644
--- a/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui.vhd
+++ b/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui.vhd
@@ -27,14 +27,14 @@
 --   > run -a
 
 library IEEE, common_lib, dp_lib, diagnostics_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 entity tb_tr_xaui is
   generic (
@@ -168,65 +168,65 @@ begin
   xaui_rx_arr <= xaui_tx_arr_dly when link_fault = '0' else (others => '0');
 
   u_tr_xaui: entity WORK.tr_xaui
-  generic map (
-    g_technology       => g_technology,
-    g_sim              => c_sim,
-    g_sim_level        => g_sim_level,
-    g_use_mdio         => true
-  )
-  port map (
-    tr_clk             => tr_clk,
-    tr_rst             => tr_rst,
-
-    cal_rec_clk        => cal_rec_clk,
-
-    mm_clk             => mm_clk,
-    mm_rst             => mm_rst,
-
-    --Parallel data
-    tx_clk_arr(0)      => tx_clk,
-    tx_rst_arr(0)      => tx_rst,
-    tx_sosi_arr        => tx_sosi_arr,
-    tx_siso_arr        => tx_siso_arr,
-
-    rx_clk_arr_out(0)  => rx_clk,
-    rx_clk_arr_in(0)   => rx_clk,
-    rx_rst_arr(0)      => rx_rst,
-    rx_sosi_arr        => rx_sosi_arr,
-    rx_siso_arr        => rx_siso_arr,
-
-    --Serial data
-    xaui_tx_arr(0)     => xaui_tx_arr,
-    xaui_rx_arr(0)     => xaui_rx_arr
-  );
+    generic map (
+      g_technology       => g_technology,
+      g_sim              => c_sim,
+      g_sim_level        => g_sim_level,
+      g_use_mdio         => true
+    )
+    port map (
+      tr_clk             => tr_clk,
+      tr_rst             => tr_rst,
+
+      cal_rec_clk        => cal_rec_clk,
+
+      mm_clk             => mm_clk,
+      mm_rst             => mm_rst,
+
+      --Parallel data
+      tx_clk_arr(0)      => tx_clk,
+      tx_rst_arr(0)      => tx_rst,
+      tx_sosi_arr        => tx_sosi_arr,
+      tx_siso_arr        => tx_siso_arr,
+
+      rx_clk_arr_out(0)  => rx_clk,
+      rx_clk_arr_in(0)   => rx_clk,
+      rx_rst_arr(0)      => rx_rst,
+      rx_sosi_arr        => rx_sosi_arr,
+      rx_siso_arr        => rx_siso_arr,
+
+      --Serial data
+      xaui_tx_arr(0)     => xaui_tx_arr,
+      xaui_rx_arr(0)     => xaui_rx_arr
+    );
 
   u_diagnostics: entity diagnostics_lib.diagnostics
-  generic map (
-    g_dat_w          => c_xgmii_data_w,
-    g_nof_streams    => c_nof_streams,
-    g_separate_clk   => true
-     )
-  port map (
-    src_rst(0)       => tx_rst,
-    src_clk(0)       => tx_clk,
-
-    snk_rst(0)       => rx_rst,
-    snk_clk(0)       => rx_clk,
-
-    snk_out_arr      => rx_siso_arr,
-    snk_in_arr       => rx_sosi_arr,
-    snk_diag_en      => snk_diag_en,
-    snk_diag_md      => (others => '1'),
-    snk_diag_res     => snk_diag_res,
-    snk_diag_res_val => snk_diag_res_val,
-    snk_val_cnt      => snk_val_cnt,
-
-    src_out_arr      => tx_sosi_arr,
-    src_in_arr       => tx_siso_arr,
-    src_diag_en      => src_diag_en,
-    src_diag_md      => (others => '1'),
-    src_val_cnt      => src_val_cnt
-  );
+    generic map (
+      g_dat_w          => c_xgmii_data_w,
+      g_nof_streams    => c_nof_streams,
+      g_separate_clk   => true
+    )
+    port map (
+      src_rst(0)       => tx_rst,
+      src_clk(0)       => tx_clk,
+
+      snk_rst(0)       => rx_rst,
+      snk_clk(0)       => rx_clk,
+
+      snk_out_arr      => rx_siso_arr,
+      snk_in_arr       => rx_sosi_arr,
+      snk_diag_en      => snk_diag_en,
+      snk_diag_md      => (others => '1'),
+      snk_diag_res     => snk_diag_res,
+      snk_diag_res_val => snk_diag_res_val,
+      snk_val_cnt      => snk_val_cnt,
+
+      src_out_arr      => tx_sosi_arr,
+      src_in_arr       => tx_siso_arr,
+      src_diag_en      => src_diag_en,
+      src_diag_md      => (others => '1'),
+      src_val_cnt      => src_val_cnt
+    );
 
 end architecture str;
 
diff --git a/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_deframer.vhd b/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_deframer.vhd
index e2377f7b58..2bc6449f64 100644
--- a/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_deframer.vhd
+++ b/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_deframer.vhd
@@ -27,13 +27,13 @@
 --   > run -all
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tr_xaui_deframer is
 end tb_tr_xaui_deframer;
@@ -132,41 +132,41 @@ begin
     wait;
   end process;
 
-   -- Generate tx_sosi for DUT using counter data generator
+  -- Generate tx_sosi for DUT using counter data generator
   proc_dp_gen_data(c_rl, c_xgmii_data_w, c_tx_init, rst, clk, tx_enable, tx_siso, tx_sosi);
 
   -- Introduce some gaps in the streaming data to make sure framer handles them correctly.
   u_dp_gap : entity dp_lib.dp_gap
-  generic map (
-    g_dat_len    => 40,
-    g_gap_len    => 20
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
+    generic map (
+      g_dat_len    => 40,
+      g_gap_len    => 20
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
 
-    snk_out   => tx_siso,
-    snk_in    => tx_sosi,
+      snk_out   => tx_siso,
+      snk_in    => tx_sosi,
 
-    src_in    => gap_siso,
-    src_out   => gap_sosi
-  );
+      src_in    => gap_siso,
+      src_out   => gap_sosi
+    );
 
   u_framer : entity work.tr_xaui_framer
-  generic map (
-    g_dat_len => 100,
-    g_gap_len => 5
-   )
-  port map (
-    tx_rst     => rst,
-    tx_clk     => clk,
+    generic map (
+      g_dat_len => 100,
+      g_gap_len => 5
+    )
+    port map (
+      tx_rst     => rst,
+      tx_clk     => clk,
 
-    snk_out    => gap_siso,
-    snk_in     => gap_sosi,
+      snk_out    => gap_siso,
+      snk_in     => gap_sosi,
 
-    xgmii_tx_d => xgmii_tx_d,
-    xgmii_tx_c => xgmii_tx_c
-  );
+      xgmii_tx_d => xgmii_tx_d,
+      xgmii_tx_c => xgmii_tx_c
+    );
 
   -- ============== We'll emulate misalignment here ===========================
 
@@ -196,16 +196,16 @@ begin
   -- ===========================================================================
 
   dut : entity work.tr_xaui_deframer
-  port map (
-    rx_rst     => rst,
-    rx_clk     => clk,
+    port map (
+      rx_rst     => rst,
+      rx_clk     => clk,
 
-    xgmii_rx_d => xgmii_rx_d,
-    xgmii_rx_c => xgmii_rx_c,
+      xgmii_rx_d => xgmii_rx_d,
+      xgmii_rx_c => xgmii_rx_c,
 
-    src_out    => deframer_sosi
+      src_out    => deframer_sosi
 
-  );
+    );
 
   -- Verify DUT output incrementing data, prev_data is an auxiliary signal needed by the proc
   proc_common_verify_data(c_rl, clk, verify_en, deframer_siso.ready, deframer_sosi.valid, deframer_sosi.data(c_xgmii_data_w - 1 downto 0), prev_data1);
diff --git a/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_framer.vhd b/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_framer.vhd
index e7d299f65a..45bc641d25 100644
--- a/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_framer.vhd
+++ b/libraries/io/tr_xaui/tb/vhdl/tb_tr_xaui_framer.vhd
@@ -20,13 +20,13 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
 
 entity tb_tr_xaui_framer is
 end tb_tr_xaui_framer;
@@ -66,41 +66,41 @@ begin
     wait;
   end process;
 
-   -- Generate tx_sosi for DUT using counter data generator
+  -- Generate tx_sosi for DUT using counter data generator
   proc_dp_gen_data(c_rl, c_xgmii_data_w, c_tx_init, rst, clk, tx_enable, tx_siso, tx_sosi);
 
   -- Introduce some gaps in the streaming data to make sure framer handles them correctly.
   u_dp_gap : entity dp_lib.dp_gap
-  generic map (
-    g_dat_len    => 40,
-    g_gap_len    => 20
-  )
-  port map (
-    rst       => rst,
-    clk       => clk,
+    generic map (
+      g_dat_len    => 40,
+      g_gap_len    => 20
+    )
+    port map (
+      rst       => rst,
+      clk       => clk,
 
-    snk_out   => tx_siso,
-    snk_in    => tx_sosi,
+      snk_out   => tx_siso,
+      snk_in    => tx_sosi,
 
-    src_in    => gap_siso,
-    src_out   => gap_sosi
-  );
+      src_in    => gap_siso,
+      src_out   => gap_sosi
+    );
 
   dut : entity work.tr_xaui_framer
-  generic map (
-    g_dat_len => 100,
-    g_gap_len => 5
-   )
-  port map (
-    tx_rst     => rst,
-    tx_clk     => clk,
+    generic map (
+      g_dat_len => 100,
+      g_gap_len => 5
+    )
+    port map (
+      tx_rst     => rst,
+      tx_clk     => clk,
 
-    snk_out    => gap_siso,
-    snk_in     => gap_sosi,
+      snk_out    => gap_siso,
+      snk_in     => gap_sosi,
 
-    xgmii_tx_d => xgmii_tx_d,
-    xgmii_tx_c => xgmii_tx_c
+      xgmii_tx_d => xgmii_tx_d,
+      xgmii_tx_c => xgmii_tx_c
 
-  );
+    );
 
 end tb;
diff --git a/libraries/technology/10gbase_r/sim_10gbase_r.vhd b/libraries/technology/10gbase_r/sim_10gbase_r.vhd
index 0ac49c2ed6..db3793b8bb 100644
--- a/libraries/technology/10gbase_r/sim_10gbase_r.vhd
+++ b/libraries/technology/10gbase_r/sim_10gbase_r.vhd
@@ -29,10 +29,10 @@
 --   the line rate becomes 12.5 Gbps instead of 10.3125 M for the technology.
 
 library IEEE, common_lib, tech_pll_lib, tech_transceiver_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity sim_10gbase_r is
   generic (
@@ -87,57 +87,57 @@ begin
 
     -- Model tx_ready
     u_areset_tx_rdy : entity common_lib.common_areset
-    generic map(
-      g_rst_level => '0',
-      g_delay_len => 40
-    )
-    port map(
-      clk     => clk_156,
-      in_rst  => rst_156,
-      out_rst => xgmii_tx_ready_arr(i)
-    );
+      generic map(
+        g_rst_level => '0',
+        g_delay_len => 40
+      )
+      port map(
+        clk     => clk_156,
+        in_rst  => rst_156,
+        out_rst => xgmii_tx_ready_arr(i)
+      );
 
     -- Model rx_ready
     u_areset_rx_rdy : entity common_lib.common_areset
-    generic map(
-      g_rst_level => '0',
-      g_delay_len => 80
-    )
-    port map(
-      clk     => clk_156,
-      in_rst  => rst_156,
-      out_rst => xgmii_rx_ready_arr(i)
-    );
+      generic map(
+        g_rst_level => '0',
+        g_delay_len => 80
+      )
+      port map(
+        clk     => clk_156,
+        in_rst  => rst_156,
+        out_rst => xgmii_rx_ready_arr(i)
+      );
 
     u_ser: entity tech_transceiver_lib.sim_transceiver_serializer
-    generic map (
-      g_data_w        => c_serdes_data_w,
-      g_tr_clk_period => c_tr_clk_period
-    )
-    port map (
-      tr_clk             => clk_156,
-      tr_rst             => rst_156,
+      generic map (
+        g_data_w        => c_serdes_data_w,
+        g_tr_clk_period => c_tr_clk_period
+      )
+      port map (
+        tr_clk             => clk_156,
+        tr_rst             => rst_156,
 
-      tx_in_data         => xgmii_tx_d_arr(i),
-      tx_in_ctrl         => xgmii_tx_c_arr(i),
+        tx_in_data         => xgmii_tx_d_arr(i),
+        tx_in_ctrl         => xgmii_tx_c_arr(i),
 
-      tx_serial_out      => tx_serial_arr(i)
-    );
+        tx_serial_out      => tx_serial_arr(i)
+      );
 
     u_des: entity tech_transceiver_lib.sim_transceiver_deserializer
-    generic map (
-      g_data_w        => c_serdes_data_w,
-      g_tr_clk_period => c_tr_clk_period
-    )
-    port map (
-      tr_clk             => clk_156,
-      tr_rst             => rst_156,
-
-      rx_out_data        => xgmii_rx_d_arr(i),
-      rx_out_ctrl        => xgmii_rx_c_arr(i),
-
-      rx_serial_in       => rx_serial_arr(i)
-    );
+      generic map (
+        g_data_w        => c_serdes_data_w,
+        g_tr_clk_period => c_tr_clk_period
+      )
+      port map (
+        tr_clk             => clk_156,
+        tr_rst             => rst_156,
+
+        rx_out_data        => xgmii_rx_d_arr(i),
+        rx_out_ctrl        => xgmii_rx_c_arr(i),
+
+        rx_serial_in       => rx_serial_arr(i)
+      );
 
   end generate;
 
diff --git a/libraries/technology/10gbase_r/tb_tech_10gbase_r.vhd b/libraries/technology/10gbase_r/tb_tech_10gbase_r.vhd
index d49ed0cee3..a33b8adcd8 100644
--- a/libraries/technology/10gbase_r/tb_tech_10gbase_r.vhd
+++ b/libraries/technology/10gbase_r/tb_tech_10gbase_r.vhd
@@ -36,13 +36,13 @@
 --   XGMII control depends on xgmii_tx_dc_arr.
 
 library IEEE, technology_lib, tech_pll_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 
 entity tb_tech_10gbase_r is
@@ -113,43 +113,43 @@ begin
   end process;
 
   pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-  generic map (
-    g_technology => g_technology
-  )
-  port map (
-    refclk_644 => tr_ref_clk_644,
-    rst_in     => '0',
-    clk_156    => clk_156,
-    clk_312    => OPEN,
-    rst_156    => rst_156,
-    rst_312    => open
-  );
+    generic map (
+      g_technology => g_technology
+    )
+    port map (
+      refclk_644 => tr_ref_clk_644,
+      rst_in     => '0',
+      clk_156    => clk_156,
+      clk_312    => OPEN,
+      rst_156    => rst_156,
+      rst_312    => open
+    );
 
   dut : entity work.tech_10gbase_r
-  generic map (
-    g_technology          => g_technology,
-    g_sim                 => c_sim,
-    g_sim_level           => c_sim_level,
-    g_nof_channels        => g_nof_channels
-  )
-  port map (
-    -- Transceiver ATX PLL reference clock
-    tr_ref_clk_644     => tr_ref_clk_644,
-
-    -- XGMII clocks
-    clk_156            => clk_156,
-    rst_156            => rst_156,
-
-    -- XGMII interface
-    xgmii_tx_ready_arr => xgmii_tx_ready_arr,
-    xgmii_rx_ready_arr => xgmii_rx_ready_arr,
-    xgmii_tx_dc_arr    => xgmii_tx_dc_arr,
-    xgmii_rx_dc_arr    => xgmii_rx_dc_arr,
-
-    -- PHY serial IO
-    tx_serial_arr      => tx_serial_arr,
-    rx_serial_arr      => rx_serial_arr
-  );
+    generic map (
+      g_technology          => g_technology,
+      g_sim                 => c_sim,
+      g_sim_level           => c_sim_level,
+      g_nof_channels        => g_nof_channels
+    )
+    port map (
+      -- Transceiver ATX PLL reference clock
+      tr_ref_clk_644     => tr_ref_clk_644,
+
+      -- XGMII clocks
+      clk_156            => clk_156,
+      rst_156            => rst_156,
+
+      -- XGMII interface
+      xgmii_tx_ready_arr => xgmii_tx_ready_arr,
+      xgmii_rx_ready_arr => xgmii_rx_ready_arr,
+      xgmii_tx_dc_arr    => xgmii_tx_dc_arr,
+      xgmii_rx_dc_arr    => xgmii_rx_dc_arr,
+
+      -- PHY serial IO
+      tx_serial_arr      => tx_serial_arr,
+      rx_serial_arr      => rx_serial_arr
+    );
 
   -- PHY loopback
   tx_serial_arr_dly <= transport tx_serial_arr after phy_loopback_delay;
diff --git a/libraries/technology/10gbase_r/tech_10gbase_r.vhd b/libraries/technology/10gbase_r/tech_10gbase_r.vhd
index 5ecde61bb2..b0261d06bb 100644
--- a/libraries/technology/10gbase_r/tech_10gbase_r.vhd
+++ b/libraries/technology/10gbase_r/tech_10gbase_r.vhd
@@ -21,12 +21,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity tech_10gbase_r is
   generic (
@@ -74,8 +74,8 @@ begin
 
   gen_ip_arria10 : if c_use_technology = true and g_technology = c_tech_arria10_proto generate
     u0 : entity work.tech_10gbase_r_arria10
-    generic map (g_sim, g_nof_channels)
-    port map (tr_ref_clk_644,
+      generic map (g_sim, g_nof_channels)
+      port map (tr_ref_clk_644,
               clk_156, rst_156,
               xgmii_tx_ready_arr, xgmii_rx_ready_arr, xgmii_tx_dc_arr, xgmii_rx_dc_arr,
               tx_serial_arr, rx_serial_arr);
@@ -83,8 +83,8 @@ begin
 
   gen_ip_arria10_e3sge3 : if c_use_technology = true and g_technology = c_tech_arria10_e3sge3 generate
     u0 : entity work.tech_10gbase_r_arria10_e3sge3
-    generic map (g_sim, g_nof_channels)
-    port map (mm_clk, mm_rst,
+      generic map (g_sim, g_nof_channels)
+      port map (mm_clk, mm_rst,
               reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi,
               reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso,
               tr_ref_clk_644,
@@ -95,8 +95,8 @@ begin
 
   gen_ip_arria10_e1sg : if c_use_technology = true and g_technology = c_tech_arria10_e1sg generate
     u0 : entity work.tech_10gbase_r_arria10_e1sg
-    generic map (g_sim, g_nof_channels)
-    port map (mm_clk, mm_rst,
+      generic map (g_sim, g_nof_channels)
+      port map (mm_clk, mm_rst,
               reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi,
               reg_ip_arria10_e1sg_phy_10gbase_r_24_miso,
               tr_ref_clk_644,
@@ -107,8 +107,8 @@ begin
 
   gen_ip_arria10_e2sg : if c_use_technology = true and g_technology = c_tech_arria10_e2sg generate
     u0 : entity work.tech_10gbase_r_arria10_e2sg
-    generic map (g_sim, g_nof_channels)
-    port map (mm_clk, mm_rst,
+      generic map (g_sim, g_nof_channels)
+      port map (mm_clk, mm_rst,
               reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi,
               reg_ip_arria10_e2sg_phy_10gbase_r_24_miso,
               tr_ref_clk_644,
@@ -119,8 +119,8 @@ begin
 
   gem_sim_10gbase_r : if c_use_sim_model = true generate
     u0 : entity work.sim_10gbase_r
-    generic map (g_sim, g_nof_channels)
-    port map (tr_ref_clk_644,
+      generic map (g_sim, g_nof_channels)
+      port map (tr_ref_clk_644,
               clk_156, rst_156,
               xgmii_tx_ready_arr, xgmii_rx_ready_arr, xgmii_tx_dc_arr, xgmii_rx_dc_arr,
               tx_serial_arr, rx_serial_arr);
diff --git a/libraries/technology/10gbase_r/tech_10gbase_r_arria10.vhd b/libraries/technology/10gbase_r/tech_10gbase_r_arria10.vhd
index b1c48d128a..1e8564b8ac 100644
--- a/libraries/technology/10gbase_r/tech_10gbase_r_arria10.vhd
+++ b/libraries/technology/10gbase_r/tech_10gbase_r_arria10.vhd
@@ -34,11 +34,11 @@ library ip_arria10_transceiver_reset_controller_24_altera_xcvr_reset_control_150
 library ip_arria10_transceiver_reset_controller_48_altera_xcvr_reset_control_150;
 
 library IEEE, tech_pll_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use work.tech_10gbase_r_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use work.tech_10gbase_r_component_pkg.all;
 
 entity tech_10gbase_r_arria10 is
   generic (
@@ -141,29 +141,29 @@ begin
   gen_phy_1 : if c_nof_channels_per_ip = 1 generate
     gen_channels : for I in 0 to g_nof_channels - 1 generate
       u_ip_arria10_phy_10gbase_r : ip_arria10_phy_10gbase_r
-      port map (
-        tx_analogreset          => tx_analogreset_arr(I downto I),
-        tx_digitalreset         => tx_digitalreset_arr(I downto I),
-        rx_analogreset          => rx_analogreset_arr(I downto I),
-        rx_digitalreset         => rx_digitalreset_arr(I downto I),
-        tx_cal_busy             => tx_cal_busy_arr(I downto I),
-        rx_cal_busy             => rx_cal_busy_arr(I downto I),
-
-        tx_serial_clk0          => tx_serial_clk,
-        rx_cdr_refclk0          => tr_ref_clk_644,
-        tx_serial_data          => tx_serial_arr(I downto I),
-        rx_serial_data          => rx_serial_arr(I downto I),
-
-        rx_is_lockedtoref       => OPEN,
-        rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
-
-        tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-        rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-
-        tx_parallel_data        => tx_parallel_data_arr(I),
-        rx_parallel_data        => rx_parallel_data_arr(I),
-        tx_control              => tx_control_arr(I),
-        rx_control              => rx_control_arr(I)
+        port map (
+          tx_analogreset          => tx_analogreset_arr(I downto I),
+          tx_digitalreset         => tx_digitalreset_arr(I downto I),
+          rx_analogreset          => rx_analogreset_arr(I downto I),
+          rx_digitalreset         => rx_digitalreset_arr(I downto I),
+          tx_cal_busy             => tx_cal_busy_arr(I downto I),
+          rx_cal_busy             => rx_cal_busy_arr(I downto I),
+
+          tx_serial_clk0          => tx_serial_clk,
+          rx_cdr_refclk0          => tr_ref_clk_644,
+          tx_serial_data          => tx_serial_arr(I downto I),
+          rx_serial_data          => rx_serial_arr(I downto I),
+
+          rx_is_lockedtoref       => OPEN,
+          rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
+
+          tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+          rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+
+          tx_parallel_data        => tx_parallel_data_arr(I),
+          rx_parallel_data        => rx_parallel_data_arr(I),
+          tx_control              => tx_control_arr(I),
+          rx_control              => rx_control_arr(I)
 
         --tx_err_ins              : in  std_logic                     := '0';             --              tx_err_ins.tx_err_ins
         --tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0'); --       tx_enh_data_valid.tx_enh_data_valid
@@ -184,25 +184,25 @@ begin
         --unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
         --unused_rx_parallel_data : out std_logic_vector(63 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
         --unused_rx_control       : out std_logic_vector(11 downto 0);                    --       unused_rx_control.unused_rx_control
-      );
+        );
 
       u_ip_arria10_transceiver_reset_controller_1 : ip_arria10_transceiver_reset_controller_1
-      port map (
-        clock              => clk_156,
-        reset              => rst_156,
-        pll_powerdown      => atx_pll_powerdown_arr(I downto I),
-        tx_analogreset     => tx_analogreset_arr(I downto I),
-        tx_digitalreset    => tx_digitalreset_arr(I downto I),
-        tx_ready           => xgmii_tx_ready_arr(I downto I),
-        pll_locked         => atx_pll_locked_arr(I downto I),
-        pll_select         => "0",  -- set to zero when using one PLL
-        tx_cal_busy        => cal_busy_arr(I downto I),
-        rx_analogreset     => rx_analogreset_arr(I downto I),
-        rx_digitalreset    => rx_digitalreset_arr(I downto I),
-        rx_ready           => xgmii_rx_ready_arr(I downto I),
-        rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
-        rx_cal_busy        => rx_cal_busy_arr(I downto I)
-      );
+        port map (
+          clock              => clk_156,
+          reset              => rst_156,
+          pll_powerdown      => atx_pll_powerdown_arr(I downto I),
+          tx_analogreset     => tx_analogreset_arr(I downto I),
+          tx_digitalreset    => tx_digitalreset_arr(I downto I),
+          tx_ready           => xgmii_tx_ready_arr(I downto I),
+          pll_locked         => atx_pll_locked_arr(I downto I),
+          pll_select         => "0",  -- set to zero when using one PLL
+          tx_cal_busy        => cal_busy_arr(I downto I),
+          rx_analogreset     => rx_analogreset_arr(I downto I),
+          rx_digitalreset    => rx_digitalreset_arr(I downto I),
+          rx_ready           => xgmii_rx_ready_arr(I downto I),
+          rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
+          rx_cal_busy        => rx_cal_busy_arr(I downto I)
+        );
     end generate;
   end generate;
 
@@ -221,29 +221,29 @@ begin
     end generate;
 
     u_ip_arria10_phy_10gbase_r_4 : ip_arria10_phy_10gbase_r_4
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -268,25 +268,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_transceiver_reset_controller_4 : ip_arria10_transceiver_reset_controller_4
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -305,29 +305,29 @@ begin
     end generate;
 
     u_ip_arria10_phy_10gbase_r_12 : ip_arria10_phy_10gbase_r_12
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -352,25 +352,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_transceiver_reset_controller_12 : ip_arria10_transceiver_reset_controller_12
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -389,29 +389,29 @@ begin
     end generate;
 
     u_ip_arria10_phy_10gbase_r_24 : ip_arria10_phy_10gbase_r_24
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -436,25 +436,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_transceiver_reset_controller_24 : ip_arria10_transceiver_reset_controller_24
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -473,29 +473,29 @@ begin
     end generate;
 
     u_ip_arria10_phy_10gbase_r_48 : ip_arria10_phy_10gbase_r_48
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -520,37 +520,37 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_transceiver_reset_controller_48 : ip_arria10_transceiver_reset_controller_48
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
   -- ATX PLL
   u_ip_arria10_transceiver_pll_10g : ip_arria10_transceiver_pll_10g
-  port map (
-    pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
-    pll_refclk0     => tr_ref_clk_644,
-    pll_locked      => atx_pll_locked,
-    pll_cal_busy    => atx_pll_cal_busy,
-    mcgb_rst        => atx_pll_powerdown_arr(0),
-    mcgb_serial_clk => tx_serial_clk(0)
-  );
+    port map (
+      pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
+      pll_refclk0     => tr_ref_clk_644,
+      pll_locked      => atx_pll_locked,
+      pll_cal_busy    => atx_pll_cal_busy,
+      mcgb_rst        => atx_pll_powerdown_arr(0),
+      mcgb_serial_clk => tx_serial_clk(0)
+    );
 
 end str;
diff --git a/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e1sg.vhd b/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e1sg.vhd
index a8003b6bf8..e1f7a7481b 100644
--- a/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e1sg.vhd
+++ b/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e1sg.vhd
@@ -35,12 +35,12 @@ library ip_arria10_e1sg_transceiver_reset_controller_24_altera_xcvr_reset_contro
 library ip_arria10_e1sg_transceiver_reset_controller_48_altera_xcvr_reset_control_180;
 
 library IEEE, tech_pll_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use work.tech_10gbase_r_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use work.tech_10gbase_r_component_pkg.all;
 
 entity tech_10gbase_r_arria10_e1sg is
   generic (
@@ -150,29 +150,29 @@ begin
   gen_phy_1 : if c_nof_channels_per_ip = 1 generate
     gen_channels : for I in 0 to g_nof_channels - 1 generate
       u_ip_arria10_e1sg_phy_10gbase_r : ip_arria10_e1sg_phy_10gbase_r
-      port map (
-        tx_analogreset          => tx_analogreset_arr(I downto I),
-        tx_digitalreset         => tx_digitalreset_arr(I downto I),
-        rx_analogreset          => rx_analogreset_arr(I downto I),
-        rx_digitalreset         => rx_digitalreset_arr(I downto I),
-        tx_cal_busy             => tx_cal_busy_arr(I downto I),
-        rx_cal_busy             => rx_cal_busy_arr(I downto I),
-
-        tx_serial_clk0          => tx_serial_clk,
-        rx_cdr_refclk0          => tr_ref_clk_644,
-        tx_serial_data          => tx_serial_arr(I downto I),
-        rx_serial_data          => rx_serial_arr(I downto I),
-
-        rx_is_lockedtoref       => OPEN,
-        rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
-
-        tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-        rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-
-        tx_parallel_data        => tx_parallel_data_arr(I),
-        rx_parallel_data        => rx_parallel_data_arr(I),
-        tx_control              => tx_control_arr(I),
-        rx_control              => rx_control_arr(I)
+        port map (
+          tx_analogreset          => tx_analogreset_arr(I downto I),
+          tx_digitalreset         => tx_digitalreset_arr(I downto I),
+          rx_analogreset          => rx_analogreset_arr(I downto I),
+          rx_digitalreset         => rx_digitalreset_arr(I downto I),
+          tx_cal_busy             => tx_cal_busy_arr(I downto I),
+          rx_cal_busy             => rx_cal_busy_arr(I downto I),
+
+          tx_serial_clk0          => tx_serial_clk,
+          rx_cdr_refclk0          => tr_ref_clk_644,
+          tx_serial_data          => tx_serial_arr(I downto I),
+          rx_serial_data          => rx_serial_arr(I downto I),
+
+          rx_is_lockedtoref       => OPEN,
+          rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
+
+          tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+          rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+
+          tx_parallel_data        => tx_parallel_data_arr(I),
+          rx_parallel_data        => rx_parallel_data_arr(I),
+          tx_control              => tx_control_arr(I),
+          rx_control              => rx_control_arr(I)
 
         --tx_err_ins              : in  std_logic                     := '0';             --              tx_err_ins.tx_err_ins
         --tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0'); --       tx_enh_data_valid.tx_enh_data_valid
@@ -193,25 +193,25 @@ begin
         --unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
         --unused_rx_parallel_data : out std_logic_vector(63 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
         --unused_rx_control       : out std_logic_vector(11 downto 0);                    --       unused_rx_control.unused_rx_control
-      );
+        );
 
       u_ip_arria10_e1sg_transceiver_reset_controller_1 : ip_arria10_e1sg_transceiver_reset_controller_1
-      port map (
-        clock              => clk_156,
-        reset              => rst_156,
-        pll_powerdown      => atx_pll_powerdown_arr(I downto I),
-        tx_analogreset     => tx_analogreset_arr(I downto I),
-        tx_digitalreset    => tx_digitalreset_arr(I downto I),
-        tx_ready           => xgmii_tx_ready_arr(I downto I),
-        pll_locked         => atx_pll_locked_arr(I downto I),
-        pll_select         => "0",  -- set to zero when using one PLL
-        tx_cal_busy        => cal_busy_arr(I downto I),
-        rx_analogreset     => rx_analogreset_arr(I downto I),
-        rx_digitalreset    => rx_digitalreset_arr(I downto I),
-        rx_ready           => xgmii_rx_ready_arr(I downto I),
-        rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
-        rx_cal_busy        => rx_cal_busy_arr(I downto I)
-      );
+        port map (
+          clock              => clk_156,
+          reset              => rst_156,
+          pll_powerdown      => atx_pll_powerdown_arr(I downto I),
+          tx_analogreset     => tx_analogreset_arr(I downto I),
+          tx_digitalreset    => tx_digitalreset_arr(I downto I),
+          tx_ready           => xgmii_tx_ready_arr(I downto I),
+          pll_locked         => atx_pll_locked_arr(I downto I),
+          pll_select         => "0",  -- set to zero when using one PLL
+          tx_cal_busy        => cal_busy_arr(I downto I),
+          rx_analogreset     => rx_analogreset_arr(I downto I),
+          rx_digitalreset    => rx_digitalreset_arr(I downto I),
+          rx_ready           => xgmii_rx_ready_arr(I downto I),
+          rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
+          rx_cal_busy        => rx_cal_busy_arr(I downto I)
+        );
     end generate;
   end generate;
 
@@ -228,29 +228,29 @@ begin
     end generate;
 
     u_ip_arria10_e1sg_phy_10gbase_r_3 : ip_arria10_e1sg_phy_10gbase_r_3
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -275,25 +275,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e1sg_transceiver_reset_controller_3 : ip_arria10_e1sg_transceiver_reset_controller_3
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
   gen_phy_4 : if c_nof_channels_per_ip = 4 generate
@@ -309,29 +309,29 @@ begin
     end generate;
 
     u_ip_arria10_e1sg_phy_10gbase_r_4 : ip_arria10_e1sg_phy_10gbase_r_4
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -356,25 +356,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e1sg_transceiver_reset_controller_4 : ip_arria10_e1sg_transceiver_reset_controller_4
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -393,29 +393,29 @@ begin
     end generate;
 
     u_ip_arria10_e1sg_phy_10gbase_r_12 : ip_arria10_e1sg_phy_10gbase_r_12
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -440,25 +440,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e1sg_transceiver_reset_controller_12 : ip_arria10_e1sg_transceiver_reset_controller_12
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -477,38 +477,38 @@ begin
     end generate;
 
     u_ip_arria10_e1sg_phy_10gbase_r_24 : ip_arria10_e1sg_phy_10gbase_r_24
-    port map (
-      reconfig_write(0)       => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.wr,  -- in  std_logic_vector(0 downto 0)
-      reconfig_read(0)        => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.rd,  -- in  std_logic_vector(0 downto 0)
-      reconfig_address        => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.address(14 downto 0),  -- in  std_logic_vector(14 downto 0)
-      reconfig_writedata      => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),  -- in  std_logic_vector(31 downto 0)
-      reconfig_readdata       => reg_ip_arria10_e1sg_phy_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),  -- out std_logic_vector(31 downto 0);
-      reconfig_waitrequest(0) => reg_ip_arria10_e1sg_phy_10gbase_r_24_miso.waitrequest,  -- out std_logic_vector(0 downto 0);
-      reconfig_clk(0)         => mm_clk,  -- in  std_logic_vector(0 downto 0)
-      reconfig_reset(0)       => mm_rst,
-
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
-
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
-
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
-
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
-
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+      port map (
+        reconfig_write(0)       => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.wr,  -- in  std_logic_vector(0 downto 0)
+        reconfig_read(0)        => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.rd,  -- in  std_logic_vector(0 downto 0)
+        reconfig_address        => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.address(14 downto 0),  -- in  std_logic_vector(14 downto 0)
+        reconfig_writedata      => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),  -- in  std_logic_vector(31 downto 0)
+        reconfig_readdata       => reg_ip_arria10_e1sg_phy_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),  -- out std_logic_vector(31 downto 0);
+        reconfig_waitrequest(0) => reg_ip_arria10_e1sg_phy_10gbase_r_24_miso.waitrequest,  -- out std_logic_vector(0 downto 0);
+        reconfig_clk(0)         => mm_clk,  -- in  std_logic_vector(0 downto 0)
+        reconfig_reset(0)       => mm_rst,
+
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -533,25 +533,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e1sg_transceiver_reset_controller_24 : ip_arria10_e1sg_transceiver_reset_controller_24
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -570,29 +570,29 @@ begin
     end generate;
 
     u_ip_arria10_e1sg_phy_10gbase_r_48 : ip_arria10_e1sg_phy_10gbase_r_48
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -617,37 +617,37 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e1sg_transceiver_reset_controller_48 : ip_arria10_e1sg_transceiver_reset_controller_48
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
   -- ATX PLL
   u_ip_arria10_e1sg_transceiver_pll_10g : ip_arria10_e1sg_transceiver_pll_10g
-  port map (
-    pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
-    pll_refclk0     => tr_ref_clk_644,
-    pll_locked      => atx_pll_locked,
-    pll_cal_busy    => atx_pll_cal_busy,
-    mcgb_rst        => atx_pll_powerdown_arr(0),
-    mcgb_serial_clk => tx_serial_clk(0)
-  );
+    port map (
+      pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
+      pll_refclk0     => tr_ref_clk_644,
+      pll_locked      => atx_pll_locked,
+      pll_cal_busy    => atx_pll_cal_busy,
+      mcgb_rst        => atx_pll_powerdown_arr(0),
+      mcgb_serial_clk => tx_serial_clk(0)
+    );
 
 end str;
diff --git a/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e2sg.vhd b/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e2sg.vhd
index 858251d6dd..481778af74 100644
--- a/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e2sg.vhd
+++ b/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e2sg.vhd
@@ -35,12 +35,12 @@ library ip_arria10_e2sg_transceiver_reset_controller_24_altera_xcvr_reset_contro
 library ip_arria10_e2sg_transceiver_reset_controller_48_altera_xcvr_reset_control_191;
 
 library IEEE, tech_pll_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use work.tech_10gbase_r_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use work.tech_10gbase_r_component_pkg.all;
 
 entity tech_10gbase_r_arria10_e2sg is
   generic (
@@ -150,29 +150,29 @@ begin
   gen_phy_1 : if c_nof_channels_per_ip = 1 generate
     gen_channels : for I in 0 to g_nof_channels - 1 generate
       u_ip_arria10_e2sg_phy_10gbase_r : ip_arria10_e2sg_phy_10gbase_r
-      port map (
-        tx_analogreset          => tx_analogreset_arr(I downto I),
-        tx_digitalreset         => tx_digitalreset_arr(I downto I),
-        rx_analogreset          => rx_analogreset_arr(I downto I),
-        rx_digitalreset         => rx_digitalreset_arr(I downto I),
-        tx_cal_busy             => tx_cal_busy_arr(I downto I),
-        rx_cal_busy             => rx_cal_busy_arr(I downto I),
-
-        tx_serial_clk0          => tx_serial_clk,
-        rx_cdr_refclk0          => tr_ref_clk_644,
-        tx_serial_data          => tx_serial_arr(I downto I),
-        rx_serial_data          => rx_serial_arr(I downto I),
-
-        rx_is_lockedtoref       => OPEN,
-        rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
-
-        tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-        rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-
-        tx_parallel_data        => tx_parallel_data_arr(I),
-        rx_parallel_data        => rx_parallel_data_arr(I),
-        tx_control              => tx_control_arr(I),
-        rx_control              => rx_control_arr(I)
+        port map (
+          tx_analogreset          => tx_analogreset_arr(I downto I),
+          tx_digitalreset         => tx_digitalreset_arr(I downto I),
+          rx_analogreset          => rx_analogreset_arr(I downto I),
+          rx_digitalreset         => rx_digitalreset_arr(I downto I),
+          tx_cal_busy             => tx_cal_busy_arr(I downto I),
+          rx_cal_busy             => rx_cal_busy_arr(I downto I),
+
+          tx_serial_clk0          => tx_serial_clk,
+          rx_cdr_refclk0          => tr_ref_clk_644,
+          tx_serial_data          => tx_serial_arr(I downto I),
+          rx_serial_data          => rx_serial_arr(I downto I),
+
+          rx_is_lockedtoref       => OPEN,
+          rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
+
+          tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+          rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+
+          tx_parallel_data        => tx_parallel_data_arr(I),
+          rx_parallel_data        => rx_parallel_data_arr(I),
+          tx_control              => tx_control_arr(I),
+          rx_control              => rx_control_arr(I)
 
         --tx_err_ins              : in  std_logic                     := '0';             --              tx_err_ins.tx_err_ins
         --tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0'); --       tx_enh_data_valid.tx_enh_data_valid
@@ -193,25 +193,25 @@ begin
         --unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
         --unused_rx_parallel_data : out std_logic_vector(63 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
         --unused_rx_control       : out std_logic_vector(11 downto 0);                    --       unused_rx_control.unused_rx_control
-      );
+        );
 
       u_ip_arria10_e2sg_transceiver_reset_controller_1 : ip_arria10_e2sg_transceiver_reset_controller_1
-      port map (
-        clock              => clk_156,
-        reset              => rst_156,
-        pll_powerdown      => atx_pll_powerdown_arr(I downto I),
-        tx_analogreset     => tx_analogreset_arr(I downto I),
-        tx_digitalreset    => tx_digitalreset_arr(I downto I),
-        tx_ready           => xgmii_tx_ready_arr(I downto I),
-        pll_locked         => atx_pll_locked_arr(I downto I),
-        pll_select         => "0",  -- set to zero when using one PLL
-        tx_cal_busy        => cal_busy_arr(I downto I),
-        rx_analogreset     => rx_analogreset_arr(I downto I),
-        rx_digitalreset    => rx_digitalreset_arr(I downto I),
-        rx_ready           => xgmii_rx_ready_arr(I downto I),
-        rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
-        rx_cal_busy        => rx_cal_busy_arr(I downto I)
-      );
+        port map (
+          clock              => clk_156,
+          reset              => rst_156,
+          pll_powerdown      => atx_pll_powerdown_arr(I downto I),
+          tx_analogreset     => tx_analogreset_arr(I downto I),
+          tx_digitalreset    => tx_digitalreset_arr(I downto I),
+          tx_ready           => xgmii_tx_ready_arr(I downto I),
+          pll_locked         => atx_pll_locked_arr(I downto I),
+          pll_select         => "0",  -- set to zero when using one PLL
+          tx_cal_busy        => cal_busy_arr(I downto I),
+          rx_analogreset     => rx_analogreset_arr(I downto I),
+          rx_digitalreset    => rx_digitalreset_arr(I downto I),
+          rx_ready           => xgmii_rx_ready_arr(I downto I),
+          rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
+          rx_cal_busy        => rx_cal_busy_arr(I downto I)
+        );
     end generate;
   end generate;
 
@@ -228,29 +228,29 @@ begin
     end generate;
 
     u_ip_arria10_e2sg_phy_10gbase_r_3 : ip_arria10_e2sg_phy_10gbase_r_3
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -275,25 +275,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e2sg_transceiver_reset_controller_3 : ip_arria10_e2sg_transceiver_reset_controller_3
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
   gen_phy_4 : if c_nof_channels_per_ip = 4 generate
@@ -309,29 +309,29 @@ begin
     end generate;
 
     u_ip_arria10_e2sg_phy_10gbase_r_4 : ip_arria10_e2sg_phy_10gbase_r_4
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -356,25 +356,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e2sg_transceiver_reset_controller_4 : ip_arria10_e2sg_transceiver_reset_controller_4
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -393,29 +393,29 @@ begin
     end generate;
 
     u_ip_arria10_e2sg_phy_10gbase_r_12 : ip_arria10_e2sg_phy_10gbase_r_12
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -440,25 +440,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e2sg_transceiver_reset_controller_12 : ip_arria10_e2sg_transceiver_reset_controller_12
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -477,38 +477,38 @@ begin
     end generate;
 
     u_ip_arria10_e2sg_phy_10gbase_r_24 : ip_arria10_e2sg_phy_10gbase_r_24
-    port map (
-      reconfig_write(0)       => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.wr,  -- in  std_logic_vector(0 downto 0)
-      reconfig_read(0)        => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.rd,  -- in  std_logic_vector(0 downto 0)
-      reconfig_address        => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.address(14 downto 0),  -- in  std_logic_vector(14 downto 0)
-      reconfig_writedata      => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),  -- in  std_logic_vector(31 downto 0)
-      reconfig_readdata       => reg_ip_arria10_e2sg_phy_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),  -- out std_logic_vector(31 downto 0);
-      reconfig_waitrequest(0) => reg_ip_arria10_e2sg_phy_10gbase_r_24_miso.waitrequest,  -- out std_logic_vector(0 downto 0);
-      reconfig_clk(0)         => mm_clk,  -- in  std_logic_vector(0 downto 0)
-      reconfig_reset(0)       => mm_rst,
-
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
-
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
-
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
-
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
-
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+      port map (
+        reconfig_write(0)       => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.wr,  -- in  std_logic_vector(0 downto 0)
+        reconfig_read(0)        => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.rd,  -- in  std_logic_vector(0 downto 0)
+        reconfig_address        => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.address(14 downto 0),  -- in  std_logic_vector(14 downto 0)
+        reconfig_writedata      => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),  -- in  std_logic_vector(31 downto 0)
+        reconfig_readdata       => reg_ip_arria10_e2sg_phy_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),  -- out std_logic_vector(31 downto 0);
+        reconfig_waitrequest(0) => reg_ip_arria10_e2sg_phy_10gbase_r_24_miso.waitrequest,  -- out std_logic_vector(0 downto 0);
+        reconfig_clk(0)         => mm_clk,  -- in  std_logic_vector(0 downto 0)
+        reconfig_reset(0)       => mm_rst,
+
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -533,25 +533,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e2sg_transceiver_reset_controller_24 : ip_arria10_e2sg_transceiver_reset_controller_24
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -570,29 +570,29 @@ begin
     end generate;
 
     u_ip_arria10_e2sg_phy_10gbase_r_48 : ip_arria10_e2sg_phy_10gbase_r_48
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -617,37 +617,37 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e2sg_transceiver_reset_controller_48 : ip_arria10_e2sg_transceiver_reset_controller_48
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
   -- ATX PLL
   u_ip_arria10_e2sg_transceiver_pll_10g : ip_arria10_e2sg_transceiver_pll_10g
-  port map (
-    pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
-    pll_refclk0     => tr_ref_clk_644,
-    pll_locked      => atx_pll_locked,
-    pll_cal_busy    => atx_pll_cal_busy,
-    mcgb_rst        => atx_pll_powerdown_arr(0),
-    mcgb_serial_clk => tx_serial_clk(0)
-  );
+    port map (
+      pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
+      pll_refclk0     => tr_ref_clk_644,
+      pll_locked      => atx_pll_locked,
+      pll_cal_busy    => atx_pll_cal_busy,
+      mcgb_rst        => atx_pll_powerdown_arr(0),
+      mcgb_serial_clk => tx_serial_clk(0)
+    );
 
 end str;
diff --git a/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e3sge3.vhd b/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e3sge3.vhd
index c736f5453e..bef43f502e 100644
--- a/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e3sge3.vhd
+++ b/libraries/technology/10gbase_r/tech_10gbase_r_arria10_e3sge3.vhd
@@ -34,12 +34,12 @@ library ip_arria10_e3sge3_transceiver_reset_controller_24_altera_xcvr_reset_cont
 library ip_arria10_e3sge3_transceiver_reset_controller_48_altera_xcvr_reset_control_151;
 
 library IEEE, tech_pll_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use work.tech_10gbase_r_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use work.tech_10gbase_r_component_pkg.all;
 
 entity tech_10gbase_r_arria10_e3sge3 is
   generic (
@@ -148,29 +148,29 @@ begin
   gen_phy_1 : if c_nof_channels_per_ip = 1 generate
     gen_channels : for I in 0 to g_nof_channels - 1 generate
       u_ip_arria10_e3sge3_phy_10gbase_r : ip_arria10_e3sge3_phy_10gbase_r
-      port map (
-        tx_analogreset          => tx_analogreset_arr(I downto I),
-        tx_digitalreset         => tx_digitalreset_arr(I downto I),
-        rx_analogreset          => rx_analogreset_arr(I downto I),
-        rx_digitalreset         => rx_digitalreset_arr(I downto I),
-        tx_cal_busy             => tx_cal_busy_arr(I downto I),
-        rx_cal_busy             => rx_cal_busy_arr(I downto I),
-
-        tx_serial_clk0          => tx_serial_clk,
-        rx_cdr_refclk0          => tr_ref_clk_644,
-        tx_serial_data          => tx_serial_arr(I downto I),
-        rx_serial_data          => rx_serial_arr(I downto I),
-
-        rx_is_lockedtoref       => OPEN,
-        rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
-
-        tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-        rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
-
-        tx_parallel_data        => tx_parallel_data_arr(I),
-        rx_parallel_data        => rx_parallel_data_arr(I),
-        tx_control              => tx_control_arr(I),
-        rx_control              => rx_control_arr(I)
+        port map (
+          tx_analogreset          => tx_analogreset_arr(I downto I),
+          tx_digitalreset         => tx_digitalreset_arr(I downto I),
+          rx_analogreset          => rx_analogreset_arr(I downto I),
+          rx_digitalreset         => rx_digitalreset_arr(I downto I),
+          tx_cal_busy             => tx_cal_busy_arr(I downto I),
+          rx_cal_busy             => rx_cal_busy_arr(I downto I),
+
+          tx_serial_clk0          => tx_serial_clk,
+          rx_cdr_refclk0          => tr_ref_clk_644,
+          tx_serial_data          => tx_serial_arr(I downto I),
+          rx_serial_data          => rx_serial_arr(I downto I),
+
+          rx_is_lockedtoref       => OPEN,
+          rx_is_lockedtodata      => rx_is_lockedtodata_arr(I downto I),
+
+          tx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+          rx_coreclkin            => tr_coreclkin,  -- 156.25 MHz
+
+          tx_parallel_data        => tx_parallel_data_arr(I),
+          rx_parallel_data        => rx_parallel_data_arr(I),
+          tx_control              => tx_control_arr(I),
+          rx_control              => rx_control_arr(I)
 
         --tx_err_ins              : in  std_logic                     := '0';             --              tx_err_ins.tx_err_ins
         --tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0'); --       tx_enh_data_valid.tx_enh_data_valid
@@ -191,25 +191,25 @@ begin
         --unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
         --unused_rx_parallel_data : out std_logic_vector(63 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
         --unused_rx_control       : out std_logic_vector(11 downto 0);                    --       unused_rx_control.unused_rx_control
-      );
+        );
 
       u_ip_arria10_e3sge3_transceiver_reset_controller_1 : ip_arria10_e3sge3_transceiver_reset_controller_1
-      port map (
-        clock              => clk_156,
-        reset              => rst_156,
-        pll_powerdown      => atx_pll_powerdown_arr(I downto I),
-        tx_analogreset     => tx_analogreset_arr(I downto I),
-        tx_digitalreset    => tx_digitalreset_arr(I downto I),
-        tx_ready           => xgmii_tx_ready_arr(I downto I),
-        pll_locked         => atx_pll_locked_arr(I downto I),
-        pll_select         => "0",  -- set to zero when using one PLL
-        tx_cal_busy        => cal_busy_arr(I downto I),
-        rx_analogreset     => rx_analogreset_arr(I downto I),
-        rx_digitalreset    => rx_digitalreset_arr(I downto I),
-        rx_ready           => xgmii_rx_ready_arr(I downto I),
-        rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
-        rx_cal_busy        => rx_cal_busy_arr(I downto I)
-      );
+        port map (
+          clock              => clk_156,
+          reset              => rst_156,
+          pll_powerdown      => atx_pll_powerdown_arr(I downto I),
+          tx_analogreset     => tx_analogreset_arr(I downto I),
+          tx_digitalreset    => tx_digitalreset_arr(I downto I),
+          tx_ready           => xgmii_tx_ready_arr(I downto I),
+          pll_locked         => atx_pll_locked_arr(I downto I),
+          pll_select         => "0",  -- set to zero when using one PLL
+          tx_cal_busy        => cal_busy_arr(I downto I),
+          rx_analogreset     => rx_analogreset_arr(I downto I),
+          rx_digitalreset    => rx_digitalreset_arr(I downto I),
+          rx_ready           => xgmii_rx_ready_arr(I downto I),
+          rx_is_lockedtodata => rx_is_lockedtodata_arr(I downto I),
+          rx_cal_busy        => rx_cal_busy_arr(I downto I)
+        );
     end generate;
   end generate;
 
@@ -228,29 +228,29 @@ begin
     end generate;
 
     u_ip_arria10_e3sge3_phy_10gbase_r_4 : ip_arria10_e3sge3_phy_10gbase_r_4
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -275,25 +275,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e3sge3_transceiver_reset_controller_4 : ip_arria10_e3sge3_transceiver_reset_controller_4
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -312,29 +312,29 @@ begin
     end generate;
 
     u_ip_arria10_e3sge3_phy_10gbase_r_12 : ip_arria10_e3sge3_phy_10gbase_r_12
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -359,25 +359,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e3sge3_transceiver_reset_controller_12 : ip_arria10_e3sge3_transceiver_reset_controller_12
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -396,38 +396,38 @@ begin
     end generate;
 
     u_ip_arria10_e3sge3_phy_10gbase_r_24 : ip_arria10_e3sge3_phy_10gbase_r_24
-    port map (
-      reconfig_write(0)       => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.wr,  -- in  std_logic_vector(0 downto 0)
-      reconfig_read(0)        => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.rd,  -- in  std_logic_vector(0 downto 0)
-      reconfig_address        => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.address(14 downto 0),  -- in  std_logic_vector(14 downto 0)
-      reconfig_writedata      => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),  -- in  std_logic_vector(31 downto 0)
-      reconfig_readdata       => reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),  -- out std_logic_vector(31 downto 0);
-      reconfig_waitrequest(0) => reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso.waitrequest,  -- out std_logic_vector(0 downto 0);
-      reconfig_clk(0)         => mm_clk,  -- in  std_logic_vector(0 downto 0)
-      reconfig_reset(0)       => mm_rst,  -- in  std_logic_vector(0 downto 0)
-
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
-
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
-
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
-
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
-
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+      port map (
+        reconfig_write(0)       => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.wr,  -- in  std_logic_vector(0 downto 0)
+        reconfig_read(0)        => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.rd,  -- in  std_logic_vector(0 downto 0)
+        reconfig_address        => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.address(14 downto 0),  -- in  std_logic_vector(14 downto 0)
+        reconfig_writedata      => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi.wrdata(c_word_w - 1 downto 0),  -- in  std_logic_vector(31 downto 0)
+        reconfig_readdata       => reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso.rddata(c_word_w - 1 downto 0),  -- out std_logic_vector(31 downto 0);
+        reconfig_waitrequest(0) => reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso.waitrequest,  -- out std_logic_vector(0 downto 0);
+        reconfig_clk(0)         => mm_clk,  -- in  std_logic_vector(0 downto 0)
+        reconfig_reset(0)       => mm_rst,  -- in  std_logic_vector(0 downto 0)
+
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -452,25 +452,25 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e3sge3_transceiver_reset_controller_24 : ip_arria10_e3sge3_transceiver_reset_controller_24
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
@@ -489,29 +489,29 @@ begin
     end generate;
 
     u_ip_arria10_e3sge3_phy_10gbase_r_48 : ip_arria10_e3sge3_phy_10gbase_r_48
-    port map (
-      tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
-      tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
-      rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
-      rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
-      tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
-      rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
+      port map (
+        tx_analogreset          => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_analogreset.tx_analogreset
+        tx_digitalreset         => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         tx_digitalreset.tx_digitalreset
+        rx_analogreset          => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_analogreset.rx_analogreset
+        rx_digitalreset         => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --         rx_digitalreset.rx_digitalreset
+        tx_cal_busy             => tx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             tx_cal_busy.tx_cal_busy
+        rx_cal_busy             => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --             rx_cal_busy.rx_cal_busy
 
-      tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
-      rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
-      tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
-      rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
+        tx_serial_clk0          => tx_serial_clk_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          tx_serial_clk0.clk
+        rx_cdr_refclk0          => tr_ref_clk_644,  -- in  std_logic                       := '0';             --          rx_cdr_refclk0.clk
+        tx_serial_data          => tx_serial_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --          tx_serial_data.tx_serial_data
+        rx_serial_data          => rx_serial_arr(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --          rx_serial_data.rx_serial_data
 
-      --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
+        --rx_is_lockedtoref       : out std_logic_vector(11 downto 0);                      --       rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata      => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- out std_logic_vector(11 downto 0);                      --      rx_is_lockedtodata.rx_is_lockedtodata
 
-      tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
-      rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
+        tx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            tx_coreclkin.clk
+        rx_coreclkin            => tr_coreclkin_slv(IP_SIZE-1 downto 0),  -- in  std_logic_vector(11 downto 0)   := (others => '0'); --            rx_coreclkin.clk
 
-      tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
-      rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
-      tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
-      rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
+        tx_parallel_data        => tx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- in  std_logic_vector(1535 downto 0) := (others => '0'); --        tx_parallel_data.tx_parallel_data
+        rx_parallel_data        => rx_parallel_data_arr_slv(IP_SIZE_DATA - 1 downto 0),  -- out std_logic_vector(1535 downto 0);                    --        rx_parallel_data.rx_parallel_data
+        tx_control              => tx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0),  -- in  std_logic_vector(191 downto 0)  := (others => '0'); --              tx_control.tx_control
+        rx_control              => rx_control_arr_slv(IP_SIZE_CONTROL - 1 downto 0)  -- out std_logic_vector(191 downto 0);                     --              rx_control.rx_control
 
       --tx_clkout               : out std_logic_vector(11 downto 0);                      --               tx_clkout.clk
       --rx_clkout               : out std_logic_vector(11 downto 0);                      --               rx_clkout.clk
@@ -536,37 +536,37 @@ begin
       --unused_rx_parallel_data : out std_logic_vector(1535 downto 0);                    -- unused_rx_parallel_data.unused_rx_parallel_data
       --unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0'); --       unused_tx_control.unused_tx_control
       --unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-    );
+      );
 
     u_ip_arria10_e3sge3_transceiver_reset_controller_48 : ip_arria10_e3sge3_transceiver_reset_controller_48
-    port map (
-      clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
-      pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
-      pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-      pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
-      reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
-      rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-      rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-      rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-      rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-      rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
-      tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
-      tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
-      tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
-      tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
-    );
+      port map (
+        clock              => clk_156,  -- : in  std_logic                     := '0';             --              clock.clk
+        pll_locked         => atx_pll_locked_arr(0 downto 0),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_locked.pll_locked
+        pll_powerdown      => atx_pll_powerdown_arr(0 downto 0),  -- : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
+        pll_select         => "0",  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --         pll_select.pll_select
+        reset              => rst_156,  -- : in  std_logic                     := '0';             --              reset.reset
+        rx_analogreset     => rx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
+        rx_cal_busy        => rx_cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
+        rx_digitalreset    => rx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
+        rx_is_lockedtodata => rx_is_lockedtodata_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
+        rx_ready           => xgmii_rx_ready_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --           rx_ready.rx_ready
+        tx_analogreset     => tx_analogreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --     tx_analogreset.tx_analogreset
+        tx_cal_busy        => cal_busy_arr(IP_SIZE-1 downto 0),  -- : in  std_logic_vector(11 downto 0) := (others => '0'); --        tx_cal_busy.tx_cal_busy
+        tx_digitalreset    => tx_digitalreset_arr(IP_SIZE-1 downto 0),  -- : out std_logic_vector(11 downto 0);                    --    tx_digitalreset.tx_digitalreset
+        tx_ready           => xgmii_tx_ready_arr(IP_SIZE-1 downto 0)  -- : out std_logic_vector(11 downto 0)                     --           tx_ready.tx_ready
+      );
   end generate;
 
 
   -- ATX PLL
   u_ip_arria10_e3sge3_transceiver_pll_10g : ip_arria10_e3sge3_transceiver_pll_10g
-  port map (
-    pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
-    pll_refclk0     => tr_ref_clk_644,
-    pll_locked      => atx_pll_locked,
-    pll_cal_busy    => atx_pll_cal_busy,
-    mcgb_rst        => atx_pll_powerdown_arr(0),
-    mcgb_serial_clk => tx_serial_clk(0)
-  );
+    port map (
+      pll_powerdown   => atx_pll_powerdown_arr(0),  -- only use reset controller 0 for ATX PLL power down, leave others not used
+      pll_refclk0     => tr_ref_clk_644,
+      pll_locked      => atx_pll_locked,
+      pll_cal_busy    => atx_pll_cal_busy,
+      mcgb_rst        => atx_pll_powerdown_arr(0),
+      mcgb_serial_clk => tx_serial_clk(0)
+    );
 
 end str;
diff --git a/libraries/technology/10gbase_r/tech_10gbase_r_component_pkg.vhd b/libraries/technology/10gbase_r/tech_10gbase_r_component_pkg.vhd
index 7c8da07f3d..300c42c581 100644
--- a/libraries/technology/10gbase_r/tech_10gbase_r_component_pkg.vhd
+++ b/libraries/technology/10gbase_r/tech_10gbase_r_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_10gbase_r_component_pkg is
 
@@ -31,383 +31,383 @@ package tech_10gbase_r_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_phy_10gbase_r is
-  port (
-    tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-    rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-    tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-    rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
-    tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
-    rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-    rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-    tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
-    rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
-    tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
-    tx_pma_div_clkout       : out std_logic_vector(0 downto 0);  -- tx_pma_div_clkout.clk
-    tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
-    unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0');  -- unused_tx_parallel_data.unused_tx_parallel_data
-    unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
-    unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
-    tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_enh_blk_lock         : out std_logic_vector(0 downto 0)  -- rx_enh_blk_lock.rx_enh_blk_lock
-  );
+    port (
+      tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
+      tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
+      rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
+      rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
+      tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
+      tx_pma_div_clkout       : out std_logic_vector(0 downto 0);  -- tx_pma_div_clkout.clk
+      tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
+      unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0');  -- unused_tx_parallel_data.unused_tx_parallel_data
+      unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
+      unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
+      tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_enh_blk_lock         : out std_logic_vector(0 downto 0)  -- rx_enh_blk_lock.rx_enh_blk_lock
+    );
   end component;
 
   component ip_arria10_phy_10gbase_r_4
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_phy_10gbase_r_12
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_phy_10gbase_r_24
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_phy_10gbase_r_48
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_transceiver_pll_10g is
-  port (
-    mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
-    mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
-    pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked            : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
-    reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
-    reconfig_read0        : in  std_logic                     := '0';  -- .read
-    reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest0 : out std_logic;  -- .waitrequest
-    reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
-    reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
-    tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
---    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
---    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
---    pll_locked      : out std_logic;        --    pll_locked.pll_locked
---    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
---    mcgb_rst        : in  std_logic := '0';
---    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
-  );
+    port (
+      mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
+      mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
+      pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked            : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
+      reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
+      reconfig_read0        : in  std_logic                     := '0';  -- .read
+      reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest0 : out std_logic;  -- .waitrequest
+      reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
+      reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
+      tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
+    --    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
+    --    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
+    --    pll_locked      : out std_logic;        --    pll_locked.pll_locked
+    --    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
+    --    mcgb_rst        : in  std_logic := '0';
+    --    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
+    );
   end component;
 
   component ip_arria10_transceiver_reset_controller_1 is
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
-    rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
+      rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
+    );
   end component;
 
   component ip_arria10_transceiver_reset_controller_4
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_transceiver_reset_controller_12
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_transceiver_reset_controller_24
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_transceiver_reset_controller_48
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -415,383 +415,383 @@ package tech_10gbase_r_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_phy_10gbase_r is
-  port (
-    tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-    rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-    tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-    rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
-    tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
-    rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-    rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-    tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
-    rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
-    tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
-    tx_pma_div_clkout       : out std_logic_vector(0 downto 0);  -- tx_pma_div_clkout.clk
-    tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
-    unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0');  -- unused_tx_parallel_data.unused_tx_parallel_data
-    unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
-    unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
-    tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_enh_blk_lock         : out std_logic_vector(0 downto 0)  -- rx_enh_blk_lock.rx_enh_blk_lock
-  );
+    port (
+      tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
+      tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
+      rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
+      rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
+      tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
+      tx_pma_div_clkout       : out std_logic_vector(0 downto 0);  -- tx_pma_div_clkout.clk
+      tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
+      unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0');  -- unused_tx_parallel_data.unused_tx_parallel_data
+      unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
+      unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
+      tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_enh_blk_lock         : out std_logic_vector(0 downto 0)  -- rx_enh_blk_lock.rx_enh_blk_lock
+    );
   end component;
 
   component ip_arria10_e3sge3_phy_10gbase_r_4
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e3sge3_phy_10gbase_r_12
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e3sge3_phy_10gbase_r_24
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e3sge3_phy_10gbase_r_48
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e3sge3_transceiver_pll_10g is
-  port (
-    mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
-    mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
-    pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked            : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
-    reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
-    reconfig_read0        : in  std_logic                     := '0';  -- .read
-    reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest0 : out std_logic;  -- .waitrequest
-    reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
-    reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
-    tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
---    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
---    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
---    pll_locked      : out std_logic;        --    pll_locked.pll_locked
---    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
---    mcgb_rst        : in  std_logic := '0';
---    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
-  );
+    port (
+      mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
+      mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
+      pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked            : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
+      reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
+      reconfig_read0        : in  std_logic                     := '0';  -- .read
+      reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest0 : out std_logic;  -- .waitrequest
+      reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
+      reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
+      tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
+    --    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
+    --    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
+    --    pll_locked      : out std_logic;        --    pll_locked.pll_locked
+    --    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
+    --    mcgb_rst        : in  std_logic := '0';
+    --    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
+    );
   end component;
 
   component ip_arria10_e3sge3_transceiver_reset_controller_1 is
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
-    rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
+      rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
+    );
   end component;
 
   component ip_arria10_e3sge3_transceiver_reset_controller_4
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e3sge3_transceiver_reset_controller_12
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e3sge3_transceiver_reset_controller_24
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e3sge3_transceiver_reset_controller_48
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -799,365 +799,365 @@ package tech_10gbase_r_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e1sg_phy_10gbase_r is
-  port (
-		rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-		rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-		rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
-		rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
-		rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
-		rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-		rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-		rx_enh_blk_lock         : out std_logic_vector(0 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-		rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-		rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-		rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-		rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-		rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-		rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
-		rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-		rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-		rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
-		rx_prbs_done            : out std_logic_vector(0 downto 0);  -- rx_prbs_done.rx_prbs_done
-		rx_prbs_err             : out std_logic_vector(0 downto 0);  -- rx_prbs_err.rx_prbs_err
-		rx_prbs_err_clr         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-		rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-		rx_seriallpbken         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-		tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-		tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-		tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
-		tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
-		tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-		tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-		tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-		tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-		tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-		tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-		tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-		tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
-		tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-		tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-		tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
-		unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
-		unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-		unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-		unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-	);
+    port (
+      rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(0 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(0 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(0 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e1sg_phy_10gbase_r_3 is
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- read
-    reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reset
-    rx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_analogreset
-    rx_cal_busy             : out std_logic_vector(2 downto 0);  -- rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- clk
-    rx_clkout               : out std_logic_vector(2 downto 0);  -- clk
-    rx_control              : out std_logic_vector(23 downto 0);  -- rx_control
-    rx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
-    rx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(2 downto 0);  -- rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(2 downto 0);  -- rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(2 downto 0);  -- rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(2 downto 0);  -- rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(2 downto 0);  -- rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(191 downto 0);  -- rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(2 downto 0);  -- rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(2 downto 0);  -- rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_analogreset
-    tx_cal_busy             : out std_logic_vector(2 downto 0);  -- tx_cal_busy
-    tx_clkout               : out std_logic_vector(2 downto 0);  -- clk
-    tx_control              : in  std_logic_vector(23 downto 0)  := (others => '0');  -- tx_control
-    tx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
-    tx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(191 downto 0) := (others => '0');  -- tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
-    tx_serial_data          : out std_logic_vector(2 downto 0);  -- tx_serial_data
-    unused_rx_control       : out std_logic_vector(35 downto 0);  -- unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(191 downto 0);  -- unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(26 downto 0)  := (others => '0');  -- unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(191 downto 0) := (others => '0')  -- unused_tx_parallel_data
-  );
- end component ip_arria10_e1sg_phy_10gbase_r_3;
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- read
+      reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reset
+      rx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_analogreset
+      rx_cal_busy             : out std_logic_vector(2 downto 0);  -- rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- clk
+      rx_clkout               : out std_logic_vector(2 downto 0);  -- clk
+      rx_control              : out std_logic_vector(23 downto 0);  -- rx_control
+      rx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
+      rx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(2 downto 0);  -- rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(2 downto 0);  -- rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(2 downto 0);  -- rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(2 downto 0);  -- rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(2 downto 0);  -- rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(191 downto 0);  -- rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(2 downto 0);  -- rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(2 downto 0);  -- rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_analogreset
+      tx_cal_busy             : out std_logic_vector(2 downto 0);  -- tx_cal_busy
+      tx_clkout               : out std_logic_vector(2 downto 0);  -- clk
+      tx_control              : in  std_logic_vector(23 downto 0)  := (others => '0');  -- tx_control
+      tx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
+      tx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(191 downto 0) := (others => '0');  -- tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
+      tx_serial_data          : out std_logic_vector(2 downto 0);  -- tx_serial_data
+      unused_rx_control       : out std_logic_vector(35 downto 0);  -- unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(191 downto 0);  -- unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(26 downto 0)  := (others => '0');  -- unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(191 downto 0) := (others => '0')  -- unused_tx_parallel_data
+    );
+  end component ip_arria10_e1sg_phy_10gbase_r_3;
 
 
   component ip_arria10_e1sg_phy_10gbase_r_4
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e1sg_phy_10gbase_r_12
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e1sg_phy_10gbase_r_24
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e1sg_phy_10gbase_r_48
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e1sg_transceiver_pll_10g is
-  port (
-    mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
-    mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
-    pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked            : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
-    reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
-    reconfig_read0        : in  std_logic                     := '0';  -- .read
-    reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest0 : out std_logic;  -- .waitrequest
-    reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
-    reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
-    tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
---    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
---    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
---    pll_locked      : out std_logic;        --    pll_locked.pll_locked
---    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
---    mcgb_rst        : in  std_logic := '0';
---    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
-  );
+    port (
+      mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
+      mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
+      pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked            : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
+      reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
+      reconfig_read0        : in  std_logic                     := '0';  -- .read
+      reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest0 : out std_logic;  -- .waitrequest
+      reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
+      reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
+      tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
+    --    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
+    --    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
+    --    pll_locked      : out std_logic;        --    pll_locked.pll_locked
+    --    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
+    --    mcgb_rst        : in  std_logic := '0';
+    --    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
+    );
   end component;
 
   component ip_arria10_e1sg_transceiver_reset_controller_1 is
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
-    rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
+      rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
+    );
   end component;
 
   component ip_arria10_e1sg_transceiver_reset_controller_3 is
@@ -1180,79 +1180,79 @@ package tech_10gbase_r_component_pkg is
   end component ip_arria10_e1sg_transceiver_reset_controller_3;
 
   component ip_arria10_e1sg_transceiver_reset_controller_4
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e1sg_transceiver_reset_controller_12
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e1sg_transceiver_reset_controller_24
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e1sg_transceiver_reset_controller_48
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -1260,365 +1260,365 @@ package tech_10gbase_r_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e2sg_phy_10gbase_r is
-  port (
-        rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-        rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-        rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
-        rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
-        rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
-        rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-        rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-        rx_enh_blk_lock         : out std_logic_vector(0 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-        rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-        rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-        rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-        rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-        rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-        rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
-        rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-        rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-        rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
-        rx_prbs_done            : out std_logic_vector(0 downto 0);  -- rx_prbs_done.rx_prbs_done
-        rx_prbs_err             : out std_logic_vector(0 downto 0);  -- rx_prbs_err.rx_prbs_err
-        rx_prbs_err_clr         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-        rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-        rx_seriallpbken         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-        tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-        tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-        tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
-        tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
-        tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-        tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-        tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-        tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-        tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-        tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-        tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-        tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
-        tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-        tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-        tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
-        unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
-        unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-        unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-        unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    port (
+      rx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                     := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(0 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(7 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(0 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(0 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(0 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(0 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(63 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(0 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(0 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(0 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(7 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(0 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic                     := '0';  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(63 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(0 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(11 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(63 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(8 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(63 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
     );
   end component;
 
   component ip_arria10_e2sg_phy_10gbase_r_3 is
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- read
-    reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reset
-    rx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_analogreset
-    rx_cal_busy             : out std_logic_vector(2 downto 0);  -- rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- clk
-    rx_clkout               : out std_logic_vector(2 downto 0);  -- clk
-    rx_control              : out std_logic_vector(23 downto 0);  -- rx_control
-    rx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
-    rx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(2 downto 0);  -- rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(2 downto 0);  -- rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(2 downto 0);  -- rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(2 downto 0);  -- rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(2 downto 0);  -- rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(191 downto 0);  -- rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(2 downto 0);  -- rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(2 downto 0);  -- rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_analogreset
-    tx_cal_busy             : out std_logic_vector(2 downto 0);  -- tx_cal_busy
-    tx_clkout               : out std_logic_vector(2 downto 0);  -- clk
-    tx_control              : in  std_logic_vector(23 downto 0)  := (others => '0');  -- tx_control
-    tx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
-    tx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(191 downto 0) := (others => '0');  -- tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
-    tx_serial_data          : out std_logic_vector(2 downto 0);  -- tx_serial_data
-    unused_rx_control       : out std_logic_vector(35 downto 0);  -- unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(191 downto 0);  -- unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(26 downto 0)  := (others => '0');  -- unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(191 downto 0) := (others => '0')  -- unused_tx_parallel_data
-  );
- end component;
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- read
+      reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reset
+      rx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_analogreset
+      rx_cal_busy             : out std_logic_vector(2 downto 0);  -- rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- clk
+      rx_clkout               : out std_logic_vector(2 downto 0);  -- clk
+      rx_control              : out std_logic_vector(23 downto 0);  -- rx_control
+      rx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
+      rx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(2 downto 0);  -- rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(2 downto 0);  -- rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(2 downto 0);  -- rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(2 downto 0);  -- rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(2 downto 0);  -- rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(2 downto 0);  -- rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(191 downto 0);  -- rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(2 downto 0);  -- rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(2 downto 0);  -- rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_analogreset
+      tx_cal_busy             : out std_logic_vector(2 downto 0);  -- tx_cal_busy
+      tx_clkout               : out std_logic_vector(2 downto 0);  -- clk
+      tx_control              : in  std_logic_vector(23 downto 0)  := (others => '0');  -- tx_control
+      tx_coreclkin            : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
+      tx_digitalreset         : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(2 downto 0);  -- tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(2 downto 0)   := (others => '0');  -- tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(191 downto 0) := (others => '0');  -- tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(2 downto 0)   := (others => '0');  -- clk
+      tx_serial_data          : out std_logic_vector(2 downto 0);  -- tx_serial_data
+      unused_rx_control       : out std_logic_vector(35 downto 0);  -- unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(191 downto 0);  -- unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(26 downto 0)  := (others => '0');  -- unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(191 downto 0) := (others => '0')  -- unused_tx_parallel_data
+    );
+  end component;
 
 
   component ip_arria10_e2sg_phy_10gbase_r_4
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(11 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(3 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(3 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(31 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(3 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(3 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(3 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(3 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(255 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(3 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(3 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(3 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(3 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(31 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(3 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(255 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(3 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(3 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(47 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(255 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(35 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(255 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e2sg_phy_10gbase_r_12
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)   := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(13 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)  := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)   := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(11 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                      := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(11 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(95 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(11 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(11 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(11 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(11 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(11 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(11 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(767 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(11 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(11 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(11 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(11 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(95 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(11 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(767 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(11 downto 0)  := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(11 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(143 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(767 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(107 downto 0) := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(767 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e2sg_phy_10gbase_r_24
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(14 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(23 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(23 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(191 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(23 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(23 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(23 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(23 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(23 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(23 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(1535 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(23 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(23 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(23 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(23 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(191 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(23 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(1535 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(23 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(23 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(287 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(1535 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(215 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(1535 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e2sg_phy_10gbase_r_48
-  port (
-    reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
-    reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
-    reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
-    reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
-    reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
-    reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
-    reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
-    rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
-    rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
-    rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
-    rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
-    rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
-    rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
-    rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
-    rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
-    rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
-    rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
-    rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
-    rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
-    rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
-    rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
-    rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
-    rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
-    rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
-    tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
-    tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
-    tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
-    tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
-    tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
-    tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
-    tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
-    tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
-    tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
-    tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
-    tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
-    tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
-    unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
-    unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
-    unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
-    unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
-  );
+    port (
+      reconfig_write          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_avmm.write
+      reconfig_read           : in  std_logic_vector(0 downto 0)    := (others => '0');  -- .read
+      reconfig_address        : in  std_logic_vector(15 downto 0)   := (others => '0');  -- .address
+      reconfig_writedata      : in  std_logic_vector(31 downto 0)   := (others => '0');  -- .writedata
+      reconfig_readdata       : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest    : out std_logic_vector(0 downto 0);  -- .waitrequest
+      reconfig_clk            : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_clk.clk
+      reconfig_reset          : in  std_logic_vector(0 downto 0)    := (others => '0');  -- reconfig_reset.reset
+      rx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy             : out std_logic_vector(47 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk0          : in  std_logic                       := '0';  -- rx_cdr_refclk0.clk
+      rx_clkout               : out std_logic_vector(47 downto 0);  -- rx_clkout.clk
+      rx_control              : out std_logic_vector(383 downto 0);  -- rx_control.rx_control
+      rx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_coreclkin.clk
+      rx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_enh_blk_lock         : out std_logic_vector(47 downto 0);  -- rx_enh_blk_lock.rx_enh_blk_lock
+      rx_enh_data_valid       : out std_logic_vector(47 downto 0);  -- rx_enh_data_valid.rx_enh_data_valid
+      rx_enh_fifo_del         : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_del.rx_enh_fifo_del
+      rx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_empty.rx_enh_fifo_empty
+      rx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_full.rx_enh_fifo_full
+      rx_enh_fifo_insert      : out std_logic_vector(47 downto 0);  -- rx_enh_fifo_insert.rx_enh_fifo_insert
+      rx_enh_highber          : out std_logic_vector(47 downto 0);  -- rx_enh_highber.rx_enh_highber
+      rx_is_lockedtodata      : out std_logic_vector(47 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref       : out std_logic_vector(47 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_parallel_data        : out std_logic_vector(3071 downto 0);  -- rx_parallel_data.rx_parallel_data
+      rx_prbs_done            : out std_logic_vector(47 downto 0);  -- rx_prbs_done.rx_prbs_done
+      rx_prbs_err             : out std_logic_vector(47 downto 0);  -- rx_prbs_err.rx_prbs_err
+      rx_prbs_err_clr         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_prbs_err_clr.rx_prbs_err_clr
+      rx_serial_data          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_serial_data.rx_serial_data
+      rx_seriallpbken         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- rx_seriallpbken.rx_seriallpbken
+      tx_analogreset          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy             : out std_logic_vector(47 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_clkout               : out std_logic_vector(47 downto 0);  -- tx_clkout.clk
+      tx_control              : in  std_logic_vector(383 downto 0)  := (others => '0');  -- tx_control.tx_control
+      tx_coreclkin            : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_coreclkin.clk
+      tx_digitalreset         : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_enh_data_valid       : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_enh_data_valid.tx_enh_data_valid
+      tx_enh_fifo_empty       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_empty.tx_enh_fifo_empty
+      tx_enh_fifo_full        : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_full.tx_enh_fifo_full
+      tx_enh_fifo_pempty      : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pempty.tx_enh_fifo_pempty
+      tx_enh_fifo_pfull       : out std_logic_vector(47 downto 0);  -- tx_enh_fifo_pfull.tx_enh_fifo_pfull
+      tx_err_ins              : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_err_ins.tx_err_ins
+      tx_parallel_data        : in  std_logic_vector(3071 downto 0) := (others => '0');  -- tx_parallel_data.tx_parallel_data
+      tx_serial_clk0          : in  std_logic_vector(47 downto 0)   := (others => '0');  -- tx_serial_clk0.clk
+      tx_serial_data          : out std_logic_vector(47 downto 0);  -- tx_serial_data.tx_serial_data
+      unused_rx_control       : out std_logic_vector(575 downto 0);  -- unused_rx_control.unused_rx_control
+      unused_rx_parallel_data : out std_logic_vector(3071 downto 0);  -- unused_rx_parallel_data.unused_rx_parallel_data
+      unused_tx_control       : in  std_logic_vector(431 downto 0)  := (others => '0');  -- unused_tx_control.unused_tx_control
+      unused_tx_parallel_data : in  std_logic_vector(3071 downto 0) := (others => '0')  -- unused_tx_parallel_data.unused_tx_parallel_data
+    );
   end component;
 
   component ip_arria10_e2sg_transceiver_pll_10g is
-  port (
-    mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
-    mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
-    pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked            : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
-    reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
-    reconfig_read0        : in  std_logic                     := '0';  -- .read
-    reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
-    reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
-    reconfig_waitrequest0 : out std_logic;  -- .waitrequest
-    reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
-    reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
-    tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
---    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
---    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
---    pll_locked      : out std_logic;        --    pll_locked.pll_locked
---    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
---    mcgb_rst        : in  std_logic := '0';
---    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
-  );
+    port (
+      mcgb_rst              : in  std_logic                     := '0';  -- mcgb_rst.mcgb_rst
+      mcgb_serial_clk       : out std_logic;  -- mcgb_serial_clk.clk
+      pll_cal_busy          : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked            : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown         : in  std_logic                     := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0           : in  std_logic                     := '0';  -- pll_refclk0.clk
+      reconfig_write0       : in  std_logic                     := '0';  -- reconfig_avmm0.write
+      reconfig_read0        : in  std_logic                     := '0';  -- .read
+      reconfig_address0     : in  std_logic_vector(9 downto 0)  := (others => '0');  -- .address
+      reconfig_writedata0   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reconfig_readdata0    : out std_logic_vector(31 downto 0);  -- .readdata
+      reconfig_waitrequest0 : out std_logic;  -- .waitrequest
+      reconfig_clk0         : in  std_logic                     := '0';  -- reconfig_clk0.clk
+      reconfig_reset0       : in  std_logic                     := '0';  -- reconfig_reset0.reset
+      tx_serial_clk         : out std_logic  -- tx_serial_clk.clk
+    --    pll_powerdown   : in  std_logic := '0'; -- pll_powerdown.pll_powerdown
+    --    pll_refclk0     : in  std_logic := '0'; --   pll_refclk0.clk
+    --    pll_locked      : out std_logic;        --    pll_locked.pll_locked
+    --    pll_cal_busy    : out std_logic;        --  pll_cal_busy.pll_cal_busy
+    --    mcgb_rst        : in  std_logic := '0';
+    --    mcgb_serial_clk : out std_logic         -- tx_serial_clk.clk
+    );
   end component;
 
   component ip_arria10_e2sg_transceiver_reset_controller_1 is
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
-    rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      tx_analogreset     : out std_logic_vector(0 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_digitalreset    : out std_logic_vector(0 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(0 downto 0);  -- tx_ready.tx_ready
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      tx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      rx_analogreset     : out std_logic_vector(0 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_digitalreset    : out std_logic_vector(0 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_ready           : out std_logic_vector(0 downto 0);  -- rx_ready.rx_ready
+      rx_is_lockedtodata : in  std_logic_vector(0 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_cal_busy        : in  std_logic_vector(0 downto 0) := (others => '0')  -- rx_cal_busy.rx_cal_busy
+    );
   end component;
 
   component ip_arria10_e2sg_transceiver_reset_controller_3 is
@@ -1641,79 +1641,79 @@ package tech_10gbase_r_component_pkg is
   end component;
 
   component ip_arria10_e2sg_transceiver_reset_controller_4
-  port (
-    clock              : in  std_logic                    := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                    := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                    := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0) := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                    := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(3 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(3 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(3 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(3 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(3 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(3 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(3 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(3 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e2sg_transceiver_reset_controller_12
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(11 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(11 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(11 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(11 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(11 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(11 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e2sg_transceiver_reset_controller_24
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(23 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(23 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(23 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(23 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(23 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(23 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(23 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(23 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
   component ip_arria10_e2sg_transceiver_reset_controller_48
-  port (
-    clock              : in  std_logic                     := '0';  -- clock.clk
-    pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
-    pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
-    pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
-    reset              : in  std_logic                     := '0';  -- reset.reset
-    rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
-    rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
-    tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
-    tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
-  );
+    port (
+      clock              : in  std_logic                     := '0';  -- clock.clk
+      pll_locked         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_locked.pll_locked
+      pll_powerdown      : out std_logic_vector(0 downto 0);  -- pll_powerdown.pll_powerdown
+      pll_select         : in  std_logic_vector(0 downto 0)  := (others => '0');  -- pll_select.pll_select
+      reset              : in  std_logic                     := '0';  -- reset.reset
+      rx_analogreset     : out std_logic_vector(47 downto 0);  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_cal_busy.rx_cal_busy
+      rx_digitalreset    : out std_logic_vector(47 downto 0);  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : in  std_logic_vector(47 downto 0) := (others => '0');  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_ready           : out std_logic_vector(47 downto 0);  -- rx_ready.rx_ready
+      tx_analogreset     : out std_logic_vector(47 downto 0);  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : in  std_logic_vector(47 downto 0) := (others => '0');  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : out std_logic_vector(47 downto 0);  -- tx_digitalreset.tx_digitalreset
+      tx_ready           : out std_logic_vector(47 downto 0)  -- tx_ready.tx_ready
+    );
   end component;
 
 end tech_10gbase_r_component_pkg;
diff --git a/libraries/technology/clkbuf/tech_clkbuf.vhd b/libraries/technology/clkbuf/tech_clkbuf.vhd
index d4de3e9e56..243991bba7 100644
--- a/libraries/technology/clkbuf/tech_clkbuf.vhd
+++ b/libraries/technology/clkbuf/tech_clkbuf.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_clkbuf_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_clkbuf_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_clkbuf_global_altclkctrl_150;
@@ -52,10 +52,10 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto and g_clock_net = "GLOBAL" generate
     u0 : ip_arria10_clkbuf_global
-    port map (
-      inclk  => inclk,  -- inclk
-      outclk => outclk  -- outclk
-    );
+      port map (
+        inclk  => inclk,  -- inclk
+        outclk => outclk  -- outclk
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -64,10 +64,10 @@ begin
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 and g_clock_net = "GLOBAL" generate
     u0 : ip_arria10_e3sge3_clkbuf_global
-    port map (
-      inclk  => inclk,  -- inclk
-      outclk => outclk  -- outclk
-    );
+      port map (
+        inclk  => inclk,  -- inclk
+        outclk => outclk  -- outclk
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -76,10 +76,10 @@ begin
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg and g_clock_net = "GLOBAL" generate
     u0 : ip_arria10_e1sg_clkbuf_global
-    port map (
-      inclk  => inclk,  -- inclk
-      outclk => outclk  -- outclk
-    );
+      port map (
+        inclk  => inclk,  -- inclk
+        outclk => outclk  -- outclk
+      );
   end generate;
 
   -----------------------------------------------------------------------------
@@ -88,10 +88,10 @@ begin
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg and g_clock_net = "GLOBAL" generate
     u0 : ip_arria10_e2sg_clkbuf_global
-    port map (
-      inclk  => inclk,  -- inclk
-      outclk => outclk  -- outclk
-    );
+      port map (
+        inclk  => inclk,  -- inclk
+        outclk => outclk  -- outclk
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/clkbuf/tech_clkbuf_component_pkg.vhd b/libraries/technology/clkbuf/tech_clkbuf_component_pkg.vhd
index c071028b4f..500f289cbd 100644
--- a/libraries/technology/clkbuf/tech_clkbuf_component_pkg.vhd
+++ b/libraries/technology/clkbuf/tech_clkbuf_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_clkbuf_component_pkg is
 
@@ -31,10 +31,10 @@ package tech_clkbuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_clkbuf_global is
-  port (
-    inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
-    outclk : out std_logic  -- altclkctrl_output.outclk
-  );
+    port (
+      inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
+      outclk : out std_logic  -- altclkctrl_output.outclk
+    );
   end component;
 
 
@@ -43,10 +43,10 @@ package tech_clkbuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_clkbuf_global is
-  port (
-    inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
-    outclk : out std_logic  -- altclkctrl_output.outclk
-  );
+    port (
+      inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
+      outclk : out std_logic  -- altclkctrl_output.outclk
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -54,10 +54,10 @@ package tech_clkbuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_clkbuf_global is
-  port (
-    inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
-    outclk : out std_logic  -- altclkctrl_output.outclk
-  );
+    port (
+      inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
+      outclk : out std_logic  -- altclkctrl_output.outclk
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -65,10 +65,10 @@ package tech_clkbuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_clkbuf_global is
-  port (
-    inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
-    outclk : out std_logic  -- altclkctrl_output.outclk
-  );
+    port (
+      inclk  : in  std_logic := '0';  -- altclkctrl_input.inclk
+      outclk : out std_logic  -- altclkctrl_output.outclk
+    );
   end component;
 
 end tech_clkbuf_component_pkg;
diff --git a/libraries/technology/ddr/sim_ddr.vhd b/libraries/technology/ddr/sim_ddr.vhd
index 5c7aeaa122..c1e795451f 100644
--- a/libraries/technology/ddr/sim_ddr.vhd
+++ b/libraries/technology/ddr/sim_ddr.vhd
@@ -65,12 +65,12 @@
 
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_ddr_pkg.all;
 
 entity sim_ddr is
   generic (
diff --git a/libraries/technology/ddr/tech_ddr.vhd b/libraries/technology/ddr/tech_ddr.vhd
index 81b8142546..0bc70f4652 100644
--- a/libraries/technology/ddr/tech_ddr.vhd
+++ b/libraries/technology/ddr/tech_ddr.vhd
@@ -26,12 +26,12 @@
 -- Remark:
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_ddr_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_ddr_pkg.all;
 
 entity tech_ddr is
   generic (
@@ -79,8 +79,8 @@ begin
   gen_ip: if g_sim_model = false generate
     gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
       u0 : entity work.tech_ddr_stratixiv
-      generic map (g_tech_ddr)
-      port map (ref_clk, ref_rst,
+        generic map (g_tech_ddr)
+        port map (ref_clk, ref_rst,
                 ctlr_gen_clk, ctlr_gen_rst, ctlr_gen_clk_2x, ctlr_gen_rst_2x,
                 ctlr_mosi, ctlr_miso, term_ctrl_out, term_ctrl_in,
                 phy3_in, phy3_io, phy3_ou);
@@ -88,8 +88,8 @@ begin
 
     gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
       u0 : entity work.tech_ddr_arria10
-      generic map (g_tech_ddr)
-      port map (ref_clk, ref_rst,
+        generic map (g_tech_ddr)
+        port map (ref_clk, ref_rst,
                 ctlr_gen_clk, ctlr_gen_rst,
                 ctlr_mosi, ctlr_miso,
                 phy4_in, phy4_io, phy4_ou);
@@ -97,8 +97,8 @@ begin
 
     gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
       u0 : entity work.tech_ddr_arria10_e3sge3
-      generic map (g_tech_ddr)
-      port map (ref_clk, ref_rst,
+        generic map (g_tech_ddr)
+        port map (ref_clk, ref_rst,
                 ctlr_gen_clk, ctlr_gen_rst,
                 ctlr_mosi, ctlr_miso,
                 phy4_in, phy4_io, phy4_ou);
@@ -106,8 +106,8 @@ begin
 
     gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
       u0 : entity work.tech_ddr_arria10_e1sg
-      generic map (g_tech_ddr)
-      port map (ref_clk, ref_rst,
+        generic map (g_tech_ddr)
+        port map (ref_clk, ref_rst,
                 ctlr_gen_clk, ctlr_gen_rst,
                 ctlr_mosi, ctlr_miso,
                 phy4_in, phy4_io, phy4_ou);
@@ -115,8 +115,8 @@ begin
 
     gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
       u0 : entity work.tech_ddr_arria10_e2sg
-      generic map (g_tech_ddr)
-      port map (ref_clk, ref_rst,
+        generic map (g_tech_ddr)
+        port map (ref_clk, ref_rst,
                 ctlr_gen_clk, ctlr_gen_rst,
                 ctlr_mosi, ctlr_miso,
                 phy4_in, phy4_io, phy4_ou);
@@ -129,23 +129,23 @@ begin
   -----------------------------------------------------------------------------
   gen_sim_ddr : if g_sim_model = true generate
     u_sim_ddr : entity work.sim_ddr
-    generic map (
-      g_tech_ddr        => g_tech_ddr
-    )
-    port map (
-      -- PLL reference clock
-      ref_clk           => ref_clk,
-      ref_rst           => ref_rst,
-
-      -- Controller user interface
-      ctlr_gen_clk      => ctlr_gen_clk,
-      ctlr_gen_rst      => ctlr_gen_rst,
-      ctlr_gen_clk_2x   => ctlr_gen_clk_2x,
-      ctlr_gen_rst_2x   => ctlr_gen_rst_2x,
-
-      ctlr_mosi         => ctlr_mosi,
-      ctlr_miso         => ctlr_miso
-    );
+      generic map (
+        g_tech_ddr        => g_tech_ddr
+      )
+      port map (
+        -- PLL reference clock
+        ref_clk           => ref_clk,
+        ref_rst           => ref_rst,
+
+        -- Controller user interface
+        ctlr_gen_clk      => ctlr_gen_clk,
+        ctlr_gen_rst      => ctlr_gen_rst,
+        ctlr_gen_clk_2x   => ctlr_gen_clk_2x,
+        ctlr_gen_rst_2x   => ctlr_gen_rst_2x,
+
+        ctlr_mosi         => ctlr_mosi,
+        ctlr_miso         => ctlr_miso
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ddr/tech_ddr_arria10.vhd b/libraries/technology/ddr/tech_ddr_arria10.vhd
index 1273503b6c..15fe828c82 100644
--- a/libraries/technology/ddr/tech_ddr_arria10.vhd
+++ b/libraries/technology/ddr/tech_ddr_arria10.vhd
@@ -38,12 +38,12 @@ library ip_arria10_ddr4_4g_1600_altera_emif_150;
 library ip_arria10_ddr4_4g_2000_altera_emif_150;
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_ddr_pkg.all;
-use work.tech_ddr_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_ddr_pkg.all;
+  use work.tech_ddr_component_pkg.all;
 
 entity tech_ddr_arria10 is
   generic (
@@ -97,40 +97,40 @@ begin
     phy_ou.odt(1)  <= '0';
 
     u_ip_arria10_ddr4_4g_2000 : ip_arria10_ddr4_4g_2000
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -154,40 +154,40 @@ begin
     phy_ou.odt(1)  <= '0';
 
     u_ip_arria10_ddr4_4g_1600 : ip_arria10_ddr4_4g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
diff --git a/libraries/technology/ddr/tech_ddr_arria10_e1sg.vhd b/libraries/technology/ddr/tech_ddr_arria10_e1sg.vhd
index 3bbe8bdb32..cd15da64ae 100644
--- a/libraries/technology/ddr/tech_ddr_arria10_e1sg.vhd
+++ b/libraries/technology/ddr/tech_ddr_arria10_e1sg.vhd
@@ -41,12 +41,12 @@ library ip_arria10_e1sg_ddr4_4g_2000_altera_emif_180;
 library ip_arria10_e1sg_ddr4_8g_2400_altera_emif_180;
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_ddr_pkg.all;
-use work.tech_ddr_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_ddr_pkg.all;
+  use work.tech_ddr_component_pkg.all;
 
 entity tech_ddr_arria10_e1sg is
   generic (
@@ -100,40 +100,40 @@ begin
     phy_ou.odt(1)  <= '0';
 
     u_ip_arria10_e1sg_ddr4_4g_2000 : ip_arria10_e1sg_ddr4_4g_2000
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -157,40 +157,40 @@ begin
     phy_ou.odt(1)  <= '0';
 
     u_ip_arria10_e1sg_ddr4_4g_1600 : ip_arria10_e1sg_ddr4_4g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -210,40 +210,40 @@ begin
   gen_ip_arria10_e1sg_ddr4_8g_1600 : if g_tech_ddr.name = "DDR4" and c_gigabytes = 8 and g_tech_ddr.mts = 1600 generate
 
     u_ip_arria10_e1sg_ddr4_8g_1600 : ip_arria10_e1sg_ddr4_8g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -263,40 +263,40 @@ begin
   gen_ip_arria10_e1sg_ddr4_16g_1600 : if g_tech_ddr.name = "DDR4" and c_gigabytes = 16 and g_tech_ddr.mts = 1600 generate
 
     u_ip_arria10_e1sg_ddr4_16g_1600 : ip_arria10_e1sg_ddr4_16g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
diff --git a/libraries/technology/ddr/tech_ddr_arria10_e2sg.vhd b/libraries/technology/ddr/tech_ddr_arria10_e2sg.vhd
index de086516b5..848f53887c 100644
--- a/libraries/technology/ddr/tech_ddr_arria10_e2sg.vhd
+++ b/libraries/technology/ddr/tech_ddr_arria10_e2sg.vhd
@@ -40,12 +40,12 @@ library ip_arria10_e2sg_ddr4_16g_1600_72b_altera_emif_1910;
 --LIBRARY ip_arria10_e2sg_ddr4_8g_2400_altera_emif_191;
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_ddr_pkg.all;
-use work.tech_ddr_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_ddr_pkg.all;
+  use work.tech_ddr_component_pkg.all;
 
 entity tech_ddr_arria10_e2sg is
   generic (
@@ -101,40 +101,40 @@ begin
   gen_ip_arria10_e2sg_ddr4_8g_1600 : if g_tech_ddr.name = "DDR4" and c_gigabytes = 8 and g_tech_ddr.mts = 1600 generate
 
     u_ip_arria10_e2sg_ddr4_8g_1600 : ip_arria10_e2sg_ddr4_8g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_ip_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_ip_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_ip_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_ip_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -155,40 +155,40 @@ begin
   gen_ip_arria10_e2sg_ddr4_8g_2400 : if g_tech_ddr.name = "DDR4" and c_gigabytes = 8 and g_tech_ddr.mts = 2400 generate
 
     u_ip_arria10_e2sg_ddr4_8g_2400 : ip_arria10_e2sg_ddr4_8g_2400
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_ip_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_ip_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_ip_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_ip_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -209,40 +209,40 @@ begin
   gen_ip_arria10_e2sg_ddr4_16g_1600_64b : if g_tech_ddr.name = "DDR4" and c_gigabytes = 16 and g_tech_ddr.mts = 1600 and g_tech_ddr.dq_w = 64 generate
 
     u_ip_arria10_e2sg_ddr4_16g_1600_64b : ip_arria10_e2sg_ddr4_16g_1600_64b
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_ip_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_ip_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_ip_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_ip_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -263,40 +263,40 @@ begin
   gen_ip_arria10_e2sg_ddr4_16g_1600_72b : if g_tech_ddr.name = "DDR4" and c_gigabytes = 16 and g_tech_ddr.mts = 1600 and g_tech_ddr.dq_w = 72 generate
 
     u_ip_arria10_e2sg_ddr4_16g_1600_72b : ip_arria10_e2sg_ddr4_16g_1600_72b
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => amm_readdata,  -- .readdata
-      amm_writedata_0     => amm_writedata,  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => amm_readdata,  -- .readdata
+        amm_writedata_0     => amm_writedata,  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
diff --git a/libraries/technology/ddr/tech_ddr_arria10_e3sge3.vhd b/libraries/technology/ddr/tech_ddr_arria10_e3sge3.vhd
index cbd50202b6..008dbe0bcd 100644
--- a/libraries/technology/ddr/tech_ddr_arria10_e3sge3.vhd
+++ b/libraries/technology/ddr/tech_ddr_arria10_e3sge3.vhd
@@ -40,12 +40,12 @@ library ip_arria10_e3sge3_ddr4_4g_2000_altera_emif_151;
 library ip_arria10_e3sge3_ddr4_8g_2400_altera_emif_151;
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_ddr_pkg.all;
-use work.tech_ddr_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_ddr_pkg.all;
+  use work.tech_ddr_component_pkg.all;
 
 entity tech_ddr_arria10_e3sge3 is
   generic (
@@ -99,40 +99,40 @@ begin
     phy_ou.odt(1)  <= '0';
 
     u_ip_arria10_e3sge3_ddr4_4g_2000 : ip_arria10_e3sge3_ddr4_4g_2000
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -156,40 +156,40 @@ begin
     phy_ou.odt(1)  <= '0';
 
     u_ip_arria10_e3sge3_ddr4_4g_1600 : ip_arria10_e3sge3_ddr4_4g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
@@ -209,40 +209,40 @@ begin
   gen_ip_arria10_e3sge3_ddr4_8g_1600 : if g_tech_ddr.name = "DDR4" and c_gigabytes = 8 and g_tech_ddr.mts = 1600 generate
 
     u_ip_arria10_e3sge3_ddr4_8g_1600 : ip_arria10_e3sge3_ddr4_8g_1600
-    port map (
-      amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
-      amm_read_0          => ctlr_mosi.rd,  -- .read
-      amm_write_0         => ctlr_mosi.wr,  -- .write
-      amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      amm_byteenable_0    => (others => '1'),  -- .byteenable
-      amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
-      emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
-      emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
-      global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
-      mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-   sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
-      mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-   sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
-   sl(mem_par)            => phy_ou.par,  -- .mem_par
-      mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
-      mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
-      oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
-      pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
-      local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
-      local_cal_fail      => local_cal_fail  -- .local_cal_fail
-    );
+      port map (
+        amm_ready_0         => ctlr_miso.waitrequest_n,  -- ctrl_amm_avalon_slave_0.waitrequest_n
+        amm_read_0          => ctlr_mosi.rd,  -- .read
+        amm_write_0         => ctlr_mosi.wr,  -- .write
+        amm_address_0       => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        amm_readdata_0      => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        amm_writedata_0     => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        amm_burstcount_0    => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        amm_byteenable_0    => (others => '1'),  -- .byteenable
+        amm_readdatavalid_0 => ctlr_miso.rdval,  -- .readdatavalid
+        emif_usr_clk        => i_ctlr_gen_clk,  -- emif_usr_clk_clock_source.clk
+        emif_usr_reset_n    => ctlr_gen_rst_n,  -- emif_usr_reset_reset_source.reset_n
+        global_reset_n      => ref_rst_n,  -- global_reset_reset_sink.reset_n
+        mem_ck              => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n            => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a               => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        sl(mem_act_n)          => phy_ou.act_n,  -- .mem_act_n
+        mem_ba              => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg              => phy_ou.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke             => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n            => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt             => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        sl(mem_reset_n)        => phy_ou.reset_n,  -- .mem_reset_n
+        sl(mem_par)            => phy_ou.par,  -- .mem_par
+        mem_alert_n         => slv(phy_in.alert_n),  -- .mem_alert_n
+        mem_dqs             => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n           => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq              => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n           => phy_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0),  -- .mem_dbi_n
+        oct_rzqin           => phy_in.oct_rzqin,  -- oct_conduit_end.oct_rzqin
+        pll_ref_clk         => ref_clk,  -- pll_ref_clk_clock_sink.clk
+        local_cal_success   => local_cal_success,  -- status_conduit_end.local_cal_success
+        local_cal_fail      => local_cal_fail  -- .local_cal_fail
+      );
 
     -- Signals in DDR3 that are not available with DDR4:
     --
diff --git a/libraries/technology/ddr/tech_ddr_component_pkg.vhd b/libraries/technology/ddr/tech_ddr_component_pkg.vhd
index db101fb47e..1e3665b258 100644
--- a/libraries/technology/ddr/tech_ddr_component_pkg.vhd
+++ b/libraries/technology/ddr/tech_ddr_component_pkg.vhd
@@ -23,7 +23,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_ddr_component_pkg is
 
@@ -33,260 +33,260 @@ package tech_ddr_component_pkg is
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_800_master.v
   component ip_stratixiv_ddr3_uphy_4g_800_master is
-  port (
-    pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             : in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    : out   std_logic;  -- afi_clk.clk
-    afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
-    afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
-    mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
-    mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  : out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  : out   std_logic;  -- .mem_cas_n
-    mem_we_n                   : out   std_logic;  -- .mem_we_n
-    mem_reset_n                : out   std_logic;  -- .mem_reset_n
-    mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    avl_ready                  : out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
-    avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
-    avl_rdata_valid            : out   std_logic;  -- .readdatavalid
-    avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               : in    std_logic;  -- .read
-    avl_write_req              : in    std_logic;  -- .write
-    avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            : out   std_logic;  -- status.local_init_done
-    local_cal_success          : out   std_logic;  -- .local_cal_success
-    local_cal_fail             : out   std_logic;  -- .local_cal_fail
-    oct_rdn                    : in    std_logic;  -- oct.rdn
-    oct_rup                    : in    std_logic;  -- .rup
-    seriesterminationcontrol   : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              : out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 : out   std_logic;  -- .pll_locked
-    pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             : out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n             : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                    : out   std_logic;  -- afi_clk.clk
+      afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
+      afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
+      mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
+      mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                  : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                  : out   std_logic;  -- .mem_cas_n
+      mem_we_n                   : out   std_logic;  -- .mem_we_n
+      mem_reset_n                : out   std_logic;  -- .mem_reset_n
+      mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      avl_ready                  : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
+      avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
+      avl_rdata_valid            : out   std_logic;  -- .readdatavalid
+      avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req               : in    std_logic;  -- .read
+      avl_write_req              : in    std_logic;  -- .write
+      avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done            : out   std_logic;  -- status.local_init_done
+      local_cal_success          : out   std_logic;  -- .local_cal_success
+      local_cal_fail             : out   std_logic;  -- .local_cal_fail
+      oct_rdn                    : in    std_logic;  -- oct.rdn
+      oct_rup                    : in    std_logic;  -- .rup
+      seriesterminationcontrol   : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk              : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                 : out   std_logic;  -- .pll_locked
+      pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk             : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_800_slave.v
   -- . diff with master is that only master has oct_* inputs and that the *terminationcontrol are inputs for the slave
   component ip_stratixiv_ddr3_uphy_4g_800_slave is
-  port (
-    pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             : in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    : out   std_logic;  -- afi_clk.clk
-    afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
-    afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
-    mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
-    mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  : out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  : out   std_logic;  -- .mem_cas_n
-    mem_we_n                   : out   std_logic;  -- .mem_we_n
-    mem_reset_n                : out   std_logic;  -- .mem_reset_n
-    mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    avl_ready                  : out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
-    avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
-    avl_rdata_valid            : out   std_logic;  -- .readdatavalid
-    avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               : in    std_logic;  -- .read
-    avl_write_req              : in    std_logic;  -- .write
-    avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            : out   std_logic;  -- status.local_init_done
-    local_cal_success          : out   std_logic;  -- .local_cal_success
-    local_cal_fail             : out   std_logic;  -- .local_cal_fail
-    seriesterminationcontrol   : in    std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol : in    std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              : out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 : out   std_logic;  -- .pll_locked
-    pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             : out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n             : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                    : out   std_logic;  -- afi_clk.clk
+      afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
+      afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
+      mem_a                      : out   std_logic_vector(14 downto 0);  -- memory.mem_a
+      mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                  : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                  : out   std_logic;  -- .mem_cas_n
+      mem_we_n                   : out   std_logic;  -- .mem_we_n
+      mem_reset_n                : out   std_logic;  -- .mem_reset_n
+      mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      avl_ready                  : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
+      avl_addr                   : in    std_logic_vector(26 downto 0);  -- .address
+      avl_rdata_valid            : out   std_logic;  -- .readdatavalid
+      avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req               : in    std_logic;  -- .read
+      avl_write_req              : in    std_logic;  -- .write
+      avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done            : out   std_logic;  -- status.local_init_done
+      local_cal_success          : out   std_logic;  -- .local_cal_success
+      local_cal_fail             : out   std_logic;  -- .local_cal_fail
+      seriesterminationcontrol   : in    std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol : in    std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk              : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                 : out   std_logic;  -- .pll_locked
+      pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk             : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.v
   component ip_stratixiv_ddr3_uphy_4g_single_rank_800_master is
-  port (
-    pll_ref_clk                	: in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             	: in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               	: in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    	: out   std_logic;  -- afi_clk.clk
-    afi_half_clk               	: out   std_logic;  -- afi_half_clk.clk
-    afi_reset_n                	: out   std_logic;  -- afi_reset.reset_n
-    mem_a                      	: out   std_logic_vector(15 downto 0);  -- memory.mem_a
-    mem_ba                     	: out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     	: out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   	: out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    	: out   std_logic;  -- .mem_cke
-    mem_cs_n                   	: out   std_logic;  -- .mem_cs_n
-    mem_dm                     	: out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  	: out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  	: out   std_logic;  -- .mem_cas_n
-    mem_we_n                   	: out   std_logic;  -- .mem_we_n
-    mem_reset_n                	: out   std_logic;  -- .mem_reset_n
-    mem_dq                     	: inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    	: inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  	: inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    	: out   std_logic;  -- .mem_odt
-    avl_ready                  	: out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             	: in    std_logic;  -- .beginbursttransfer
-    avl_addr                   	: in    std_logic_vector(26 downto 0);  -- .address
-    avl_rdata_valid            	: out   std_logic;  -- .readdatavalid
-    avl_rdata                  	: out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  	: in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     	: in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               	: in    std_logic;  -- .read
-    avl_write_req              	: in    std_logic;  -- .write
-    avl_size                   	: in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            	: out   std_logic;  -- status.local_init_done
-    local_cal_success          	: out   std_logic;  -- .local_cal_success
-    local_cal_fail             	: out   std_logic;  -- .local_cal_fail
-    oct_rdn                    	: in    std_logic;  -- oct.rdn
-    oct_rup                    	: in    std_logic;  -- .rup
-    seriesterminationcontrol   	: out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol 	: out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                	: out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              	: out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  	: out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           	: out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 	: out   std_logic;  -- .pll_locked
-    pll_avl_clk                	: out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             	: out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              	: out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                  : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n               : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n                 : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                      : out   std_logic;  -- afi_clk.clk
+      afi_half_clk                 : out   std_logic;  -- afi_half_clk.clk
+      afi_reset_n                  : out   std_logic;  -- afi_reset.reset_n
+      mem_a                        : out   std_logic_vector(15 downto 0);  -- memory.mem_a
+      mem_ba                       : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                       : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                     : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                      : out   std_logic;  -- .mem_cke
+      mem_cs_n                     : out   std_logic;  -- .mem_cs_n
+      mem_dm                       : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                    : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                    : out   std_logic;  -- .mem_cas_n
+      mem_we_n                     : out   std_logic;  -- .mem_we_n
+      mem_reset_n                  : out   std_logic;  -- .mem_reset_n
+      mem_dq                       : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                      : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                      : out   std_logic;  -- .mem_odt
+      avl_ready                    : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin               : in    std_logic;  -- .beginbursttransfer
+      avl_addr                     : in    std_logic_vector(26 downto 0);  -- .address
+      avl_rdata_valid              : out   std_logic;  -- .readdatavalid
+      avl_rdata                    : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                    : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                       : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req                 : in    std_logic;  -- .read
+      avl_write_req                : in    std_logic;  -- .write
+      avl_size                     : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done              : out   std_logic;  -- status.local_init_done
+      local_cal_success            : out   std_logic;  -- .local_cal_success
+      local_cal_fail               : out   std_logic;  -- .local_cal_fail
+      oct_rdn                      : in    std_logic;  -- oct.rdn
+      oct_rup                      : in    std_logic;  -- .rup
+      seriesterminationcontrol     : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol   : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                  : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk                : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk    : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk             : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                   : out   std_logic;  -- .pll_locked
+      pll_avl_clk                  : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk               : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl                : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave.v
   -- . diff with master is that only master has oct_* inputs and that the *terminationcontrol are inputs for the slave
   component ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave is
-  port (
-    pll_ref_clk                	: in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             	: in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               	: in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    	: out   std_logic;  -- afi_clk_in.clk
-    afi_half_clk               	: out   std_logic;  -- afi_half_clk_in.clk
-    afi_reset_n                	: out   std_logic;  -- afi_reset_in.reset_n
-    mem_a                      	: out   std_logic_vector(15 downto 0);  -- memory.mem_a
-    mem_ba                     	: out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     	: out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   	: out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    	: out   std_logic;  -- .mem_cke
-    mem_cs_n                   	: out   std_logic;  -- .mem_cs_n
-    mem_dm                     	: out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  	: out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  	: out   std_logic;  -- .mem_cas_n
-    mem_we_n                   	: out   std_logic;  -- .mem_we_n
-    mem_reset_n                	: out   std_logic;  -- .mem_reset_n
-    mem_dq                     	: inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    	: inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  	: inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    	: out   std_logic;  -- .mem_odt
-    avl_ready                  	: out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             	: in    std_logic;  -- .beginbursttransfer
-    avl_addr                   	: in    std_logic_vector(26 downto 0);  -- .address
-    avl_rdata_valid            	: out   std_logic;  -- .readdatavalid
-    avl_rdata                  	: out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  	: in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     	: in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               	: in    std_logic;  -- .read
-    avl_write_req              	: in    std_logic;  -- .write
-    avl_size                   	: in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            	: out   std_logic;  -- status.local_init_done
-    local_cal_success          	: out   std_logic;  -- .local_cal_success
-    local_cal_fail             	: out   std_logic;  -- .local_cal_fail
-    seriesterminationcontrol   	: in    std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol 	: in    std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                	: out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              	: out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  	: out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           	: out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 	: out   std_logic;  -- .pll_locked
-    pll_avl_clk                	: out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             	: out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              	: out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                  : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n               : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n                 : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                      : out   std_logic;  -- afi_clk_in.clk
+      afi_half_clk                 : out   std_logic;  -- afi_half_clk_in.clk
+      afi_reset_n                  : out   std_logic;  -- afi_reset_in.reset_n
+      mem_a                        : out   std_logic_vector(15 downto 0);  -- memory.mem_a
+      mem_ba                       : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                       : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                     : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                      : out   std_logic;  -- .mem_cke
+      mem_cs_n                     : out   std_logic;  -- .mem_cs_n
+      mem_dm                       : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                    : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                    : out   std_logic;  -- .mem_cas_n
+      mem_we_n                     : out   std_logic;  -- .mem_we_n
+      mem_reset_n                  : out   std_logic;  -- .mem_reset_n
+      mem_dq                       : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                      : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                      : out   std_logic;  -- .mem_odt
+      avl_ready                    : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin               : in    std_logic;  -- .beginbursttransfer
+      avl_addr                     : in    std_logic_vector(26 downto 0);  -- .address
+      avl_rdata_valid              : out   std_logic;  -- .readdatavalid
+      avl_rdata                    : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                    : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                       : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req                 : in    std_logic;  -- .read
+      avl_write_req                : in    std_logic;  -- .write
+      avl_size                     : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done              : out   std_logic;  -- status.local_init_done
+      local_cal_success            : out   std_logic;  -- .local_cal_success
+      local_cal_fail               : out   std_logic;  -- .local_cal_fail
+      seriesterminationcontrol     : in    std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol   : in    std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                  : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk                : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk    : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk             : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                   : out   std_logic;  -- .pll_locked
+      pll_avl_clk                  : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk               : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl                : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
   -- Manually derived VHDL entity from Verilog module $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_16g_dual_rank_800.v
   component ip_stratixiv_ddr3_uphy_16g_dual_rank_800 is
-  port (
-    pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
-    global_reset_n             : in    std_logic;  -- global_reset.reset_n
-    soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
-    afi_clk                    : out   std_logic;  -- afi_clk.clk
-    afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
-    afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
-    mem_a                      : out   std_logic_vector(15 downto 0);  -- memory.mem_a
-    mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
-    mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
-    mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
-    mem_ras_n                  : out   std_logic;  -- .mem_ras_n
-    mem_cas_n                  : out   std_logic;  -- .mem_cas_n
-    mem_we_n                   : out   std_logic;  -- .mem_we_n
-    mem_reset_n                : out   std_logic;  -- .mem_reset_n
-    mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
-    mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
-    mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
-    mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    avl_ready                  : out   std_logic;  -- avl.waitrequest_n
-    avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
-    avl_addr                   : in    std_logic_vector(28 downto 0);  -- .address
-    avl_rdata_valid            : out   std_logic;  -- .readdatavalid
-    avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
-    avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
-    avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
-    avl_read_req               : in    std_logic;  -- .read
-    avl_write_req              : in    std_logic;  -- .write
-    avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
-    local_init_done            : out   std_logic;  -- status.local_init_done
-    local_cal_success          : out   std_logic;  -- .local_cal_success
-    local_cal_fail             : out   std_logic;  -- .local_cal_fail
-    oct_rdn                    : in    std_logic;  -- oct.rdn
-    oct_rup                    : in    std_logic;  -- .rup
-    seriesterminationcontrol   : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
-    parallelterminationcontrol : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
-    pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
-    pll_write_clk              : out   std_logic;  -- .pll_write_clk
-    pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
-    pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
-    pll_locked                 : out   std_logic;  -- .pll_locked
-    pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
-    pll_config_clk             : out   std_logic;  -- .pll_config_clk
-    dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
-  );
+    port (
+      pll_ref_clk                : in    std_logic;  -- pll_ref_clk.clk
+      global_reset_n             : in    std_logic;  -- global_reset.reset_n
+      soft_reset_n               : in    std_logic;  -- soft_reset.reset_n
+      afi_clk                    : out   std_logic;  -- afi_clk.clk
+      afi_half_clk               : out   std_logic;  -- afi_half_clk.clk
+      afi_reset_n                : out   std_logic;  -- afi_reset.reset_n
+      mem_a                      : out   std_logic_vector(15 downto 0);  -- memory.mem_a
+      mem_ba                     : out   std_logic_vector(2 downto 0);  -- .mem_ba
+      mem_ck                     : out   std_logic_vector(1 downto 0);  -- .mem_ck
+      mem_ck_n                   : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_cke                    : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n                   : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_dm                     : out   std_logic_vector(7 downto 0);  -- .mem_dm
+      mem_ras_n                  : out   std_logic;  -- .mem_ras_n
+      mem_cas_n                  : out   std_logic;  -- .mem_cas_n
+      mem_we_n                   : out   std_logic;  -- .mem_we_n
+      mem_reset_n                : out   std_logic;  -- .mem_reset_n
+      mem_dq                     : inout std_logic_vector(63 downto 0);  -- .mem_dq
+      mem_dqs                    : inout std_logic_vector(7 downto 0);  -- .mem_dqs
+      mem_dqs_n                  : inout std_logic_vector(7 downto 0);  -- .mem_dqs_n
+      mem_odt                    : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      avl_ready                  : out   std_logic;  -- avl.waitrequest_n
+      avl_burstbegin             : in    std_logic;  -- .beginbursttransfer
+      avl_addr                   : in    std_logic_vector(28 downto 0);  -- .address
+      avl_rdata_valid            : out   std_logic;  -- .readdatavalid
+      avl_rdata                  : out   std_logic_vector(255 downto 0);  -- .readdata
+      avl_wdata                  : in    std_logic_vector(255 downto 0);  -- .writedata
+      avl_be                     : in    std_logic_vector(31 downto 0);  -- .byteenable
+      avl_read_req               : in    std_logic;  -- .read
+      avl_write_req              : in    std_logic;  -- .write
+      avl_size                   : in    std_logic_vector(6 downto 0);  -- .burstcount
+      local_init_done            : out   std_logic;  -- status.local_init_done
+      local_cal_success          : out   std_logic;  -- .local_cal_success
+      local_cal_fail             : out   std_logic;  -- .local_cal_fail
+      oct_rdn                    : in    std_logic;  -- oct.rdn
+      oct_rup                    : in    std_logic;  -- .rup
+      seriesterminationcontrol   : out   std_logic_vector(13 downto 0);  -- oct_sharing.seriesterminationcontrol
+      parallelterminationcontrol : out   std_logic_vector(13 downto 0);  -- .parallelterminationcontrol
+      pll_mem_clk                : out   std_logic;  -- pll_sharing.pll_mem_clk
+      pll_write_clk              : out   std_logic;  -- .pll_write_clk
+      pll_write_clk_pre_phy_clk  : out   std_logic;  -- .pll_write_clk_pre_phy_clk
+      pll_addr_cmd_clk           : out   std_logic;  -- .pll_addr_cmd_clk
+      pll_locked                 : out   std_logic;  -- .pll_locked
+      pll_avl_clk                : out   std_logic;  -- .pll_avl_clk
+      pll_config_clk             : out   std_logic;  -- .pll_config_clk
+      dll_delayctrl              : out   std_logic_vector(5 downto 0)  -- dll_sharing.dll_delayctrl
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -295,78 +295,78 @@ package tech_ddr_component_pkg is
 
   -- Manually derived VHDL entity from VHDL file $HDL_BUILD_DIR/sim/ip_arria10_ddr4_4g_1600.vhd
   component ip_arria10_ddr4_4g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   -- Manually derived VHDL entity from VHDL file $HDL_BUILD_DIR/sim/ip_arria10_ddr4_4g_2000.vhd
   component ip_arria10_ddr4_4g_2000 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -375,116 +375,116 @@ package tech_ddr_component_pkg is
 
   -- Manually derived VHDL entity from VHDL file $HDL_BUILD_DIR/sim/ip_arria10_e3sge3_ddr4_4g_1600.vhd
   component ip_arria10_e3sge3_ddr4_4g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   -- Dual rank version of ip_arria10_e3sge3_ddr4_4g_1600.vhd
   component ip_arria10_e3sge3_ddr4_8g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   -- Manually derived VHDL entity from VHDL file $HDL_BUILD_DIR/sim/ip_arria10_e3sge3_ddr4_4g_2000.vhd
   component ip_arria10_e3sge3_ddr4_4g_2000 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -493,302 +493,302 @@ package tech_ddr_component_pkg is
 
   -- Manually derived VHDL entity from VHDL file $HDL_BUILD_DIR/sim/ip_arria10_e1sg_ddr4_4g_1600.vhd
   component ip_arria10_e1sg_ddr4_4g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   -- Dual rank version of ip_arria10_e1sg_ddr4_4g_1600.vhd
   component ip_arria10_e1sg_ddr4_8g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   component ip_arria10_e1sg_ddr4_16g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(27 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(27 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   -- Manually derived VHDL entity from VHDL file $HDL_BUILD_DIR/sim/ip_arria10_e1sg_ddr4_4g_2000.vhd
   component ip_arria10_e1sg_ddr4_4g_2000 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(25 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   -- Dual rank version for e2sg
   component ip_arria10_e2sg_ddr4_8g_1600 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   component ip_arria10_e2sg_ddr4_8g_2400 is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(26 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(1 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(1 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(1 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(1 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(1 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   component ip_arria10_e2sg_ddr4_16g_1600_64b is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(27 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(511 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(511 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(63 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(7 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(7 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(63 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(7 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(27 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(511 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(511 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(63 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(7 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(7 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(63 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(7 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
   component ip_arria10_e2sg_ddr4_16g_1600_72b is
-  port (
-    amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
-    amm_read_0          : in    std_logic                      := '0';  -- .read
-    amm_write_0         : in    std_logic                      := '0';  -- .write
-    amm_address_0       : in    std_logic_vector(27 downto 0)  := (others => '0');  -- .address
-    amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
-    amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
-    amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
-    amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
-    amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
-    emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
-    emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
-    global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
-    mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
-    mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
-    mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
-    mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
-    mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
-    mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
-    mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
-    mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
-    mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
-    mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
-    mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
-    mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
-    mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
-    mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
-    mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
-    mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
-    oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
-    pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
-    local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
-    local_cal_fail      : out   std_logic  -- .local_cal_fail
-  );
+    port (
+      amm_ready_0         : out   std_logic;  -- ctrl_amm_avalon_slave_0.waitrequest_n
+      amm_read_0          : in    std_logic                      := '0';  -- .read
+      amm_write_0         : in    std_logic                      := '0';  -- .write
+      amm_address_0       : in    std_logic_vector(27 downto 0)  := (others => '0');  -- .address
+      amm_readdata_0      : out   std_logic_vector(575 downto 0);  -- .readdata
+      amm_writedata_0     : in    std_logic_vector(575 downto 0) := (others => '0');  -- .writedata
+      amm_burstcount_0    : in    std_logic_vector(6 downto 0)   := (others => '0');  -- .burstcount
+      amm_byteenable_0    : in    std_logic_vector(71 downto 0)  := (others => '0');  -- .byteenable
+      amm_readdatavalid_0 : out   std_logic;  -- .readdatavalid
+      emif_usr_clk        : out   std_logic;  -- emif_usr_clk_clock_source.clk
+      emif_usr_reset_n    : out   std_logic;  -- emif_usr_reset_reset_source.reset_n
+      global_reset_n      : in    std_logic                      := '0';  -- global_reset_reset_sink.reset_n
+      mem_ck              : out   std_logic_vector(0 downto 0);  -- mem_conduit_end.mem_ck
+      mem_ck_n            : out   std_logic_vector(0 downto 0);  -- .mem_ck_n
+      mem_a               : out   std_logic_vector(16 downto 0);  -- .mem_a
+      mem_act_n           : out   std_logic_vector(0 downto 0);  -- .mem_act_n
+      mem_ba              : out   std_logic_vector(1 downto 0);  -- .mem_ba
+      mem_bg              : out   std_logic_vector(1 downto 0);  -- .mem_bg
+      mem_cke             : out   std_logic_vector(0 downto 0);  -- .mem_cke
+      mem_cs_n            : out   std_logic_vector(0 downto 0);  -- .mem_cs_n
+      mem_odt             : out   std_logic_vector(0 downto 0);  -- .mem_odt
+      mem_reset_n         : out   std_logic_vector(0 downto 0);  -- .mem_reset_n
+      mem_par             : out   std_logic_vector(0 downto 0);  -- .mem_par
+      mem_alert_n         : in    std_logic_vector(0 downto 0)   := (others => '0');  -- .mem_alert_n
+      mem_dqs             : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs
+      mem_dqs_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dqs_n
+      mem_dq              : inout std_logic_vector(71 downto 0)  := (others => '0');  -- .mem_dq
+      mem_dbi_n           : inout std_logic_vector(8 downto 0)   := (others => '0');  -- .mem_dbi_n
+      oct_rzqin           : in    std_logic                      := '0';  -- oct_conduit_end.oct_rzqin
+      pll_ref_clk         : in    std_logic                      := '0';  -- pll_ref_clk_clock_sink.clk
+      local_cal_success   : out   std_logic;  -- status_conduit_end.local_cal_success
+      local_cal_fail      : out   std_logic  -- .local_cal_fail
+    );
   end component;
 
 end tech_ddr_component_pkg;
diff --git a/libraries/technology/ddr/tech_ddr_mem_model.vhd b/libraries/technology/ddr/tech_ddr_mem_model.vhd
index 379aca836f..9ad84c6774 100644
--- a/libraries/technology/ddr/tech_ddr_mem_model.vhd
+++ b/libraries/technology/ddr/tech_ddr_mem_model.vhd
@@ -35,10 +35,10 @@ library ed_sim_altera_emif_mem_model_core_ddr4_141;
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use work.tech_ddr_pkg.all;
-use work.tech_ddr_mem_model_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use work.tech_ddr_pkg.all;
+  use work.tech_ddr_mem_model_component_pkg.all;
 
 entity tech_ddr_memory_model is
   generic (
@@ -68,72 +68,72 @@ begin
 
   gen_ip_stratixiv_ddr_memory_model : if g_tech_ddr.name = "DDR3" generate
     u_ip_stratixiv_ddr_memory_model : alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en
-    generic map (
-      MEM_IF_CLK_EN_WIDTH          => g_tech_ddr.cke_w,
-      MEM_IF_CK_WIDTH              => g_tech_ddr.ck_w,
-      MEM_IF_BANKADDR_WIDTH        => g_tech_ddr.ba_w,
-      MEM_IF_ADDR_WIDTH            => g_tech_ddr.a_w,
-      MEM_IF_ROW_ADDR_WIDTH        => g_tech_ddr.a_row_w,
-      MEM_IF_COL_ADDR_WIDTH        => g_tech_ddr.a_col_w,
-      MEM_IF_CS_WIDTH              => g_tech_ddr.cs_w,
-      MEM_IF_CONTROL_WIDTH         => 1,  -- cas_n, ras_n, we_n
-      MEM_IF_ODT_WIDTH             => g_tech_ddr.odt_w,
-      DEVICE_DEPTH                 => 1,
-      DEVICE_WIDTH                 => 1,
-      MEM_IF_CS_PER_RANK           => 1,
-      MEM_IF_DQS_WIDTH             => g_tech_ddr.dqs_w,
-      MEM_IF_DQ_WIDTH              => g_tech_ddr.dq_w,
-      MEM_MIRROR_ADDRESSING_DEC    => 0,
-      MEM_TRTP                     => 8,
-      MEM_TRCD                     => 6,
-      MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
-      MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
-      MEM_REGDIMM_ENABLED          => 0,
-      MEM_INIT_EN                  => 0,
-      MEM_INIT_FILE                => "",
-      MEM_GUARANTEED_WRITE_INIT    => 0,
-      DAT_DATA_WIDTH               => 32,
-      MEM_VERBOSE                  => 1
-    )
-    port map (
-      mem_a       => mem3_in.a(g_tech_ddr.a_w - 1 downto 0),  -- MEM_IF_ADDR_WIDTH
-      mem_ba      => mem3_in.ba(g_tech_ddr.ba_w - 1 downto 0),  -- MEM_IF_BANKADDR_WIDTH
-      mem_ck      => mem3_in.ck(g_tech_ddr.ck_w - 1 downto 0),  -- MEM_IF_CK_WIDTH
-      mem_ck_n    => mem3_in.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- MEM_IF_CK_WIDTH
-      mem_cke     => mem3_in.cke(g_tech_ddr.cke_w - 1 downto 0),  -- MEM_IF_CLK_EN_WIDTH
-      mem_cs_n    => mem3_in.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- MEM_IF_CS_WIDTH
-      mem_ras_n   => slv(mem3_in.ras_n),  -- MEM_IF_CONTROL_WIDTH
-      mem_cas_n   => slv(mem3_in.cas_n),  -- MEM_IF_CONTROL_WIDTH
-      mem_we_n    => slv(mem3_in.we_n),  -- MEM_IF_CONTROL_WIDTH
-      mem_reset_n => mem3_in.reset_n,
-      mem_dm      => mem3_in.dm(g_tech_ddr.dqs_w - 1 downto 0),  -- MEM_IF_DQS_WIDTH
-      mem_dq      => mem3_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- MEM_IF_DQ_WIDTH
-      mem_dqs     => mem3_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- MEM_IF_DQS_WIDTH
-      mem_dqs_n   => mem3_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- MEM_IF_DQS_WIDTH
-      mem_odt     => mem3_in.odt(g_tech_ddr.odt_w - 1 downto 0)  -- MEM_IF_ODT_WIDTH
-    );
+      generic map (
+        MEM_IF_CLK_EN_WIDTH          => g_tech_ddr.cke_w,
+        MEM_IF_CK_WIDTH              => g_tech_ddr.ck_w,
+        MEM_IF_BANKADDR_WIDTH        => g_tech_ddr.ba_w,
+        MEM_IF_ADDR_WIDTH            => g_tech_ddr.a_w,
+        MEM_IF_ROW_ADDR_WIDTH        => g_tech_ddr.a_row_w,
+        MEM_IF_COL_ADDR_WIDTH        => g_tech_ddr.a_col_w,
+        MEM_IF_CS_WIDTH              => g_tech_ddr.cs_w,
+        MEM_IF_CONTROL_WIDTH         => 1,  -- cas_n, ras_n, we_n
+        MEM_IF_ODT_WIDTH             => g_tech_ddr.odt_w,
+        DEVICE_DEPTH                 => 1,
+        DEVICE_WIDTH                 => 1,
+        MEM_IF_CS_PER_RANK           => 1,
+        MEM_IF_DQS_WIDTH             => g_tech_ddr.dqs_w,
+        MEM_IF_DQ_WIDTH              => g_tech_ddr.dq_w,
+        MEM_MIRROR_ADDRESSING_DEC    => 0,
+        MEM_TRTP                     => 8,
+        MEM_TRCD                     => 6,
+        MEM_DQS_TO_CLK_CAPTURE_DELAY => 100,
+        MEM_CLK_TO_DQS_CAPTURE_DELAY => 100000,
+        MEM_REGDIMM_ENABLED          => 0,
+        MEM_INIT_EN                  => 0,
+        MEM_INIT_FILE                => "",
+        MEM_GUARANTEED_WRITE_INIT    => 0,
+        DAT_DATA_WIDTH               => 32,
+        MEM_VERBOSE                  => 1
+      )
+      port map (
+        mem_a       => mem3_in.a(g_tech_ddr.a_w - 1 downto 0),  -- MEM_IF_ADDR_WIDTH
+        mem_ba      => mem3_in.ba(g_tech_ddr.ba_w - 1 downto 0),  -- MEM_IF_BANKADDR_WIDTH
+        mem_ck      => mem3_in.ck(g_tech_ddr.ck_w - 1 downto 0),  -- MEM_IF_CK_WIDTH
+        mem_ck_n    => mem3_in.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- MEM_IF_CK_WIDTH
+        mem_cke     => mem3_in.cke(g_tech_ddr.cke_w - 1 downto 0),  -- MEM_IF_CLK_EN_WIDTH
+        mem_cs_n    => mem3_in.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- MEM_IF_CS_WIDTH
+        mem_ras_n   => slv(mem3_in.ras_n),  -- MEM_IF_CONTROL_WIDTH
+        mem_cas_n   => slv(mem3_in.cas_n),  -- MEM_IF_CONTROL_WIDTH
+        mem_we_n    => slv(mem3_in.we_n),  -- MEM_IF_CONTROL_WIDTH
+        mem_reset_n => mem3_in.reset_n,
+        mem_dm      => mem3_in.dm(g_tech_ddr.dqs_w - 1 downto 0),  -- MEM_IF_DQS_WIDTH
+        mem_dq      => mem3_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- MEM_IF_DQ_WIDTH
+        mem_dqs     => mem3_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- MEM_IF_DQS_WIDTH
+        mem_dqs_n   => mem3_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- MEM_IF_DQS_WIDTH
+        mem_odt     => mem3_in.odt(g_tech_ddr.odt_w - 1 downto 0)  -- MEM_IF_ODT_WIDTH
+      );
   end generate;
 
   gen_ip_arria10_ddr_memory_model : if g_tech_ddr.name = "DDR4" and c_gigabytes = 4 generate
     u_ip_arria10_ddr_memory_model : ed_sim_altera_emif_mem_model_141_z3tvrmq
-    port map (
-      mem_ck       => mem4_in.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
-      mem_ck_n     => mem4_in.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_a        => mem4_in.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
-      mem_act_n    => slv(mem4_in.act_n),  -- .mem_act_n
-      mem_ba       => mem4_in.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_bg       => mem4_in.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
-      mem_cke      => mem4_in.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n     => mem4_in.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_odt      => mem4_in.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-      mem_reset_n  => slv(mem4_in.reset_n),  -- .mem_reset_n
-      mem_par      => slv(mem4_in.par),  -- .mem_par
-   sl(mem_alert_n) => mem4_ou.alert_n,  -- .mem_alert_n
-      mem_dqs      => mem4_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n    => mem4_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_dq       => mem4_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dbi_n    => mem4_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0)  -- .mem_dbi_n
-    );
+      port map (
+        mem_ck       => mem4_in.ck(g_tech_ddr.ck_w - 1 downto 0),  -- mem_conduit_end.mem_ck
+        mem_ck_n     => mem4_in.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_a        => mem4_in.a(g_tech_ddr.a_w - 1 downto 0),  -- .mem_a
+        mem_act_n    => slv(mem4_in.act_n),  -- .mem_act_n
+        mem_ba       => mem4_in.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_bg       => mem4_in.bg(g_tech_ddr.bg_w - 1 downto 0),  -- .mem_bg
+        mem_cke      => mem4_in.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n     => mem4_in.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_odt      => mem4_in.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        mem_reset_n  => slv(mem4_in.reset_n),  -- .mem_reset_n
+        mem_par      => slv(mem4_in.par),  -- .mem_par
+        sl(mem_alert_n) => mem4_ou.alert_n,  -- .mem_alert_n
+        mem_dqs      => mem4_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n    => mem4_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_dq       => mem4_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dbi_n    => mem4_io.dbi_n(g_tech_ddr.dbi_w - 1 downto 0)  -- .mem_dbi_n
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ddr/tech_ddr_mem_model_component_pkg.vhd b/libraries/technology/ddr/tech_ddr_mem_model_component_pkg.vhd
index 7e537fc95f..b568a381a3 100644
--- a/libraries/technology/ddr/tech_ddr_mem_model_component_pkg.vhd
+++ b/libraries/technology/ddr/tech_ddr_mem_model_component_pkg.vhd
@@ -23,7 +23,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_ddr_mem_model_component_pkg is
 
@@ -35,50 +35,50 @@ package tech_ddr_mem_model_component_pkg is
   -- $HDL_BUILD_DIR/ip_stratixiv_ddr3_uphy_4g_800_master_example_design/simulation/vhdl/submodules/
 
   component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en is
-  generic (
-    MEM_IF_CLK_EN_WIDTH          : integer := 1;
-    MEM_IF_CK_WIDTH              : integer := 1;
-    MEM_IF_BANKADDR_WIDTH        : integer := 3;
-    MEM_IF_ADDR_WIDTH            : integer := 15;
-    MEM_IF_ROW_ADDR_WIDTH        : integer := 15;
-    MEM_IF_COL_ADDR_WIDTH        : integer := 10;
-    MEM_IF_CS_WIDTH              : integer := 1;
-    MEM_IF_CONTROL_WIDTH         : integer := 1;
-    MEM_IF_ODT_WIDTH             : integer := 1;
-    DEVICE_DEPTH                 : integer := 1;
-    DEVICE_WIDTH                 : integer := 1;
-    MEM_IF_CS_PER_RANK           : integer := 1;
-    MEM_IF_DQS_WIDTH             : integer := 1;
-    MEM_IF_DQ_WIDTH              : integer := 8;
-    MEM_MIRROR_ADDRESSING_DEC    : integer := 0;
-    MEM_TRTP                     : integer := 8;
-    MEM_TRCD                     : integer := 8;
-    MEM_DQS_TO_CLK_CAPTURE_DELAY : integer := 100;
-    MEM_CLK_TO_DQS_CAPTURE_DELAY : integer := 100000;
-    MEM_REGDIMM_ENABLED          : integer := 0;
-    MEM_INIT_EN                  : integer := 0;
-    MEM_INIT_FILE                : string := "";
-    MEM_GUARANTEED_WRITE_INIT    : integer := 0;
-    DAT_DATA_WIDTH               : integer := 32;
-    MEM_VERBOSE                  : integer := 1
-  );
-  port (
-    mem_a      : in    std_logic_vector(MEM_IF_ADDR_WIDTH - 1 downto 0)    := (others => 'X');
-    mem_ba     : in    std_logic_vector(MEM_IF_BANKADDR_WIDTH - 1 downto 0) := (others => 'X');
-    mem_ck     : in    std_logic_vector(MEM_IF_CK_WIDTH - 1 downto 0)      := (others => 'X');
-    mem_ck_n   : in    std_logic_vector(MEM_IF_CK_WIDTH - 1 downto 0)      := (others => 'X');
-    mem_cke    : in    std_logic_vector(MEM_IF_CLK_EN_WIDTH - 1 downto 0)  := (others => 'X');
-    mem_cs_n   : in    std_logic_vector(MEM_IF_CS_WIDTH - 1 downto 0)      := (others => 'X');
-    mem_ras_n  : in    std_logic_vector(MEM_IF_CONTROL_WIDTH - 1 downto 0) := (others => 'X');
-    mem_cas_n  : in    std_logic_vector(MEM_IF_CONTROL_WIDTH - 1 downto 0) := (others => 'X');
-    mem_we_n   : in    std_logic_vector(MEM_IF_CONTROL_WIDTH - 1 downto 0) := (others => 'X');
-    mem_reset_n: in    std_logic                                         := 'X';
-    mem_dm     : in    std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0)     := (others => 'X');
-    mem_dq     : inout std_logic_vector(MEM_IF_DQ_WIDTH - 1 downto 0)      := (others => 'X');
-    mem_dqs    : inout std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0)     := (others => 'X');
-    mem_dqs_n  : inout std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0)     := (others => 'X');
-    mem_odt    : in    std_logic_vector(MEM_IF_ODT_WIDTH - 1 downto 0)     := (others => 'X')
-  );
+    generic (
+      MEM_IF_CLK_EN_WIDTH          : integer := 1;
+      MEM_IF_CK_WIDTH              : integer := 1;
+      MEM_IF_BANKADDR_WIDTH        : integer := 3;
+      MEM_IF_ADDR_WIDTH            : integer := 15;
+      MEM_IF_ROW_ADDR_WIDTH        : integer := 15;
+      MEM_IF_COL_ADDR_WIDTH        : integer := 10;
+      MEM_IF_CS_WIDTH              : integer := 1;
+      MEM_IF_CONTROL_WIDTH         : integer := 1;
+      MEM_IF_ODT_WIDTH             : integer := 1;
+      DEVICE_DEPTH                 : integer := 1;
+      DEVICE_WIDTH                 : integer := 1;
+      MEM_IF_CS_PER_RANK           : integer := 1;
+      MEM_IF_DQS_WIDTH             : integer := 1;
+      MEM_IF_DQ_WIDTH              : integer := 8;
+      MEM_MIRROR_ADDRESSING_DEC    : integer := 0;
+      MEM_TRTP                     : integer := 8;
+      MEM_TRCD                     : integer := 8;
+      MEM_DQS_TO_CLK_CAPTURE_DELAY : integer := 100;
+      MEM_CLK_TO_DQS_CAPTURE_DELAY : integer := 100000;
+      MEM_REGDIMM_ENABLED          : integer := 0;
+      MEM_INIT_EN                  : integer := 0;
+      MEM_INIT_FILE                : string := "";
+      MEM_GUARANTEED_WRITE_INIT    : integer := 0;
+      DAT_DATA_WIDTH               : integer := 32;
+      MEM_VERBOSE                  : integer := 1
+    );
+    port (
+      mem_a      : in    std_logic_vector(MEM_IF_ADDR_WIDTH - 1 downto 0)    := (others => 'X');
+      mem_ba     : in    std_logic_vector(MEM_IF_BANKADDR_WIDTH - 1 downto 0) := (others => 'X');
+      mem_ck     : in    std_logic_vector(MEM_IF_CK_WIDTH - 1 downto 0)      := (others => 'X');
+      mem_ck_n   : in    std_logic_vector(MEM_IF_CK_WIDTH - 1 downto 0)      := (others => 'X');
+      mem_cke    : in    std_logic_vector(MEM_IF_CLK_EN_WIDTH - 1 downto 0)  := (others => 'X');
+      mem_cs_n   : in    std_logic_vector(MEM_IF_CS_WIDTH - 1 downto 0)      := (others => 'X');
+      mem_ras_n  : in    std_logic_vector(MEM_IF_CONTROL_WIDTH - 1 downto 0) := (others => 'X');
+      mem_cas_n  : in    std_logic_vector(MEM_IF_CONTROL_WIDTH - 1 downto 0) := (others => 'X');
+      mem_we_n   : in    std_logic_vector(MEM_IF_CONTROL_WIDTH - 1 downto 0) := (others => 'X');
+      mem_reset_n: in    std_logic                                         := 'X';
+      mem_dm     : in    std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0)     := (others => 'X');
+      mem_dq     : inout std_logic_vector(MEM_IF_DQ_WIDTH - 1 downto 0)      := (others => 'X');
+      mem_dqs    : inout std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0)     := (others => 'X');
+      mem_dqs_n  : inout std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0)     := (others => 'X');
+      mem_odt    : in    std_logic_vector(MEM_IF_ODT_WIDTH - 1 downto 0)     := (others => 'X')
+    );
   end component alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en;
 
   ------------------------------------------------------------------------------
@@ -88,24 +88,24 @@ package tech_ddr_mem_model_component_pkg is
   -- Manually derived VHDL entity from ed_sim_altera_emif_mem_model_141_z3tvrmq.vhd in:
   -- $HDL_WORK/libraries/technology/ip_arria10/ddr4_4g_1600/emif_0_example_design/sim/altera_emif_mem_model_141/sim
   component ed_sim_altera_emif_mem_model_141_z3tvrmq is
-	port (
-		mem_ck      : in    std_logic_vector(0 downto 0)  := (others => '0');  -- mem_conduit_end.mem_ck
-		mem_ck_n    : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_ck_n
-		mem_a       : in    std_logic_vector(16 downto 0) := (others => '0');  -- .mem_a
-		mem_act_n   : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_act_n
-		mem_ba      : in    std_logic_vector(1 downto 0)  := (others => '0');  -- .mem_ba
-		mem_bg      : in    std_logic_vector(1 downto 0)  := (others => '0');  -- .mem_bg
-		mem_cke     : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_cke
-		mem_cs_n    : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_cs_n
-		mem_odt     : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_odt
-		mem_reset_n : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_reset_n
-		mem_par     : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_par
-		mem_alert_n : out   std_logic_vector(0 downto 0);  -- .mem_alert_n
-		mem_dqs     : inout std_logic_vector(8 downto 0)  := (others => '0');  -- .mem_dqs
-		mem_dqs_n   : inout std_logic_vector(8 downto 0)  := (others => '0');  -- .mem_dqs_n
-		mem_dq      : inout std_logic_vector(71 downto 0) := (others => '0');  -- .mem_dq
-		mem_dbi_n   : inout std_logic_vector(8 downto 0)  := (others => '0')  -- .mem_dbi_n
-	);
+    port (
+      mem_ck      : in    std_logic_vector(0 downto 0)  := (others => '0');  -- mem_conduit_end.mem_ck
+      mem_ck_n    : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_ck_n
+      mem_a       : in    std_logic_vector(16 downto 0) := (others => '0');  -- .mem_a
+      mem_act_n   : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_act_n
+      mem_ba      : in    std_logic_vector(1 downto 0)  := (others => '0');  -- .mem_ba
+      mem_bg      : in    std_logic_vector(1 downto 0)  := (others => '0');  -- .mem_bg
+      mem_cke     : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_cke
+      mem_cs_n    : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_cs_n
+      mem_odt     : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_odt
+      mem_reset_n : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_reset_n
+      mem_par     : in    std_logic_vector(0 downto 0)  := (others => '0');  -- .mem_par
+      mem_alert_n : out   std_logic_vector(0 downto 0);  -- .mem_alert_n
+      mem_dqs     : inout std_logic_vector(8 downto 0)  := (others => '0');  -- .mem_dqs
+      mem_dqs_n   : inout std_logic_vector(8 downto 0)  := (others => '0');  -- .mem_dqs_n
+      mem_dq      : inout std_logic_vector(71 downto 0) := (others => '0');  -- .mem_dq
+      mem_dbi_n   : inout std_logic_vector(8 downto 0)  := (others => '0')  -- .mem_dbi_n
+    );
   end component ed_sim_altera_emif_mem_model_141_z3tvrmq;
 
 
diff --git a/libraries/technology/ddr/tech_ddr_pkg.vhd b/libraries/technology/ddr/tech_ddr_pkg.vhd
index bf49e25c72..3aa1289e5e 100644
--- a/libraries/technology/ddr/tech_ddr_pkg.vhd
+++ b/libraries/technology/ddr/tech_ddr_pkg.vhd
@@ -21,9 +21,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_ddr_pkg is
 
@@ -60,23 +60,23 @@ package tech_ddr_pkg is
     mem_dq_w                          : natural;  -- = 64 dq connected to the memory module, can be = dq_w or 64 whenn dq_w = 72
   end record;
 
-  function func_tech_sel_ddr(g_technology : natural; g_ddr3, g_ddr4 : t_c_tech_ddr) return t_c_tech_ddr;  -- Select DDR3 or DDR4 dependent on the technology
-  function func_tech_sel_ddr(g_sel        : boolean;      a,      b : t_c_tech_ddr) return t_c_tech_ddr;  -- Select DDR dependent on the boolean
+  function func_tech_sel_ddr (g_technology : natural; g_ddr3, g_ddr4 : t_c_tech_ddr) return t_c_tech_ddr;  -- Select DDR3 or DDR4 dependent on the technology
+  function func_tech_sel_ddr (g_sel        : boolean;      a,      b : t_c_tech_ddr) return t_c_tech_ddr;  -- Select DDR dependent on the boolean
 
-  function func_tech_ddr_dq_address_w(  c_ddr : t_c_tech_ddr) return natural;  -- return DDR address width for the DQ data at the PHY mts rate
-  function func_tech_ddr_ctlr_address_w(c_ddr : t_c_tech_ddr) return natural;  -- return DDR address width for the controller data at the by rsl=4 reduced rate
-  function func_tech_ddr_ctlr_data_w(   c_ddr : t_c_tech_ddr) return natural;  -- return DDR    data width for the controller data at the by rsl=4 reduced rate
-  function func_tech_ddr_ctlr_ip_data_w(c_ddr : t_c_tech_ddr) return natural;  -- return DDR    data width for the controller data at the by rsl=4 reduced rate
+  function func_tech_ddr_dq_address_w (  c_ddr : t_c_tech_ddr) return natural;  -- return DDR address width for the DQ data at the PHY mts rate
+  function func_tech_ddr_ctlr_address_w (c_ddr : t_c_tech_ddr) return natural;  -- return DDR address width for the controller data at the by rsl=4 reduced rate
+  function func_tech_ddr_ctlr_data_w (   c_ddr : t_c_tech_ddr) return natural;  -- return DDR    data width for the controller data at the by rsl=4 reduced rate
+  function func_tech_ddr_ctlr_ip_data_w (c_ddr : t_c_tech_ddr) return natural;  -- return DDR    data width for the controller data at the by rsl=4 reduced rate
 
   -- return DDR module size in log2(number of bytes), because 2**nofbytes_w may not fit in 31 bit NATURAL
-  function func_tech_ddr_module_nofbytes_w(c_ddr : t_c_tech_ddr) return natural;
+  function func_tech_ddr_module_nofbytes_w (c_ddr : t_c_tech_ddr) return natural;
   -- return DDR module size in GiBytes when >= 1 GByte which is typical on HW, else
   -- return size as negative value to indicate 2**value fraction of 1GByte which is typical in simulation
-  function func_tech_ddr_module_gigabytes(c_ddr : t_c_tech_ddr) return integer;
+  function func_tech_ddr_module_gigabytes (c_ddr : t_c_tech_ddr) return integer;
 
-  function func_tech_ddr_sim_size(c_ddr : t_c_tech_ddr; sim_ctrl_addr_w : natural) return t_c_tech_ddr;  -- derive sim_ddr from c_ddr (or alternatively use predefined c_tech_ddr*_sim)
-  function func_tech_ddr_rewire_64b_to_72b(c_ddr : t_c_tech_ddr; vec_64b : std_logic_vector) return std_logic_vector;
-  function func_tech_ddr_rewire_72b_to_64b(c_ddr : t_c_tech_ddr; vec_72b : std_logic_vector) return std_logic_vector;
+  function func_tech_ddr_sim_size (c_ddr : t_c_tech_ddr; sim_ctrl_addr_w : natural) return t_c_tech_ddr;  -- derive sim_ddr from c_ddr (or alternatively use predefined c_tech_ddr*_sim)
+  function func_tech_ddr_rewire_64b_to_72b (c_ddr : t_c_tech_ddr; vec_64b : std_logic_vector) return std_logic_vector;
+  function func_tech_ddr_rewire_72b_to_64b (c_ddr : t_c_tech_ddr; vec_72b : std_logic_vector) return std_logic_vector;
 
   --                                                                                                    a   a                               cs cs
   --                                                                 name    mts   master rank      a   row col ba dq  dqs dm dbi bg ck cke w  w_w  odt term rsl rsl_w cqd burst burst_w mem_dq_w
@@ -94,7 +94,7 @@ package tech_ddr_pkg is
   constant c_tech_ddr3_4g_single_rank_800m_master : t_c_tech_ddr := ("DDR3",  800,  true, "SINGLE", 16, 16, 10, 3, 64, 8,  8, 0,  0, 2, 1,  1, 0,   1,  14,  4,  2,    4,  64,   7,      64);
   constant c_tech_ddr3_4g_single_rank_800m_slave  : t_c_tech_ddr := ("DDR3",  800, false, "SINGLE", 16, 16, 10, 3, 64, 8,  8, 0,  0, 2, 1,  1, 0,   1,  14,  4,  2,    4,  64,   7,      64);
 
---CONSTANT c_tech_ddr4_max                        : t_c_tech_ddr := ("none", 1600,  TRUE, "DUAL  ", 17, 15, 10, 2, 72, 9,  0, 9,  2, 1, 1,  1, 0,   1,   0,  8,  3,    8,  64,   7,      72);  -- maximum ranges for record field definitions
+  --CONSTANT c_tech_ddr4_max                        : t_c_tech_ddr := ("none", 1600,  TRUE, "DUAL  ", 17, 15, 10, 2, 72, 9,  0, 9,  2, 1, 1,  1, 0,   1,   0,  8,  3,    8,  64,   7,      72);  -- maximum ranges for record field definitions
   constant c_tech_ddr4_max                        : t_c_tech_ddr := ("none", 1600,  true, "DUAL  ", 17, 15, 10, 2, 72, 9,  0, 9,  2, 2, 2,  2, 1,   2,   0,  8,  3,    8,  64,   7,      72);  -- maximum ranges for record field definitions
 
   -- use predefined c_tech_ddr4_sim or derive it using func_tech_ddr_sim_size()
@@ -205,7 +205,7 @@ end tech_ddr_pkg;
 
 package body tech_ddr_pkg is
 
-  function func_tech_sel_ddr(g_technology : natural; g_ddr3, g_ddr4 : t_c_tech_ddr) return t_c_tech_ddr is
+  function func_tech_sel_ddr (g_technology : natural; g_ddr3, g_ddr4 : t_c_tech_ddr) return t_c_tech_ddr is
   begin
     case g_technology is
       when c_tech_stratixiv        => return g_ddr3;  -- unb1
@@ -217,34 +217,34 @@ package body tech_ddr_pkg is
     end case;
   end;
 
-  function func_tech_sel_ddr(g_sel : boolean; a, b : t_c_tech_ddr) return t_c_tech_ddr is
+  function func_tech_sel_ddr (g_sel : boolean; a, b : t_c_tech_ddr) return t_c_tech_ddr is
   begin
     if g_sel = true then return a; else return b; end if;
   end;
 
-  function func_tech_ddr_dq_address_w(c_ddr : t_c_tech_ddr) return natural is
+  function func_tech_ddr_dq_address_w (c_ddr : t_c_tech_ddr) return natural is
   begin
     if c_ddr.name = "DDR3" then return c_ddr.cs_w_w + c_ddr.ba_w + c_ddr.a_row_w + c_ddr.a_col_w;              end if;  -- PHY address
     if c_ddr.name = "DDR4" then return c_ddr.cs_w_w + c_ddr.ba_w + c_ddr.a_row_w + c_ddr.a_col_w + c_ddr.bg_w; end if;  -- PHY address
   end;
 
-  function func_tech_ddr_ctlr_address_w(c_ddr : t_c_tech_ddr) return natural is
+  function func_tech_ddr_ctlr_address_w (c_ddr : t_c_tech_ddr) return natural is
     constant c_dq_address_w : natural := func_tech_ddr_dq_address_w(c_ddr);
   begin
     return c_dq_address_w - c_ddr.rsl_w;  -- CTLR address
   end;
 
-  function func_tech_ddr_ctlr_data_w(c_ddr : t_c_tech_ddr) return natural is
+  function func_tech_ddr_ctlr_data_w (c_ddr : t_c_tech_ddr) return natural is
   begin
     return c_ddr.mem_dq_w * c_ddr.rsl;  -- CTLR data
   end;
 
-  function func_tech_ddr_ctlr_ip_data_w(c_ddr : t_c_tech_ddr) return natural is
+  function func_tech_ddr_ctlr_ip_data_w (c_ddr : t_c_tech_ddr) return natural is
   begin
     return c_ddr.dq_w * c_ddr.rsl;  -- CTLR data
   end;
 
-  function func_tech_ddr_sim_size(c_ddr : t_c_tech_ddr; sim_ctrl_addr_w : natural) return t_c_tech_ddr is
+  function func_tech_ddr_sim_size (c_ddr : t_c_tech_ddr; sim_ctrl_addr_w : natural) return t_c_tech_ddr is
     variable v_ddr         : t_c_tech_ddr := c_ddr;
     variable v_ctrl_addr_w : natural;
   begin
@@ -257,7 +257,7 @@ package body tech_ddr_pkg is
     return v_ddr;
   end;
 
-  function func_tech_ddr_module_nofbytes_w(c_ddr : t_c_tech_ddr) return natural is
+  function func_tech_ddr_module_nofbytes_w (c_ddr : t_c_tech_ddr) return natural is
     constant c_dq_address_w       : natural := func_tech_ddr_dq_address_w(c_ddr);
     constant c_dq_nof_bytes       : natural := 8;  -- both dw_q = 64 and 72 are regarded as having 8 bytes (either with 8 or 9 bits per byte)
     constant c_dq_nof_bytes_w     : natural := ceil_log2(c_dq_nof_bytes);
@@ -266,7 +266,7 @@ package body tech_ddr_pkg is
     return c_module_nof_bytes_w;
   end;
 
-  function func_tech_ddr_module_gigabytes(c_ddr : t_c_tech_ddr) return integer is
+  function func_tech_ddr_module_gigabytes (c_ddr : t_c_tech_ddr) return integer is
     constant c_module_nof_bytes_w : natural := func_tech_ddr_module_nofbytes_w(c_ddr);
     constant c_1GB_w              : natural := 30;
   begin
@@ -279,7 +279,7 @@ package body tech_ddr_pkg is
     end if;
   end;
 
-  function func_tech_ddr_rewire_64b_to_72b(c_ddr : t_c_tech_ddr; vec_64b : std_logic_vector) return std_logic_vector is
+  function func_tech_ddr_rewire_64b_to_72b (c_ddr : t_c_tech_ddr; vec_64b : std_logic_vector) return std_logic_vector is
     variable vec_72b : std_logic_vector(func_tech_ddr_ctlr_ip_data_w(c_ddr) - 1 downto 0) := (others => '0');
   begin
     for w in 0 to c_ddr.rsl - 1 loop
@@ -288,7 +288,7 @@ package body tech_ddr_pkg is
     return vec_72b;
   end;
 
-  function func_tech_ddr_rewire_72b_to_64b(c_ddr : t_c_tech_ddr; vec_72b : std_logic_vector) return std_logic_vector is
+  function func_tech_ddr_rewire_72b_to_64b (c_ddr : t_c_tech_ddr; vec_72b : std_logic_vector) return std_logic_vector is
     variable vec_64b : std_logic_vector(func_tech_ddr_ctlr_data_w(c_ddr) - 1 downto 0) := (others => '0');
   begin
     for w in 0 to c_ddr.rsl - 1 loop
diff --git a/libraries/technology/ddr/tech_ddr_stratixiv.vhd b/libraries/technology/ddr/tech_ddr_stratixiv.vhd
index 679ece6572..c0457c3fe6 100644
--- a/libraries/technology/ddr/tech_ddr_stratixiv.vhd
+++ b/libraries/technology/ddr/tech_ddr_stratixiv.vhd
@@ -38,11 +38,11 @@ library ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib;
 library ip_stratixiv_ddr3_uphy_16g_dual_rank_800_lib;
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_ddr_pkg.all;
-use work.tech_ddr_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_ddr_pkg.all;
+  use work.tech_ddr_component_pkg.all;
 
 entity tech_ddr_stratixiv is
   generic (
@@ -97,268 +97,268 @@ begin
 
   gen_ip_stratixiv_ddr3_uphy_4g_800_master : if g_tech_ddr.name = "DDR3" and c_gigabytes = 4 and g_tech_ddr.mts = 800 and g_tech_ddr.master = true and g_tech_ddr.rank = "DUAL  " generate
     u_ip_stratixiv_ddr3_uphy_4g_800_master : ip_stratixiv_ddr3_uphy_4g_800_master
-    port map (
-      pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
-      global_reset_n             => ref_rst_n,  -- global_reset.reset_n
-      soft_reset_n               => '1',  -- soft_reset.reset_n
-      afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
-      afi_half_clk               => OPEN,  -- afi_half_clk.clk
-      afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
-      mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
-      mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
-      mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_cke                    => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n                   => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
-      mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
-      mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
-      mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
-      mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
-      mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_odt                    => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-      avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
-      avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
-      avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
-      avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      avl_be                     => (others => '1'),  -- .byteenable
-      avl_read_req               => ctlr_mosi.rd,  -- .read
-      avl_write_req              => ctlr_mosi.wr,  -- .write
-      avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      local_init_done            => ctlr_miso.done,  -- status.local_init_done
-      local_cal_success          => ctlr_miso.cal_ok,  -- .local_cal_success
-      local_cal_fail             => ctlr_miso.cal_fail,  -- .local_cal_fail
-      oct_rdn                    => phy_in.oct_rdn,  -- oct.rdn
-      oct_rup                    => phy_in.oct_rup,  -- .rup
-      seriesterminationcontrol   => term_ctrl_out.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
-      parallelterminationcontrol => term_ctrl_out.parallelterminationcontrol,  -- .parallelterminationcontrol
-      pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
-      pll_write_clk              => OPEN,  -- .pll_write_clk
-      pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
-      pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
-      pll_locked                 => OPEN,  -- .pll_locked
-      pll_avl_clk                => OPEN,  -- .pll_avl_clk
-      pll_config_clk             => OPEN,  -- .pll_config_clk
-      dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
-    );
+      port map (
+        pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
+        global_reset_n             => ref_rst_n,  -- global_reset.reset_n
+        soft_reset_n               => '1',  -- soft_reset.reset_n
+        afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
+        afi_half_clk               => OPEN,  -- afi_half_clk.clk
+        afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
+        mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
+        mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
+        mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_cke                    => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n                   => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
+        mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
+        mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
+        mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
+        mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
+        mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_odt                    => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
+        avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
+        avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
+        avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        avl_be                     => (others => '1'),  -- .byteenable
+        avl_read_req               => ctlr_mosi.rd,  -- .read
+        avl_write_req              => ctlr_mosi.wr,  -- .write
+        avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        local_init_done            => ctlr_miso.done,  -- status.local_init_done
+        local_cal_success          => ctlr_miso.cal_ok,  -- .local_cal_success
+        local_cal_fail             => ctlr_miso.cal_fail,  -- .local_cal_fail
+        oct_rdn                    => phy_in.oct_rdn,  -- oct.rdn
+        oct_rup                    => phy_in.oct_rup,  -- .rup
+        seriesterminationcontrol   => term_ctrl_out.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
+        parallelterminationcontrol => term_ctrl_out.parallelterminationcontrol,  -- .parallelterminationcontrol
+        pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
+        pll_write_clk              => OPEN,  -- .pll_write_clk
+        pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
+        pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
+        pll_locked                 => OPEN,  -- .pll_locked
+        pll_avl_clk                => OPEN,  -- .pll_avl_clk
+        pll_config_clk             => OPEN,  -- .pll_config_clk
+        dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
+      );
   end generate;
 
   gen_ip_stratixiv_ddr3_uphy_4g_800_slave : if g_tech_ddr.name = "DDR3" and c_gigabytes = 4 and g_tech_ddr.mts = 800 and g_tech_ddr.master = false and g_tech_ddr.rank = "DUAL  " generate
     u_ip_stratixiv_ddr3_uphy_4g_800_slave : ip_stratixiv_ddr3_uphy_4g_800_slave
-    port map (
-      pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
-      global_reset_n             => ref_rst_n,  -- global_reset.reset_n
-      soft_reset_n               => '1',  -- soft_reset.reset_n
-      afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
-      afi_half_clk               => OPEN,  -- afi_half_clk.clk
-      afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
-      mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
-      mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
-      mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_cke                    => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n                   => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
-      mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
-      mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
-      mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
-      mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
-      mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_odt                    => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-      avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
-      avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
-      avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
-      avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      avl_be                     => (others => '1'),  -- .byteenable
-      avl_read_req               => ctlr_mosi.rd,  -- .read
-      avl_write_req              => ctlr_mosi.wr,  -- .write
-      avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      local_init_done            => ctlr_miso.done,  -- status.local_init_done
-      local_cal_success          => OPEN,  -- .local_cal_success
-      local_cal_fail             => OPEN,  -- .local_cal_fail
-      seriesterminationcontrol   => term_ctrl_in.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
-      parallelterminationcontrol => term_ctrl_in.parallelterminationcontrol,  -- .parallelterminationcontrol
-      pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
-      pll_write_clk              => OPEN,  -- .pll_write_clk
-      pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
-      pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
-      pll_locked                 => OPEN,  -- .pll_locked
-      pll_avl_clk                => OPEN,  -- .pll_avl_clk
-      pll_config_clk             => OPEN,  -- .pll_config_clk
-      dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
-    );
+      port map (
+        pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
+        global_reset_n             => ref_rst_n,  -- global_reset.reset_n
+        soft_reset_n               => '1',  -- soft_reset.reset_n
+        afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
+        afi_half_clk               => OPEN,  -- afi_half_clk.clk
+        afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
+        mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
+        mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
+        mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_cke                    => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n                   => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
+        mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
+        mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
+        mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
+        mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
+        mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_odt                    => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
+        avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
+        avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
+        avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        avl_be                     => (others => '1'),  -- .byteenable
+        avl_read_req               => ctlr_mosi.rd,  -- .read
+        avl_write_req              => ctlr_mosi.wr,  -- .write
+        avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        local_init_done            => ctlr_miso.done,  -- status.local_init_done
+        local_cal_success          => OPEN,  -- .local_cal_success
+        local_cal_fail             => OPEN,  -- .local_cal_fail
+        seriesterminationcontrol   => term_ctrl_in.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
+        parallelterminationcontrol => term_ctrl_in.parallelterminationcontrol,  -- .parallelterminationcontrol
+        pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
+        pll_write_clk              => OPEN,  -- .pll_write_clk
+        pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
+        pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
+        pll_locked                 => OPEN,  -- .pll_locked
+        pll_avl_clk                => OPEN,  -- .pll_avl_clk
+        pll_config_clk             => OPEN,  -- .pll_config_clk
+        dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
+      );
   end generate;
 
   gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master : if g_tech_ddr.name = "DDR3" and c_gigabytes = 4 and g_tech_ddr.mts = 800 and g_tech_ddr.master = true and g_tech_ddr.rank = "SINGLE" generate
     u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master : ip_stratixiv_ddr3_uphy_4g_single_rank_800_master
-    port map (
-      pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
-      global_reset_n             => ref_rst_n,  -- global_reset.reset_n
-      soft_reset_n               => '1',  -- soft_reset.reset_n
-      afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
-      afi_half_clk               => OPEN,  -- afi_half_clk.clk
-      afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
-      mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
-      mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
-      mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_cke                    => i_mem_cke,  -- .mem_cke
-      mem_cs_n                   => i_mem_cs_n,  -- .mem_cs_n
-      mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
-      mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
-      mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
-      mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
-      mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
-      mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_odt                    => i_mem_odt,  -- .mem_odt
-      avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
-      avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
-      avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
-      avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      avl_be                     => (others => '1'),  -- .byteenable
-      avl_read_req               => ctlr_mosi.rd,  -- .read
-      avl_write_req              => ctlr_mosi.wr,  -- .write
-      avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      local_init_done            => ctlr_miso.done,  -- status.local_init_done
-      local_cal_success          => ctlr_miso.cal_ok,  -- .local_cal_success
-      local_cal_fail             => ctlr_miso.cal_fail,  -- .local_cal_fail
-      oct_rdn                    => phy_in.oct_rdn,  -- oct.rdn
-      oct_rup                    => phy_in.oct_rup,  -- .rup
-      seriesterminationcontrol   => term_ctrl_out.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
-      parallelterminationcontrol => term_ctrl_out.parallelterminationcontrol,  -- .parallelterminationcontrol
-      pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
-      pll_write_clk              => OPEN,  -- .pll_write_clk
-      pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
-      pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
-      pll_locked                 => OPEN,  -- .pll_locked
-      pll_avl_clk                => OPEN,  -- .pll_avl_clk
-      pll_config_clk             => OPEN,  -- .pll_config_clk
-      dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
-    );
-
-  phy_ou.cke(0)  <= i_mem_cke;
-  phy_ou.cs_n(0) <= i_mem_cs_n;
-  phy_ou.odt(0)  <= i_mem_odt;
+      port map (
+        pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
+        global_reset_n             => ref_rst_n,  -- global_reset.reset_n
+        soft_reset_n               => '1',  -- soft_reset.reset_n
+        afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
+        afi_half_clk               => OPEN,  -- afi_half_clk.clk
+        afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
+        mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
+        mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
+        mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_cke                    => i_mem_cke,  -- .mem_cke
+        mem_cs_n                   => i_mem_cs_n,  -- .mem_cs_n
+        mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
+        mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
+        mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
+        mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
+        mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
+        mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_odt                    => i_mem_odt,  -- .mem_odt
+        avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
+        avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
+        avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
+        avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        avl_be                     => (others => '1'),  -- .byteenable
+        avl_read_req               => ctlr_mosi.rd,  -- .read
+        avl_write_req              => ctlr_mosi.wr,  -- .write
+        avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        local_init_done            => ctlr_miso.done,  -- status.local_init_done
+        local_cal_success          => ctlr_miso.cal_ok,  -- .local_cal_success
+        local_cal_fail             => ctlr_miso.cal_fail,  -- .local_cal_fail
+        oct_rdn                    => phy_in.oct_rdn,  -- oct.rdn
+        oct_rup                    => phy_in.oct_rup,  -- .rup
+        seriesterminationcontrol   => term_ctrl_out.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
+        parallelterminationcontrol => term_ctrl_out.parallelterminationcontrol,  -- .parallelterminationcontrol
+        pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
+        pll_write_clk              => OPEN,  -- .pll_write_clk
+        pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
+        pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
+        pll_locked                 => OPEN,  -- .pll_locked
+        pll_avl_clk                => OPEN,  -- .pll_avl_clk
+        pll_config_clk             => OPEN,  -- .pll_config_clk
+        dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
+      );
+
+    phy_ou.cke(0)  <= i_mem_cke;
+    phy_ou.cs_n(0) <= i_mem_cs_n;
+    phy_ou.odt(0)  <= i_mem_odt;
 
   end generate;
 
   gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave : if g_tech_ddr.name = "DDR3" and c_gigabytes = 4 and g_tech_ddr.mts = 800 and g_tech_ddr.master = false and g_tech_ddr.rank = "SINGLE" generate
     u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave : ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave
-    port map (
-      pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
-      global_reset_n             => ref_rst_n,  -- global_reset.reset_n
-      soft_reset_n               => '1',  -- soft_reset.reset_n
-      afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
-      afi_half_clk               => OPEN,  -- afi_half_clk.clk
-      afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
-      mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
-      mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
-      mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_cke                    => i_mem_cke,  -- .mem_cke
-      mem_cs_n                   => i_mem_cs_n,  -- .mem_cs_n
-      mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
-      mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
-      mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
-      mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
-      mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
-      mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_odt                    => i_mem_odt,  -- .mem_odt
-      avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
-      avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
-      avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
-      avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      avl_be                     => (others => '1'),  -- .byteenable
-      avl_read_req               => ctlr_mosi.rd,  -- .read
-      avl_write_req              => ctlr_mosi.wr,  -- .write
-      avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      local_init_done            => ctlr_miso.done,  -- status.local_init_done
-      local_cal_success          => OPEN,  -- .local_cal_success
-      local_cal_fail             => OPEN,  -- .local_cal_fail
-      seriesterminationcontrol   => term_ctrl_in.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
-      parallelterminationcontrol => term_ctrl_in.parallelterminationcontrol,  -- .parallelterminationcontrol
-      pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
-      pll_write_clk              => OPEN,  -- .pll_write_clk
-      pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
-      pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
-      pll_locked                 => OPEN,  -- .pll_locked
-      pll_avl_clk                => OPEN,  -- .pll_avl_clk
-      pll_config_clk             => OPEN,  -- .pll_config_clk
-      dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
-    );
-
-  phy_ou.cke(0)  <= i_mem_cke;
-  phy_ou.cs_n(0) <= i_mem_cs_n;
-  phy_ou.odt(0)  <= i_mem_odt;
+      port map (
+        pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
+        global_reset_n             => ref_rst_n,  -- global_reset.reset_n
+        soft_reset_n               => '1',  -- soft_reset.reset_n
+        afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
+        afi_half_clk               => OPEN,  -- afi_half_clk.clk
+        afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
+        mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
+        mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
+        mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_cke                    => i_mem_cke,  -- .mem_cke
+        mem_cs_n                   => i_mem_cs_n,  -- .mem_cs_n
+        mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
+        mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
+        mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
+        mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
+        mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
+        mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_odt                    => i_mem_odt,  -- .mem_odt
+        avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
+        avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
+        avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
+        avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        avl_be                     => (others => '1'),  -- .byteenable
+        avl_read_req               => ctlr_mosi.rd,  -- .read
+        avl_write_req              => ctlr_mosi.wr,  -- .write
+        avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        local_init_done            => ctlr_miso.done,  -- status.local_init_done
+        local_cal_success          => OPEN,  -- .local_cal_success
+        local_cal_fail             => OPEN,  -- .local_cal_fail
+        seriesterminationcontrol   => term_ctrl_in.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
+        parallelterminationcontrol => term_ctrl_in.parallelterminationcontrol,  -- .parallelterminationcontrol
+        pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
+        pll_write_clk              => OPEN,  -- .pll_write_clk
+        pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
+        pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
+        pll_locked                 => OPEN,  -- .pll_locked
+        pll_avl_clk                => OPEN,  -- .pll_avl_clk
+        pll_config_clk             => OPEN,  -- .pll_config_clk
+        dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
+      );
+
+    phy_ou.cke(0)  <= i_mem_cke;
+    phy_ou.cs_n(0) <= i_mem_cs_n;
+    phy_ou.odt(0)  <= i_mem_odt;
 
   end generate;
 
   gen_ip_stratixiv_ddr3_uphy_16g_dual_rank_800 : if g_tech_ddr.name = "DDR3" and c_gigabytes = 16 and g_tech_ddr.mts = 800 and g_tech_ddr.master = true and g_tech_ddr.rank = "DUAL  " generate
     u_ip_stratixiv_ddr3_uphy_16g_dual_rank_800 : ip_stratixiv_ddr3_uphy_16g_dual_rank_800
-    port map (
-      pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
-      global_reset_n             => ref_rst_n,  -- global_reset.reset_n
-      soft_reset_n               => '1',  -- soft_reset.reset_n
-      afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
-      afi_half_clk               => OPEN,  -- afi_half_clk.clk
-      afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
-      mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
-      mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
-      mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
-      mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
-      mem_cke                    => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
-      mem_cs_n                   => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
-      mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
-      mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
-      mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
-      mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
-      mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
-      mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
-      mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
-      mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
-      mem_odt                    => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
-      avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
-      avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
-      avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
-      avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
-      avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
-      avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
-      avl_be                     => (others => '1'),  -- .byteenable
-      avl_read_req               => ctlr_mosi.rd,  -- .read
-      avl_write_req              => ctlr_mosi.wr,  -- .write
-      avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
-      local_init_done            => ctlr_miso.done,  -- status.local_init_done
-      local_cal_success          => ctlr_miso.cal_ok,  -- .local_cal_success
-      local_cal_fail             => ctlr_miso.cal_fail,  -- .local_cal_fail
-      oct_rdn                    => phy_in.oct_rdn,  -- oct.rdn
-      oct_rup                    => phy_in.oct_rup,  -- .rup
-      seriesterminationcontrol   => term_ctrl_out.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
-      parallelterminationcontrol => term_ctrl_out.parallelterminationcontrol,  -- .parallelterminationcontrol
-      pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
-      pll_write_clk              => OPEN,  -- .pll_write_clk
-      pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
-      pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
-      pll_locked                 => OPEN,  -- .pll_locked
-      pll_avl_clk                => OPEN,  -- .pll_avl_clk
-      pll_config_clk             => OPEN,  -- .pll_config_clk
-      dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
-    );
+      port map (
+        pll_ref_clk                => ref_clk,  -- pll_ref_clk.clk
+        global_reset_n             => ref_rst_n,  -- global_reset.reset_n
+        soft_reset_n               => '1',  -- soft_reset.reset_n
+        afi_clk                    => ctlr_gen_clk,  -- afi_clk.clk
+        afi_half_clk               => OPEN,  -- afi_half_clk.clk
+        afi_reset_n                => ctlr_gen_rst_n,  -- afi_reset.reset_n
+        mem_a                      => phy_ou.a(g_tech_ddr.a_w - 1 downto 0),  -- memory.mem_a
+        mem_ba                     => phy_ou.ba(g_tech_ddr.ba_w - 1 downto 0),  -- .mem_ba
+        mem_ck                     => phy_ou.ck(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck
+        mem_ck_n                   => phy_ou.ck_n(g_tech_ddr.ck_w - 1 downto 0),  -- .mem_ck_n
+        mem_cke                    => phy_ou.cke(g_tech_ddr.cke_w - 1 downto 0),  -- .mem_cke
+        mem_cs_n                   => phy_ou.cs_n(g_tech_ddr.cs_w - 1 downto 0),  -- .mem_cs_n
+        mem_dm                     => phy_ou.dm(g_tech_ddr.dm_w - 1 downto 0),  -- .mem_dm
+        mem_ras_n                  => phy_ou.ras_n,  -- .mem_ras_n
+        mem_cas_n                  => phy_ou.cas_n,  -- .mem_cas_n
+        mem_we_n                   => phy_ou.we_n,  -- .mem_we_n
+        mem_reset_n                => phy_ou.reset_n,  -- .mem_reset_n
+        mem_dq                     => phy_io.dq(g_tech_ddr.dq_w - 1 downto 0),  -- .mem_dq
+        mem_dqs                    => phy_io.dqs(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs
+        mem_dqs_n                  => phy_io.dqs_n(g_tech_ddr.dqs_w - 1 downto 0),  -- .mem_dqs_n
+        mem_odt                    => phy_ou.odt(g_tech_ddr.odt_w - 1 downto 0),  -- .mem_odt
+        avl_ready                  => ctlr_miso.waitrequest_n,  -- avl.waitrequest_n
+        avl_burstbegin             => ctlr_mosi.burstbegin,  -- .beginbursttransfer
+        avl_addr                   => ctlr_mosi.address(c_ctlr_address_w - 1 downto 0),  -- .address
+        avl_rdata_valid            => ctlr_miso.rdval,  -- .readdatavalid
+        avl_rdata                  => ctlr_miso.rddata(c_ctlr_data_w - 1 downto 0),  -- .readdata
+        avl_wdata                  => ctlr_mosi.wrdata(c_ctlr_data_w - 1 downto 0),  -- .writedata
+        avl_be                     => (others => '1'),  -- .byteenable
+        avl_read_req               => ctlr_mosi.rd,  -- .read
+        avl_write_req              => ctlr_mosi.wr,  -- .write
+        avl_size                   => ctlr_mosi.burstsize(g_tech_ddr.maxburstsize_w - 1 downto 0),  -- .burstcount
+        local_init_done            => ctlr_miso.done,  -- status.local_init_done
+        local_cal_success          => ctlr_miso.cal_ok,  -- .local_cal_success
+        local_cal_fail             => ctlr_miso.cal_fail,  -- .local_cal_fail
+        oct_rdn                    => phy_in.oct_rdn,  -- oct.rdn
+        oct_rup                    => phy_in.oct_rup,  -- .rup
+        seriesterminationcontrol   => term_ctrl_out.seriesterminationcontrol,  -- oct_sharing.seriesterminationcontrol
+        parallelterminationcontrol => term_ctrl_out.parallelterminationcontrol,  -- .parallelterminationcontrol
+        pll_mem_clk                => i_ctlr_gen_clk_2x,  -- pll_sharing.pll_mem_clk
+        pll_write_clk              => OPEN,  -- .pll_write_clk
+        pll_write_clk_pre_phy_clk  => OPEN,  -- .pll_write_clk_pre_phy_clk
+        pll_addr_cmd_clk           => OPEN,  -- .pll_addr_cmd_clk
+        pll_locked                 => OPEN,  -- .pll_locked
+        pll_avl_clk                => OPEN,  -- .pll_avl_clk
+        pll_config_clk             => OPEN,  -- .pll_config_clk
+        dll_delayctrl              => open  -- dll_sharing.dll_delayctrl
+      );
 
 
 
@@ -367,15 +367,15 @@ begin
   i_ctlr_gen_rst <= not ctlr_gen_rst_n;
 
   u_async_ctlr_gen_rst_2x: entity common_lib.common_async
-  generic map(
-    g_rst_level => '0'
-  )
-  port map(
-    rst  => ref_rst,
-    clk  => i_ctlr_gen_clk_2x,
-    din  => i_ctlr_gen_rst,
-    dout => ctlr_gen_rst_2x
-  );
+    generic map(
+      g_rst_level => '0'
+    )
+    port map(
+      rst  => ref_rst,
+      clk  => i_ctlr_gen_clk_2x,
+      din  => i_ctlr_gen_rst,
+      dout => ctlr_gen_rst_2x
+    );
 
   ctlr_gen_rst    <= i_ctlr_gen_rst;
   ctlr_gen_clk_2x <= i_ctlr_gen_clk_2x;
diff --git a/libraries/technology/eth_10g/tb_tb_tech_eth_10g.vhd b/libraries/technology/eth_10g/tb_tb_tech_eth_10g.vhd
index f8adbe53e8..29e6781c18 100644
--- a/libraries/technology/eth_10g/tb_tb_tech_eth_10g.vhd
+++ b/libraries/technology/eth_10g/tb_tb_tech_eth_10g.vhd
@@ -26,12 +26,12 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_tb_tech_eth_10g is
 end tb_tb_tech_eth_10g;
@@ -49,15 +49,15 @@ architecture tb of tb_tb_tech_eth_10g is
 
 begin
 
--- g_technology              : NATURAL := c_tech_select_default;
--- g_tb_end                  : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
--- g_no_dut                  : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
--- g_sim_level               : NATURAL := 0;      -- 0 = use IP; 1 = use fast serdes model
--- g_ref_clk_644_period      : TIME := tech_pll_clk_644_period;  -- for 10GBASE-R
--- g_ref_clk_156_period      : TIME := 6.4 ns;                   -- for XAUI
--- g_data_type               : NATURAL := c_tb_tech_mac_10g_data_type_symbols;
--- g_verify_link_recovery    : BOOLEAN := TRUE;
--- g_use_serial_rx_in        : BOOLEAN := FALSE   -- default FALSE when this tb is ran standalone, else use TRUE to simulate a link between two instances of this tb
+  -- g_technology              : NATURAL := c_tech_select_default;
+  -- g_tb_end                  : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- g_no_dut                  : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
+  -- g_sim_level               : NATURAL := 0;      -- 0 = use IP; 1 = use fast serdes model
+  -- g_ref_clk_644_period      : TIME := tech_pll_clk_644_period;  -- for 10GBASE-R
+  -- g_ref_clk_156_period      : TIME := 6.4 ns;                   -- for XAUI
+  -- g_data_type               : NATURAL := c_tb_tech_mac_10g_data_type_symbols;
+  -- g_verify_link_recovery    : BOOLEAN := TRUE;
+  -- g_use_serial_rx_in        : BOOLEAN := FALSE   -- default FALSE when this tb is ran standalone, else use TRUE to simulate a link between two instances of this tb
 
   u_no_dut       : entity work.tb_tech_eth_10g generic map (c_tech_select_default, false,  true, 0, c_644, c_156, c_data_type, true, false) port map (tb_end_vec(0));
   u_tech_eth_10g : entity work.tb_tech_eth_10g generic map (c_tech_select_default, false, false, 0, c_644, c_156, c_data_type, true, false) port map (tb_end_vec(1));
diff --git a/libraries/technology/eth_10g/tb_tech_eth_10g.vhd b/libraries/technology/eth_10g/tb_tech_eth_10g.vhd
index 013033493a..96d5f11b88 100644
--- a/libraries/technology/eth_10g/tb_tech_eth_10g.vhd
+++ b/libraries/technology/eth_10g/tb_tech_eth_10g.vhd
@@ -29,19 +29,19 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use tech_mac_10g_lib.tb_tech_mac_10g_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
 
 entity tb_tech_eth_10g is
   -- Test bench control parameters
@@ -79,7 +79,7 @@ architecture tb of tb_tech_eth_10g is
   constant c_phy_loopback       : boolean := not g_use_serial_rx_in;
 
   constant c_pkt_length_arr1    : t_nat_natural_arr := array_init(0, 50, 1) & (1472, 1473) & 9000;  -- frame longer than 1518-46 = 1472 is received with rx_sosi.err = 8
-                                                                                                    -- jumbo frame is 9018-46 = 8972
+  -- jumbo frame is 9018-46 = 8972
   constant c_pkt_length_arr2    : t_nat_natural_arr := array_init(46, 10, 139) & 1472;
   constant c_pkt_length_arr     : t_nat_natural_arr := c_pkt_length_arr1 & c_pkt_length_arr2;
   constant c_nof_pkt1           : natural := c_pkt_length_arr1'length;
@@ -180,36 +180,36 @@ begin
   total_header.eth <= c_eth_header_ethertype;
 
   u_mm_setup : entity tech_mac_10g_lib.tb_tech_mac_10g_setup
-  generic map (
-    g_technology    => g_technology,
-    g_src_mac       => c_src_mac
-  )
-  port map (
-    tb_end    => rx_end,
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
-    mm_init   => mm_init,
-    mac_mosi  => mac_mosi,
-    mac_miso  => mac_miso
-  );
+    generic map (
+      g_technology    => g_technology,
+      g_src_mac       => c_src_mac
+    )
+    port map (
+      tb_end    => rx_end,
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
+      mm_init   => mm_init,
+      mac_mosi  => mac_mosi,
+      mac_miso  => mac_miso
+    );
 
   -- Packet transmitter
   u_transmitter : entity tech_mac_10g_lib.tb_tech_mac_10g_transmitter
-  generic map (
-    g_data_type            => g_data_type,
-    g_pkt_length_arr1      => c_pkt_length_arr1,
-    g_pkt_length_arr2      => c_pkt_length_arr2,
-    g_verify_link_recovery => g_verify_link_recovery
-  )
-  port map (
-    mm_init        => mm_init,
-    total_header   => total_header,
-    tx_clk         => tb_tx_clk,
-    tx_siso        => tx_siso,
-    tx_sosi        => tx_sosi,
-    link_fault     => link_fault,
-    tx_end         => tx_end
-  );
+    generic map (
+      g_data_type            => g_data_type,
+      g_pkt_length_arr1      => c_pkt_length_arr1,
+      g_pkt_length_arr2      => c_pkt_length_arr2,
+      g_verify_link_recovery => g_verify_link_recovery
+    )
+    port map (
+      mm_init        => mm_init,
+      total_header   => total_header,
+      tx_clk         => tb_tx_clk,
+      tx_siso        => tx_siso,
+      tx_sosi        => tx_sosi,
+      link_fault     => link_fault,
+      tx_end         => tx_end
+    );
 
   -- Generate reference clocks
   gen_ref_clocks_xaui : if g_technology = c_tech_stratixiv generate
@@ -223,52 +223,52 @@ begin
     tr_ref_clk_644 <= not tr_ref_clk_644 after g_ref_clk_644_period / 2;
 
     pll : entity tech_pll_lib.tech_pll_xgmii_mac_clocks
-    generic map (
-      g_technology => g_technology
-    )
-    port map (
-      refclk_644 => tr_ref_clk_644,
-      rst_in     => mm_rst,
-      clk_156    => tr_ref_clk_156,
-      clk_312    => tr_ref_clk_312,
-      rst_156    => tr_ref_rst_156,
-      rst_312    => open
-    );
+      generic map (
+        g_technology => g_technology
+      )
+      port map (
+        refclk_644 => tr_ref_clk_644,
+        rst_in     => mm_rst,
+        clk_156    => tr_ref_clk_156,
+        clk_312    => tr_ref_clk_312,
+        rst_156    => tr_ref_rst_156,
+        rst_312    => open
+      );
   end generate;
 
   -- Apply the clocks from top level down such that they have their rising_edge() aligned without any delta-delay
   u_tech_eth_10g_clocks : entity work.tech_eth_10g_clocks
-  generic map (
-    g_technology     => g_technology,
-    g_nof_channels   => c_nof_channels
-  )
-  port map (
-    -- Input clocks
-    -- . Reference
-    tr_ref_clk_644    => tr_ref_clk_644,
-    tr_ref_clk_312    => tr_ref_clk_312,
-    tr_ref_clk_156    => tr_ref_clk_156,
-    tr_ref_rst_156    => tr_ref_rst_156,
-
-    -- . XAUI
-    tx_rst_arr        => tx_rst_arr_out,
-    rx_clk_arr        => rx_clk_arr_out,
-    rx_rst_arr        => rx_rst_arr_out,
-
-    -- Output clocks
-    -- . Reference
-    eth_ref_clk_644   => tb_ref_clk_644,
-    eth_ref_clk_312   => tb_ref_clk_312,
-    eth_ref_clk_156   => tb_ref_clk_156,
-    eth_ref_rst_156   => tb_ref_rst_156,
-
-    -- . Data
-    eth_tx_clk_arr(0) => tb_tx_clk,
-    eth_tx_rst_arr(0) => tb_tx_rst,
-
-    eth_rx_clk_arr(0) => tb_rx_clk,
-    eth_rx_rst_arr(0) => tb_rx_rst
-  );
+    generic map (
+      g_technology     => g_technology,
+      g_nof_channels   => c_nof_channels
+    )
+    port map (
+      -- Input clocks
+      -- . Reference
+      tr_ref_clk_644    => tr_ref_clk_644,
+      tr_ref_clk_312    => tr_ref_clk_312,
+      tr_ref_clk_156    => tr_ref_clk_156,
+      tr_ref_rst_156    => tr_ref_rst_156,
+
+      -- . XAUI
+      tx_rst_arr        => tx_rst_arr_out,
+      rx_clk_arr        => rx_clk_arr_out,
+      rx_rst_arr        => rx_rst_arr_out,
+
+      -- Output clocks
+      -- . Reference
+      eth_ref_clk_644   => tb_ref_clk_644,
+      eth_ref_clk_312   => tb_ref_clk_312,
+      eth_ref_clk_156   => tb_ref_clk_156,
+      eth_ref_rst_156   => tb_ref_rst_156,
+
+      -- . Data
+      eth_tx_clk_arr(0) => tb_tx_clk,
+      eth_tx_rst_arr(0) => tb_tx_rst,
+
+      eth_rx_clk_arr(0) => tb_rx_clk,
+      eth_rx_rst_arr(0) => tb_rx_rst
+    );
 
   no_dut : if g_no_dut = true generate
     tx_rst_arr_out <= (others => tr_ref_rst_156);
@@ -281,128 +281,128 @@ begin
 
   gen_dut : if g_no_dut = false generate
     dut : entity work.tech_eth_10g
+      generic map (
+        g_technology          => g_technology,
+        g_sim                 => c_sim,
+        g_sim_level           => g_sim_level,
+        g_nof_channels        => c_nof_channels,
+        g_pre_header_padding  => true
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644    => tb_ref_clk_644,
+        tr_ref_clk_312    => tb_ref_clk_312,
+        tr_ref_clk_156    => tb_ref_clk_156,
+        tr_ref_rst_156    => tb_ref_rst_156,
+
+        -- Calibration & reconfig clock
+        cal_rec_clk       => cal_clk,  -- for XAUI
+
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+
+        mac_mosi          => mac_mosi,  -- CSR = control status register
+        mac_miso          => mac_miso,
+
+        -- XAUI clocks
+        tx_clk_arr_in(0)  => tb_tx_clk,  -- 156.25 MHz, externally connect tr_ref_clk_156 to tx_clk_arr or connect rx_clk_arr to tx_clk_arr
+        tx_rst_arr_out    => tx_rst_arr_out,
+        rx_clk_arr_out    => rx_clk_arr_out,
+        rx_clk_arr_in(0)  => tb_rx_clk,  -- externally connect to rx_clk_arr_out to avoid clock delta-delay
+        rx_rst_arr_out    => rx_rst_arr_out,
+
+        -- ST
+        tx_snk_in_arr(0)  => tx_sosi,  -- 64 bit data @ 156 tb_tx_clk
+        tx_snk_out_arr(0) => tx_siso,
+
+        rx_src_out_arr(0) => rx_sosi,  -- 64 bit data @ 156 tb_rx_clk
+        rx_src_in_arr(0)  => rx_siso,
+
+        -- PHY serial IO
+        -- . 10GBASE-R (single lane)
+        serial_tx_arr     => serial_tx_arr,
+        serial_rx_arr     => serial_rx_arr,
+
+        -- . XAUI (four lanes)
+        xaui_tx_arr       => xaui_tx_arr,
+        xaui_rx_arr       => xaui_rx_arr
+      );
+  end generate;
+
+  u_link_connect : entity tech_mac_10g_lib.tb_tech_mac_10g_link_connect
     generic map (
-      g_technology          => g_technology,
-      g_sim                 => c_sim,
-      g_sim_level           => g_sim_level,
-      g_nof_channels        => c_nof_channels,
-      g_pre_header_padding  => true
+      g_loopback    => c_phy_loopback,  -- default TRUE for loopback tx to rx, else use FALSE to connect tx-tx, rx-rx between two tb devices
+      g_link_delay  => phy_delay
     )
     port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644    => tb_ref_clk_644,
-      tr_ref_clk_312    => tb_ref_clk_312,
-      tr_ref_clk_156    => tb_ref_clk_156,
-      tr_ref_rst_156    => tb_ref_rst_156,
-
-      -- Calibration & reconfig clock
-      cal_rec_clk       => cal_clk,  -- for XAUI
-
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-
-      mac_mosi          => mac_mosi,  -- CSR = control status register
-      mac_miso          => mac_miso,
-
-      -- XAUI clocks
-      tx_clk_arr_in(0)  => tb_tx_clk,  -- 156.25 MHz, externally connect tr_ref_clk_156 to tx_clk_arr or connect rx_clk_arr to tx_clk_arr
-      tx_rst_arr_out    => tx_rst_arr_out,
-      rx_clk_arr_out    => rx_clk_arr_out,
-      rx_clk_arr_in(0)  => tb_rx_clk,  -- externally connect to rx_clk_arr_out to avoid clock delta-delay
-      rx_rst_arr_out    => rx_rst_arr_out,
-
-      -- ST
-      tx_snk_in_arr(0)  => tx_sosi,  -- 64 bit data @ 156 tb_tx_clk
-      tx_snk_out_arr(0) => tx_siso,
-
-      rx_src_out_arr(0) => rx_sosi,  -- 64 bit data @ 156 tb_rx_clk
-      rx_src_in_arr(0)  => rx_siso,
-
-      -- PHY serial IO
-      -- . 10GBASE-R (single lane)
-      serial_tx_arr     => serial_tx_arr,
-      serial_rx_arr     => serial_rx_arr,
-
-      -- . XAUI (four lanes)
-      xaui_tx_arr       => xaui_tx_arr,
-      xaui_rx_arr       => xaui_rx_arr
+      link_fault    => link_fault,  -- when '1' then forces rx_serial_arr(0)='0'
+
+      -- 10GBASE-R serial layer connect
+      serial_tx     => serial_tx_arr(0),
+      serial_rx     => serial_rx_arr(0),  -- connects to delayed tx_serial_arr(0) when g_loopback=TRUE else to rx_serial_in
+      serial_tx_out => serial_tx_out,  -- connects to delayed tx_serial_arr(0)
+      serial_rx_in  => serial_rx_in,  -- used when g_loopback=FALSE
+
+      -- XAUI serial layer connect
+      xaui_tx       => xaui_tx_arr(0),
+      xaui_rx       => xaui_rx_arr(0),  -- connects to delayed xaui_tx_arr(0) when g_loopback=TRUE else to xaui_rx_in
+      xaui_tx_out   => xaui_tx_out,  -- connects to delayed xaui_tx_arr(0)
+      xaui_rx_in    => xaui_rx_in  -- used when g_loopback=FALSE
     );
-  end generate;
-
-  u_link_connect : entity tech_mac_10g_lib.tb_tech_mac_10g_link_connect
-  generic map (
-    g_loopback    => c_phy_loopback,  -- default TRUE for loopback tx to rx, else use FALSE to connect tx-tx, rx-rx between two tb devices
-    g_link_delay  => phy_delay
-  )
-  port map (
-    link_fault    => link_fault,  -- when '1' then forces rx_serial_arr(0)='0'
-
-    -- 10GBASE-R serial layer connect
-    serial_tx     => serial_tx_arr(0),
-    serial_rx     => serial_rx_arr(0),  -- connects to delayed tx_serial_arr(0) when g_loopback=TRUE else to rx_serial_in
-    serial_tx_out => serial_tx_out,  -- connects to delayed tx_serial_arr(0)
-    serial_rx_in  => serial_rx_in,  -- used when g_loopback=FALSE
-
-    -- XAUI serial layer connect
-    xaui_tx       => xaui_tx_arr(0),
-    xaui_rx       => xaui_rx_arr(0),  -- connects to delayed xaui_tx_arr(0) when g_loopback=TRUE else to xaui_rx_in
-    xaui_tx_out   => xaui_tx_out,  -- connects to delayed xaui_tx_arr(0)
-    xaui_rx_in    => xaui_rx_in  -- used when g_loopback=FALSE
-  );
 
   -- Packet receiver
   u_receiver : entity tech_mac_10g_lib.tb_tech_mac_10_receiver
-  generic map (
-    g_data_type  => g_data_type
-  )
-  port map (
-    mm_init        => mm_init,
-    total_header   => total_header,
-    rx_clk         => tb_rx_clk,
-    rx_sosi        => rx_sosi,
-    rx_siso        => rx_siso,
-    rx_toggle      => rx_toggle
-  );
+    generic map (
+      g_data_type  => g_data_type
+    )
+    port map (
+      mm_init        => mm_init,
+      total_header   => total_header,
+      rx_clk         => tb_rx_clk,
+      rx_sosi        => rx_sosi,
+      rx_siso        => rx_siso,
+      rx_toggle      => rx_toggle
+    );
 
   -- Verification
   u_verify_rx_at_eop : entity tech_mac_10g_lib.tb_tech_mac_10_verify_rx_at_eop
-  generic map (
-    g_no_padding     => g_no_dut,
-    g_pkt_length_arr => c_pkt_length_arr
-  )
-  port map (
-    tx_clk      => tb_tx_clk,
-    tx_sosi     => tx_sosi,
-    rx_clk      => tb_rx_clk,
-    rx_sosi     => rx_sosi
-  );
+    generic map (
+      g_no_padding     => g_no_dut,
+      g_pkt_length_arr => c_pkt_length_arr
+    )
+    port map (
+      tx_clk      => tb_tx_clk,
+      tx_sosi     => tx_sosi,
+      rx_clk      => tb_rx_clk,
+      rx_sosi     => rx_sosi
+    );
 
   u_verify_rx_pkt_cnt : entity tech_mac_10g_lib.tb_tech_mac_10g_verify_rx_pkt_cnt
-  generic map (
-    g_nof_pkt   => c_nof_pkt
-  )
-  port map (
-    tx_clk      => tb_tx_clk,
-    tx_sosi     => tx_sosi,
-    rx_clk      => tb_rx_clk,
-    rx_sosi     => rx_sosi,
-    tx_pkt_cnt  => tx_pkt_cnt,
-    rx_pkt_cnt  => rx_pkt_cnt,
-    rx_end      => rx_end
-  );
+    generic map (
+      g_nof_pkt   => c_nof_pkt
+    )
+    port map (
+      tx_clk      => tb_tx_clk,
+      tx_sosi     => tx_sosi,
+      rx_clk      => tb_rx_clk,
+      rx_sosi     => rx_sosi,
+      tx_pkt_cnt  => tx_pkt_cnt,
+      rx_pkt_cnt  => rx_pkt_cnt,
+      rx_end      => rx_end
+    );
 
   -- Stop the simulation
   u_simulation_end : entity tech_mac_10g_lib.tb_tech_mac_10g_simulation_end
-  generic map (
-    g_tb_end            => g_tb_end,
-    g_nof_clk_to_rx_end => 1000
-  )
-  port map (
-    clk       => tb_tx_clk,
-    tx_end    => tx_end,
-    rx_end    => rx_end,
-    tb_end    => tb_end
-  );
+    generic map (
+      g_tb_end            => g_tb_end,
+      g_nof_clk_to_rx_end => 1000
+    )
+    port map (
+      clk       => tb_tx_clk,
+      tx_end    => tx_end,
+      rx_end    => rx_end,
+      tb_end    => tb_end
+    );
 
 end tb;
diff --git a/libraries/technology/eth_10g/tb_tech_eth_10g_ppm.vhd b/libraries/technology/eth_10g/tb_tech_eth_10g_ppm.vhd
index 895b8123fd..3c5a5f64ca 100644
--- a/libraries/technology/eth_10g/tb_tech_eth_10g_ppm.vhd
+++ b/libraries/technology/eth_10g/tb_tech_eth_10g_ppm.vhd
@@ -33,11 +33,11 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_pll_lib, tech_mac_10g_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_pll_lib.tech_pll_component_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_pll_lib.tech_pll_component_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity tb_tech_eth_10g_ppm is
   -- Test bench control parameters
@@ -60,39 +60,39 @@ architecture tb of tb_tech_eth_10g_ppm is
 begin
 
   u_tb_tech_eth_10g_0 : entity work.tb_tech_eth_10g
-  generic map (
-    g_technology              => g_technology,
-    g_ref_clk_644_period      => tech_pll_clk_644_period,
-    g_ref_clk_156_period      => 6.4 ns,
-    g_verify_link_recovery    => false,
-    g_use_serial_rx_in        => true
-  )
-  port map (
-    -- PHY 10gbase_r
-    serial_tx_out => serial_tx_0,
-    serial_rx_in  => serial_tx_1,
+    generic map (
+      g_technology              => g_technology,
+      g_ref_clk_644_period      => tech_pll_clk_644_period,
+      g_ref_clk_156_period      => 6.4 ns,
+      g_verify_link_recovery    => false,
+      g_use_serial_rx_in        => true
+    )
+    port map (
+      -- PHY 10gbase_r
+      serial_tx_out => serial_tx_0,
+      serial_rx_in  => serial_tx_1,
 
-    -- PHY XAUI
-    xaui_tx_out   => xaui_tx_0,
-    xaui_rx_in    => xaui_tx_1
-  );
+      -- PHY XAUI
+      xaui_tx_out   => xaui_tx_0,
+      xaui_rx_in    => xaui_tx_1
+    );
 
   u_tb_tech_eth_10g_1 : entity work.tb_tech_eth_10g
-  generic map (
-    g_technology              => g_technology,
-    g_ref_clk_644_period      => tech_pll_clk_644_period + tech_pll_clk_644_10ppm * g_nof_10ppm,
-    g_ref_clk_156_period      => 6.4 ns + 64 fs * g_nof_10ppm,
-    g_verify_link_recovery    => false,
-    g_use_serial_rx_in        => true
-  )
-  port map (
-    -- PHY 10gbase_r
-    serial_tx_out => serial_tx_1,
-    serial_rx_in  => serial_tx_0,
+    generic map (
+      g_technology              => g_technology,
+      g_ref_clk_644_period      => tech_pll_clk_644_period + tech_pll_clk_644_10ppm * g_nof_10ppm,
+      g_ref_clk_156_period      => 6.4 ns + 64 fs * g_nof_10ppm,
+      g_verify_link_recovery    => false,
+      g_use_serial_rx_in        => true
+    )
+    port map (
+      -- PHY 10gbase_r
+      serial_tx_out => serial_tx_1,
+      serial_rx_in  => serial_tx_0,
 
-    -- PHY XAUI
-    xaui_tx_out   => xaui_tx_1,
-    xaui_rx_in    => xaui_tx_0
-  );
+      -- PHY XAUI
+      xaui_tx_out   => xaui_tx_1,
+      xaui_rx_in    => xaui_tx_0
+    );
 
 end tb;
diff --git a/libraries/technology/eth_10g/tech_eth_10g.vhd b/libraries/technology/eth_10g/tech_eth_10g.vhd
index 4a8677a591..c1196638fd 100644
--- a/libraries/technology/eth_10g/tech_eth_10g.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g.vhd
@@ -60,14 +60,14 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity tech_eth_10g is
   generic (
@@ -136,224 +136,224 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : entity work.tech_eth_10g_stratixiv
-    generic map (
-      g_sim                 => g_sim,
-      g_sim_level           => g_sim_level,
-      g_nof_channels        => g_nof_channels,
-      g_direction           => g_direction,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_156   => tr_ref_clk_156,
-      tr_ref_rst_156   => tr_ref_rst_156,
-
-      -- Calibration & reconfig clock
-      cal_rec_clk      => cal_rec_clk,
-
-      -- Data clocks
-      tx_clk_arr_in    => tx_clk_arr_in,
-      tx_rst_arr_out   => tx_rst_arr_out,
-      rx_clk_arr_out   => rx_clk_arr_out,
-      rx_clk_arr_in    => rx_clk_arr_in,
-      rx_rst_arr_out   => rx_rst_arr_out,
-
-      -- MM
-      mm_clk           => mm_clk,
-      mm_rst           => mm_rst,
-
-      mac_mosi         => mac_mosi,
-      mac_miso         => mac_miso,
-
-      xaui_mosi        => xaui_mosi,
-      xaui_miso        => xaui_miso,
-
-      -- ST
-      tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tx_clk_arr_in (156.25 MHz)
-      tx_snk_out_arr   => tx_snk_out_arr,
-
-      rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ rx_clk_arr (156.25 MHz)
-      rx_src_in_arr    => rx_src_in_arr,
-
-      -- Serial IO
-      xaui_tx_arr      => xaui_tx_arr,
-      xaui_rx_arr      => xaui_rx_arr
-    );
+      generic map (
+        g_sim                 => g_sim,
+        g_sim_level           => g_sim_level,
+        g_nof_channels        => g_nof_channels,
+        g_direction           => g_direction,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_156   => tr_ref_clk_156,
+        tr_ref_rst_156   => tr_ref_rst_156,
+
+        -- Calibration & reconfig clock
+        cal_rec_clk      => cal_rec_clk,
+
+        -- Data clocks
+        tx_clk_arr_in    => tx_clk_arr_in,
+        tx_rst_arr_out   => tx_rst_arr_out,
+        rx_clk_arr_out   => rx_clk_arr_out,
+        rx_clk_arr_in    => rx_clk_arr_in,
+        rx_rst_arr_out   => rx_rst_arr_out,
+
+        -- MM
+        mm_clk           => mm_clk,
+        mm_rst           => mm_rst,
+
+        mac_mosi         => mac_mosi,
+        mac_miso         => mac_miso,
+
+        xaui_mosi        => xaui_mosi,
+        xaui_miso        => xaui_miso,
+
+        -- ST
+        tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tx_clk_arr_in (156.25 MHz)
+        tx_snk_out_arr   => tx_snk_out_arr,
+
+        rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ rx_clk_arr (156.25 MHz)
+        rx_src_in_arr    => rx_src_in_arr,
+
+        -- Serial IO
+        xaui_tx_arr      => xaui_tx_arr,
+        xaui_rx_arr      => xaui_rx_arr
+      );
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : entity work.tech_eth_10g_arria10
-    generic map (
-      g_sim                 => g_sim,
-      g_sim_level           => g_sim_level,
-      g_nof_channels        => g_nof_channels,
-      g_direction           => g_direction,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644   => tr_ref_clk_644,
-
-      -- Data clocks
-      clk_312          => tr_ref_clk_312,
-      clk_156          => tr_ref_clk_156,
-      rst_156          => tr_ref_rst_156,
-
-      -- MM
-      mm_clk           => mm_clk,
-      mm_rst           => mm_rst,
-
-      mac_mosi         => mac_mosi,
-      mac_miso         => mac_miso,
-
-      reg_eth10g_mosi  => reg_eth10g_mosi,
-      reg_eth10g_miso  => reg_eth10g_miso,
-
-      -- ST
-      tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
-      tx_snk_out_arr   => tx_snk_out_arr,
-
-      rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
-      rx_src_in_arr    => rx_src_in_arr,
-
-      -- Serial
-      serial_tx_arr    => serial_tx_arr,
-      serial_rx_arr    => serial_rx_arr
-    );
+      generic map (
+        g_sim                 => g_sim,
+        g_sim_level           => g_sim_level,
+        g_nof_channels        => g_nof_channels,
+        g_direction           => g_direction,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644   => tr_ref_clk_644,
+
+        -- Data clocks
+        clk_312          => tr_ref_clk_312,
+        clk_156          => tr_ref_clk_156,
+        rst_156          => tr_ref_rst_156,
+
+        -- MM
+        mm_clk           => mm_clk,
+        mm_rst           => mm_rst,
+
+        mac_mosi         => mac_mosi,
+        mac_miso         => mac_miso,
+
+        reg_eth10g_mosi  => reg_eth10g_mosi,
+        reg_eth10g_miso  => reg_eth10g_miso,
+
+        -- ST
+        tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
+        tx_snk_out_arr   => tx_snk_out_arr,
+
+        rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
+        rx_src_in_arr    => rx_src_in_arr,
+
+        -- Serial
+        serial_tx_arr    => serial_tx_arr,
+        serial_rx_arr    => serial_rx_arr
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : entity work.tech_eth_10g_arria10_e3sge3
-    generic map (
-      g_sim                 => g_sim,
-      g_sim_level           => g_sim_level,
-      g_nof_channels        => g_nof_channels,
-      g_direction           => g_direction,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644   => tr_ref_clk_644,
-
-      -- Data clocks
-      clk_312          => tr_ref_clk_312,
-      clk_156          => tr_ref_clk_156,
-      rst_156          => tr_ref_rst_156,
-
-      -- MM
-      mm_clk           => mm_clk,
-      mm_rst           => mm_rst,
-
-      mac_mosi         => mac_mosi,
-      mac_miso         => mac_miso,
-
-      reg_eth10g_mosi  => reg_eth10g_mosi,
-      reg_eth10g_miso  => reg_eth10g_miso,
-
-      reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
-      reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso => reg_10gbase_r_24_miso,
-
-      -- ST
-      tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
-      tx_snk_out_arr   => tx_snk_out_arr,
-
-      rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
-      rx_src_in_arr    => rx_src_in_arr,
-
-      -- Serial
-      serial_tx_arr    => serial_tx_arr,
-      serial_rx_arr    => serial_rx_arr
-    );
+      generic map (
+        g_sim                 => g_sim,
+        g_sim_level           => g_sim_level,
+        g_nof_channels        => g_nof_channels,
+        g_direction           => g_direction,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644   => tr_ref_clk_644,
+
+        -- Data clocks
+        clk_312          => tr_ref_clk_312,
+        clk_156          => tr_ref_clk_156,
+        rst_156          => tr_ref_rst_156,
+
+        -- MM
+        mm_clk           => mm_clk,
+        mm_rst           => mm_rst,
+
+        mac_mosi         => mac_mosi,
+        mac_miso         => mac_miso,
+
+        reg_eth10g_mosi  => reg_eth10g_mosi,
+        reg_eth10g_miso  => reg_eth10g_miso,
+
+        reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi => reg_10gbase_r_24_mosi,
+        reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso => reg_10gbase_r_24_miso,
+
+        -- ST
+        tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
+        tx_snk_out_arr   => tx_snk_out_arr,
+
+        rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
+        rx_src_in_arr    => rx_src_in_arr,
+
+        -- Serial
+        serial_tx_arr    => serial_tx_arr,
+        serial_rx_arr    => serial_rx_arr
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : entity work.tech_eth_10g_arria10_e1sg
-    generic map (
-      g_sim                 => g_sim,
-      g_sim_level           => g_sim_level,
-      g_nof_channels        => g_nof_channels,
-      g_direction           => g_direction,
-      g_use_loopback        => g_use_loopback,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644   => tr_ref_clk_644,
-
-      -- Data clocks
-      clk_312          => tr_ref_clk_312,
-      clk_156          => tr_ref_clk_156,
-      rst_156          => tr_ref_rst_156,
-
-      -- MM
-      mm_clk           => mm_clk,
-      mm_rst           => mm_rst,
-
-      mac_mosi         => mac_mosi,
-      mac_miso         => mac_miso,
-
-      reg_eth10g_mosi  => reg_eth10g_mosi,
-      reg_eth10g_miso  => reg_eth10g_miso,
-
-      reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi   => reg_10gbase_r_24_mosi,
-      reg_ip_arria10_e1sg_phy_10gbase_r_24_miso   => reg_10gbase_r_24_miso,
-
-      -- ST
-      tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
-      tx_snk_out_arr   => tx_snk_out_arr,
-
-      rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
-      rx_src_in_arr    => rx_src_in_arr,
-
-      -- Serial
-      serial_tx_arr    => serial_tx_arr,
-      serial_rx_arr    => serial_rx_arr
-    );
+      generic map (
+        g_sim                 => g_sim,
+        g_sim_level           => g_sim_level,
+        g_nof_channels        => g_nof_channels,
+        g_direction           => g_direction,
+        g_use_loopback        => g_use_loopback,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644   => tr_ref_clk_644,
+
+        -- Data clocks
+        clk_312          => tr_ref_clk_312,
+        clk_156          => tr_ref_clk_156,
+        rst_156          => tr_ref_rst_156,
+
+        -- MM
+        mm_clk           => mm_clk,
+        mm_rst           => mm_rst,
+
+        mac_mosi         => mac_mosi,
+        mac_miso         => mac_miso,
+
+        reg_eth10g_mosi  => reg_eth10g_mosi,
+        reg_eth10g_miso  => reg_eth10g_miso,
+
+        reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi   => reg_10gbase_r_24_mosi,
+        reg_ip_arria10_e1sg_phy_10gbase_r_24_miso   => reg_10gbase_r_24_miso,
+
+        -- ST
+        tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
+        tx_snk_out_arr   => tx_snk_out_arr,
+
+        rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
+        rx_src_in_arr    => rx_src_in_arr,
+
+        -- Serial
+        serial_tx_arr    => serial_tx_arr,
+        serial_rx_arr    => serial_rx_arr
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : entity work.tech_eth_10g_arria10_e2sg
-    generic map (
-      g_sim                 => g_sim,
-      g_sim_level           => g_sim_level,
-      g_nof_channels        => g_nof_channels,
-      g_direction           => g_direction,
-      g_use_loopback        => g_use_loopback,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- Transceiver PLL reference clock
-      tr_ref_clk_644   => tr_ref_clk_644,
-
-      -- Data clocks
-      clk_312          => tr_ref_clk_312,
-      clk_156          => tr_ref_clk_156,
-      rst_156          => tr_ref_rst_156,
-
-      -- MM
-      mm_clk           => mm_clk,
-      mm_rst           => mm_rst,
-
-      mac_mosi         => mac_mosi,
-      mac_miso         => mac_miso,
-
-      reg_eth10g_mosi  => reg_eth10g_mosi,
-      reg_eth10g_miso  => reg_eth10g_miso,
-
-      reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi   => reg_10gbase_r_24_mosi,
-      reg_ip_arria10_e2sg_phy_10gbase_r_24_miso   => reg_10gbase_r_24_miso,
-
-      -- ST
-      tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
-      tx_snk_out_arr   => tx_snk_out_arr,
-
-      rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
-      rx_src_in_arr    => rx_src_in_arr,
-
-      -- Serial
-      serial_tx_arr    => serial_tx_arr,
-      serial_rx_arr    => serial_rx_arr
-    );
+      generic map (
+        g_sim                 => g_sim,
+        g_sim_level           => g_sim_level,
+        g_nof_channels        => g_nof_channels,
+        g_direction           => g_direction,
+        g_use_loopback        => g_use_loopback,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- Transceiver PLL reference clock
+        tr_ref_clk_644   => tr_ref_clk_644,
+
+        -- Data clocks
+        clk_312          => tr_ref_clk_312,
+        clk_156          => tr_ref_clk_156,
+        rst_156          => tr_ref_rst_156,
+
+        -- MM
+        mm_clk           => mm_clk,
+        mm_rst           => mm_rst,
+
+        mac_mosi         => mac_mosi,
+        mac_miso         => mac_miso,
+
+        reg_eth10g_mosi  => reg_eth10g_mosi,
+        reg_eth10g_miso  => reg_eth10g_miso,
+
+        reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi   => reg_10gbase_r_24_mosi,
+        reg_ip_arria10_e2sg_phy_10gbase_r_24_miso   => reg_10gbase_r_24_miso,
+
+        -- ST
+        tx_snk_in_arr    => tx_snk_in_arr,  -- 64 bit data @ tr_ref_clk_156
+        tx_snk_out_arr   => tx_snk_out_arr,
+
+        rx_src_out_arr   => rx_src_out_arr,  -- 64 bit data @ tr_ref_clk_156
+        rx_src_in_arr    => rx_src_in_arr,
+
+        -- Serial
+        serial_tx_arr    => serial_tx_arr,
+        serial_rx_arr    => serial_rx_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/eth_10g/tech_eth_10g_arria10.vhd b/libraries/technology/eth_10g/tech_eth_10g_arria10.vhd
index 20eac55b10..624d3ceb63 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_arria10.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_arria10.vhd
@@ -84,14 +84,14 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib, ip_arria10_eth_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.tech_eth_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.tech_eth_10g_component_pkg.all;
 
 entity tech_eth_10g_arria10 is
   generic (
@@ -138,42 +138,42 @@ architecture str of tech_eth_10g_arria10 is
 
 begin
   u_ip_arria10_eth_10g : ip_arria10_eth_10g
-  generic map(
-    g_sim                => g_sim,
-    g_sim_level          => g_sim_level,
-    g_nof_channels       => g_nof_channels,
-    g_direction          => g_direction,
-    g_pre_header_padding => g_pre_header_padding
-  )
-  port map(
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644  => tr_ref_clk_644,
-
-    -- Data clocks
-    clk_312         => clk_312,
-    clk_156         => clk_156,
-    rst_156         => rst_156,
-
-    -- MM
-    mm_clk          => mm_clk,
-    mm_rst          => mm_rst,
-
-    mac_mosi        => mac_mosi,
-    mac_miso        => mac_miso,
-
-    reg_eth10g_mosi => reg_eth10g_mosi,
-    reg_eth10g_miso => reg_eth10g_miso,
-
-    -- ST
-    tx_snk_in_arr  => tx_snk_in_arr,
-    tx_snk_out_arr => tx_snk_out_arr,
-
-    rx_src_out_arr => rx_src_out_arr,
-    rx_src_in_arr  => rx_src_in_arr,
-
-    -- Serial
-    serial_tx_arr  => serial_tx_arr,
-    serial_rx_arr  => serial_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_sim_level          => g_sim_level,
+      g_nof_channels       => g_nof_channels,
+      g_direction          => g_direction,
+      g_pre_header_padding => g_pre_header_padding
+    )
+    port map(
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644  => tr_ref_clk_644,
+
+      -- Data clocks
+      clk_312         => clk_312,
+      clk_156         => clk_156,
+      rst_156         => rst_156,
+
+      -- MM
+      mm_clk          => mm_clk,
+      mm_rst          => mm_rst,
+
+      mac_mosi        => mac_mosi,
+      mac_miso        => mac_miso,
+
+      reg_eth10g_mosi => reg_eth10g_mosi,
+      reg_eth10g_miso => reg_eth10g_miso,
+
+      -- ST
+      tx_snk_in_arr  => tx_snk_in_arr,
+      tx_snk_out_arr => tx_snk_out_arr,
+
+      rx_src_out_arr => rx_src_out_arr,
+      rx_src_in_arr  => rx_src_in_arr,
+
+      -- Serial
+      serial_tx_arr  => serial_tx_arr,
+      serial_rx_arr  => serial_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/eth_10g/tech_eth_10g_arria10_e1sg.vhd b/libraries/technology/eth_10g/tech_eth_10g_arria10_e1sg.vhd
index e8a019b6d6..6597323873 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_arria10_e1sg.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_arria10_e1sg.vhd
@@ -84,14 +84,14 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib, ip_arria10_e1sg_eth_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.tech_eth_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.tech_eth_10g_component_pkg.all;
 
 entity tech_eth_10g_arria10_e1sg is
   generic (
@@ -142,46 +142,46 @@ architecture str of tech_eth_10g_arria10_e1sg is
 
 begin
   u_ip_arria10_e1sg_eth_10g : ip_arria10_e1sg_eth_10g
-  generic map(
-    g_sim                => g_sim,
-    g_sim_level          => g_sim_level,
-    g_nof_channels       => g_nof_channels,
-    g_direction          => g_direction,
-    g_use_loopback       => g_use_loopback,
-    g_pre_header_padding => g_pre_header_padding
-  )
-  port map(
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644  => tr_ref_clk_644,
-
-    -- Data clocks
-    clk_312         => clk_312,
-    clk_156         => clk_156,
-    rst_156         => rst_156,
-
-    -- MM
-    mm_clk          => mm_clk,
-    mm_rst          => mm_rst,
-
-    mac_mosi        => mac_mosi,
-    mac_miso        => mac_miso,
-
-    reg_eth10g_mosi => reg_eth10g_mosi,
-    reg_eth10g_miso => reg_eth10g_miso,
-
-    reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi,
-    reg_ip_arria10_e1sg_phy_10gbase_r_24_miso => reg_ip_arria10_e1sg_phy_10gbase_r_24_miso,
-
-    -- ST
-    tx_snk_in_arr  => tx_snk_in_arr,
-    tx_snk_out_arr => tx_snk_out_arr,
-
-    rx_src_out_arr => rx_src_out_arr,
-    rx_src_in_arr  => rx_src_in_arr,
-
-    -- Serial
-    serial_tx_arr  => serial_tx_arr,
-    serial_rx_arr  => serial_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_sim_level          => g_sim_level,
+      g_nof_channels       => g_nof_channels,
+      g_direction          => g_direction,
+      g_use_loopback       => g_use_loopback,
+      g_pre_header_padding => g_pre_header_padding
+    )
+    port map(
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644  => tr_ref_clk_644,
+
+      -- Data clocks
+      clk_312         => clk_312,
+      clk_156         => clk_156,
+      rst_156         => rst_156,
+
+      -- MM
+      mm_clk          => mm_clk,
+      mm_rst          => mm_rst,
+
+      mac_mosi        => mac_mosi,
+      mac_miso        => mac_miso,
+
+      reg_eth10g_mosi => reg_eth10g_mosi,
+      reg_eth10g_miso => reg_eth10g_miso,
+
+      reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi => reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi,
+      reg_ip_arria10_e1sg_phy_10gbase_r_24_miso => reg_ip_arria10_e1sg_phy_10gbase_r_24_miso,
+
+      -- ST
+      tx_snk_in_arr  => tx_snk_in_arr,
+      tx_snk_out_arr => tx_snk_out_arr,
+
+      rx_src_out_arr => rx_src_out_arr,
+      rx_src_in_arr  => rx_src_in_arr,
+
+      -- Serial
+      serial_tx_arr  => serial_tx_arr,
+      serial_rx_arr  => serial_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/eth_10g/tech_eth_10g_arria10_e2sg.vhd b/libraries/technology/eth_10g/tech_eth_10g_arria10_e2sg.vhd
index cf3feb45f4..a7fe40b5ed 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_arria10_e2sg.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_arria10_e2sg.vhd
@@ -84,14 +84,14 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib, ip_arria10_e2sg_eth_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.tech_eth_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.tech_eth_10g_component_pkg.all;
 
 entity tech_eth_10g_arria10_e2sg is
   generic (
@@ -142,46 +142,46 @@ architecture str of tech_eth_10g_arria10_e2sg is
 
 begin
   u_ip_arria10_e2sg_eth_10g : ip_arria10_e2sg_eth_10g
-  generic map(
-    g_sim                => g_sim,
-    g_sim_level          => g_sim_level,
-    g_nof_channels       => g_nof_channels,
-    g_direction          => g_direction,
-    g_use_loopback       => g_use_loopback,
-    g_pre_header_padding => g_pre_header_padding
-  )
-  port map(
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644  => tr_ref_clk_644,
-
-    -- Data clocks
-    clk_312         => clk_312,
-    clk_156         => clk_156,
-    rst_156         => rst_156,
-
-    -- MM
-    mm_clk          => mm_clk,
-    mm_rst          => mm_rst,
-
-    mac_mosi        => mac_mosi,
-    mac_miso        => mac_miso,
-
-    reg_eth10g_mosi => reg_eth10g_mosi,
-    reg_eth10g_miso => reg_eth10g_miso,
-
-    reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi,
-    reg_ip_arria10_e2sg_phy_10gbase_r_24_miso => reg_ip_arria10_e2sg_phy_10gbase_r_24_miso,
-
-    -- ST
-    tx_snk_in_arr  => tx_snk_in_arr,
-    tx_snk_out_arr => tx_snk_out_arr,
-
-    rx_src_out_arr => rx_src_out_arr,
-    rx_src_in_arr  => rx_src_in_arr,
-
-    -- Serial
-    serial_tx_arr  => serial_tx_arr,
-    serial_rx_arr  => serial_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_sim_level          => g_sim_level,
+      g_nof_channels       => g_nof_channels,
+      g_direction          => g_direction,
+      g_use_loopback       => g_use_loopback,
+      g_pre_header_padding => g_pre_header_padding
+    )
+    port map(
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644  => tr_ref_clk_644,
+
+      -- Data clocks
+      clk_312         => clk_312,
+      clk_156         => clk_156,
+      rst_156         => rst_156,
+
+      -- MM
+      mm_clk          => mm_clk,
+      mm_rst          => mm_rst,
+
+      mac_mosi        => mac_mosi,
+      mac_miso        => mac_miso,
+
+      reg_eth10g_mosi => reg_eth10g_mosi,
+      reg_eth10g_miso => reg_eth10g_miso,
+
+      reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi => reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi,
+      reg_ip_arria10_e2sg_phy_10gbase_r_24_miso => reg_ip_arria10_e2sg_phy_10gbase_r_24_miso,
+
+      -- ST
+      tx_snk_in_arr  => tx_snk_in_arr,
+      tx_snk_out_arr => tx_snk_out_arr,
+
+      rx_src_out_arr => rx_src_out_arr,
+      rx_src_in_arr  => rx_src_in_arr,
+
+      -- Serial
+      serial_tx_arr  => serial_tx_arr,
+      serial_rx_arr  => serial_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/eth_10g/tech_eth_10g_arria10_e3sge3.vhd b/libraries/technology/eth_10g/tech_eth_10g_arria10_e3sge3.vhd
index 9315697fd5..5bfa801e4c 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_arria10_e3sge3.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_arria10_e3sge3.vhd
@@ -84,14 +84,14 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib, ip_arria10_e3sge3_eth_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.tech_eth_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.tech_eth_10g_component_pkg.all;
 
 entity tech_eth_10g_arria10_e3sge3 is
   generic (
@@ -141,45 +141,45 @@ architecture str of tech_eth_10g_arria10_e3sge3 is
 
 begin
   u_ip_arria10_e3sge3_eth_10g : ip_arria10_e3sge3_eth_10g
-  generic map(
-    g_sim                => g_sim,
-    g_sim_level          => g_sim_level,
-    g_nof_channels       => g_nof_channels,
-    g_direction          => g_direction,
-    g_pre_header_padding => g_pre_header_padding
-  )
-  port map(
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644  => tr_ref_clk_644,
-
-    -- Data clocks
-    clk_312         => clk_312,
-    clk_156         => clk_156,
-    rst_156         => rst_156,
-
-    -- MM
-    mm_clk          => mm_clk,
-    mm_rst          => mm_rst,
-
-    mac_mosi        => mac_mosi,
-    mac_miso        => mac_miso,
-
-    reg_eth10g_mosi => reg_eth10g_mosi,
-    reg_eth10g_miso => reg_eth10g_miso,
-
-    reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi,
-    reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso => reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso,
-
-    -- ST
-    tx_snk_in_arr  => tx_snk_in_arr,
-    tx_snk_out_arr => tx_snk_out_arr,
-
-    rx_src_out_arr => rx_src_out_arr,
-    rx_src_in_arr  => rx_src_in_arr,
-
-    -- Serial
-    serial_tx_arr  => serial_tx_arr,
-    serial_rx_arr  => serial_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_sim_level          => g_sim_level,
+      g_nof_channels       => g_nof_channels,
+      g_direction          => g_direction,
+      g_pre_header_padding => g_pre_header_padding
+    )
+    port map(
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644  => tr_ref_clk_644,
+
+      -- Data clocks
+      clk_312         => clk_312,
+      clk_156         => clk_156,
+      rst_156         => rst_156,
+
+      -- MM
+      mm_clk          => mm_clk,
+      mm_rst          => mm_rst,
+
+      mac_mosi        => mac_mosi,
+      mac_miso        => mac_miso,
+
+      reg_eth10g_mosi => reg_eth10g_mosi,
+      reg_eth10g_miso => reg_eth10g_miso,
+
+      reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi => reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi,
+      reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso => reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso,
+
+      -- ST
+      tx_snk_in_arr  => tx_snk_in_arr,
+      tx_snk_out_arr => tx_snk_out_arr,
+
+      rx_src_out_arr => rx_src_out_arr,
+      rx_src_in_arr  => rx_src_in_arr,
+
+      -- Serial
+      serial_tx_arr  => serial_tx_arr,
+      serial_rx_arr  => serial_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/eth_10g/tech_eth_10g_clocks.vhd b/libraries/technology/eth_10g/tech_eth_10g_clocks.vhd
index e276bedeed..4b4919a30b 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_clocks.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_clocks.vhd
@@ -28,9 +28,9 @@
 
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 entity tech_eth_10g_clocks is
   generic (
diff --git a/libraries/technology/eth_10g/tech_eth_10g_component_pkg.vhd b/libraries/technology/eth_10g/tech_eth_10g_component_pkg.vhd
index 71006e4562..27dd6095a5 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_component_pkg.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_component_pkg.vhd
@@ -23,12 +23,12 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use technology_lib.technology_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package tech_eth_10g_component_pkg is
 
@@ -37,49 +37,49 @@ package tech_eth_10g_component_pkg is
   -- ip_stratixiv
   ------------------------------------------------------------------------------
   component ip_stratixiv_eth_10g is
-  generic (
-    g_sim                     : boolean := false;
-    g_sim_level               : natural := 0;  -- 0 = use XAUI IP; 1 = use fast serdes model
-    g_nof_channels            : natural := 1;
-    g_direction               : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_pre_header_padding      : boolean := false
-  );
-  port (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_156   : in  std_logic;  -- 156.25 MHz for XAUI
-    tr_ref_rst_156   : in  std_logic;
-
-    -- Calibration & reconfig clock
-    cal_rec_clk      : in  std_logic;
-
-    -- Data clocks
-    tx_clk_arr_in    : in  std_logic_vector(g_nof_channels - 1 downto 0);  -- 156.25 MHz, externally connect tr_ref_clk_156 to tx_clk_arr_in or connect rx_clk_arr to tx_clk_arr_in
-    tx_rst_arr_out   : out std_logic_vector(g_nof_channels - 1 downto 0);
-    rx_clk_arr_out   : out std_logic_vector(g_nof_channels - 1 downto 0);
-    rx_clk_arr_in    : in  std_logic_vector(g_nof_channels - 1 downto 0);  -- externally connect to rx_clk_arr_out to avoid clock delta-delay
-    rx_rst_arr_out   : out std_logic_vector(g_nof_channels - 1 downto 0);
-
-    -- MM
-    mm_clk           : in  std_logic;
-    mm_rst           : in  std_logic;
-
-    mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
-    mac_miso         : out t_mem_miso;
-
-    xaui_mosi        : in  t_mem_mosi := c_mem_mosi_rst;  -- XAUI control
-    xaui_miso        : out t_mem_miso;
-
-    -- ST
-    tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ 156 MHz tx_clk_arr_in
-    tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ 156 MHz rx_clk_arr_in
-    rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    -- XAUI serial IO
-    xaui_tx_arr      : out t_xaui_arr(g_nof_channels - 1 downto 0);
-    xaui_rx_arr      : in  t_xaui_arr(g_nof_channels - 1 downto 0)
-  );
+    generic (
+      g_sim                     : boolean := false;
+      g_sim_level               : natural := 0;  -- 0 = use XAUI IP; 1 = use fast serdes model
+      g_nof_channels            : natural := 1;
+      g_direction               : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_pre_header_padding      : boolean := false
+    );
+    port (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_156   : in  std_logic;  -- 156.25 MHz for XAUI
+      tr_ref_rst_156   : in  std_logic;
+
+      -- Calibration & reconfig clock
+      cal_rec_clk      : in  std_logic;
+
+      -- Data clocks
+      tx_clk_arr_in    : in  std_logic_vector(g_nof_channels - 1 downto 0);  -- 156.25 MHz, externally connect tr_ref_clk_156 to tx_clk_arr_in or connect rx_clk_arr to tx_clk_arr_in
+      tx_rst_arr_out   : out std_logic_vector(g_nof_channels - 1 downto 0);
+      rx_clk_arr_out   : out std_logic_vector(g_nof_channels - 1 downto 0);
+      rx_clk_arr_in    : in  std_logic_vector(g_nof_channels - 1 downto 0);  -- externally connect to rx_clk_arr_out to avoid clock delta-delay
+      rx_rst_arr_out   : out std_logic_vector(g_nof_channels - 1 downto 0);
+
+      -- MM
+      mm_clk           : in  std_logic;
+      mm_rst           : in  std_logic;
+
+      mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
+      mac_miso         : out t_mem_miso;
+
+      xaui_mosi        : in  t_mem_mosi := c_mem_mosi_rst;  -- XAUI control
+      xaui_miso        : out t_mem_miso;
+
+      -- ST
+      tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ 156 MHz tx_clk_arr_in
+      tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ 156 MHz rx_clk_arr_in
+      rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      -- XAUI serial IO
+      xaui_tx_arr      : out t_xaui_arr(g_nof_channels - 1 downto 0);
+      xaui_rx_arr      : in  t_xaui_arr(g_nof_channels - 1 downto 0)
+    );
   end component;
 
 
@@ -88,89 +88,89 @@ package tech_eth_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_eth_10g is
-  generic (
-    g_sim                 : boolean := false;
-    g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
-    g_nof_channels        : natural := 1;
-    g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_pre_header_padding  : boolean := false
-  );
-  port (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
-
-    -- Data clocks
-    clk_312          : in  std_logic := '0';
-    clk_156          : in  std_logic := '0';
-    rst_156          : in  std_logic := '0';
-
-    -- MM
-    mm_clk           : in  std_logic;
-    mm_rst           : in  std_logic;
-
-    mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
-    mac_miso         : out t_mem_miso;
-
-    reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
-    reg_eth10g_miso  : out t_mem_miso;
-
-    -- ST
-    tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    -- Serial
-    serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
-    serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
-  );
+    generic (
+      g_sim                 : boolean := false;
+      g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
+      g_nof_channels        : natural := 1;
+      g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_pre_header_padding  : boolean := false
+    );
+    port (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
+
+      -- Data clocks
+      clk_312          : in  std_logic := '0';
+      clk_156          : in  std_logic := '0';
+      rst_156          : in  std_logic := '0';
+
+      -- MM
+      mm_clk           : in  std_logic;
+      mm_rst           : in  std_logic;
+
+      mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
+      mac_miso         : out t_mem_miso;
+
+      reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
+      reg_eth10g_miso  : out t_mem_miso;
+
+      -- ST
+      tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      -- Serial
+      serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
+      serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
+    );
   end component;
 
   ------------------------------------------------------------------------------
   -- ip_arria10_e3sge3
   ------------------------------------------------------------------------------
   component ip_arria10_e3sge3_eth_10g is
-  generic (
-    g_sim                 : boolean := false;
-    g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
-    g_nof_channels        : natural := 1;
-    g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_pre_header_padding  : boolean := false
-  );
-  port (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
-
-    -- Data clocks
-    clk_312          : in  std_logic := '0';
-    clk_156          : in  std_logic := '0';
-    rst_156          : in  std_logic := '0';
-
-    -- MM
-    mm_clk           : in  std_logic;
-    mm_rst           : in  std_logic;
-
-    mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
-    mac_miso         : out t_mem_miso;
-
-    reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
-    reg_eth10g_miso  : out t_mem_miso;
-
-    reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi   : in  t_mem_mosi := c_mem_mosi_rst;
-    reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso   : out t_mem_miso;
-
-    -- ST
-    tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    -- Serial
-    serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
-    serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
-  );
+    generic (
+      g_sim                 : boolean := false;
+      g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
+      g_nof_channels        : natural := 1;
+      g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_pre_header_padding  : boolean := false
+    );
+    port (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
+
+      -- Data clocks
+      clk_312          : in  std_logic := '0';
+      clk_156          : in  std_logic := '0';
+      rst_156          : in  std_logic := '0';
+
+      -- MM
+      mm_clk           : in  std_logic;
+      mm_rst           : in  std_logic;
+
+      mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
+      mac_miso         : out t_mem_miso;
+
+      reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
+      reg_eth10g_miso  : out t_mem_miso;
+
+      reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi   : in  t_mem_mosi := c_mem_mosi_rst;
+      reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso   : out t_mem_miso;
+
+      -- ST
+      tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      -- Serial
+      serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
+      serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -178,47 +178,47 @@ package tech_eth_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e1sg_eth_10g is
-  generic (
-    g_sim                 : boolean := false;
-    g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
-    g_nof_channels        : natural := 1;
-    g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_use_loopback        : boolean := false;
-    g_pre_header_padding  : boolean := false
-  );
-  port (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
-
-    -- Data clocks
-    clk_312          : in  std_logic := '0';
-    clk_156          : in  std_logic := '0';
-    rst_156          : in  std_logic := '0';
-
-    -- MM
-    mm_clk           : in  std_logic;
-    mm_rst           : in  std_logic;
-
-    mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
-    mac_miso         : out t_mem_miso;
-
-    reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
-    reg_eth10g_miso  : out t_mem_miso;
-
-    reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi     : in  t_mem_mosi := c_mem_mosi_rst;
-    reg_ip_arria10_e1sg_phy_10gbase_r_24_miso     : out t_mem_miso;
-
-    -- ST
-    tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    -- Serial
-    serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
-    serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
-  );
+    generic (
+      g_sim                 : boolean := false;
+      g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
+      g_nof_channels        : natural := 1;
+      g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_use_loopback        : boolean := false;
+      g_pre_header_padding  : boolean := false
+    );
+    port (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
+
+      -- Data clocks
+      clk_312          : in  std_logic := '0';
+      clk_156          : in  std_logic := '0';
+      rst_156          : in  std_logic := '0';
+
+      -- MM
+      mm_clk           : in  std_logic;
+      mm_rst           : in  std_logic;
+
+      mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
+      mac_miso         : out t_mem_miso;
+
+      reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
+      reg_eth10g_miso  : out t_mem_miso;
+
+      reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi     : in  t_mem_mosi := c_mem_mosi_rst;
+      reg_ip_arria10_e1sg_phy_10gbase_r_24_miso     : out t_mem_miso;
+
+      -- ST
+      tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      -- Serial
+      serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
+      serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -226,47 +226,47 @@ package tech_eth_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e2sg_eth_10g is
-  generic (
-    g_sim                 : boolean := false;
-    g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
-    g_nof_channels        : natural := 1;
-    g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_use_loopback        : boolean := false;
-    g_pre_header_padding  : boolean := false
-  );
-  port (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
-
-    -- Data clocks
-    clk_312          : in  std_logic := '0';
-    clk_156          : in  std_logic := '0';
-    rst_156          : in  std_logic := '0';
-
-    -- MM
-    mm_clk           : in  std_logic;
-    mm_rst           : in  std_logic;
-
-    mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
-    mac_miso         : out t_mem_miso;
-
-    reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
-    reg_eth10g_miso  : out t_mem_miso;
-
-    reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi     : in  t_mem_mosi := c_mem_mosi_rst;
-    reg_ip_arria10_e2sg_phy_10gbase_r_24_miso     : out t_mem_miso;
-
-    -- ST
-    tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
-    rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
-
-    -- Serial
-    serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
-    serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
-  );
+    generic (
+      g_sim                 : boolean := false;
+      g_sim_level           : natural := 0;  -- 0 = use IP; 1 = use fast serdes model
+      g_nof_channels        : natural := 1;
+      g_direction           : string := "TX_RX";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_use_loopback        : boolean := false;
+      g_pre_header_padding  : boolean := false
+    );
+    port (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644   : in  std_logic := '0';  -- 644.531250 MHz for 10GBASE-R
+
+      -- Data clocks
+      clk_312          : in  std_logic := '0';
+      clk_156          : in  std_logic := '0';
+      rst_156          : in  std_logic := '0';
+
+      -- MM
+      mm_clk           : in  std_logic;
+      mm_rst           : in  std_logic;
+
+      mac_mosi         : in  t_mem_mosi;  -- MAG_10G (CSR)
+      mac_miso         : out t_mem_miso;
+
+      reg_eth10g_mosi  : in  t_mem_mosi;  -- ETH10G (link status register)
+      reg_eth10g_miso  : out t_mem_miso;
+
+      reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi     : in  t_mem_mosi := c_mem_mosi_rst;
+      reg_ip_arria10_e2sg_phy_10gbase_r_24_miso     : out t_mem_miso;
+
+      -- ST
+      tx_snk_in_arr    : in  t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      tx_snk_out_arr   : out t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      rx_src_out_arr   : out t_dp_sosi_arr(g_nof_channels - 1 downto 0);  -- 64 bit data @ clk_156
+      rx_src_in_arr    : in  t_dp_siso_arr(g_nof_channels - 1 downto 0);
+
+      -- Serial
+      serial_tx_arr    : out std_logic_vector(g_nof_channels - 1 downto 0);
+      serial_rx_arr    : in  std_logic_vector(g_nof_channels - 1 downto 0)
+    );
   end component;
 
 end tech_eth_10g_component_pkg;
diff --git a/libraries/technology/eth_10g/tech_eth_10g_stratixiv.vhd b/libraries/technology/eth_10g/tech_eth_10g_stratixiv.vhd
index 6daed47bbd..6a1210550a 100644
--- a/libraries/technology/eth_10g/tech_eth_10g_stratixiv.vhd
+++ b/libraries/technology/eth_10g/tech_eth_10g_stratixiv.vhd
@@ -76,14 +76,14 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_mac_10g_lib, ip_stratixiv_eth_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
-use work.tech_eth_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use work.tech_eth_10g_component_pkg.all;
 
 entity tech_eth_10g_stratixiv is
   generic (
@@ -137,48 +137,48 @@ architecture str of tech_eth_10g_stratixiv is
 begin
 
   u_ip_stratixiv_eth_10g : ip_stratixiv_eth_10g
-  generic map(
-    g_sim                => g_sim,
-    g_sim_level          => g_sim_level,
-    g_nof_channels       => g_nof_channels,
-    g_direction          => g_direction,
-    g_pre_header_padding => g_pre_header_padding
-  )
-  port map(
-    -- Transceiver PLL reference clock
-    tr_ref_clk_156   => tr_ref_clk_156,
-	tr_ref_rst_156   => tr_ref_rst_156,
-
-	-- Calibration & reconfig clock
-	cal_rec_clk      => cal_rec_clk,
-
-	-- Data clocks
-	tx_clk_arr_in    => tx_clk_arr_in,
-	tx_rst_arr_out   => tx_rst_arr_out,
-	rx_clk_arr_out   => rx_clk_arr_out,
-	rx_clk_arr_in    => rx_clk_arr_in,
-	rx_rst_arr_out   => rx_rst_arr_out,
-
-	-- MM
-	mm_clk           => mm_clk,
-	mm_rst           => mm_rst,
-
-	mac_mosi         => mac_mosi,
-	mac_miso         => mac_miso,
-
-	xaui_mosi        => xaui_mosi,
-	xaui_miso        => xaui_miso,
-
-	-- ST
-	tx_snk_in_arr    => tx_snk_in_arr,
-	tx_snk_out_arr   => tx_snk_out_arr,
-
-	rx_src_out_arr   => rx_src_out_arr,
-	rx_src_in_arr    => rx_src_in_arr,
-
-	-- XAUI serial IO
-	xaui_tx_arr      => xaui_tx_arr,
-	xaui_rx_arr      => xaui_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_sim_level          => g_sim_level,
+      g_nof_channels       => g_nof_channels,
+      g_direction          => g_direction,
+      g_pre_header_padding => g_pre_header_padding
+    )
+    port map(
+      -- Transceiver PLL reference clock
+      tr_ref_clk_156   => tr_ref_clk_156,
+      tr_ref_rst_156   => tr_ref_rst_156,
+
+      -- Calibration & reconfig clock
+      cal_rec_clk      => cal_rec_clk,
+
+      -- Data clocks
+      tx_clk_arr_in    => tx_clk_arr_in,
+      tx_rst_arr_out   => tx_rst_arr_out,
+      rx_clk_arr_out   => rx_clk_arr_out,
+      rx_clk_arr_in    => rx_clk_arr_in,
+      rx_rst_arr_out   => rx_rst_arr_out,
+
+      -- MM
+      mm_clk           => mm_clk,
+      mm_rst           => mm_rst,
+
+      mac_mosi         => mac_mosi,
+      mac_miso         => mac_miso,
+
+      xaui_mosi        => xaui_mosi,
+      xaui_miso        => xaui_miso,
+
+      -- ST
+      tx_snk_in_arr    => tx_snk_in_arr,
+      tx_snk_out_arr   => tx_snk_out_arr,
+
+      rx_src_out_arr   => rx_src_out_arr,
+      rx_src_in_arr    => rx_src_in_arr,
+
+      -- XAUI serial IO
+      xaui_tx_arr      => xaui_tx_arr,
+      xaui_rx_arr      => xaui_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/fifo/tech_fifo_component_pkg.vhd b/libraries/technology/fifo/tech_fifo_component_pkg.vhd
index 27b875cd8f..25d9fba5e8 100644
--- a/libraries/technology/fifo/tech_fifo_component_pkg.vhd
+++ b/libraries/technology/fifo/tech_fifo_component_pkg.vhd
@@ -22,8 +22,8 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_fifo_component_pkg is
 
@@ -32,63 +32,63 @@ package tech_fifo_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_stratixiv_fifo_sc is
-  generic (
-    g_use_eab    : string := "ON";
-    g_dat_w      : natural;
-    g_nof_words  : natural
-  );
-  port (
-    aclr  : in std_logic;
-    clock : in std_logic;
-    data  : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq : in std_logic;
-    wrreq : in std_logic;
-    empty : out std_logic;
-    full  : out std_logic;
-    q     : out std_logic_vector(g_dat_w - 1 downto 0);
-    usedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab    : string := "ON";
+      g_dat_w      : natural;
+      g_nof_words  : natural
+    );
+    port (
+      aclr  : in std_logic;
+      clock : in std_logic;
+      data  : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdreq : in std_logic;
+      wrreq : in std_logic;
+      empty : out std_logic;
+      full  : out std_logic;
+      q     : out std_logic_vector(g_dat_w - 1 downto 0);
+      usedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_fifo_dc is
-  generic (
-    g_dat_w      : natural;
-    g_nof_words  : natural
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic;
-    rdreq   : in std_logic;
-    wrclk   : in std_logic;
-    wrreq   : in std_logic;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_dat_w      : natural;
+      g_nof_words  : natural
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty : out std_logic;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull  : out std_logic;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_fifo_dc_mixed_widths is
-  generic (
-    g_nof_words  : natural;  -- FIFO size in nof wr_dat words
-    g_wrdat_w    : natural;
-    g_rddat_w    : natural
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic;
-    rdreq   : in std_logic;
-    wrclk   : in std_logic;
-    wrreq   : in std_logic;
-    q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_nof_words  : natural;  -- FIFO size in nof wr_dat words
+      g_wrdat_w    : natural;
+      g_rddat_w    : natural
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q       : out std_logic_vector(g_rddat_w - 1 downto 0);
+      rdempty : out std_logic;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
+      wrfull  : out std_logic;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
 
@@ -97,64 +97,64 @@ package tech_fifo_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_fifo_sc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
-    usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic ;
+      clock   : in std_logic ;
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdreq   : in std_logic ;
+      wrreq   : in std_logic ;
+      empty   : out std_logic ;
+      full    : out std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+      usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_fifo_dc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_fifo_dc_mixed_widths is
-  generic (
-    g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
-    g_wrdat_w   : natural := 20;
-    g_rddat_w   : natural := 10
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
+      g_wrdat_w   : natural := 20;
+      g_rddat_w   : natural := 10
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_rddat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -162,64 +162,64 @@ package tech_fifo_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_fifo_sc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
-    usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic ;
+      clock   : in std_logic ;
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdreq   : in std_logic ;
+      wrreq   : in std_logic ;
+      empty   : out std_logic ;
+      full    : out std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+      usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e3sge3_fifo_dc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e3sge3_fifo_dc_mixed_widths is
-  generic (
-    g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
-    g_wrdat_w   : natural := 20;
-    g_rddat_w   : natural := 10
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
+      g_wrdat_w   : natural := 20;
+      g_rddat_w   : natural := 10
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_rddat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -227,64 +227,64 @@ package tech_fifo_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_fifo_sc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
-    usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic ;
+      clock   : in std_logic ;
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdreq   : in std_logic ;
+      wrreq   : in std_logic ;
+      empty   : out std_logic ;
+      full    : out std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+      usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_fifo_dc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_fifo_dc_mixed_widths is
-  generic (
-    g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
-    g_wrdat_w   : natural := 20;
-    g_rddat_w   : natural := 10
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
+      g_wrdat_w   : natural := 20;
+      g_rddat_w   : natural := 10
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_rddat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -292,64 +292,64 @@ package tech_fifo_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_fifo_sc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
-    usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic ;
+      clock   : in std_logic ;
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdreq   : in std_logic ;
+      wrreq   : in std_logic ;
+      empty   : out std_logic ;
+      full    : out std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+      usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_fifo_dc is
-  generic (
-    g_use_eab   : string := "ON";
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_use_eab   : string := "ON";
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_fifo_dc_mixed_widths is
-  generic (
-    g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
-    g_wrdat_w   : natural := 20;
-    g_rddat_w   : natural := 10
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
+      g_wrdat_w   : natural := 20;
+      g_rddat_w   : natural := 10
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_rddat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -357,62 +357,62 @@ package tech_fifo_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_ultrascale_fifo_sc is
-  generic (
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
-    usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic ;
+      clock   : in std_logic ;
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdreq   : in std_logic ;
+      wrreq   : in std_logic ;
+      empty   : out std_logic ;
+      full    : out std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+      usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_ultrascale_fifo_dc is
-  generic (
-    g_dat_w     : natural := 20;
-    g_nof_words : natural := 1024
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_dat_w     : natural := 20;
+      g_nof_words : natural := 1024
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
   component ip_ultrascale_fifo_dc_mixed_widths is
-  generic (
-    g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
-    g_wrdat_w   : natural := 20;
-    g_rddat_w   : natural := 10
-  );
-  port (
-    aclr    : in std_logic  := '0';
-    data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
-    q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
-    rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
-    wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    generic (
+      g_nof_words : natural := 1024;  -- FIFO size in nof wr_dat words
+      g_wrdat_w   : natural := 20;
+      g_rddat_w   : natural := 10
+    );
+    port (
+      aclr    : in std_logic  := '0';
+      data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
+      rdclk   : in std_logic ;
+      rdreq   : in std_logic ;
+      wrclk   : in std_logic ;
+      wrreq   : in std_logic ;
+      q       : out std_logic_vector(g_rddat_w - 1 downto 0);
+      rdempty : out std_logic ;
+      rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
+      wrfull  : out std_logic ;
+      wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+    );
   end component;
 
 end tech_fifo_component_pkg;
diff --git a/libraries/technology/fifo/tech_fifo_dc.vhd b/libraries/technology/fifo/tech_fifo_dc.vhd
index db5ca404fb..78a9e8d793 100644
--- a/libraries/technology/fifo/tech_fifo_dc.vhd
+++ b/libraries/technology/fifo/tech_fifo_dc.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_fifo_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_fifo_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_fifo_lib;
@@ -62,38 +62,38 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_fifo_dc
-    generic map (g_dat_w, g_nof_words)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_dat_w, g_nof_words)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_fifo_dc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_fifo_dc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_fifo_dc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_fifo_dc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_ultrascale : if g_technology = c_tech_ultrascale generate
     u0 : ip_ultrascale_fifo_dc
-    generic map (g_dat_w, g_nof_words)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_dat_w, g_nof_words)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/fifo/tech_fifo_dc_mixed_widths.vhd b/libraries/technology/fifo/tech_fifo_dc_mixed_widths.vhd
index 3f17a2415e..68212ef7ec 100644
--- a/libraries/technology/fifo/tech_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/fifo/tech_fifo_dc_mixed_widths.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_fifo_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_fifo_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_fifo_lib;
@@ -62,38 +62,38 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_fifo_dc_mixed_widths
-    generic map (g_nof_words, g_wrdat_w, g_rddat_w)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_nof_words, g_wrdat_w, g_rddat_w)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_fifo_dc_mixed_widths
-    generic map (g_nof_words, g_wrdat_w, g_rddat_w)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_nof_words, g_wrdat_w, g_rddat_w)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_fifo_dc_mixed_widths
-    generic map (g_nof_words, g_wrdat_w, g_rddat_w)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_nof_words, g_wrdat_w, g_rddat_w)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_fifo_dc_mixed_widths
-    generic map (g_nof_words, g_wrdat_w, g_rddat_w)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_nof_words, g_wrdat_w, g_rddat_w)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_fifo_dc_mixed_widths
-    generic map (g_nof_words, g_wrdat_w, g_rddat_w)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_nof_words, g_wrdat_w, g_rddat_w)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
   gen_ip_ultrascale : if g_technology = c_tech_ultrascale generate
     u0 : ip_ultrascale_fifo_dc_mixed_widths
-    generic map (g_nof_words, g_wrdat_w, g_rddat_w)
-    port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
+      generic map (g_nof_words, g_wrdat_w, g_rddat_w)
+      port map (aclr, data, rdclk, rdreq, wrclk, wrreq, q, rdempty, rdusedw, wrfull, wrusedw);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/fifo/tech_fifo_sc.vhd b/libraries/technology/fifo/tech_fifo_sc.vhd
index 502722f065..e5c9e9d96f 100644
--- a/libraries/technology/fifo/tech_fifo_sc.vhd
+++ b/libraries/technology/fifo/tech_fifo_sc.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_fifo_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_fifo_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_fifo_lib;
@@ -60,38 +60,38 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_fifo_sc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_fifo_sc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_fifo_sc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_fifo_sc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_fifo_sc
-    generic map (g_use_eab, g_dat_w, g_nof_words)
-    port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
+      generic map (g_use_eab, g_dat_w, g_nof_words)
+      port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
   end generate;
 
   gen_ip_ultrascale : if g_technology = c_tech_ultrascale generate
     u0 : ip_ultrascale_fifo_sc
-    generic map (g_dat_w, g_nof_words)
-    port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
+      generic map (g_dat_w, g_nof_words)
+      port map (aclr, clock, data, rdreq, wrreq, empty, full, q, usedw);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/flash/tech_flash_asmi_parallel.vhd b/libraries/technology/flash/tech_flash_asmi_parallel.vhd
index 61e250d943..1a9178b3ce 100644
--- a/libraries/technology/flash/tech_flash_asmi_parallel.vhd
+++ b/libraries/technology/flash/tech_flash_asmi_parallel.vhd
@@ -22,10 +22,10 @@
 -- Purpose : Active Serial Memory Interface to flash device
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_flash_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_flash_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_flash_lib;
@@ -68,8 +68,8 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_asmi_parallel
-    generic map (g_sim_flash_model)
-    port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write);
+      generic map (g_sim_flash_model)
+      port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write);
   end generate;
 
   -- Note 1: addr must be 32 bits
@@ -77,22 +77,22 @@ begin
   -- Note 3: ug_altasmi_parallel.pdf not clear what sce(2 downto 0) is for
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_asmi_parallel
-    port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
+      port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_asmi_parallel
-    port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
+      port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_asmi_parallel
-    port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
+      port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_asmi_parallel
-    port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
+      port map (addr, clkin, datain, rden, read, sector_erase, shift_bytes, wren, write, busy, data_valid, dataout, illegal_erase, illegal_write, reset, sce, en4b_addr);
   end generate;
 
 
diff --git a/libraries/technology/flash/tech_flash_component_pkg.vhd b/libraries/technology/flash/tech_flash_component_pkg.vhd
index 73f80b086d..1610834f5e 100644
--- a/libraries/technology/flash/tech_flash_component_pkg.vhd
+++ b/libraries/technology/flash/tech_flash_component_pkg.vhd
@@ -22,8 +22,8 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_flash_component_pkg is
 
@@ -32,40 +32,40 @@ package tech_flash_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_stratixiv_asmi_parallel is
-  generic (
-    g_sim_flash_model : boolean := false
-  );
-  port (
-    addr          : in std_logic_vector(23 downto 0);
-    clkin         : in std_logic ;
-    datain        : in std_logic_vector(7 downto 0);
-    rden          : in std_logic ;
-    read          : in std_logic ;
-    sector_erase  : in std_logic ;
-    shift_bytes   : in std_logic ;
-    wren          : in std_logic ;
-    write         : in std_logic ;
-    busy          : out std_logic ;
-    data_valid    : out std_logic ;
-    dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic ;
-    illegal_write : out std_logic
-  );
+    generic (
+      g_sim_flash_model : boolean := false
+    );
+    port (
+      addr          : in std_logic_vector(23 downto 0);
+      clkin         : in std_logic ;
+      datain        : in std_logic_vector(7 downto 0);
+      rden          : in std_logic ;
+      read          : in std_logic ;
+      sector_erase  : in std_logic ;
+      shift_bytes   : in std_logic ;
+      wren          : in std_logic ;
+      write         : in std_logic ;
+      busy          : out std_logic ;
+      data_valid    : out std_logic ;
+      dataout       : out std_logic_vector(7 downto 0);
+      illegal_erase : out std_logic ;
+      illegal_write : out std_logic
+    );
   end component;
 
   component ip_stratixiv_remote_update is
-  port (
-    clock       : in std_logic ;
-    data_in     : in std_logic_vector(23 downto 0);
-    param       : in std_logic_vector(2 downto 0);
-    read_param  : in std_logic ;
-    reconfig    : in std_logic ;
-    reset       : in std_logic ;
-    reset_timer : in std_logic ;
-    write_param : in std_logic ;
-    busy        : out std_logic ;
-    data_out    : out std_logic_vector(23 downto 0)
-  );
+    port (
+      clock       : in std_logic ;
+      data_in     : in std_logic_vector(23 downto 0);
+      param       : in std_logic_vector(2 downto 0);
+      read_param  : in std_logic ;
+      reconfig    : in std_logic ;
+      reset       : in std_logic ;
+      reset_timer : in std_logic ;
+      write_param : in std_logic ;
+      busy        : out std_logic ;
+      data_out    : out std_logic_vector(23 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -73,43 +73,43 @@ package tech_flash_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_asmi_parallel is
-  port (
-    addr          : in  std_logic_vector(31 downto 0);
-    clkin         : in  std_logic;
-    datain        : in  std_logic_vector(7 downto 0);
-    rden          : in  std_logic;
-    read          : in  std_logic;
-    sector_erase  : in  std_logic;
-    shift_bytes   : in  std_logic;
-    wren          : in  std_logic;
-    write         : in  std_logic;
-    busy          : out std_logic;
-    data_valid    : out std_logic;
-    dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic;
-    illegal_write : out std_logic;
-    reset         : in  std_logic;
-    sce           : in  std_logic_vector(2 downto 0);
-    en4b_addr     : in  std_logic
-  );
+    port (
+      addr          : in  std_logic_vector(31 downto 0);
+      clkin         : in  std_logic;
+      datain        : in  std_logic_vector(7 downto 0);
+      rden          : in  std_logic;
+      read          : in  std_logic;
+      sector_erase  : in  std_logic;
+      shift_bytes   : in  std_logic;
+      wren          : in  std_logic;
+      write         : in  std_logic;
+      busy          : out std_logic;
+      data_valid    : out std_logic;
+      dataout       : out std_logic_vector(7 downto 0);
+      illegal_erase : out std_logic;
+      illegal_write : out std_logic;
+      reset         : in  std_logic;
+      sce           : in  std_logic_vector(2 downto 0);
+      en4b_addr     : in  std_logic
+    );
   end component ip_arria10_asmi_parallel;
 
   -- note the EPCQ-L1024 device appears not to be supported yet.
   -- the EPCA-512 was chosen instead
 
   component ip_arria10_remote_update is
-  port (
-    clock       : in  std_logic;
-    data_in     : in  std_logic_vector(31 downto 0);
-    param       : in  std_logic_vector(2 downto 0);
-    read_param  : in  std_logic;
-    reconfig    : in  std_logic;
-    reset       : in  std_logic;
-    reset_timer : in  std_logic;
-    write_param : in  std_logic;
-    busy        : out std_logic;
-    data_out    : out std_logic_vector(31 downto 0)
-  );
+    port (
+      clock       : in  std_logic;
+      data_in     : in  std_logic_vector(31 downto 0);
+      param       : in  std_logic_vector(2 downto 0);
+      read_param  : in  std_logic;
+      reconfig    : in  std_logic;
+      reset       : in  std_logic;
+      reset_timer : in  std_logic;
+      write_param : in  std_logic;
+      busy        : out std_logic;
+      data_out    : out std_logic_vector(31 downto 0)
+    );
   end component ip_arria10_remote_update;
 
 
@@ -118,40 +118,40 @@ package tech_flash_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_asmi_parallel is
-  port (
-    addr          : in  std_logic_vector(31 downto 0);
-    clkin         : in  std_logic;
-    datain        : in  std_logic_vector(7 downto 0);
-    rden          : in  std_logic;
-    read          : in  std_logic;
-    sector_erase  : in  std_logic;
-    shift_bytes   : in  std_logic;
-    wren          : in  std_logic;
-    write         : in  std_logic;
-    busy          : out std_logic;
-    data_valid    : out std_logic;
-    dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic;
-    illegal_write : out std_logic;
-    reset         : in  std_logic;
-    sce           : in  std_logic_vector(2 downto 0);
-    en4b_addr     : in  std_logic
-  );
+    port (
+      addr          : in  std_logic_vector(31 downto 0);
+      clkin         : in  std_logic;
+      datain        : in  std_logic_vector(7 downto 0);
+      rden          : in  std_logic;
+      read          : in  std_logic;
+      sector_erase  : in  std_logic;
+      shift_bytes   : in  std_logic;
+      wren          : in  std_logic;
+      write         : in  std_logic;
+      busy          : out std_logic;
+      data_valid    : out std_logic;
+      dataout       : out std_logic_vector(7 downto 0);
+      illegal_erase : out std_logic;
+      illegal_write : out std_logic;
+      reset         : in  std_logic;
+      sce           : in  std_logic_vector(2 downto 0);
+      en4b_addr     : in  std_logic
+    );
   end component ip_arria10_e3sge3_asmi_parallel;
 
   component ip_arria10_e3sge3_remote_update is
-  port (
-    clock       : in  std_logic;
-    data_in     : in  std_logic_vector(31 downto 0);
-    param       : in  std_logic_vector(2 downto 0);
-    read_param  : in  std_logic;
-    reconfig    : in  std_logic;
-    reset       : in  std_logic;
-    reset_timer : in  std_logic;
-    write_param : in  std_logic;
-    busy        : out std_logic;
-    data_out    : out std_logic_vector(31 downto 0)
-  );
+    port (
+      clock       : in  std_logic;
+      data_in     : in  std_logic_vector(31 downto 0);
+      param       : in  std_logic_vector(2 downto 0);
+      read_param  : in  std_logic;
+      reconfig    : in  std_logic;
+      reset       : in  std_logic;
+      reset_timer : in  std_logic;
+      write_param : in  std_logic;
+      busy        : out std_logic;
+      data_out    : out std_logic_vector(31 downto 0)
+    );
   end component ip_arria10_e3sge3_remote_update;
 
   -----------------------------------------------------------------------------
@@ -159,40 +159,40 @@ package tech_flash_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_asmi_parallel is
-  port (
-    addr          : in  std_logic_vector(31 downto 0);
-    clkin         : in  std_logic;
-    datain        : in  std_logic_vector(7 downto 0);
-    rden          : in  std_logic;
-    read          : in  std_logic;
-    sector_erase  : in  std_logic;
-    shift_bytes   : in  std_logic;
-    wren          : in  std_logic;
-    write         : in  std_logic;
-    busy          : out std_logic;
-    data_valid    : out std_logic;
-    dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic;
-    illegal_write : out std_logic;
-    reset         : in  std_logic;
-    sce           : in  std_logic_vector(2 downto 0);
-    en4b_addr     : in  std_logic
-  );
+    port (
+      addr          : in  std_logic_vector(31 downto 0);
+      clkin         : in  std_logic;
+      datain        : in  std_logic_vector(7 downto 0);
+      rden          : in  std_logic;
+      read          : in  std_logic;
+      sector_erase  : in  std_logic;
+      shift_bytes   : in  std_logic;
+      wren          : in  std_logic;
+      write         : in  std_logic;
+      busy          : out std_logic;
+      data_valid    : out std_logic;
+      dataout       : out std_logic_vector(7 downto 0);
+      illegal_erase : out std_logic;
+      illegal_write : out std_logic;
+      reset         : in  std_logic;
+      sce           : in  std_logic_vector(2 downto 0);
+      en4b_addr     : in  std_logic
+    );
   end component ip_arria10_e1sg_asmi_parallel;
 
   component ip_arria10_e1sg_remote_update is
-  port (
-    clock       : in  std_logic;
-    data_in     : in  std_logic_vector(31 downto 0);
-    param       : in  std_logic_vector(2 downto 0);
-    read_param  : in  std_logic;
-    reconfig    : in  std_logic;
-    reset       : in  std_logic;
-    reset_timer : in  std_logic;
-    write_param : in  std_logic;
-    busy        : out std_logic;
-    data_out    : out std_logic_vector(31 downto 0)
-  );
+    port (
+      clock       : in  std_logic;
+      data_in     : in  std_logic_vector(31 downto 0);
+      param       : in  std_logic_vector(2 downto 0);
+      read_param  : in  std_logic;
+      reconfig    : in  std_logic;
+      reset       : in  std_logic;
+      reset_timer : in  std_logic;
+      write_param : in  std_logic;
+      busy        : out std_logic;
+      data_out    : out std_logic_vector(31 downto 0)
+    );
   end component ip_arria10_e1sg_remote_update;
 
   -----------------------------------------------------------------------------
@@ -200,72 +200,72 @@ package tech_flash_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_asmi_parallel is
-  port (
-    addr          : in  std_logic_vector(31 downto 0);
-    clkin         : in  std_logic;
-    datain        : in  std_logic_vector(7 downto 0);
-    rden          : in  std_logic;
-    read          : in  std_logic;
-    sector_erase  : in  std_logic;
-    shift_bytes   : in  std_logic;
-    wren          : in  std_logic;
-    write         : in  std_logic;
-    busy          : out std_logic;
-    data_valid    : out std_logic;
-    dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic;
-    illegal_write : out std_logic;
-    reset         : in  std_logic;
-    sce           : in  std_logic_vector(2 downto 0);
-    en4b_addr     : in  std_logic
-  );
+    port (
+      addr          : in  std_logic_vector(31 downto 0);
+      clkin         : in  std_logic;
+      datain        : in  std_logic_vector(7 downto 0);
+      rden          : in  std_logic;
+      read          : in  std_logic;
+      sector_erase  : in  std_logic;
+      shift_bytes   : in  std_logic;
+      wren          : in  std_logic;
+      write         : in  std_logic;
+      busy          : out std_logic;
+      data_valid    : out std_logic;
+      dataout       : out std_logic_vector(7 downto 0);
+      illegal_erase : out std_logic;
+      illegal_write : out std_logic;
+      reset         : in  std_logic;
+      sce           : in  std_logic_vector(2 downto 0);
+      en4b_addr     : in  std_logic
+    );
   end component ip_arria10_e2sg_asmi_parallel;
 
   component ip_arria10_e2sg_remote_update is
-  port (
-    clock       : in  std_logic;
-    data_in     : in  std_logic_vector(31 downto 0);
-    param       : in  std_logic_vector(2 downto 0);
-    read_param  : in  std_logic;
-    reconfig    : in  std_logic;
-    reset       : in  std_logic;
-    reset_timer : in  std_logic;
-    write_param : in  std_logic;
-    busy        : out std_logic;
-    data_out    : out std_logic_vector(31 downto 0)
-  );
+    port (
+      clock       : in  std_logic;
+      data_in     : in  std_logic_vector(31 downto 0);
+      param       : in  std_logic_vector(2 downto 0);
+      read_param  : in  std_logic;
+      reconfig    : in  std_logic;
+      reset       : in  std_logic;
+      reset_timer : in  std_logic;
+      write_param : in  std_logic;
+      busy        : out std_logic;
+      data_out    : out std_logic_vector(31 downto 0)
+    );
   end component ip_arria10_e2sg_remote_update;
 
-  function tech_flash_addr_w( technology: in integer ) return integer;
-  function tech_flash_data_w( technology: in integer ) return integer;
+  function tech_flash_addr_w ( technology: in integer ) return integer;
+  function tech_flash_data_w ( technology: in integer ) return integer;
 
 end tech_flash_component_pkg;
 
 package body tech_flash_component_pkg is
 
-  function tech_flash_addr_w( technology : in integer )  return integer is
+  function tech_flash_addr_w ( technology : in integer ) return integer is
   begin
     if technology = c_tech_stratixiv then
-        return 24;
+      return 24;
     end if;
     if technology = c_tech_arria10_proto then
-        return 32;
+      return 32;
     end if;
     if technology = c_tech_arria10_e3sge3 or technology = c_tech_arria10_e1sg or technology = c_tech_arria10_e2sg then
-        return 32;
+      return 32;
     end if;
   end;
 
-  function tech_flash_data_w( technology : in integer )  return integer is
+  function tech_flash_data_w ( technology : in integer ) return integer is
   begin
     if technology = c_tech_stratixiv then
-        return 24;
+      return 24;
     end if;
     if technology = c_tech_arria10_proto then
-        return 32;
+      return 32;
     end if;
     if technology = c_tech_arria10_e3sge3 or technology = c_tech_arria10_e1sg or technology = c_tech_arria10_e2sg then
-        return 32;
+      return 32;
     end if;
   end;
 
diff --git a/libraries/technology/flash/tech_flash_remote_update.vhd b/libraries/technology/flash/tech_flash_remote_update.vhd
index f312a5f4ea..877fca6324 100644
--- a/libraries/technology/flash/tech_flash_remote_update.vhd
+++ b/libraries/technology/flash/tech_flash_remote_update.vhd
@@ -22,10 +22,10 @@
 -- Purpose : Remote update from flash device
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_flash_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_flash_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_flash_lib;
@@ -59,7 +59,7 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_remote_update
-    port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
+      port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
   end generate;
 
   -- note 1: data_in and data_out must increase to 32 bits
@@ -67,22 +67,22 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_remote_update
-    port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
+      port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_remote_update
-    port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
+      port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_remote_update
-    port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
+      port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_remote_update
-    port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
+      port map (clock, data_in, param, read_param, reconfig, reset, reset_timer, write_param, busy, data_out);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens.vhd b/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens.vhd
index fc52633eee..037049ed74 100644
--- a/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens.vhd
+++ b/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens.vhd
@@ -20,12 +20,12 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
---USE ip_arria10_temp_sense_altera_temp_sense_150.ip_arria10_temp_sense_pkg.all;
-use work.tech_fpga_temp_sens_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  --USE ip_arria10_temp_sense_altera_temp_sense_150.ip_arria10_temp_sense_pkg.all;
+  use work.tech_fpga_temp_sens_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 library ip_arria10_temp_sense_altera_temp_sense_150;
 library ip_arria10_e3sge3_temp_sense_altera_temp_sense_151;
@@ -37,12 +37,12 @@ entity tech_fpga_temp_sens is
   generic (
     g_technology : natural := c_tech_select_default
   );
-	port (
-		corectl : in  std_logic             := '0';  -- corectl.corectl
-		eoc     : out std_logic;  -- eoc.eoc
-		reset   : in  std_logic             := '0';  -- reset.reset
-		tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
-	);
+  port (
+    corectl : in  std_logic             := '0';  -- corectl.corectl
+    eoc     : out std_logic;  -- eoc.eoc
+    reset   : in  std_logic             := '0';  -- reset.reset
+    tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
+  );
 end tech_fpga_temp_sens;
 
 architecture str of tech_fpga_temp_sens is
@@ -50,43 +50,43 @@ architecture str of tech_fpga_temp_sens is
 begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
-	  u0 : ip_arria10_temp_sense
-		port map (
-			corectl => corectl,  -- corectl.corectl
-			reset   => reset,  -- reset.reset
-			tempout => tempout,  -- tempout.tempout
-			eoc     => eoc  -- eoc.eoc
-		);
+    u0 : ip_arria10_temp_sense
+      port map (
+        corectl => corectl,  -- corectl.corectl
+        reset   => reset,  -- reset.reset
+        tempout => tempout,  -- tempout.tempout
+        eoc     => eoc  -- eoc.eoc
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
-	  u0 : ip_arria10_e3sge3_temp_sense
-		port map (
-			corectl => corectl,  -- corectl.corectl
-			reset   => reset,  -- reset.reset
-			tempout => tempout,  -- tempout.tempout
-			eoc     => eoc  -- eoc.eoc
-		);
+    u0 : ip_arria10_e3sge3_temp_sense
+      port map (
+        corectl => corectl,  -- corectl.corectl
+        reset   => reset,  -- reset.reset
+        tempout => tempout,  -- tempout.tempout
+        eoc     => eoc  -- eoc.eoc
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
-	  u0 : ip_arria10_e1sg_temp_sense
-		port map (
-			corectl => corectl,  -- corectl.corectl
-			reset   => reset,  -- reset.reset
-			tempout => tempout,  -- tempout.tempout
-			eoc     => eoc  -- eoc.eoc
-		);
+    u0 : ip_arria10_e1sg_temp_sense
+      port map (
+        corectl => corectl,  -- corectl.corectl
+        reset   => reset,  -- reset.reset
+        tempout => tempout,  -- tempout.tempout
+        eoc     => eoc  -- eoc.eoc
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
-      u0 : ip_arria10_e2sg_temp_sense
-        port map (
-            corectl => corectl,  -- corectl.corectl
-            reset   => reset,  -- reset.reset
-            tempout => tempout,  -- tempout.tempout
-            eoc     => eoc  -- eoc.eoc
-        );
+    u0 : ip_arria10_e2sg_temp_sense
+      port map (
+        corectl => corectl,  -- corectl.corectl
+        reset   => reset,  -- reset.reset
+        tempout => tempout,  -- tempout.tempout
+        eoc     => eoc  -- eoc.eoc
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens_component_pkg.vhd b/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens_component_pkg.vhd
index 0f7d7b6256..3638827c9a 100644
--- a/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens_component_pkg.vhd
+++ b/libraries/technology/fpga_temp_sens/tech_fpga_temp_sens_component_pkg.vhd
@@ -22,44 +22,44 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_fpga_temp_sens_component_pkg is
 
   component ip_arria10_temp_sense is
-  	port (
-  		corectl : in  std_logic := '0';  -- corectl.corectl
-  		eoc     : out std_logic;  -- eoc.eoc
-  		reset   : in  std_logic := '0';  -- reset.reset
-  		tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
-  	);
+    port (
+      corectl : in  std_logic := '0';  -- corectl.corectl
+      eoc     : out std_logic;  -- eoc.eoc
+      reset   : in  std_logic := '0';  -- reset.reset
+      tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
+    );
   end component;
 
   component ip_arria10_e3sge3_temp_sense is
-  	port (
-  		corectl : in  std_logic := '0';  -- corectl.corectl
-  		eoc     : out std_logic;  -- eoc.eoc
-  		reset   : in  std_logic := '0';  -- reset.reset
-  		tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
-  	);
+    port (
+      corectl : in  std_logic := '0';  -- corectl.corectl
+      eoc     : out std_logic;  -- eoc.eoc
+      reset   : in  std_logic := '0';  -- reset.reset
+      tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
+    );
   end component;
 
   component ip_arria10_e1sg_temp_sense is
-  	port (
-  		corectl : in  std_logic := '0';  -- corectl.corectl
-  		eoc     : out std_logic;  -- eoc.eoc
-  		reset   : in  std_logic := '0';  -- reset.reset
-  		tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
-  	);
+    port (
+      corectl : in  std_logic := '0';  -- corectl.corectl
+      eoc     : out std_logic;  -- eoc.eoc
+      reset   : in  std_logic := '0';  -- reset.reset
+      tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
+    );
   end component;
 
   component ip_arria10_e2sg_temp_sense is
-      port (
-          corectl : in  std_logic := '0';  -- corectl.corectl
-          eoc     : out std_logic;  -- eoc.eoc
-          reset   : in  std_logic := '0';  -- reset.reset
-          tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
-      );
+    port (
+      corectl : in  std_logic := '0';  -- corectl.corectl
+      eoc     : out std_logic;  -- eoc.eoc
+      reset   : in  std_logic := '0';  -- reset.reset
+      tempout : out std_logic_vector(9 downto 0)  -- tempout.tempout
+    );
   end component;
 
 end tech_fpga_temp_sens_component_pkg;
diff --git a/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens.vhd b/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens.vhd
index 0eff6ac024..6037118270 100644
--- a/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens.vhd
+++ b/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use work.tech_fpga_voltage_sens_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use work.tech_fpga_voltage_sens_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 library ip_arria10_voltage_sense_altera_voltage_sense_150;
 library ip_arria10_e3sge3_voltage_sense_altera_voltage_sense_151;
diff --git a/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens_component_pkg.vhd b/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens_component_pkg.vhd
index 0835ef8414..40ee5c498f 100644
--- a/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens_component_pkg.vhd
+++ b/libraries/technology/fpga_voltage_sens/tech_fpga_voltage_sens_component_pkg.vhd
@@ -22,80 +22,80 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_fpga_voltage_sens_component_pkg is
 
   component ip_arria10_voltage_sense is
-  	port (
-  		clock_clk                    : in  std_logic := '0';
-  		reset_sink_reset             : in  std_logic;
-  		controller_csr_address       : in  std_logic := '0';
-  		controller_csr_read          : in  std_logic := '0';
-  		controller_csr_write         : in  std_logic := '0';
-  		controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
-  		controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
-  		sample_store_csr_read        : in  std_logic := '0';
-  		sample_store_csr_write       : in  std_logic := '0';
-  		sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_irq_irq         : out std_logic
-  	);
+    port (
+      clock_clk                    : in  std_logic := '0';
+      reset_sink_reset             : in  std_logic;
+      controller_csr_address       : in  std_logic := '0';
+      controller_csr_read          : in  std_logic := '0';
+      controller_csr_write         : in  std_logic := '0';
+      controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
+      controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
+      sample_store_csr_read        : in  std_logic := '0';
+      sample_store_csr_write       : in  std_logic := '0';
+      sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_irq_irq         : out std_logic
+    );
   end component;
 
   component ip_arria10_e3sge3_voltage_sense is
-  	port (
-  		clock_clk                    : in  std_logic := '0';
-  		reset_sink_reset             : in  std_logic;
-  		controller_csr_address       : in  std_logic := '0';
-  		controller_csr_read          : in  std_logic := '0';
-  		controller_csr_write         : in  std_logic := '0';
-  		controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
-  		controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
-  		sample_store_csr_read        : in  std_logic := '0';
-  		sample_store_csr_write       : in  std_logic := '0';
-  		sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_irq_irq         : out std_logic
-  	);
+    port (
+      clock_clk                    : in  std_logic := '0';
+      reset_sink_reset             : in  std_logic;
+      controller_csr_address       : in  std_logic := '0';
+      controller_csr_read          : in  std_logic := '0';
+      controller_csr_write         : in  std_logic := '0';
+      controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
+      controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
+      sample_store_csr_read        : in  std_logic := '0';
+      sample_store_csr_write       : in  std_logic := '0';
+      sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_irq_irq         : out std_logic
+    );
   end component;
 
   component ip_arria10_e1sg_voltage_sense is
-  	port (
-  		clock_clk                    : in  std_logic := '0';
-  		reset_sink_reset             : in  std_logic;
-  		controller_csr_address       : in  std_logic := '0';
-  		controller_csr_read          : in  std_logic := '0';
-  		controller_csr_write         : in  std_logic := '0';
-  		controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
-  		controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
-  		sample_store_csr_read        : in  std_logic := '0';
-  		sample_store_csr_write       : in  std_logic := '0';
-  		sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-  		sample_store_irq_irq         : out std_logic
-  	);
+    port (
+      clock_clk                    : in  std_logic := '0';
+      reset_sink_reset             : in  std_logic;
+      controller_csr_address       : in  std_logic := '0';
+      controller_csr_read          : in  std_logic := '0';
+      controller_csr_write         : in  std_logic := '0';
+      controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
+      controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
+      sample_store_csr_read        : in  std_logic := '0';
+      sample_store_csr_write       : in  std_logic := '0';
+      sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_irq_irq         : out std_logic
+    );
   end component;
 
   component ip_arria10_e2sg_voltage_sense is
-      port (
-          clock_clk                    : in  std_logic := '0';
-          reset_sink_reset             : in  std_logic;
-          controller_csr_address       : in  std_logic := '0';
-          controller_csr_read          : in  std_logic := '0';
-          controller_csr_write         : in  std_logic := '0';
-          controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
-          controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-          sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
-          sample_store_csr_read        : in  std_logic := '0';
-          sample_store_csr_write       : in  std_logic := '0';
-          sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
-          sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
-          sample_store_irq_irq         : out std_logic
-      );
+    port (
+      clock_clk                    : in  std_logic := '0';
+      reset_sink_reset             : in  std_logic;
+      controller_csr_address       : in  std_logic := '0';
+      controller_csr_read          : in  std_logic := '0';
+      controller_csr_write         : in  std_logic := '0';
+      controller_csr_writedata     : in  std_logic_vector(31 downto 0) := (others => '0');
+      controller_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_address     : in  std_logic_vector(3 downto 0) := "0000";
+      sample_store_csr_read        : in  std_logic := '0';
+      sample_store_csr_write       : in  std_logic := '0';
+      sample_store_csr_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_csr_readdata      : out std_logic_vector(31 downto 0) := (others => '0');
+      sample_store_irq_irq         : out std_logic
+    );
   end component;
 
 end tech_fpga_voltage_sens_component_pkg;
diff --git a/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd b/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd
index ebbcfee8b4..a7a6e111d7 100644
--- a/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd
+++ b/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_fractional_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_fractional_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_fractional_pll_clk125_altera_xcvr_fpll_a10_150;
@@ -52,58 +52,58 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_fractional_pll_clk125
-    port map (
-  		outclk0       => c0,  -- outclk0.clk
-  		outclk1       => c1,  -- outclk1.clk
-  		outclk2       => c2,  -- outclk2.clk
-  		outclk3       => c3,  -- outclk3.clk
-  		pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-  		pll_locked    => locked,  -- pll_locked.pll_locked
-  		pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-  		pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        outclk3       => c3,  -- outclk3.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_fractional_pll_clk125
-    port map (
-  		outclk0       => c0,  -- outclk0.clk
-  		outclk1       => c1,  -- outclk1.clk
-  		outclk2       => c2,  -- outclk2.clk
-  		outclk3       => c3,  -- outclk3.clk
-  		pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-  		pll_locked    => locked,  -- pll_locked.pll_locked
-  		pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-  		pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        outclk3       => c3,  -- outclk3.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_fractional_pll_clk125
-    port map (
-  		outclk0       => c0,  -- outclk0.clk
-  		outclk1       => c1,  -- outclk1.clk
-  		outclk2       => c2,  -- outclk2.clk
-  		outclk3       => c3,  -- outclk3.clk
-  		pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-  		pll_locked    => locked,  -- pll_locked.pll_locked
-  		pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-  		pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        outclk3       => c3,  -- outclk3.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_fractional_pll_clk125
-    port map (
-          outclk0       => c0,  -- outclk0.clk
-          outclk1       => c1,  -- outclk1.clk
-          outclk2       => c2,  -- outclk2.clk
-          outclk3       => c3,  -- outclk3.clk
-          pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-          pll_locked    => locked,  -- pll_locked.pll_locked
-          pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-          pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        outclk3       => c3,  -- outclk3.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd b/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd
index 9aa1d74f84..6491d84a90 100644
--- a/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd
+++ b/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_fractional_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_fractional_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_fractional_pll_clk200_altera_xcvr_fpll_a10_150;
@@ -51,54 +51,54 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_fractional_pll_clk200
-    port map (
-  		outclk0       => c0,  -- outclk0.clk
-  		outclk1       => c1,  -- outclk1.clk
-  		outclk2       => c2,  -- outclk2.clk
-  		pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-  		pll_locked    => locked,  -- pll_locked.pll_locked
-  		pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-  		pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_fractional_pll_clk200
-    port map (
-  		outclk0       => c0,  -- outclk0.clk
-  		outclk1       => c1,  -- outclk1.clk
-  		outclk2       => c2,  -- outclk2.clk
-  		pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-  		pll_locked    => locked,  -- pll_locked.pll_locked
-  		pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-  		pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_fractional_pll_clk200
-    port map (
-  		outclk0       => c0,  -- outclk0.clk
-  		outclk1       => c1,  -- outclk1.clk
-  		outclk2       => c2,  -- outclk2.clk
-  		pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-  		pll_locked    => locked,  -- pll_locked.pll_locked
-  		pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-  		pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_fractional_pll_clk200
-    port map (
-          outclk0       => c0,  -- outclk0.clk
-          outclk1       => c1,  -- outclk1.clk
-          outclk2       => c2,  -- outclk2.clk
-          pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
-          pll_locked    => locked,  -- pll_locked.pll_locked
-          pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
-          pll_refclk0   => inclk0  -- pll_refclk0.clk
-    );
+      port map (
+        outclk0       => c0,  -- outclk0.clk
+        outclk1       => c1,  -- outclk1.clk
+        outclk2       => c2,  -- outclk2.clk
+        pll_cal_busy  => OPEN,  -- pll_cal_busy.pll_cal_busy
+        pll_locked    => locked,  -- pll_locked.pll_locked
+        pll_powerdown => areset,  -- pll_powerdown.pll_powerdown
+        pll_refclk0   => inclk0  -- pll_refclk0.clk
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/fractional_pll/tech_fractional_pll_component_pkg.vhd b/libraries/technology/fractional_pll/tech_fractional_pll_component_pkg.vhd
index 1d280232bc..705e4235d7 100644
--- a/libraries/technology/fractional_pll/tech_fractional_pll_component_pkg.vhd
+++ b/libraries/technology/fractional_pll/tech_fractional_pll_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_fractional_pll_component_pkg is
 
@@ -31,30 +31,30 @@ package tech_fractional_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_fractional_pll_clk200 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   component ip_arria10_fractional_pll_clk125 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    outclk3       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      outclk3       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -62,30 +62,30 @@ package tech_fractional_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_fractional_pll_clk200 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   component ip_arria10_e3sge3_fractional_pll_clk125 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    outclk3       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      outclk3       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -93,30 +93,30 @@ package tech_fractional_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_fractional_pll_clk200 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   component ip_arria10_e1sg_fractional_pll_clk125 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    outclk3       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      outclk3       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -124,30 +124,30 @@ package tech_fractional_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_fractional_pll_clk200 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
   component ip_arria10_e2sg_fractional_pll_clk125 is
-  port
+    port
   (
-    outclk0       : out std_logic;  -- outclk0.clk
-    outclk1       : out std_logic;  -- outclk1.clk
-    outclk2       : out std_logic;  -- outclk2.clk
-    outclk3       : out std_logic;  -- outclk2.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
-  );
+      outclk0       : out std_logic;  -- outclk0.clk
+      outclk1       : out std_logic;  -- outclk1.clk
+      outclk2       : out std_logic;  -- outclk2.clk
+      outclk3       : out std_logic;  -- outclk2.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_refclk0   : in  std_logic := '0'  -- pll_refclk0.clk
+    );
   end component;
 
 end tech_fractional_pll_component_pkg;
diff --git a/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd b/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd
index 09b9b36dcd..e905bc9ddc 100644
--- a/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd
+++ b/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_iobuf_component_pkg is
 
@@ -31,33 +31,33 @@ package tech_iobuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_stratixiv_ddio_in is
-  generic(
-    g_device_family : string := "Stratix IV";
-    g_width         : natural := 1
-  );
-  port (
-    in_dat      : in  std_logic_vector(g_width - 1 downto 0);
-    in_clk      : in  std_logic;
-    in_clk_en   : in  std_logic := '1';
-    rst         : in  std_logic := '0';
-    out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
-    out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
-  );
+    generic(
+      g_device_family : string := "Stratix IV";
+      g_width         : natural := 1
+    );
+    port (
+      in_dat      : in  std_logic_vector(g_width - 1 downto 0);
+      in_clk      : in  std_logic;
+      in_clk_en   : in  std_logic := '1';
+      rst         : in  std_logic := '0';
+      out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
+      out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_ddio_out is
-  generic(
-    g_device_family : string  := "Stratix IV";
-    g_width         : natural := 1
-  );
-  port (
-    rst        : in   std_logic := '0';
-    in_clk     : in   std_logic;
-    in_clk_en  : in   std_logic := '1';
-    in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
-    in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
-    out_dat    : out  std_logic_vector(g_width - 1 downto 0)
-  );
+    generic(
+      g_device_family : string  := "Stratix IV";
+      g_width         : natural := 1
+    );
+    port (
+      rst        : in   std_logic := '0';
+      in_clk     : in   std_logic;
+      in_clk_en  : in   std_logic := '1';
+      in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
+      in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
+      out_dat    : out  std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
 
@@ -66,31 +66,31 @@ package tech_iobuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_ddio_in is
-  generic (
-    g_width : natural := 1
-  );
-  port (
-    in_dat      : in  std_logic_vector(g_width - 1 downto 0);
-    in_clk      : in  std_logic;
-    in_clk_en   : in  std_logic := '1';  -- Not Connected
-    rst         : in  std_logic := '0';
-    out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
-    out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
-  );
+    generic (
+      g_width : natural := 1
+    );
+    port (
+      in_dat      : in  std_logic_vector(g_width - 1 downto 0);
+      in_clk      : in  std_logic;
+      in_clk_en   : in  std_logic := '1';  -- Not Connected
+      rst         : in  std_logic := '0';
+      out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
+      out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_ddio_out is
-  generic(
-    g_width : natural := 1
-  );
-  port (
-    rst        : in   std_logic := '0';
-    in_clk     : in   std_logic;
-    in_clk_en  : in   std_logic := '1';  -- Not Connected
-    in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
-    in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
-    out_dat    : out  std_logic_vector(g_width - 1 downto 0)
-  );
+    generic(
+      g_width : natural := 1
+    );
+    port (
+      rst        : in   std_logic := '0';
+      in_clk     : in   std_logic;
+      in_clk_en  : in   std_logic := '1';  -- Not Connected
+      in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
+      in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
+      out_dat    : out  std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -98,31 +98,31 @@ package tech_iobuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_ddio_in is
-  generic (
-    g_width : natural := 1
-  );
-  port (
-    in_dat      : in  std_logic_vector(g_width - 1 downto 0);
-    in_clk      : in  std_logic;
-    in_clk_en   : in  std_logic := '1';  -- Not Connected
-    rst         : in  std_logic := '0';
-    out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
-    out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
-  );
+    generic (
+      g_width : natural := 1
+    );
+    port (
+      in_dat      : in  std_logic_vector(g_width - 1 downto 0);
+      in_clk      : in  std_logic;
+      in_clk_en   : in  std_logic := '1';  -- Not Connected
+      rst         : in  std_logic := '0';
+      out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
+      out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e3sge3_ddio_out is
-  generic(
-    g_width : natural := 1
-  );
-  port (
-    rst        : in   std_logic := '0';
-    in_clk     : in   std_logic;
-    in_clk_en  : in   std_logic := '1';  -- Not Connected
-    in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
-    in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
-    out_dat    : out  std_logic_vector(g_width - 1 downto 0)
-  );
+    generic(
+      g_width : natural := 1
+    );
+    port (
+      rst        : in   std_logic := '0';
+      in_clk     : in   std_logic;
+      in_clk_en  : in   std_logic := '1';  -- Not Connected
+      in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
+      in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
+      out_dat    : out  std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -130,31 +130,31 @@ package tech_iobuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_ddio_in is
-  generic (
-    g_width : natural := 1
-  );
-  port (
-    in_dat      : in  std_logic_vector(g_width - 1 downto 0);
-    in_clk      : in  std_logic;
-    in_clk_en   : in  std_logic := '1';  -- Not Connected
-    rst         : in  std_logic := '0';
-    out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
-    out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
-  );
+    generic (
+      g_width : natural := 1
+    );
+    port (
+      in_dat      : in  std_logic_vector(g_width - 1 downto 0);
+      in_clk      : in  std_logic;
+      in_clk_en   : in  std_logic := '1';  -- Not Connected
+      rst         : in  std_logic := '0';
+      out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
+      out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_ddio_out is
-  generic(
-    g_width : natural := 1
-  );
-  port (
-    rst        : in   std_logic := '0';
-    in_clk     : in   std_logic;
-    in_clk_en  : in   std_logic := '1';  -- Not Connected
-    in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
-    in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
-    out_dat    : out  std_logic_vector(g_width - 1 downto 0)
-  );
+    generic(
+      g_width : natural := 1
+    );
+    port (
+      rst        : in   std_logic := '0';
+      in_clk     : in   std_logic;
+      in_clk_en  : in   std_logic := '1';  -- Not Connected
+      in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
+      in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
+      out_dat    : out  std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -162,31 +162,31 @@ package tech_iobuf_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_ddio_in is
-  generic (
-    g_width : natural := 1
-  );
-  port (
-    in_dat      : in  std_logic_vector(g_width - 1 downto 0);
-    in_clk      : in  std_logic;
-    in_clk_en   : in  std_logic := '1';  -- Not Connected
-    rst         : in  std_logic := '0';
-    out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
-    out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
-  );
+    generic (
+      g_width : natural := 1
+    );
+    port (
+      in_dat      : in  std_logic_vector(g_width - 1 downto 0);
+      in_clk      : in  std_logic;
+      in_clk_en   : in  std_logic := '1';  -- Not Connected
+      rst         : in  std_logic := '0';
+      out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
+      out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_ddio_out is
-  generic(
-    g_width : natural := 1
-  );
-  port (
-    rst        : in   std_logic := '0';
-    in_clk     : in   std_logic;
-    in_clk_en  : in   std_logic := '1';  -- Not Connected
-    in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
-    in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
-    out_dat    : out  std_logic_vector(g_width - 1 downto 0)
-  );
+    generic(
+      g_width : natural := 1
+    );
+    port (
+      rst        : in   std_logic := '0';
+      in_clk     : in   std_logic;
+      in_clk_en  : in   std_logic := '1';  -- Not Connected
+      in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
+      in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
+      out_dat    : out  std_logic_vector(g_width - 1 downto 0)
+    );
   end component;
 
 end tech_iobuf_component_pkg;
diff --git a/libraries/technology/iobuf/tech_iobuf_ddio_in.vhd b/libraries/technology/iobuf/tech_iobuf_ddio_in.vhd
index 930a614e4d..9a37c20cfc 100644
--- a/libraries/technology/iobuf/tech_iobuf_ddio_in.vhd
+++ b/libraries/technology/iobuf/tech_iobuf_ddio_in.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_iobuf_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_iobuf_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ddio_lib;
@@ -54,32 +54,32 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_ddio_in
-    generic map ("Stratix IV", g_width)
-    port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
+      generic map ("Stratix IV", g_width)
+      port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_ddio_in
-    generic map (g_width)
-    port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
+      generic map (g_width)
+      port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_ddio_in
-    generic map (g_width)
-    port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
+      generic map (g_width)
+      port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_ddio_in
-    generic map (g_width)
-    port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
+      generic map (g_width)
+      port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_ddio_in
-    generic map (g_width)
-    port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
+      generic map (g_width)
+      port map (in_dat, in_clk, in_clk_en, rst, out_dat_hi, out_dat_lo);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/iobuf/tech_iobuf_ddio_out.vhd b/libraries/technology/iobuf/tech_iobuf_ddio_out.vhd
index 98087c04d3..d22846cc3a 100644
--- a/libraries/technology/iobuf/tech_iobuf_ddio_out.vhd
+++ b/libraries/technology/iobuf/tech_iobuf_ddio_out.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_iobuf_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_iobuf_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ddio_lib;
@@ -54,32 +54,32 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_ddio_out
-    generic map ("Stratix IV", g_width)
-    port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
+      generic map ("Stratix IV", g_width)
+      port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_ddio_out
-    generic map (g_width)
-    port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
+      generic map (g_width)
+      port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_ddio_out
-    generic map (g_width)
-    port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
+      generic map (g_width)
+      port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_ddio_out
-    generic map (g_width)
-    port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
+      generic map (g_width)
+      port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_ddio_out
-    generic map (g_width)
-    port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
+      generic map (g_width)
+      port map (rst, in_clk, in_clk_en, in_dat_hi, in_dat_lo, out_dat);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/ip_arria10/complex_mult_rtl/ip_arria10_complex_mult_rtl.vhd b/libraries/technology/ip_arria10/complex_mult_rtl/ip_arria10_complex_mult_rtl.vhd
index 246560b179..d22bcec7cc 100644
--- a/libraries/technology/ip_arria10/complex_mult_rtl/ip_arria10_complex_mult_rtl.vhd
+++ b/libraries/technology/ip_arria10/complex_mult_rtl/ip_arria10_complex_mult_rtl.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 --
 -- Function: Signed complex multiply
@@ -63,7 +63,7 @@ end ip_arria10_complex_mult_rtl;
 
 architecture str of ip_arria10_complex_mult_rtl is
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -76,8 +76,8 @@ architecture str of ip_arria10_complex_mult_rtl is
   constant c_prod_w     : natural := g_in_a_w + g_in_b_w;
   constant c_sum_w      : natural := c_prod_w + 1;
 
---  CONSTANT c_re_add_sub : STRING := sel_a_b(g_conjugate_b, "ADD", "SUB");
---  CONSTANT c_im_add_sub : STRING := sel_a_b(g_conjugate_b, "SUB", "ADD");
+  --  CONSTANT c_re_add_sub : STRING := sel_a_b(g_conjugate_b, "ADD", "SUB");
+  --  CONSTANT c_im_add_sub : STRING := sel_a_b(g_conjugate_b, "SUB", "ADD");
 
   -- registers
   signal reg_ar         : signed(g_in_a_w - 1 downto 0);
diff --git a/libraries/technology/ip_arria10/complex_mult_rtl_canonical/ip_arria10_complex_mult_rtl_canonical.vhd b/libraries/technology/ip_arria10/complex_mult_rtl_canonical/ip_arria10_complex_mult_rtl_canonical.vhd
index 95463e94dd..f042f170d5 100644
--- a/libraries/technology/ip_arria10/complex_mult_rtl_canonical/ip_arria10_complex_mult_rtl_canonical.vhd
+++ b/libraries/technology/ip_arria10/complex_mult_rtl_canonical/ip_arria10_complex_mult_rtl_canonical.vhd
@@ -30,15 +30,15 @@
 -- . g_conjugate_b is not supported!
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity ip_arria10_complex_mult_rtl_canonical is
   generic (
     g_in_a_w           : positive;
     g_in_b_w           : positive;
     g_out_p_w          : positive;  -- default use g_out_p_w = g_in_a_w+g_in_b_w = c_prod_w
---    g_conjugate_b      : BOOLEAN := FALSE;
+    --    g_conjugate_b      : BOOLEAN := FALSE;
     g_pipeline_input   : natural := 1;  -- 0 or 1
     g_pipeline_product : natural := 0;  -- 0 or 1
     g_pipeline_adder   : natural := 1;  -- 0 or 1
@@ -59,7 +59,7 @@ end ip_arria10_complex_mult_rtl_canonical;
 
 architecture str of ip_arria10_complex_mult_rtl_canonical is
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -69,7 +69,7 @@ architecture str of ip_arria10_complex_mult_rtl_canonical is
     end if;
   end;
 
-  function largest(n, m : integer) return integer is
+  function largest (n, m : integer) return integer is
   begin
     if n > m then
       return n;
diff --git a/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_in.vhd b/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_in.vhd
index 0d25901272..45b5a9e758 100644
--- a/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_in.vhd
+++ b/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_in.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_ddio_in_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_ddio_in is
   generic (
@@ -42,13 +42,13 @@ end ip_arria10_ddio_in;
 architecture str of ip_arria10_ddio_in is
 
   component ip_arria10_ddio_in_1 is
-        port (
-                datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
-                inclock   : in  std_logic                    := '0';  -- ck.export
-                aclr      : in  std_logic                    := '0';  -- aclr.export
-                dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
-                dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
-        );
+    port (
+      datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
+      inclock   : in  std_logic                    := '0';  -- ck.export
+      aclr      : in  std_logic                    := '0';  -- aclr.export
+      dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
+      dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
+    );
   end component;
 
 begin
@@ -56,13 +56,13 @@ begin
   gen_w : for I in g_width - 1 downto 0 generate
 
     u_ip_arria10_ddio_in_1 : ip_arria10_ddio_in_1
-    port map (
-      datain    => in_dat(I downto I),
-      inclock   => in_clk,
-      aclr      => rst,
-      dataout_h => out_dat_hi(I downto I),
-      dataout_l => out_dat_lo(I downto I)
-    );
+      port map (
+        datain    => in_dat(I downto I),
+        inclock   => in_clk,
+        aclr      => rst,
+        dataout_h => out_dat_hi(I downto I),
+        dataout_l => out_dat_lo(I downto I)
+      );
 
   end generate;
 
diff --git a/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_out.vhd b/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_out.vhd
index 0bf866591d..36f07fc915 100644
--- a/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_out.vhd
+++ b/libraries/technology/ip_arria10/ddio/ip_arria10_ddio_out.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_ddio_out_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_ddio_out is
   generic(
@@ -42,26 +42,26 @@ end ip_arria10_ddio_out;
 architecture str of ip_arria10_ddio_out is
 
   component ip_arria10_ddio_out_1 is
-        port (
-                dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
-                outclock : in  std_logic                    := '0';  -- ck.export
-                aclr     : in  std_logic                    := '0';  -- aclr.export
-                datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
-                datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
-        );
+    port (
+      dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
+      outclock : in  std_logic                    := '0';  -- ck.export
+      aclr     : in  std_logic                    := '0';  -- aclr.export
+      datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
+      datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
+    );
   end component;
 
 begin
 
   gen_w : for I in g_width - 1 downto 0 generate
     u_ip_arria10_ddio_out_1 : ip_arria10_ddio_out_1
-    port map (
-      dataout  => out_dat(I downto I),
-      outclock => in_clk,
-      aclr     => rst,
-      datain_h => in_dat_hi(I downto I),
-      datain_l => in_dat_lo(I downto I)
-    );
+      port map (
+        dataout  => out_dat(I downto I),
+        outclock => in_clk,
+        aclr     => rst,
+        datain_h => in_dat_hi(I downto I),
+        datain_l => in_dat_lo(I downto I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_in_1.vhd b/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_in_1.vhd
index ca313f2411..3d3921ae47 100644
--- a/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_in_1.vhd
+++ b/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_in_1.vhd
@@ -36,16 +36,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_ddio_in_1 is
-	port (
-		datain    : in  std_logic_vector(0 downto 0) := (others => '0');
-		inclock   : in  std_logic                    := '0';
-		aclr      : in  std_logic                    := '0';
-		dataout_h : out std_logic_vector(0 downto 0);
-		dataout_l : out std_logic_vector(0 downto 0)
-	);
+  port (
+    datain    : in  std_logic_vector(0 downto 0) := (others => '0');
+    inclock   : in  std_logic                    := '0';
+    aclr      : in  std_logic                    := '0';
+    dataout_h : out std_logic_vector(0 downto 0);
+    dataout_l : out std_logic_vector(0 downto 0)
+  );
 end ip_arria10_ddio_in_1;
 
 
diff --git a/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_out_1.vhd b/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_out_1.vhd
index 805178d4f8..57906b301f 100644
--- a/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_out_1.vhd
+++ b/libraries/technology/ip_arria10/ddio/sim/ip_arria10_ddio_out_1.vhd
@@ -33,16 +33,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_ddio_out_1 is
-	port (
-		dataout  : out std_logic_vector(0 downto 0);
-		outclock : in  std_logic                    := '0';
-		aclr     : in  std_logic                    := '0';
-		datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
-		datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
-	);
+  port (
+    dataout  : out std_logic_vector(0 downto 0);
+    outclock : in  std_logic                    := '0';
+    aclr     : in  std_logic                    := '0';
+    datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
+    datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
+  );
 end ip_arria10_ddio_out_1;
 
 
diff --git a/libraries/technology/ip_arria10/ddio/sim/tb_ip_arria10_ddio_1.vhd b/libraries/technology/ip_arria10/ddio/sim/tb_ip_arria10_ddio_1.vhd
index 7c7eb78696..4fbe8a6c57 100644
--- a/libraries/technology/ip_arria10/ddio/sim/tb_ip_arria10_ddio_1.vhd
+++ b/libraries/technology/ip_arria10/ddio/sim/tb_ip_arria10_ddio_1.vhd
@@ -36,7 +36,7 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_ip_arria10_ddio_1 is
 end tb_ip_arria10_ddio_1;
@@ -94,33 +94,33 @@ begin
   in_data(0) <= in_dat;
 
   u_ddio_in : entity work.ip_arria10_ddio_in_1
-	port map (
-		datain    => in_data,
-		inclock   => clk,
-		dataout_h => data_h,
-		dataout_l => data_l
-	);
+    port map (
+      datain    => in_data,
+      inclock   => clk,
+      dataout_h => data_h,
+      dataout_l => data_l
+    );
 
   u_ddio_out : entity work.ip_arria10_ddio_out_1
-	port map (
-		dataout  => out_data,
-		outclock => clk,
-		datain_h => data_h,
-		datain_l => data_l
-	);
-
-	out_dat <= out_data(0);
-
-	out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
-
-	p_verify : process(clk)
-	begin
-	  if falling_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_ddio_1: Error, unexpeced data at falling edge";
-	  end if;
-	  if rising_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_ddio_1: Error, unexpeced data at rising edge";
-	  end if;
-	end process;
+    port map (
+      dataout  => out_data,
+      outclock => clk,
+      datain_h => data_h,
+      datain_l => data_l
+    );
+
+  out_dat <= out_data(0);
+
+  out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
+
+  p_verify : process(clk)
+  begin
+    if falling_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_ddio_1: Error, unexpeced data at falling edge";
+    end if;
+    if rising_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_ddio_1: Error, unexpeced data at rising edge";
+    end if;
+  end process;
 
 end tb;
diff --git a/libraries/technology/ip_arria10/eth_10g/ip_arria10_eth_10g.vhd b/libraries/technology/ip_arria10/eth_10g/ip_arria10_eth_10g.vhd
index a802d7a64b..0b83b2844b 100644
--- a/libraries/technology/ip_arria10/eth_10g/ip_arria10_eth_10g.vhd
+++ b/libraries/technology/ip_arria10/eth_10g/ip_arria10_eth_10g.vhd
@@ -84,13 +84,13 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_10gbase_r_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity ip_arria10_eth_10g is
   generic (
@@ -192,95 +192,95 @@ begin
     end process;
 
     u_tech_mac_10g : entity tech_mac_10g_lib.tech_mac_10g
-    generic map (
-      g_technology          => c_tech_arria10_proto,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-      csr_mosi          => mac_mosi_arr(I),
-      csr_miso          => mac_miso_arr(I),
-
-      -- ST
-      tx_clk_312        => clk_312,
-      tx_clk_156        => clk_156,
-      tx_rst            => rst_156,
-      tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
-      tx_snk_out        => mac_snk_out_arr(I),
-
-      rx_clk_312        => clk_312,
-      rx_clk_156        => clk_156,
-      rx_rst            => rst_156,
-      rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
-      rx_src_in         => rx_src_in_arr(I),
-
-      -- XGMII
-      xgmii_link_status => xgmii_link_status_arr(I),
-      xgmii_tx_data     => xgmii_tx_dc_arr(I),
-      xgmii_rx_data     => xgmii_internal_dc_arr(I)
-    );
+      generic map (
+        g_technology          => c_tech_arria10_proto,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+        csr_mosi          => mac_mosi_arr(I),
+        csr_miso          => mac_miso_arr(I),
+
+        -- ST
+        tx_clk_312        => clk_312,
+        tx_clk_156        => clk_156,
+        tx_rst            => rst_156,
+        tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
+        tx_snk_out        => mac_snk_out_arr(I),
+
+        rx_clk_312        => clk_312,
+        rx_clk_156        => clk_156,
+        rx_rst            => rst_156,
+        rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
+        rx_src_in         => rx_src_in_arr(I),
+
+        -- XGMII
+        xgmii_link_status => xgmii_link_status_arr(I),
+        xgmii_tx_data     => xgmii_tx_dc_arr(I),
+        xgmii_rx_data     => xgmii_internal_dc_arr(I)
+      );
   end generate;
 
   xgmii_internal_dc_arr <= xgmii_tx_dc_arr when g_direction = "TX_ONLY" else xgmii_rx_dc_arr;
 
   u_tech_10gbase_r: entity tech_10gbase_r_lib.tech_10gbase_r
-  generic map (
-    g_technology     => c_tech_arria10_proto,
-    g_sim            => g_sim,
-    g_sim_level      => g_sim_level,
-    g_nof_channels   => g_nof_channels
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644     => tr_ref_clk_644,
-
-    -- XGMII clocks
-    clk_156            => clk_156,
-    rst_156            => rst_156,
-
-    -- XGMII interface
-    xgmii_tx_ready_arr => xgmii_tx_ready_arr,
-    xgmii_rx_ready_arr => OPEN,
-    xgmii_tx_dc_arr    => xgmii_tx_dc_arr,
-    xgmii_rx_dc_arr    => xgmii_rx_dc_arr,
-
-    -- PHY serial IO
-    tx_serial_arr      => serial_tx_arr,
-    rx_serial_arr      => serial_rx_arr
-  );
+    generic map (
+      g_technology     => c_tech_arria10_proto,
+      g_sim            => g_sim,
+      g_sim_level      => g_sim_level,
+      g_nof_channels   => g_nof_channels
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644     => tr_ref_clk_644,
+
+      -- XGMII clocks
+      clk_156            => clk_156,
+      rst_156            => rst_156,
+
+      -- XGMII interface
+      xgmii_tx_ready_arr => xgmii_tx_ready_arr,
+      xgmii_rx_ready_arr => OPEN,
+      xgmii_tx_dc_arr    => xgmii_tx_dc_arr,
+      xgmii_rx_dc_arr    => xgmii_rx_dc_arr,
+
+      -- PHY serial IO
+      tx_serial_arr      => serial_tx_arr,
+      rx_serial_arr      => serial_rx_arr
+    );
 
 
   gen_reg_eth10g : for I in 0 to g_nof_channels - 1 generate
     mm_reg_eth10g_arr(I) <= RESIZE_UVEC(xgmii_link_status_arr(I) & xgmii_tx_ready_arr(I) & i_tx_snk_out_arr(I).xon, c_mem_reg_eth10g_dat_w);
 
     u_reg_map : entity common_lib.common_reg_r_w_dc
-    generic map (
-      g_cross_clock_domain => true,
-      g_in_new_latency     => 0,
-      g_readback           => false,
-      g_reg                => c_mem_reg_eth10g,
-      g_init_reg           => (others => '0')
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      st_rst      => rst_156,
-      st_clk      => clk_156,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in      => reg_eth10g_mosi_arr(I),
-      sla_out     => reg_eth10g_miso_arr(I),
-
-      -- MM registers in st_clk domain
-      reg_wr_arr  => OPEN,
-      reg_rd_arr  => OPEN,
-      in_new      => '1',
-      in_reg      => mm_reg_eth10g_arr(I),
-      out_reg     => open
-    );
+      generic map (
+        g_cross_clock_domain => true,
+        g_in_new_latency     => 0,
+        g_readback           => false,
+        g_reg                => c_mem_reg_eth10g,
+        g_init_reg           => (others => '0')
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        st_rst      => rst_156,
+        st_clk      => clk_156,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in      => reg_eth10g_mosi_arr(I),
+        sla_out     => reg_eth10g_miso_arr(I),
+
+        -- MM registers in st_clk domain
+        reg_wr_arr  => OPEN,
+        reg_rd_arr  => OPEN,
+        in_new      => '1',
+        in_reg      => mm_reg_eth10g_arr(I),
+        out_reg     => open
+      );
   end generate;
 
 
@@ -288,27 +288,27 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux_mac : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_proto)
-  )
-  port map (
-    mosi     => mac_mosi,
-    miso     => mac_miso,
-    mosi_arr => mac_mosi_arr,
-    miso_arr => mac_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_proto)
+    )
+    port map (
+      mosi     => mac_mosi,
+      miso     => mac_miso,
+      mosi_arr => mac_mosi_arr,
+      miso_arr => mac_miso_arr
+    );
 
   u_common_mem_mux_eth10g : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => c_mem_reg_eth10g_adr_w
-  )
-  port map (
-    mosi     => reg_eth10g_mosi,
-    miso     => reg_eth10g_miso,
-    mosi_arr => reg_eth10g_mosi_arr,
-    miso_arr => reg_eth10g_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => c_mem_reg_eth10g_adr_w
+    )
+    port map (
+      mosi     => reg_eth10g_mosi,
+      miso     => reg_eth10g_miso,
+      mosi_arr => reg_eth10g_mosi_arr,
+      miso_arr => reg_eth10g_miso_arr
+    );
 
 end str;
diff --git a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd
index 185c63eaea..f845740539 100644
--- a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_fifo_dc_fifo_140_c4o7vda.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_fifo_dc is
   generic (
@@ -56,67 +56,67 @@ end ip_arria10_fifo_dc;
 
 architecture SYN of ip_arria10_fifo_dc is
 
-    component  dcfifo
+  component  dcfifo
     generic (
-        intended_device_family  : string;
-        lpm_numwords  : natural;
-        lpm_showahead  : string;
-        lpm_type  : string;
-        lpm_width  : natural;
-        lpm_widthu  : natural;
-        overflow_checking  : string;
-        rdsync_delaypipe  : natural;
-        read_aclr_synch  : string;
-        underflow_checking  : string;
-        use_eab  : string;
-        write_aclr_synch  : string;
-        wrsync_delaypipe  : natural
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
     );
     port (
-        aclr   : in std_logic;
-        data   : in std_logic_vector(g_dat_w - 1 downto 0);
-        rdclk   : in std_logic;
-        rdreq   : in std_logic;
-        wrclk   : in std_logic;
-        wrreq   : in std_logic;
-        q   : out std_logic_vector(g_dat_w - 1 downto 0);
-        rdempty   : out std_logic;
-        rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-        wrfull   : out std_logic;
-        wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+      aclr   : in std_logic;
+      data   : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
     );
-    end component;
+  end component;
 
 begin
 
   u_dcfifo : dcfifo
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab,
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab,
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd
index c1fb41b79c..57845e939d 100644
--- a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_fifo_dc_mixed_widths_fifo_140_5csdcfa.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_fifo_dc_mixed_widths is
   generic (
@@ -57,70 +57,70 @@ end ip_arria10_fifo_dc_mixed_widths;
 architecture SYN of ip_arria10_fifo_dc_mixed_widths is
 
   component  dcfifo_mixed_widths
-  generic (
-    intended_device_family  : string;
-    lpm_numwords  : natural;
-    lpm_showahead  : string;
-    lpm_type  : string;
-    lpm_width  : natural;
-    lpm_widthu  : natural;
-    lpm_widthu_r  : natural;
-    lpm_width_r  : natural;
-    overflow_checking  : string;
-    rdsync_delaypipe  : natural;
-    read_aclr_synch  : string;
-    underflow_checking  : string;
-    use_eab  : string;
-    write_aclr_synch  : string;
-    wrsync_delaypipe  : natural
-  );
-  port (
-    aclr   : in std_logic;
-    data   : in std_logic_vector(data'range);
-    rdclk   : in std_logic;
-    rdreq   : in std_logic;
-    wrclk   : in std_logic;
-    wrreq   : in std_logic;
-    q   : out std_logic_vector(q'range);
-    rdempty   : out std_logic;
-    rdusedw   : out std_logic_vector(rdusedw'range);
-    wrfull   : out std_logic;
-    wrusedw   : out std_logic_vector(wrusedw'range)
-  );
+    generic (
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      lpm_widthu_r  : natural;
+      lpm_width_r  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
+    );
+    port (
+      aclr   : in std_logic;
+      data   : in std_logic_vector(data'range);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(q'range);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(rdusedw'range);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(wrusedw'range)
+    );
   end component;
 
 begin
 
   dcfifo_mixed_widths_component : dcfifo_mixed_widths
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo_mixed_widths",
-    lpm_width  => g_wrdat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
-    lpm_width_r  => g_rddat_w,
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => "ON",
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo_mixed_widths",
+      lpm_width  => g_wrdat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
+      lpm_width_r  => g_rddat_w,
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => "ON",
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd
index a35839805e..2cd36e5665 100644
--- a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_fifo_sc_fifo_140_pkqwcbi.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_fifo_sc is
   generic (
@@ -55,7 +55,7 @@ end ip_arria10_fifo_sc;
 architecture SYN of ip_arria10_fifo_sc is
 
   component  scfifo
-  generic (
+    generic (
       add_ram_output_register  : string;
       intended_device_family  : string;
       lpm_numwords  : natural;
@@ -66,8 +66,8 @@ architecture SYN of ip_arria10_fifo_sc is
       overflow_checking  : string;
       underflow_checking  : string;
       use_eab  : string
-  );
-  port (
+    );
+    port (
       aclr   : in std_logic;
       clock   : in std_logic;
       data   : in std_logic_vector(g_dat_w - 1 downto 0);
@@ -77,34 +77,34 @@ architecture SYN of ip_arria10_fifo_sc is
       full   : out std_logic;
       q   : out std_logic_vector(g_dat_w - 1 downto 0);
       usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   u_scfifo : scfifo
-  generic map (
-    add_ram_output_register  => "ON",
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "scfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab
-  )
-  port map (
-    aclr => aclr,
-    clock => clock,
-    data => data,
-    rdreq => rdreq,
-    wrreq => wrreq,
-    empty => empty,
-    full => full,
-    q => q,
-    usedw => usedw
-  );
+    generic map (
+      add_ram_output_register  => "ON",
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "scfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab
+    )
+    port map (
+      aclr => aclr,
+      clock => clock,
+      data => data,
+      rdreq => rdreq,
+      wrreq => wrreq,
+      empty => empty,
+      full => full,
+      q => q,
+      usedw => usedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10/mult/ip_arria10_mult.vhd b/libraries/technology/ip_arria10/mult/ip_arria10_mult.vhd
index e64232a2d0..0f72597ef2 100644
--- a/libraries/technology/ip_arria10/mult/ip_arria10_mult.vhd
+++ b/libraries/technology/ip_arria10/mult/ip_arria10_mult.vhd
@@ -1,20 +1,20 @@
 library IEEE;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
 
 library lpm;
-use lpm.lpm_components.all;
+  use lpm.lpm_components.all;
 
 -- Comments:
 -- . Directly instantiate LPM component, because MegaWizard does so too, see dsp_mult.vhd.
 -- . Use MegaWizard to learn more about the generics.
 -- . Strangely the MegaWizard does not support setting the rounding and saturation mode
- entity  ip_arria10_mult is
+entity  ip_arria10_mult is
   generic (
     g_in_a_w           : positive := 18;  -- Width of the data A port
     g_in_b_w           : positive := 18;  -- Width of the data B port
     g_out_p_w          : positive := 36;  -- Width of the result port
---    g_out_s_w          : POSITIVE := 1;       -- Width of the sum port (not used in current designs)
+    --    g_out_s_w          : POSITIVE := 1;       -- Width of the sum port (not used in current designs)
     g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
     g_pipeline_input   : natural  := 1;  -- 0 or 1
     g_pipeline_product : natural  := 1;  -- 0 or 1
@@ -24,13 +24,13 @@ use lpm.lpm_components.all;
   port (
     clk        : in  std_logic;
     clken      : in  std_logic := '1';
---    aclr       : IN  STD_LOGIC := '0'; (not used in current designs)
+    --    aclr       : IN  STD_LOGIC := '0'; (not used in current designs)
     in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
     in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
---    sum        : IN  STD_LOGIC_VECTOR(g_nof_mult*g_in_s_w-1 DOWNTO 0) := (OTHERS => '0'); (not used in current designs)
+    --    sum        : IN  STD_LOGIC_VECTOR(g_nof_mult*g_in_s_w-1 DOWNTO 0) := (OTHERS => '0'); (not used in current designs)
     out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
   );
- end ip_arria10_mult;
+end ip_arria10_mult;
 
 
 architecture str of ip_arria10_mult is
@@ -47,31 +47,31 @@ begin
 
   gen_mult : for I in 0 to g_nof_mult - 1 generate
     m : lpm_mult
-    generic map (
-      lpm_hint => "MAXIMIZE_SPEED=5",  -- default "UNUSED"
-      lpm_pipeline => c_pipeline,
-      lpm_representation => g_representation,
-      lpm_type => "LPM_MULT",
-      lpm_widtha => g_in_a_w,
-      lpm_widthb => g_in_b_w,
---      lpm_widths => g_in_s_w, (Partial sum input with not used in current designs)
-      lpm_widthp => c_prod_w
-    )
-    port map (
-      dataa => in_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w),
-      datab => in_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w),
-    --  sum   => sum((I+1)*g_in_s_w-1 DOWNTO I*g_in_s_w),  -- partial sum input is not used in current designs
-    --  aclr  => aclr,                                     -- async clear input is not used in current designs
-      clock => clk,
-      clken => clken,
-      result => prod((I + 1) * c_prod_w - 1 downto I * c_prod_w)
-    );
+      generic map (
+        lpm_hint => "MAXIMIZE_SPEED=5",  -- default "UNUSED"
+        lpm_pipeline => c_pipeline,
+        lpm_representation => g_representation,
+        lpm_type => "LPM_MULT",
+        lpm_widtha => g_in_a_w,
+        lpm_widthb => g_in_b_w,
+        --      lpm_widths => g_in_s_w, (Partial sum input with not used in current designs)
+        lpm_widthp => c_prod_w
+      )
+      port map (
+        dataa => in_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w),
+        datab => in_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w),
+        --  sum   => sum((I+1)*g_in_s_w-1 DOWNTO I*g_in_s_w),  -- partial sum input is not used in current designs
+        --  aclr  => aclr,                                     -- async clear input is not used in current designs
+        clock => clk,
+        clken => clken,
+        result => prod((I + 1) * c_prod_w - 1 downto I * c_prod_w)
+      );
 
 
     out_p <= prod;
----- Truncate MSbits, also for signed (common_pkg.vhd for explanation of RESIZE_SVEC)
---    out_p((I+1)*g_out_p_w-1 DOWNTO I*g_out_p_w) <= RESIZE_SVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w) WHEN g_representation="SIGNED" ELSE
---                                                   RESIZE_UVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w);
+  ---- Truncate MSbits, also for signed (common_pkg.vhd for explanation of RESIZE_SVEC)
+  --    out_p((I+1)*g_out_p_w-1 DOWNTO I*g_out_p_w) <= RESIZE_SVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w) WHEN g_representation="SIGNED" ELSE
+  --                                                   RESIZE_UVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w);
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_arria10/mult/ip_arria10_mult_rtl.vhd b/libraries/technology/ip_arria10/mult/ip_arria10_mult_rtl.vhd
index 6ea2d197f8..415b84157e 100644
--- a/libraries/technology/ip_arria10/mult/ip_arria10_mult_rtl.vhd
+++ b/libraries/technology/ip_arria10/mult/ip_arria10_mult_rtl.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 -- no support for rounding in this RTL architecture
- entity  ip_arria10_mult_rtl is
+entity  ip_arria10_mult_rtl is
   generic (
     g_in_a_w           : positive := 18;
     g_in_b_w           : positive := 18;
@@ -43,7 +43,7 @@ use IEEE.numeric_std.all;
     in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
     out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
   );
- end ip_arria10_mult_rtl;
+end ip_arria10_mult_rtl;
 
 
 architecture str of ip_arria10_mult_rtl is
@@ -116,8 +116,8 @@ begin
 
   gen_mult : for I in 0 to g_nof_mult - 1 generate
     nxt_prod((I + 1) * c_prod_w - 1 downto I * c_prod_w) <=
-      std_logic_vector(  signed(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) *   signed(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w))) when g_representation = "SIGNED" else
-      std_logic_vector(unsigned(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) * unsigned(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w)));
+                                                            std_logic_vector(  signed(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) *   signed(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w))) when g_representation = "SIGNED" else
+                                                            std_logic_vector(unsigned(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) * unsigned(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w)));
   end generate;
 
   no_product_reg : if g_pipeline_product = 0 generate  -- wired
@@ -139,6 +139,6 @@ begin
     result <= reg_result;
   end generate;
 
-out_p <= result;
+  out_p <= result;
 
 end str;
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd
index d52451712a..b3ea7e153b 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_ram_cr_cw is
   generic (
@@ -56,28 +56,28 @@ architecture SYN of ip_arria10_ram_cr_cw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -85,7 +85,7 @@ architecture SYN of ip_arria10_ram_cr_cw is
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -101,28 +101,28 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_ram_cr_cw/ram_2port_140/sim/ip_arria10_ram_cr_cw_ram_2port_140_72tpmcy.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => wrclk,
@@ -130,7 +130,7 @@ begin
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -138,19 +138,19 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_simple_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      rclk  => rdclk,
-      wclk  => wrclk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        rclk  => rdclk,
+        wclk  => wrclk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(rdclk);
 
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd
index aff4502d6b..dd7500cc6c 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_ram_crw_crw is
   generic (
@@ -60,34 +60,34 @@ architecture SYN of ip_arria10_ram_crw_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -98,7 +98,7 @@ architecture SYN of ip_arria10_ram_crw_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal addr_a : natural range 0 to g_nof_words - 1;
@@ -117,34 +117,34 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_ram_crw_crw/ram_2port_140/sim/ip_arria10_ram_crw_crw_ram_2port_140_ehaf5aa.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_a  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            indata_reg_b  => "CLOCK1",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "BIDIR_DUAL_PORT",
-            outdata_aclr_a  => "NONE",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_a  => c_outdata_reg_a,
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1,
-            width_byteena_b  => 1
-    )
-    port map (
+      generic map (
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_a  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        indata_reg_b  => "CLOCK1",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "BIDIR_DUAL_PORT",
+        outdata_aclr_a  => "NONE",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_a  => c_outdata_reg_a,
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+        read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1,
+        width_byteena_b  => 1
+      )
+      port map (
         address_a => address_a,
         address_b => address_b,
         clock0 => clk_a,
@@ -155,7 +155,7 @@ begin
         wren_b => wren_b,
         q_a => q_a,
         q_b => q_b
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -163,22 +163,22 @@ begin
     addr_b <= to_integer(unsigned(address_b));
 
     u_mem : entity work.ip_arria10_true_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk_a  => clk_a,
-      clk_b  => clk_b,
-      addr_a => addr_a,
-      addr_b => addr_b,
-      data_a => data_a,
-      data_b => data_b,
-      we_a   => wren_a,
-      we_b   => wren_b,
-      q_a    => out_a,
-      q_b    => out_b
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk_a  => clk_a,
+        clk_b  => clk_b,
+        addr_a => addr_a,
+        addr_b => addr_b,
+        data_a => data_a,
+        data_b => data_b,
+        we_a   => wren_a,
+        we_b   => wren_b,
+        q_a    => out_a,
+        q_b    => out_b
+      );
 
     reg_a <= out_a when rising_edge(clk_a);
     reg_b <= out_b when rising_edge(clk_b);
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd
index 921a67c881..3dac6389f9 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd
@@ -12,11 +12,11 @@
 
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_ram_crwk_crw is
   generic (
@@ -51,35 +51,35 @@ architecture SYN of ip_arria10_ram_crwk_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          init_file_layout  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      init_file_layout  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
       clock0 : in std_logic;
@@ -90,42 +90,42 @@ architecture SYN of ip_arria10_ram_crwk_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_a_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   -- Copied from ip_arria10_ram_crwk_crw/ram_2port_140/sim/ip_arria10_ram_crwk_crw_ram_2port_140_iyfl3wi.vhd
   u_altera_syncram : altera_syncram
-  generic map (
-          address_reg_b  => "CLOCK1",
-          clock_enable_input_a  => "BYPASS",
-          clock_enable_input_b  => "BYPASS",
-          clock_enable_output_a  => "BYPASS",
-          clock_enable_output_b  => "BYPASS",
-          indata_reg_b  => "CLOCK1",
-          init_file  => g_init_file,
-          init_file_layout  => "PORT_B",
-          intended_device_family  => "Arria 10",
-          lpm_type  => "altera_syncram",
-          numwords_a  => g_nof_words_a,
-          numwords_b  => g_nof_words_b,
-          operation_mode  => "BIDIR_DUAL_PORT",
-          outdata_aclr_a  => "NONE",
-          outdata_aclr_b  => "NONE",
-          outdata_reg_a  => c_outdata_reg_a,
-          outdata_reg_b  => c_outdata_reg_b,
-          power_up_uninitialized  => "FALSE",
-          read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-          read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-          widthad_a  => g_adr_a_w,
-          widthad_b  => g_adr_b_w,
-          width_a  => g_dat_a_w,
-          width_b  => g_dat_b_w,
-          width_byteena_a  => 1,
-          width_byteena_b  => 1
-  )
-  port map (
+    generic map (
+      address_reg_b  => "CLOCK1",
+      clock_enable_input_a  => "BYPASS",
+      clock_enable_input_b  => "BYPASS",
+      clock_enable_output_a  => "BYPASS",
+      clock_enable_output_b  => "BYPASS",
+      indata_reg_b  => "CLOCK1",
+      init_file  => g_init_file,
+      init_file_layout  => "PORT_B",
+      intended_device_family  => "Arria 10",
+      lpm_type  => "altera_syncram",
+      numwords_a  => g_nof_words_a,
+      numwords_b  => g_nof_words_b,
+      operation_mode  => "BIDIR_DUAL_PORT",
+      outdata_aclr_a  => "NONE",
+      outdata_aclr_b  => "NONE",
+      outdata_reg_a  => c_outdata_reg_a,
+      outdata_reg_b  => c_outdata_reg_b,
+      power_up_uninitialized  => "FALSE",
+      read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+      read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+      widthad_a  => g_adr_a_w,
+      widthad_b  => g_adr_b_w,
+      width_a  => g_dat_a_w,
+      width_b  => g_dat_b_w,
+      width_byteena_a  => 1,
+      width_byteena_b  => 1
+    )
+    port map (
       address_a => address_a,
       address_b => address_b,
       clock0 => clk_a,
@@ -136,7 +136,7 @@ begin
       wren_b => wren_b,
       q_a => q_a,
       q_b => q_b
-  );
+    );
 
 end SYN;
 
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_r_w.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_r_w.vhd
index 4084447a41..80f987ffe3 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_r_w.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_r_w.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_ram_r_w is
   generic (
@@ -54,35 +54,35 @@ architecture SYN of ip_arria10_ram_r_w is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -98,35 +98,35 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_ram_r_w/ram_2port_140/sim/ip_arria10_ram_r_w_ram_2port_140_hukd7xi.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK0",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK0",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => clk,
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -134,18 +134,18 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_simple_dual_port_ram_single_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk   => clk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk   => clk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(clk);
 
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_dual_clock.vhd
index 87643e24e1..247a29312d 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_dual_clock.vhd
@@ -27,7 +27,7 @@
 -- different read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_simple_dual_port_ram_dual_clock is
 
@@ -63,18 +63,18 @@ begin
 
   process(wclk)
   begin
-  if(rising_edge(wclk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
+    if(rising_edge(wclk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
     end if;
-  end if;
   end process;
 
   process(rclk)
   begin
-  if(rising_edge(rclk)) then
-    q <= ram(raddr);
-  end if;
+    if(rising_edge(rclk)) then
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_single_clock.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_single_clock.vhd
index a3d536b1dd..4429760e95 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_single_clock.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_simple_dual_port_ram_single_clock.vhd
@@ -26,7 +26,7 @@
 -- single read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_simple_dual_port_ram_single_clock is
 
@@ -61,15 +61,15 @@ begin
 
   process(clk)
   begin
-  if(rising_edge(clk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
-    end if;
+    if(rising_edge(clk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
 
-    -- On a read during a write to the same address, the read will
-    -- return the OLD data at the address
-    q <= ram(raddr);
-  end if;
+      -- On a read during a write to the same address, the read will
+      -- return the OLD data at the address
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_true_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_true_dual_port_ram_dual_clock.vhd
index ef8d0552b1..d3cb232494 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_true_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_true_dual_port_ram_dual_clock.vhd
@@ -30,7 +30,7 @@
 -- Read-during-write on port A and B returns unknown data.
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_true_dual_port_ram_dual_clock is
 
@@ -70,23 +70,23 @@ begin
   -- Port A
   process(clk_a)
   begin
-  if(rising_edge(clk_a)) then
-    if(we_a = '1') then
-      ram(addr_a) := data_a;
+    if(rising_edge(clk_a)) then
+      if(we_a = '1') then
+        ram(addr_a) := data_a;
+      end if;
+      q_a <= ram(addr_a);
     end if;
-    q_a <= ram(addr_a);
-  end if;
   end process;
 
   -- Port B
   process(clk_b)
   begin
-  if(rising_edge(clk_b)) then
-    if(we_b = '1') then
-      ram(addr_b) := data_b;
+    if(rising_edge(clk_b)) then
+      if(we_b = '1') then
+        ram(addr_b) := data_b;
+      end if;
+      q_b <= ram(addr_b);
     end if;
-    q_b <= ram(addr_b);
-  end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10/tse_sgmii_gx/tb_ip_arria10_tse_sgmii_gx.vhd b/libraries/technology/ip_arria10/tse_sgmii_gx/tb_ip_arria10_tse_sgmii_gx.vhd
index e7de9d13e4..fe85c3accf 100644
--- a/libraries/technology/ip_arria10/tse_sgmii_gx/tb_ip_arria10_tse_sgmii_gx.vhd
+++ b/libraries/technology/ip_arria10/tse_sgmii_gx/tb_ip_arria10_tse_sgmii_gx.vhd
@@ -28,9 +28,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_tse_sgmii_gx is
@@ -90,9 +90,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -103,9 +104,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -116,22 +118,24 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -139,11 +143,12 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -151,11 +156,12 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -174,9 +180,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -187,9 +194,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -204,15 +212,16 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -241,13 +250,14 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -300,9 +310,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -310,8 +321,9 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -325,12 +337,13 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -398,7 +411,7 @@ architecture tb of tb_ip_arria10_tse_sgmii_gx is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -500,37 +513,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -594,21 +607,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 4 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -640,81 +653,81 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-
-    tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-    rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-    tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-    tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-    rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-    rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-    tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-    rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-    rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-    rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+
+      tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+      rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+    );
 
   -- To be corrected
   tx_serial_clk(0) <= not tx_serial_clk(0) after serial_clk_period / 2;  -- ????
diff --git a/libraries/technology/ip_arria10/tse_sgmii_lvds/tb_ip_arria10_tse_sgmii_lvds.vhd b/libraries/technology/ip_arria10/tse_sgmii_lvds/tb_ip_arria10_tse_sgmii_lvds.vhd
index d363fb2759..dc780ce429 100644
--- a/libraries/technology/ip_arria10/tse_sgmii_lvds/tb_ip_arria10_tse_sgmii_lvds.vhd
+++ b/libraries/technology/ip_arria10/tse_sgmii_lvds/tb_ip_arria10_tse_sgmii_lvds.vhd
@@ -33,9 +33,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_tse_sgmii_lvds is
@@ -93,9 +93,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -106,9 +107,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -119,22 +121,24 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -142,11 +146,12 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -154,11 +159,12 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -177,9 +183,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -190,9 +197,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -207,15 +215,16 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -244,13 +253,14 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -303,9 +313,10 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -313,8 +324,9 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -328,12 +340,13 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -401,7 +414,7 @@ architecture tb of tb_ip_arria10_tse_sgmii_lvds is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -499,37 +512,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -593,21 +606,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 2 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -639,68 +652,68 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+    );
 
   -- Loopback
   eth_rxp <= eth_txp;
diff --git a/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_in.vhd b/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_in.vhd
index 4c268e8c72..9526e8c1a9 100644
--- a/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_in.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_in.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_e1sg_ddio_in_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e1sg_ddio_in is
   generic (
@@ -42,13 +42,13 @@ end ip_arria10_e1sg_ddio_in;
 architecture str of ip_arria10_e1sg_ddio_in is
 
   component ip_arria10_e1sg_ddio_in_1 is
-        port (
-                datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
-                inclock   : in  std_logic                    := '0';  -- ck.export
-                aclr      : in  std_logic                    := '0';  -- aclr.export
-                dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
-                dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
-        );
+    port (
+      datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
+      inclock   : in  std_logic                    := '0';  -- ck.export
+      aclr      : in  std_logic                    := '0';  -- aclr.export
+      dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
+      dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
+    );
   end component;
 
 begin
@@ -56,13 +56,13 @@ begin
   gen_w : for I in g_width - 1 downto 0 generate
 
     u_ip_arria10_e1sg_ddio_in_1 : ip_arria10_e1sg_ddio_in_1
-    port map (
-      datain    => in_dat(I downto I),
-      inclock   => in_clk,
-      aclr      => rst,
-      dataout_h => out_dat_hi(I downto I),
-      dataout_l => out_dat_lo(I downto I)
-    );
+      port map (
+        datain    => in_dat(I downto I),
+        inclock   => in_clk,
+        aclr      => rst,
+        dataout_h => out_dat_hi(I downto I),
+        dataout_l => out_dat_lo(I downto I)
+      );
 
   end generate;
 
diff --git a/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_out.vhd b/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_out.vhd
index 16aceb1933..963b9ac4c8 100644
--- a/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_out.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddio/ip_arria10_e1sg_ddio_out.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_e1sg_ddio_out_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e1sg_ddio_out is
   generic(
@@ -42,26 +42,26 @@ end ip_arria10_e1sg_ddio_out;
 architecture str of ip_arria10_e1sg_ddio_out is
 
   component ip_arria10_e1sg_ddio_out_1 is
-        port (
-                dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
-                outclock : in  std_logic                    := '0';  -- ck.export
-                aclr     : in  std_logic                    := '0';  -- aclr.export
-                datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
-                datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
-        );
+    port (
+      dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
+      outclock : in  std_logic                    := '0';  -- ck.export
+      aclr     : in  std_logic                    := '0';  -- aclr.export
+      datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
+      datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
+    );
   end component;
 
 begin
 
   gen_w : for I in g_width - 1 downto 0 generate
     u_ip_arria10_e1sg_ddio_out_1 : ip_arria10_e1sg_ddio_out_1
-    port map (
-      dataout  => out_dat(I downto I),
-      outclock => in_clk,
-      aclr     => rst,
-      datain_h => in_dat_hi(I downto I),
-      datain_l => in_dat_lo(I downto I)
-    );
+      port map (
+        dataout  => out_dat(I downto I),
+        outclock => in_clk,
+        aclr     => rst,
+        datain_h => in_dat_hi(I downto I),
+        datain_l => in_dat_lo(I downto I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_in_1.vhd b/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_in_1.vhd
index 59ab7dc28e..f090f6681b 100644
--- a/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_in_1.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_in_1.vhd
@@ -36,16 +36,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_e1sg_ddio_in_1 is
-	port (
-		datain    : in  std_logic_vector(0 downto 0) := (others => '0');
-		inclock   : in  std_logic                    := '0';
-		aclr      : in  std_logic                    := '0';
-		dataout_h : out std_logic_vector(0 downto 0);
-		dataout_l : out std_logic_vector(0 downto 0)
-	);
+  port (
+    datain    : in  std_logic_vector(0 downto 0) := (others => '0');
+    inclock   : in  std_logic                    := '0';
+    aclr      : in  std_logic                    := '0';
+    dataout_h : out std_logic_vector(0 downto 0);
+    dataout_l : out std_logic_vector(0 downto 0)
+  );
 end ip_arria10_e1sg_ddio_in_1;
 
 
diff --git a/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_out_1.vhd b/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_out_1.vhd
index 074f64c5f3..c1572b6a4b 100644
--- a/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_out_1.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddio/sim/ip_arria10_e1sg_ddio_out_1.vhd
@@ -33,16 +33,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_e1sg_ddio_out_1 is
-	port (
-		dataout  : out std_logic_vector(0 downto 0);
-		outclock : in  std_logic                    := '0';
-		aclr     : in  std_logic                    := '0';
-		datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
-		datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
-	);
+  port (
+    dataout  : out std_logic_vector(0 downto 0);
+    outclock : in  std_logic                    := '0';
+    aclr     : in  std_logic                    := '0';
+    datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
+    datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
+  );
 end ip_arria10_e1sg_ddio_out_1;
 
 
diff --git a/libraries/technology/ip_arria10_e1sg/ddio/sim/tb_ip_arria10_e1sg_ddio_1.vhd b/libraries/technology/ip_arria10_e1sg/ddio/sim/tb_ip_arria10_e1sg_ddio_1.vhd
index dda238e0f7..75a3e4112e 100644
--- a/libraries/technology/ip_arria10_e1sg/ddio/sim/tb_ip_arria10_e1sg_ddio_1.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddio/sim/tb_ip_arria10_e1sg_ddio_1.vhd
@@ -36,7 +36,7 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_ip_arria10_e1sg_ddio_1 is
 end tb_ip_arria10_e1sg_ddio_1;
@@ -94,33 +94,33 @@ begin
   in_data(0) <= in_dat;
 
   u_ddio_in : entity work.ip_arria10_e1sg_ddio_in_1
-	port map (
-		datain    => in_data,
-		inclock   => clk,
-		dataout_h => data_h,
-		dataout_l => data_l
-	);
+    port map (
+      datain    => in_data,
+      inclock   => clk,
+      dataout_h => data_h,
+      dataout_l => data_l
+    );
 
   u_ddio_out : entity work.ip_arria10_e1sg_ddio_out_1
-	port map (
-		dataout  => out_data,
-		outclock => clk,
-		datain_h => data_h,
-		datain_l => data_l
-	);
-
-	out_dat <= out_data(0);
-
-	out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
-
-	p_verify : process(clk)
-	begin
-	  if falling_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_e1sg_ddio_1: Error, unexpeced data at falling edge";
-	  end if;
-	  if rising_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_e1sg_ddio_1: Error, unexpeced data at rising edge";
-	  end if;
-	end process;
+    port map (
+      dataout  => out_data,
+      outclock => clk,
+      datain_h => data_h,
+      datain_l => data_l
+    );
+
+  out_dat <= out_data(0);
+
+  out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
+
+  p_verify : process(clk)
+  begin
+    if falling_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_e1sg_ddio_1: Error, unexpeced data at falling edge";
+    end if;
+    if rising_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_e1sg_ddio_1: Error, unexpeced data at rising edge";
+    end if;
+  end process;
 
 end tb;
diff --git a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_170/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy.vhd b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_170/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy.vhd
index 1d307b837f..f9d4e412ea 100644
--- a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_170/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_170/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy.vhd
@@ -16,70 +16,70 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy is
-        generic (
-                 INIT_FILE : string := "seq_cal_soft_m20k.hex"
-                 );
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(11 downto 0);
-                 signal byteenable : in std_logic_vector(3 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal clken : in std_logic;
-                 signal debugaccess : in std_logic;
-                 signal freeze : in std_logic;
-                 signal reset : in std_logic;
-                 signal reset_req : in std_logic;
-                 signal write : in std_logic;
-                 signal writedata : in std_logic_vector(31 downto 0);
+  generic (
+    INIT_FILE : string := "seq_cal_soft_m20k.hex"
+  );
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(11 downto 0);
+    signal byteenable : in std_logic_vector(3 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal clken : in std_logic;
+    signal debugaccess : in std_logic;
+    signal freeze : in std_logic;
+    signal reset : in std_logic;
+    signal reset_req : in std_logic;
+    signal write : in std_logic;
+    signal writedata : in std_logic_vector(31 downto 0);
 
-              -- outputs:
-                 signal readdata : out std_logic_vector(31 downto 0)
-              );
+    -- outputs:
+    signal readdata : out std_logic_vector(31 downto 0)
+  );
 end entity ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy;
 
 
 architecture europa of ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_170_yroldmy is
   component altsyncram is
-generic (
+    generic (
       byte_size : natural;
-        init_file : string;
-        lpm_type : string;
-        maximum_depth : natural;
-        numwords_a : natural;
-        operation_mode : string;
-        outdata_reg_a : string;
-        ram_block_type : string;
-        read_during_write_mode_mixed_ports : string;
-        read_during_write_mode_port_a : string;
-        width_a : natural;
-        width_byteena_a : natural;
-        widthad_a : natural
-      );
+      init_file : string;
+      lpm_type : string;
+      maximum_depth : natural;
+      numwords_a : natural;
+      operation_mode : string;
+      outdata_reg_a : string;
+      ram_block_type : string;
+      read_during_write_mode_mixed_ports : string;
+      read_during_write_mode_port_a : string;
+      width_a : natural;
+      width_byteena_a : natural;
+      widthad_a : natural
+    );
     port (
-    signal q_a : out std_logic_vector(31 downto 0);
-        signal wren_a : in std_logic;
-        signal byteena_a : in std_logic_vector(3 downto 0);
-        signal clock0 : in std_logic;
-        signal address_a : in std_logic_vector(11 downto 0);
-        signal clocken0 : in std_logic;
-        signal data_a : in std_logic_vector(31 downto 0)
-      );
+      signal q_a : out std_logic_vector(31 downto 0);
+      signal wren_a : in std_logic;
+      signal byteena_a : in std_logic_vector(3 downto 0);
+      signal clock0 : in std_logic;
+      signal address_a : in std_logic_vector(11 downto 0);
+      signal clocken0 : in std_logic;
+      signal data_a : in std_logic_vector(31 downto 0)
+    );
   end component altsyncram;
-                signal clocken0 :  std_logic;
-                signal internal_readdata :  std_logic_vector(31 downto 0);
-                signal wren :  std_logic;
+  signal clocken0 :  std_logic;
+  signal internal_readdata :  std_logic_vector(31 downto 0);
+  signal wren :  std_logic;
 
 begin
 
@@ -102,13 +102,13 @@ begin
       widthad_a => 12
     )
     port map(
-            address_a => address,
-            byteena_a => byteenable,
-            clock0 => clk,
-            clocken0 => clocken0,
-            data_a => writedata,
-            q_a => internal_readdata,
-            wren_a => wren
+      address_a => address,
+      byteena_a => byteenable,
+      clock0 => clk,
+      clocken0 => clocken0,
+      data_a => writedata,
+      q_a => internal_readdata,
+      wren_a => wren
     );
 
   --s1, which is an e_avalon_slave
diff --git a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za.vhd b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za.vhd
index 85d463e590..76159b77f2 100644
--- a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_avalon_onchip_memory2_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za.vhd
@@ -16,70 +16,70 @@
 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 13469 16735 16788
 
 library altera;
-use altera.altera_europa_support_lib.all;
+  use altera.altera_europa_support_lib.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use ieee.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 entity ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za is
-        generic (
-                 INIT_FILE : string := "seq_cal_soft_m20k.hex"
-                 );
-        port (
-              -- inputs:
-                 signal address : in std_logic_vector(11 downto 0);
-                 signal byteenable : in std_logic_vector(3 downto 0);
-                 signal chipselect : in std_logic;
-                 signal clk : in std_logic;
-                 signal clken : in std_logic;
-                 signal debugaccess : in std_logic;
-                 signal freeze : in std_logic;
-                 signal reset : in std_logic;
-                 signal reset_req : in std_logic;
-                 signal write : in std_logic;
-                 signal writedata : in std_logic_vector(31 downto 0);
+  generic (
+    INIT_FILE : string := "seq_cal_soft_m20k.hex"
+  );
+  port (
+    -- inputs:
+    signal address : in std_logic_vector(11 downto 0);
+    signal byteenable : in std_logic_vector(3 downto 0);
+    signal chipselect : in std_logic;
+    signal clk : in std_logic;
+    signal clken : in std_logic;
+    signal debugaccess : in std_logic;
+    signal freeze : in std_logic;
+    signal reset : in std_logic;
+    signal reset_req : in std_logic;
+    signal write : in std_logic;
+    signal writedata : in std_logic_vector(31 downto 0);
 
-              -- outputs:
-                 signal readdata : out std_logic_vector(31 downto 0)
-              );
+    -- outputs:
+    signal readdata : out std_logic_vector(31 downto 0)
+  );
 end entity ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za;
 
 
 architecture europa of ip_arria10_e1sg_ddr4_8g_2400_altera_avalon_onchip_memory2_180_xymx6za is
   component altsyncram is
-generic (
+    generic (
       byte_size : natural;
-        init_file : string;
-        lpm_type : string;
-        maximum_depth : natural;
-        numwords_a : natural;
-        operation_mode : string;
-        outdata_reg_a : string;
-        ram_block_type : string;
-        read_during_write_mode_mixed_ports : string;
-        read_during_write_mode_port_a : string;
-        width_a : natural;
-        width_byteena_a : natural;
-        widthad_a : natural
-      );
+      init_file : string;
+      lpm_type : string;
+      maximum_depth : natural;
+      numwords_a : natural;
+      operation_mode : string;
+      outdata_reg_a : string;
+      ram_block_type : string;
+      read_during_write_mode_mixed_ports : string;
+      read_during_write_mode_port_a : string;
+      width_a : natural;
+      width_byteena_a : natural;
+      widthad_a : natural
+    );
     port (
-    signal q_a : out std_logic_vector(31 downto 0);
-        signal wren_a : in std_logic;
-        signal byteena_a : in std_logic_vector(3 downto 0);
-        signal clock0 : in std_logic;
-        signal address_a : in std_logic_vector(11 downto 0);
-        signal clocken0 : in std_logic;
-        signal data_a : in std_logic_vector(31 downto 0)
-      );
+      signal q_a : out std_logic_vector(31 downto 0);
+      signal wren_a : in std_logic;
+      signal byteena_a : in std_logic_vector(3 downto 0);
+      signal clock0 : in std_logic;
+      signal address_a : in std_logic_vector(11 downto 0);
+      signal clocken0 : in std_logic;
+      signal data_a : in std_logic_vector(31 downto 0)
+    );
   end component altsyncram;
-                signal clocken0 :  std_logic;
-                signal internal_readdata :  std_logic_vector(31 downto 0);
-                signal wren :  std_logic;
+  signal clocken0 :  std_logic;
+  signal internal_readdata :  std_logic_vector(31 downto 0);
+  signal wren :  std_logic;
 
 begin
 
@@ -102,13 +102,13 @@ begin
       widthad_a => 12
     )
     port map(
-            address_a => address,
-            byteena_a => byteenable,
-            clock0 => clk,
-            clocken0 => clocken0,
-            data_a => writedata,
-            q_a => internal_readdata,
-            wren_a => wren
+      address_a => address,
+      byteena_a => byteenable,
+      clock0 => clk,
+      clocken0 => clocken0,
+      data_a => writedata,
+      q_a => internal_readdata,
+      wren_a => wren
     );
 
   --s1, which is an e_avalon_slave
diff --git a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_emif_arch_nf_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i.vhd b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_emif_arch_nf_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i.vhd
index 21033b94a3..b5fd94d0ed 100644
--- a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_emif_arch_nf_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/altera_emif_arch_nf_180/sim/ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i.vhd
@@ -1,9 +1,1799 @@
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 entity ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i is
-   generic (
+  generic (
+    PROTOCOL_ENUM                                      : string                                   := "";
+    PHY_TARGET_IS_ES                                   : boolean                                  := false;
+    PHY_TARGET_IS_ES2                                  : boolean                                  := false;
+    PHY_TARGET_IS_PRODUCTION                           : boolean                                  := false;
+    PHY_CONFIG_ENUM                                    : string                                   := "";
+    PHY_PING_PONG_EN                                   : boolean                                  := false;
+    PHY_CORE_CLKS_SHARING_ENUM                         : string                                   := "";
+    PHY_CALIBRATED_OCT                                 : boolean                                  := false;
+    PHY_AC_CALIBRATED_OCT                              : boolean                                  := false;
+    PHY_CK_CALIBRATED_OCT                              : boolean                                  := false;
+    PHY_DATA_CALIBRATED_OCT                            : boolean                                  := false;
+    PHY_HPS_ENABLE_EARLY_RELEASE                       : boolean                                  := false;
+    PLL_NUM_OF_EXTRA_CLKS                              : integer                                  := 0;
+    MEM_FORMAT_ENUM                                    : string                                   := "";
+    MEM_BURST_LENGTH                                   : integer                                  := 0;
+    MEM_DATA_MASK_EN                                   : boolean                                  := false;
+    MEM_TTL_DATA_WIDTH                                 : integer                                  := 0;
+    MEM_TTL_NUM_OF_READ_GROUPS                         : integer                                  := 0;
+    MEM_TTL_NUM_OF_WRITE_GROUPS                        : integer                                  := 0;
+    DIAG_SIM_REGTEST_MODE                              : boolean                                  := false;
+    DIAG_SYNTH_FOR_SIM                                 : boolean                                  := false;
+    DIAG_ECLIPSE_DEBUG                                 : boolean                                  := false;
+    DIAG_EXPORT_VJI                                    : boolean                                  := false;
+    DIAG_INTERFACE_ID                                  : integer                                  := 0;
+    DIAG_SIM_VERBOSE_LEVEL                             : integer                                  := 0;
+    DIAG_FAST_SIM                                      : boolean                                  := false;
+    DIAG_USE_ABSTRACT_PHY                              : boolean                                  := false;
+    SILICON_REV                                        : string                                   := "";
+    IS_HPS                                             : boolean                                  := false;
+    IS_VID                                             : boolean                                  := false;
+    USER_CLK_RATIO                                     : integer                                  := 0;
+    C2P_P2C_CLK_RATIO                                  : integer                                  := 0;
+    PHY_HMC_CLK_RATIO                                  : integer                                  := 0;
+    DIAG_ABSTRACT_PHY_WLAT                             : integer                                  := 0;
+    DIAG_ABSTRACT_PHY_RLAT                             : integer                                  := 0;
+    DIAG_CPA_OUT_1_EN                                  : boolean                                  := false;
+    DIAG_USE_CPA_LOCK                                  : boolean                                  := false;
+    DQS_BUS_MODE_ENUM                                  : string                                   := "";
+    AC_PIN_MAP_SCHEME                                  : string                                   := "";
+    NUM_OF_HMC_PORTS                                   : integer                                  := 0;
+    HMC_AVL_PROTOCOL_ENUM                              : string                                   := "";
+    HMC_CTRL_DIMM_TYPE                                 : string                                   := "";
+    REGISTER_AFI                                       : boolean                                  := false;
+    SEQ_SYNTH_CPU_CLK_DIVIDE                           : integer                                  := 0;
+    SEQ_SYNTH_CAL_CLK_DIVIDE                           : integer                                  := 0;
+    SEQ_SIM_CPU_CLK_DIVIDE                             : integer                                  := 0;
+    SEQ_SIM_CAL_CLK_DIVIDE                             : integer                                  := 0;
+    SEQ_SYNTH_OSC_FREQ_MHZ                             : integer                                  := 0;
+    SEQ_SIM_OSC_FREQ_MHZ                               : integer                                  := 0;
+    NUM_OF_RTL_TILES                                   : integer                                  := 0;
+    PRI_RDATA_TILE_INDEX                               : integer                                  := 0;
+    PRI_RDATA_LANE_INDEX                               : integer                                  := 0;
+    PRI_WDATA_TILE_INDEX                               : integer                                  := 0;
+    PRI_WDATA_LANE_INDEX                               : integer                                  := 0;
+    PRI_AC_TILE_INDEX                                  : integer                                  := 0;
+    SEC_RDATA_TILE_INDEX                               : integer                                  := 0;
+    SEC_RDATA_LANE_INDEX                               : integer                                  := 0;
+    SEC_WDATA_TILE_INDEX                               : integer                                  := 0;
+    SEC_WDATA_LANE_INDEX                               : integer                                  := 0;
+    SEC_AC_TILE_INDEX                                  : integer                                  := 0;
+    LANES_USAGE_0                                      : integer                                  := 0;
+    LANES_USAGE_1                                      : integer                                  := 0;
+    LANES_USAGE_2                                      : integer                                  := 0;
+    LANES_USAGE_3                                      : integer                                  := 0;
+    LANES_USAGE_AUTOGEN_WCNT                           : integer                                  := 0;
+    PINS_USAGE_0                                       : integer                                  := 0;
+    PINS_USAGE_1                                       : integer                                  := 0;
+    PINS_USAGE_2                                       : integer                                  := 0;
+    PINS_USAGE_3                                       : integer                                  := 0;
+    PINS_USAGE_4                                       : integer                                  := 0;
+    PINS_USAGE_5                                       : integer                                  := 0;
+    PINS_USAGE_6                                       : integer                                  := 0;
+    PINS_USAGE_7                                       : integer                                  := 0;
+    PINS_USAGE_8                                       : integer                                  := 0;
+    PINS_USAGE_9                                       : integer                                  := 0;
+    PINS_USAGE_10                                      : integer                                  := 0;
+    PINS_USAGE_11                                      : integer                                  := 0;
+    PINS_USAGE_12                                      : integer                                  := 0;
+    PINS_USAGE_AUTOGEN_WCNT                            : integer                                  := 0;
+    PINS_RATE_0                                        : integer                                  := 0;
+    PINS_RATE_1                                        : integer                                  := 0;
+    PINS_RATE_2                                        : integer                                  := 0;
+    PINS_RATE_3                                        : integer                                  := 0;
+    PINS_RATE_4                                        : integer                                  := 0;
+    PINS_RATE_5                                        : integer                                  := 0;
+    PINS_RATE_6                                        : integer                                  := 0;
+    PINS_RATE_7                                        : integer                                  := 0;
+    PINS_RATE_8                                        : integer                                  := 0;
+    PINS_RATE_9                                        : integer                                  := 0;
+    PINS_RATE_10                                       : integer                                  := 0;
+    PINS_RATE_11                                       : integer                                  := 0;
+    PINS_RATE_12                                       : integer                                  := 0;
+    PINS_RATE_AUTOGEN_WCNT                             : integer                                  := 0;
+    PINS_WDB_0                                         : integer                                  := 0;
+    PINS_WDB_1                                         : integer                                  := 0;
+    PINS_WDB_2                                         : integer                                  := 0;
+    PINS_WDB_3                                         : integer                                  := 0;
+    PINS_WDB_4                                         : integer                                  := 0;
+    PINS_WDB_5                                         : integer                                  := 0;
+    PINS_WDB_6                                         : integer                                  := 0;
+    PINS_WDB_7                                         : integer                                  := 0;
+    PINS_WDB_8                                         : integer                                  := 0;
+    PINS_WDB_9                                         : integer                                  := 0;
+    PINS_WDB_10                                        : integer                                  := 0;
+    PINS_WDB_11                                        : integer                                  := 0;
+    PINS_WDB_12                                        : integer                                  := 0;
+    PINS_WDB_13                                        : integer                                  := 0;
+    PINS_WDB_14                                        : integer                                  := 0;
+    PINS_WDB_15                                        : integer                                  := 0;
+    PINS_WDB_16                                        : integer                                  := 0;
+    PINS_WDB_17                                        : integer                                  := 0;
+    PINS_WDB_18                                        : integer                                  := 0;
+    PINS_WDB_19                                        : integer                                  := 0;
+    PINS_WDB_20                                        : integer                                  := 0;
+    PINS_WDB_21                                        : integer                                  := 0;
+    PINS_WDB_22                                        : integer                                  := 0;
+    PINS_WDB_23                                        : integer                                  := 0;
+    PINS_WDB_24                                        : integer                                  := 0;
+    PINS_WDB_25                                        : integer                                  := 0;
+    PINS_WDB_26                                        : integer                                  := 0;
+    PINS_WDB_27                                        : integer                                  := 0;
+    PINS_WDB_28                                        : integer                                  := 0;
+    PINS_WDB_29                                        : integer                                  := 0;
+    PINS_WDB_30                                        : integer                                  := 0;
+    PINS_WDB_31                                        : integer                                  := 0;
+    PINS_WDB_32                                        : integer                                  := 0;
+    PINS_WDB_33                                        : integer                                  := 0;
+    PINS_WDB_34                                        : integer                                  := 0;
+    PINS_WDB_35                                        : integer                                  := 0;
+    PINS_WDB_36                                        : integer                                  := 0;
+    PINS_WDB_37                                        : integer                                  := 0;
+    PINS_WDB_38                                        : integer                                  := 0;
+    PINS_WDB_AUTOGEN_WCNT                              : integer                                  := 0;
+    PINS_DATA_IN_MODE_0                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_1                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_2                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_3                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_4                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_5                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_6                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_7                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_8                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_9                                : integer                                  := 0;
+    PINS_DATA_IN_MODE_10                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_11                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_12                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_13                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_14                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_15                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_16                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_17                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_18                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_19                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_20                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_21                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_22                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_23                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_24                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_25                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_26                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_27                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_28                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_29                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_30                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_31                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_32                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_33                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_34                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_35                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_36                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_37                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_38                               : integer                                  := 0;
+    PINS_DATA_IN_MODE_AUTOGEN_WCNT                     : integer                                  := 0;
+    PINS_C2L_DRIVEN_0                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_1                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_2                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_3                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_4                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_5                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_6                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_7                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_8                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_9                                  : integer                                  := 0;
+    PINS_C2L_DRIVEN_10                                 : integer                                  := 0;
+    PINS_C2L_DRIVEN_11                                 : integer                                  := 0;
+    PINS_C2L_DRIVEN_12                                 : integer                                  := 0;
+    PINS_C2L_DRIVEN_AUTOGEN_WCNT                       : integer                                  := 0;
+    PINS_DB_IN_BYPASS_0                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_1                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_2                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_3                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_4                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_5                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_6                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_7                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_8                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_9                                : integer                                  := 0;
+    PINS_DB_IN_BYPASS_10                               : integer                                  := 0;
+    PINS_DB_IN_BYPASS_11                               : integer                                  := 0;
+    PINS_DB_IN_BYPASS_12                               : integer                                  := 0;
+    PINS_DB_IN_BYPASS_AUTOGEN_WCNT                     : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_0                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_1                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_2                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_3                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_4                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_5                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_6                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_7                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_8                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_9                               : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_10                              : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_11                              : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_12                              : integer                                  := 0;
+    PINS_DB_OUT_BYPASS_AUTOGEN_WCNT                    : integer                                  := 0;
+    PINS_DB_OE_BYPASS_0                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_1                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_2                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_3                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_4                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_5                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_6                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_7                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_8                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_9                                : integer                                  := 0;
+    PINS_DB_OE_BYPASS_10                               : integer                                  := 0;
+    PINS_DB_OE_BYPASS_11                               : integer                                  := 0;
+    PINS_DB_OE_BYPASS_12                               : integer                                  := 0;
+    PINS_DB_OE_BYPASS_AUTOGEN_WCNT                     : integer                                  := 0;
+    PINS_INVERT_WR_0                                   : integer                                  := 0;
+    PINS_INVERT_WR_1                                   : integer                                  := 0;
+    PINS_INVERT_WR_2                                   : integer                                  := 0;
+    PINS_INVERT_WR_3                                   : integer                                  := 0;
+    PINS_INVERT_WR_4                                   : integer                                  := 0;
+    PINS_INVERT_WR_5                                   : integer                                  := 0;
+    PINS_INVERT_WR_6                                   : integer                                  := 0;
+    PINS_INVERT_WR_7                                   : integer                                  := 0;
+    PINS_INVERT_WR_8                                   : integer                                  := 0;
+    PINS_INVERT_WR_9                                   : integer                                  := 0;
+    PINS_INVERT_WR_10                                  : integer                                  := 0;
+    PINS_INVERT_WR_11                                  : integer                                  := 0;
+    PINS_INVERT_WR_12                                  : integer                                  := 0;
+    PINS_INVERT_WR_AUTOGEN_WCNT                        : integer                                  := 0;
+    PINS_INVERT_OE_0                                   : integer                                  := 0;
+    PINS_INVERT_OE_1                                   : integer                                  := 0;
+    PINS_INVERT_OE_2                                   : integer                                  := 0;
+    PINS_INVERT_OE_3                                   : integer                                  := 0;
+    PINS_INVERT_OE_4                                   : integer                                  := 0;
+    PINS_INVERT_OE_5                                   : integer                                  := 0;
+    PINS_INVERT_OE_6                                   : integer                                  := 0;
+    PINS_INVERT_OE_7                                   : integer                                  := 0;
+    PINS_INVERT_OE_8                                   : integer                                  := 0;
+    PINS_INVERT_OE_9                                   : integer                                  := 0;
+    PINS_INVERT_OE_10                                  : integer                                  := 0;
+    PINS_INVERT_OE_11                                  : integer                                  := 0;
+    PINS_INVERT_OE_12                                  : integer                                  := 0;
+    PINS_INVERT_OE_AUTOGEN_WCNT                        : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_0                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_1                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_2                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_3                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_4                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_5                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_6                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_7                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_8                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_9                    : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_10                   : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_11                   : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_12                   : integer                                  := 0;
+    PINS_AC_HMC_DATA_OVERRIDE_ENA_AUTOGEN_WCNT         : integer                                  := 0;
+    PINS_OCT_MODE_0                                    : integer                                  := 0;
+    PINS_OCT_MODE_1                                    : integer                                  := 0;
+    PINS_OCT_MODE_2                                    : integer                                  := 0;
+    PINS_OCT_MODE_3                                    : integer                                  := 0;
+    PINS_OCT_MODE_4                                    : integer                                  := 0;
+    PINS_OCT_MODE_5                                    : integer                                  := 0;
+    PINS_OCT_MODE_6                                    : integer                                  := 0;
+    PINS_OCT_MODE_7                                    : integer                                  := 0;
+    PINS_OCT_MODE_8                                    : integer                                  := 0;
+    PINS_OCT_MODE_9                                    : integer                                  := 0;
+    PINS_OCT_MODE_10                                   : integer                                  := 0;
+    PINS_OCT_MODE_11                                   : integer                                  := 0;
+    PINS_OCT_MODE_12                                   : integer                                  := 0;
+    PINS_OCT_MODE_AUTOGEN_WCNT                         : integer                                  := 0;
+    PINS_GPIO_MODE_0                                   : integer                                  := 0;
+    PINS_GPIO_MODE_1                                   : integer                                  := 0;
+    PINS_GPIO_MODE_2                                   : integer                                  := 0;
+    PINS_GPIO_MODE_3                                   : integer                                  := 0;
+    PINS_GPIO_MODE_4                                   : integer                                  := 0;
+    PINS_GPIO_MODE_5                                   : integer                                  := 0;
+    PINS_GPIO_MODE_6                                   : integer                                  := 0;
+    PINS_GPIO_MODE_7                                   : integer                                  := 0;
+    PINS_GPIO_MODE_8                                   : integer                                  := 0;
+    PINS_GPIO_MODE_9                                   : integer                                  := 0;
+    PINS_GPIO_MODE_10                                  : integer                                  := 0;
+    PINS_GPIO_MODE_11                                  : integer                                  := 0;
+    PINS_GPIO_MODE_12                                  : integer                                  := 0;
+    PINS_GPIO_MODE_AUTOGEN_WCNT                        : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_0                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_1                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_2                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_3                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_4                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_5                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_6                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_7                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_8                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_9                           : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_10                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_11                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_12                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_13                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_14                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_15                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_16                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_17                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_18                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_19                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_20                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_21                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_22                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_23                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_24                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_25                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_26                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_27                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_28                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_29                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_30                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_31                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_32                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_33                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_34                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_35                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_36                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_37                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_38                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_39                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_40                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_41                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_42                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_43                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_44                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_45                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_46                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_47                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_48                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_49                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_50                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_51                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_52                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_53                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_54                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_55                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_56                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_57                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_58                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_59                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_60                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_61                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_62                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_63                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_64                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_65                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_66                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_67                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_68                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_69                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_70                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_71                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_72                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_73                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_74                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_75                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_76                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_77                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_78                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_79                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_80                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_81                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_82                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_83                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_84                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_85                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_86                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_87                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_88                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_89                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_90                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_91                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_92                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_93                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_94                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_95                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_96                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_97                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_98                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_99                          : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_100                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_101                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_102                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_103                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_104                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_105                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_106                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_107                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_108                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_109                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_110                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_111                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_112                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_113                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_114                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_115                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_116                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_117                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_118                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_119                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_120                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_121                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_122                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_123                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_124                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_125                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_126                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_127                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_128                         : integer                                  := 0;
+    UNUSED_MEM_PINS_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_0                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_1                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_2                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_3                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_4                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_5                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_6                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_7                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_8                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_9                         : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_10                        : integer                                  := 0;
+    UNUSED_DQS_BUSES_LANELOC_AUTOGEN_WCNT              : integer                                  := 0;
+    CENTER_TIDS_0                                      : integer                                  := 0;
+    CENTER_TIDS_1                                      : integer                                  := 0;
+    CENTER_TIDS_2                                      : integer                                  := 0;
+    CENTER_TIDS_AUTOGEN_WCNT                           : integer                                  := 0;
+    HMC_TIDS_0                                         : integer                                  := 0;
+    HMC_TIDS_1                                         : integer                                  := 0;
+    HMC_TIDS_2                                         : integer                                  := 0;
+    HMC_TIDS_AUTOGEN_WCNT                              : integer                                  := 0;
+    LANE_TIDS_0                                        : integer                                  := 0;
+    LANE_TIDS_1                                        : integer                                  := 0;
+    LANE_TIDS_2                                        : integer                                  := 0;
+    LANE_TIDS_3                                        : integer                                  := 0;
+    LANE_TIDS_4                                        : integer                                  := 0;
+    LANE_TIDS_5                                        : integer                                  := 0;
+    LANE_TIDS_6                                        : integer                                  := 0;
+    LANE_TIDS_7                                        : integer                                  := 0;
+    LANE_TIDS_8                                        : integer                                  := 0;
+    LANE_TIDS_9                                        : integer                                  := 0;
+    LANE_TIDS_AUTOGEN_WCNT                             : integer                                  := 0;
+    PREAMBLE_MODE                                      : string                                   := "";
+    DBI_WR_ENABLE                                      : string                                   := "";
+    DBI_RD_ENABLE                                      : string                                   := "";
+    CRC_EN                                             : string                                   := "";
+    SWAP_DQS_A_B                                       : string                                   := "";
+    DQS_PACK_MODE                                      : string                                   := "";
+    OCT_SIZE                                           : integer                                  := 0;
+    DBC_WB_RESERVED_ENTRY                              : integer                                  := 0;
+    DLL_MODE                                           : string                                   := "";
+    DLL_CODEWORD                                       : integer                                  := 0;
+    ABPHY_WRITE_PROTOCOL                               : integer                                  := 0;
+    PHY_USERMODE_OCT                                   : boolean                                  := false;
+    PHY_PERIODIC_OCT_RECAL                             : boolean                                  := false;
+    PHY_HAS_DCC                                        : boolean                                  := false;
+    PRI_HMC_CFG_ENABLE_ECC                             : string                                   := "";
+    PRI_HMC_CFG_REORDER_DATA                           : string                                   := "";
+    PRI_HMC_CFG_REORDER_READ                           : string                                   := "";
+    PRI_HMC_CFG_REORDER_RDATA                          : string                                   := "";
+    PRI_HMC_CFG_STARVE_LIMIT                           : integer                                  := 0;
+    PRI_HMC_CFG_DQS_TRACKING_EN                        : string                                   := "";
+    PRI_HMC_CFG_ARBITER_TYPE                           : string                                   := "";
+    PRI_HMC_CFG_OPEN_PAGE_EN                           : string                                   := "";
+    PRI_HMC_CFG_GEAR_DOWN_EN                           : string                                   := "";
+    PRI_HMC_CFG_RLD3_MULTIBANK_MODE                    : string                                   := "";
+    PRI_HMC_CFG_PING_PONG_MODE                         : string                                   := "";
+    PRI_HMC_CFG_SLOT_ROTATE_EN                         : integer                                  := 0;
+    PRI_HMC_CFG_SLOT_OFFSET                            : integer                                  := 0;
+    PRI_HMC_CFG_COL_CMD_SLOT                           : integer                                  := 0;
+    PRI_HMC_CFG_ROW_CMD_SLOT                           : integer                                  := 0;
+    PRI_HMC_CFG_ENABLE_RC                              : string                                   := "";
+    PRI_HMC_CFG_CS_TO_CHIP_MAPPING                     : integer                                  := 0;
+    PRI_HMC_CFG_RB_RESERVED_ENTRY                      : integer                                  := 0;
+    PRI_HMC_CFG_WB_RESERVED_ENTRY                      : integer                                  := 0;
+    PRI_HMC_CFG_TCL                                    : integer                                  := 0;
+    PRI_HMC_CFG_POWER_SAVING_EXIT_CYC                  : integer                                  := 0;
+    PRI_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC              : integer                                  := 0;
+    PRI_HMC_CFG_WRITE_ODT_CHIP                         : integer                                  := 0;
+    PRI_HMC_CFG_READ_ODT_CHIP                          : integer                                  := 0;
+    PRI_HMC_CFG_WR_ODT_ON                              : integer                                  := 0;
+    PRI_HMC_CFG_RD_ODT_ON                              : integer                                  := 0;
+    PRI_HMC_CFG_WR_ODT_PERIOD                          : integer                                  := 0;
+    PRI_HMC_CFG_RD_ODT_PERIOD                          : integer                                  := 0;
+    PRI_HMC_CFG_RLD3_REFRESH_SEQ0                      : integer                                  := 0;
+    PRI_HMC_CFG_RLD3_REFRESH_SEQ1                      : integer                                  := 0;
+    PRI_HMC_CFG_RLD3_REFRESH_SEQ2                      : integer                                  := 0;
+    PRI_HMC_CFG_RLD3_REFRESH_SEQ3                      : integer                                  := 0;
+    PRI_HMC_CFG_SRF_ZQCAL_DISABLE                      : string                                   := "";
+    PRI_HMC_CFG_MPS_ZQCAL_DISABLE                      : string                                   := "";
+    PRI_HMC_CFG_MPS_DQSTRK_DISABLE                     : string                                   := "";
+    PRI_HMC_CFG_SHORT_DQSTRK_CTRL_EN                   : string                                   := "";
+    PRI_HMC_CFG_PERIOD_DQSTRK_CTRL_EN                  : string                                   := "";
+    PRI_HMC_CFG_PERIOD_DQSTRK_INTERVAL                 : integer                                  := 0;
+    PRI_HMC_CFG_DQSTRK_TO_VALID_LAST                   : integer                                  := 0;
+    PRI_HMC_CFG_DQSTRK_TO_VALID                        : integer                                  := 0;
+    PRI_HMC_CFG_RFSH_WARN_THRESHOLD                    : integer                                  := 0;
+    PRI_HMC_CFG_SB_CG_DISABLE                          : string                                   := "";
+    PRI_HMC_CFG_USER_RFSH_EN                           : string                                   := "";
+    PRI_HMC_CFG_SRF_AUTOEXIT_EN                        : string                                   := "";
+    PRI_HMC_CFG_SRF_ENTRY_EXIT_BLOCK                   : string                                   := "";
+    PRI_HMC_CFG_SB_DDR4_MR3                            : integer                                  := 0;
+    PRI_HMC_CFG_SB_DDR4_MR4                            : integer                                  := 0;
+    PRI_HMC_CFG_SB_DDR4_MR5                            : integer                                  := 0;
+    PRI_HMC_CFG_DDR4_MPS_ADDR_MIRROR                   : integer                                  := 0;
+    PRI_HMC_CFG_MEM_IF_COLADDR_WIDTH                   : string                                   := "";
+    PRI_HMC_CFG_MEM_IF_ROWADDR_WIDTH                   : string                                   := "";
+    PRI_HMC_CFG_MEM_IF_BANKADDR_WIDTH                  : string                                   := "";
+    PRI_HMC_CFG_MEM_IF_BGADDR_WIDTH                    : string                                   := "";
+    PRI_HMC_CFG_LOCAL_IF_CS_WIDTH                      : string                                   := "";
+    PRI_HMC_CFG_ADDR_ORDER                             : string                                   := "";
+    PRI_HMC_CFG_ACT_TO_RDWR                            : integer                                  := 0;
+    PRI_HMC_CFG_ACT_TO_PCH                             : integer                                  := 0;
+    PRI_HMC_CFG_ACT_TO_ACT                             : integer                                  := 0;
+    PRI_HMC_CFG_ACT_TO_ACT_DIFF_BANK                   : integer                                  := 0;
+    PRI_HMC_CFG_ACT_TO_ACT_DIFF_BG                     : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_RD                               : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_RD_DIFF_CHIP                     : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_RD_DIFF_BG                       : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_WR                               : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_WR_DIFF_CHIP                     : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_WR_DIFF_BG                       : integer                                  := 0;
+    PRI_HMC_CFG_RD_TO_PCH                              : integer                                  := 0;
+    PRI_HMC_CFG_RD_AP_TO_VALID                         : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_WR                               : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_WR_DIFF_CHIP                     : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_WR_DIFF_BG                       : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_RD                               : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_RD_DIFF_CHIP                     : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_RD_DIFF_BG                       : integer                                  := 0;
+    PRI_HMC_CFG_WR_TO_PCH                              : integer                                  := 0;
+    PRI_HMC_CFG_WR_AP_TO_VALID                         : integer                                  := 0;
+    PRI_HMC_CFG_PCH_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_PCH_ALL_TO_VALID                       : integer                                  := 0;
+    PRI_HMC_CFG_ARF_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_PDN_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_SRF_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_SRF_TO_ZQ_CAL                          : integer                                  := 0;
+    PRI_HMC_CFG_ARF_PERIOD                             : integer                                  := 0;
+    PRI_HMC_CFG_PDN_PERIOD                             : integer                                  := 0;
+    PRI_HMC_CFG_ZQCL_TO_VALID                          : integer                                  := 0;
+    PRI_HMC_CFG_ZQCS_TO_VALID                          : integer                                  := 0;
+    PRI_HMC_CFG_MRS_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_MPS_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_MRR_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_MPR_TO_VALID                           : integer                                  := 0;
+    PRI_HMC_CFG_MPS_EXIT_CS_TO_CKE                     : integer                                  := 0;
+    PRI_HMC_CFG_MPS_EXIT_CKE_TO_CS                     : integer                                  := 0;
+    PRI_HMC_CFG_RLD3_MULTIBANK_REF_DELAY               : integer                                  := 0;
+    PRI_HMC_CFG_MMR_CMD_TO_VALID                       : integer                                  := 0;
+    PRI_HMC_CFG_4_ACT_TO_ACT                           : integer                                  := 0;
+    PRI_HMC_CFG_16_ACT_TO_ACT                          : integer                                  := 0;
+    SEC_HMC_CFG_ENABLE_ECC                             : string                                   := "";
+    SEC_HMC_CFG_REORDER_DATA                           : string                                   := "";
+    SEC_HMC_CFG_REORDER_READ                           : string                                   := "";
+    SEC_HMC_CFG_REORDER_RDATA                          : string                                   := "";
+    SEC_HMC_CFG_STARVE_LIMIT                           : integer                                  := 0;
+    SEC_HMC_CFG_DQS_TRACKING_EN                        : string                                   := "";
+    SEC_HMC_CFG_ARBITER_TYPE                           : string                                   := "";
+    SEC_HMC_CFG_OPEN_PAGE_EN                           : string                                   := "";
+    SEC_HMC_CFG_GEAR_DOWN_EN                           : string                                   := "";
+    SEC_HMC_CFG_RLD3_MULTIBANK_MODE                    : string                                   := "";
+    SEC_HMC_CFG_PING_PONG_MODE                         : string                                   := "";
+    SEC_HMC_CFG_SLOT_ROTATE_EN                         : integer                                  := 0;
+    SEC_HMC_CFG_SLOT_OFFSET                            : integer                                  := 0;
+    SEC_HMC_CFG_COL_CMD_SLOT                           : integer                                  := 0;
+    SEC_HMC_CFG_ROW_CMD_SLOT                           : integer                                  := 0;
+    SEC_HMC_CFG_ENABLE_RC                              : string                                   := "";
+    SEC_HMC_CFG_CS_TO_CHIP_MAPPING                     : integer                                  := 0;
+    SEC_HMC_CFG_RB_RESERVED_ENTRY                      : integer                                  := 0;
+    SEC_HMC_CFG_WB_RESERVED_ENTRY                      : integer                                  := 0;
+    SEC_HMC_CFG_TCL                                    : integer                                  := 0;
+    SEC_HMC_CFG_POWER_SAVING_EXIT_CYC                  : integer                                  := 0;
+    SEC_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC              : integer                                  := 0;
+    SEC_HMC_CFG_WRITE_ODT_CHIP                         : integer                                  := 0;
+    SEC_HMC_CFG_READ_ODT_CHIP                          : integer                                  := 0;
+    SEC_HMC_CFG_WR_ODT_ON                              : integer                                  := 0;
+    SEC_HMC_CFG_RD_ODT_ON                              : integer                                  := 0;
+    SEC_HMC_CFG_WR_ODT_PERIOD                          : integer                                  := 0;
+    SEC_HMC_CFG_RD_ODT_PERIOD                          : integer                                  := 0;
+    SEC_HMC_CFG_RLD3_REFRESH_SEQ0                      : integer                                  := 0;
+    SEC_HMC_CFG_RLD3_REFRESH_SEQ1                      : integer                                  := 0;
+    SEC_HMC_CFG_RLD3_REFRESH_SEQ2                      : integer                                  := 0;
+    SEC_HMC_CFG_RLD3_REFRESH_SEQ3                      : integer                                  := 0;
+    SEC_HMC_CFG_SRF_ZQCAL_DISABLE                      : string                                   := "";
+    SEC_HMC_CFG_MPS_ZQCAL_DISABLE                      : string                                   := "";
+    SEC_HMC_CFG_MPS_DQSTRK_DISABLE                     : string                                   := "";
+    SEC_HMC_CFG_SHORT_DQSTRK_CTRL_EN                   : string                                   := "";
+    SEC_HMC_CFG_PERIOD_DQSTRK_CTRL_EN                  : string                                   := "";
+    SEC_HMC_CFG_PERIOD_DQSTRK_INTERVAL                 : integer                                  := 0;
+    SEC_HMC_CFG_DQSTRK_TO_VALID_LAST                   : integer                                  := 0;
+    SEC_HMC_CFG_DQSTRK_TO_VALID                        : integer                                  := 0;
+    SEC_HMC_CFG_RFSH_WARN_THRESHOLD                    : integer                                  := 0;
+    SEC_HMC_CFG_SB_CG_DISABLE                          : string                                   := "";
+    SEC_HMC_CFG_USER_RFSH_EN                           : string                                   := "";
+    SEC_HMC_CFG_SRF_AUTOEXIT_EN                        : string                                   := "";
+    SEC_HMC_CFG_SRF_ENTRY_EXIT_BLOCK                   : string                                   := "";
+    SEC_HMC_CFG_SB_DDR4_MR3                            : integer                                  := 0;
+    SEC_HMC_CFG_SB_DDR4_MR4                            : integer                                  := 0;
+    SEC_HMC_CFG_SB_DDR4_MR5                            : integer                                  := 0;
+    SEC_HMC_CFG_DDR4_MPS_ADDR_MIRROR                   : integer                                  := 0;
+    SEC_HMC_CFG_MEM_IF_COLADDR_WIDTH                   : string                                   := "";
+    SEC_HMC_CFG_MEM_IF_ROWADDR_WIDTH                   : string                                   := "";
+    SEC_HMC_CFG_MEM_IF_BANKADDR_WIDTH                  : string                                   := "";
+    SEC_HMC_CFG_MEM_IF_BGADDR_WIDTH                    : string                                   := "";
+    SEC_HMC_CFG_LOCAL_IF_CS_WIDTH                      : string                                   := "";
+    SEC_HMC_CFG_ADDR_ORDER                             : string                                   := "";
+    SEC_HMC_CFG_ACT_TO_RDWR                            : integer                                  := 0;
+    SEC_HMC_CFG_ACT_TO_PCH                             : integer                                  := 0;
+    SEC_HMC_CFG_ACT_TO_ACT                             : integer                                  := 0;
+    SEC_HMC_CFG_ACT_TO_ACT_DIFF_BANK                   : integer                                  := 0;
+    SEC_HMC_CFG_ACT_TO_ACT_DIFF_BG                     : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_RD                               : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_RD_DIFF_CHIP                     : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_RD_DIFF_BG                       : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_WR                               : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_WR_DIFF_CHIP                     : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_WR_DIFF_BG                       : integer                                  := 0;
+    SEC_HMC_CFG_RD_TO_PCH                              : integer                                  := 0;
+    SEC_HMC_CFG_RD_AP_TO_VALID                         : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_WR                               : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_WR_DIFF_CHIP                     : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_WR_DIFF_BG                       : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_RD                               : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_RD_DIFF_CHIP                     : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_RD_DIFF_BG                       : integer                                  := 0;
+    SEC_HMC_CFG_WR_TO_PCH                              : integer                                  := 0;
+    SEC_HMC_CFG_WR_AP_TO_VALID                         : integer                                  := 0;
+    SEC_HMC_CFG_PCH_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_PCH_ALL_TO_VALID                       : integer                                  := 0;
+    SEC_HMC_CFG_ARF_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_PDN_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_SRF_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_SRF_TO_ZQ_CAL                          : integer                                  := 0;
+    SEC_HMC_CFG_ARF_PERIOD                             : integer                                  := 0;
+    SEC_HMC_CFG_PDN_PERIOD                             : integer                                  := 0;
+    SEC_HMC_CFG_ZQCL_TO_VALID                          : integer                                  := 0;
+    SEC_HMC_CFG_ZQCS_TO_VALID                          : integer                                  := 0;
+    SEC_HMC_CFG_MRS_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_MPS_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_MRR_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_MPR_TO_VALID                           : integer                                  := 0;
+    SEC_HMC_CFG_MPS_EXIT_CS_TO_CKE                     : integer                                  := 0;
+    SEC_HMC_CFG_MPS_EXIT_CKE_TO_CS                     : integer                                  := 0;
+    SEC_HMC_CFG_RLD3_MULTIBANK_REF_DELAY               : integer                                  := 0;
+    SEC_HMC_CFG_MMR_CMD_TO_VALID                       : integer                                  := 0;
+    SEC_HMC_CFG_4_ACT_TO_ACT                           : integer                                  := 0;
+    SEC_HMC_CFG_16_ACT_TO_ACT                          : integer                                  := 0;
+    PINS_PER_LANE                                      : integer                                  := 0;
+    LANES_PER_TILE                                     : integer                                  := 0;
+    OCT_CONTROL_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_CK_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_CK_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_CK_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_2                             : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_3                             : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_4                             : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_5                             : integer                                  := 0;
+    PORT_MEM_CK_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_DK_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_DK_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_DK_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_2                             : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_3                             : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_4                             : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_5                             : integer                                  := 0;
+    PORT_MEM_DK_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_DKA_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_DKA_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_DKA_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_3                            : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_4                            : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_5                            : integer                                  := 0;
+    PORT_MEM_DKA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_DKB_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_DKB_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_DKB_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_3                            : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_4                            : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_5                            : integer                                  := 0;
+    PORT_MEM_DKB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_K_WIDTH                                   : integer                                  := 0;
+    PORT_MEM_K_PINLOC_0                                : integer                                  := 0;
+    PORT_MEM_K_PINLOC_1                                : integer                                  := 0;
+    PORT_MEM_K_PINLOC_2                                : integer                                  := 0;
+    PORT_MEM_K_PINLOC_3                                : integer                                  := 0;
+    PORT_MEM_K_PINLOC_4                                : integer                                  := 0;
+    PORT_MEM_K_PINLOC_5                                : integer                                  := 0;
+    PORT_MEM_K_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
+    PORT_MEM_K_N_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_K_N_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_A_WIDTH                                   : integer                                  := 0;
+    PORT_MEM_A_PINLOC_0                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_1                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_2                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_3                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_4                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_5                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_6                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_7                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_8                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_9                                : integer                                  := 0;
+    PORT_MEM_A_PINLOC_10                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_11                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_12                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_13                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_14                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_15                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_16                               : integer                                  := 0;
+    PORT_MEM_A_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
+    PORT_MEM_BA_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_BA_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_BG_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_BG_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_C_WIDTH                                   : integer                                  := 0;
+    PORT_MEM_C_PINLOC_0                                : integer                                  := 0;
+    PORT_MEM_C_PINLOC_1                                : integer                                  := 0;
+    PORT_MEM_C_PINLOC_2                                : integer                                  := 0;
+    PORT_MEM_C_PINLOC_3                                : integer                                  := 0;
+    PORT_MEM_C_PINLOC_4                                : integer                                  := 0;
+    PORT_MEM_C_PINLOC_5                                : integer                                  := 0;
+    PORT_MEM_C_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
+    PORT_MEM_CKE_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_CKE_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_CS_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_2                             : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_3                             : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_4                             : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_5                             : integer                                  := 0;
+    PORT_MEM_CS_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_RM_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_RM_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_ODT_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_ODT_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_RAS_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_RAS_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_RAS_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_RAS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_CAS_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_CAS_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_CAS_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_CAS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_WE_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_WE_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_WE_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_WE_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_RESET_N_WIDTH                             : integer                                  := 0;
+    PORT_MEM_RESET_N_PINLOC_0                          : integer                                  := 0;
+    PORT_MEM_RESET_N_PINLOC_1                          : integer                                  := 0;
+    PORT_MEM_RESET_N_PINLOC_AUTOGEN_WCNT               : integer                                  := 0;
+    PORT_MEM_ACT_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_ACT_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_ACT_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_ACT_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_PAR_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_PAR_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_PAR_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_PAR_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_CA_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_6                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_7                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_8                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_9                               : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_10                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_11                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_12                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_13                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_14                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_15                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_16                              : integer                                  := 0;
+    PORT_MEM_CA_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_REF_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_REF_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_REF_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_WPS_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_WPS_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_WPS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_RPS_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_RPS_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_RPS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_DOFF_N_WIDTH                              : integer                                  := 0;
+    PORT_MEM_DOFF_N_PINLOC_0                           : integer                                  := 0;
+    PORT_MEM_DOFF_N_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
+    PORT_MEM_LDA_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_LDA_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_LDA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_LDB_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_LDB_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_LDB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_RWA_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_RWA_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_RWA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_RWB_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_RWB_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_RWB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_LBK0_N_WIDTH                              : integer                                  := 0;
+    PORT_MEM_LBK0_N_PINLOC_0                           : integer                                  := 0;
+    PORT_MEM_LBK0_N_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
+    PORT_MEM_LBK1_N_WIDTH                              : integer                                  := 0;
+    PORT_MEM_LBK1_N_PINLOC_0                           : integer                                  := 0;
+    PORT_MEM_LBK1_N_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
+    PORT_MEM_CFG_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_CFG_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_CFG_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_AP_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_AP_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_AP_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_AINV_WIDTH                                : integer                                  := 0;
+    PORT_MEM_AINV_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_AINV_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_DM_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_6                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_7                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_8                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_9                               : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_10                              : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_11                              : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_12                              : integer                                  := 0;
+    PORT_MEM_DM_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_BWS_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_BWS_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_BWS_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_BWS_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_BWS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_D_WIDTH                                   : integer                                  := 0;
+    PORT_MEM_D_PINLOC_0                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_1                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_2                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_3                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_4                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_5                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_6                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_7                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_8                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_9                                : integer                                  := 0;
+    PORT_MEM_D_PINLOC_10                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_11                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_12                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_13                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_14                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_15                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_16                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_17                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_18                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_19                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_20                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_21                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_22                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_23                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_24                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_25                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_26                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_27                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_28                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_29                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_30                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_31                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_32                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_33                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_34                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_35                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_36                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_37                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_38                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_39                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_40                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_41                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_42                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_43                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_44                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_45                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_46                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_47                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_48                               : integer                                  := 0;
+    PORT_MEM_D_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
+    PORT_MEM_DQ_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_6                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_7                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_8                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_9                               : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_10                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_11                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_12                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_13                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_14                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_15                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_16                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_17                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_18                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_19                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_20                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_21                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_22                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_23                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_24                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_25                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_26                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_27                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_28                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_29                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_30                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_31                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_32                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_33                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_34                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_35                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_36                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_37                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_38                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_39                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_40                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_41                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_42                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_43                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_44                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_45                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_46                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_47                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_48                              : integer                                  := 0;
+    PORT_MEM_DQ_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_DBI_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_3                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_4                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_5                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_6                            : integer                                  := 0;
+    PORT_MEM_DBI_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_DQA_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_6                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_7                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_8                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_9                              : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_10                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_11                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_12                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_13                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_14                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_15                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_16                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_17                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_18                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_19                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_20                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_21                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_22                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_23                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_24                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_25                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_26                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_27                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_28                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_29                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_30                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_31                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_32                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_33                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_34                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_35                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_36                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_37                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_38                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_39                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_40                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_41                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_42                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_43                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_44                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_45                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_46                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_47                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_48                             : integer                                  := 0;
+    PORT_MEM_DQA_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_DQB_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_6                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_7                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_8                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_9                              : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_10                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_11                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_12                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_13                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_14                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_15                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_16                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_17                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_18                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_19                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_20                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_21                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_22                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_23                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_24                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_25                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_26                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_27                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_28                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_29                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_30                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_31                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_32                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_33                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_34                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_35                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_36                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_37                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_38                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_39                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_40                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_41                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_42                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_43                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_44                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_45                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_46                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_47                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_48                             : integer                                  := 0;
+    PORT_MEM_DQB_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_DINVA_WIDTH                               : integer                                  := 0;
+    PORT_MEM_DINVA_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_DINVA_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_DINVA_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_DINVA_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_DINVB_WIDTH                               : integer                                  := 0;
+    PORT_MEM_DINVB_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_DINVB_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_DINVB_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_DINVB_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_Q_WIDTH                                   : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_0                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_1                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_2                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_3                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_4                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_5                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_6                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_7                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_8                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_9                                : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_10                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_11                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_12                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_13                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_14                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_15                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_16                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_17                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_18                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_19                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_20                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_21                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_22                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_23                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_24                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_25                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_26                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_27                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_28                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_29                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_30                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_31                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_32                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_33                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_34                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_35                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_36                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_37                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_38                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_39                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_40                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_41                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_42                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_43                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_44                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_45                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_46                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_47                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_48                               : integer                                  := 0;
+    PORT_MEM_Q_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
+    PORT_MEM_DQS_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_6                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_7                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_8                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_9                              : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_10                             : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_11                             : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_12                             : integer                                  := 0;
+    PORT_MEM_DQS_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_DQS_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_3                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_4                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_5                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_6                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_7                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_8                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_9                            : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_10                           : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_11                           : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_12                           : integer                                  := 0;
+    PORT_MEM_DQS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_QK_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_2                               : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_3                               : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_4                               : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_5                               : integer                                  := 0;
+    PORT_MEM_QK_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_QK_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_2                             : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_3                             : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_4                             : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_5                             : integer                                  := 0;
+    PORT_MEM_QK_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_QKA_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_QKA_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_QKA_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_3                            : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_4                            : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_5                            : integer                                  := 0;
+    PORT_MEM_QKA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_QKB_WIDTH                                 : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_0                              : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_1                              : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_2                              : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_3                              : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_4                              : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_5                              : integer                                  := 0;
+    PORT_MEM_QKB_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
+    PORT_MEM_QKB_N_WIDTH                               : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_0                            : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_1                            : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_2                            : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_3                            : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_4                            : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_5                            : integer                                  := 0;
+    PORT_MEM_QKB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
+    PORT_MEM_CQ_WIDTH                                  : integer                                  := 0;
+    PORT_MEM_CQ_PINLOC_0                               : integer                                  := 0;
+    PORT_MEM_CQ_PINLOC_1                               : integer                                  := 0;
+    PORT_MEM_CQ_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
+    PORT_MEM_CQ_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_CQ_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_CQ_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_CQ_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_MEM_ALERT_N_WIDTH                             : integer                                  := 0;
+    PORT_MEM_ALERT_N_PINLOC_0                          : integer                                  := 0;
+    PORT_MEM_ALERT_N_PINLOC_1                          : integer                                  := 0;
+    PORT_MEM_ALERT_N_PINLOC_AUTOGEN_WCNT               : integer                                  := 0;
+    PORT_MEM_PE_N_WIDTH                                : integer                                  := 0;
+    PORT_MEM_PE_N_PINLOC_0                             : integer                                  := 0;
+    PORT_MEM_PE_N_PINLOC_1                             : integer                                  := 0;
+    PORT_MEM_PE_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
+    PORT_CLKS_SHARING_MASTER_OUT_WIDTH                 : integer                                  := 0;
+    PORT_CLKS_SHARING_SLAVE_IN_WIDTH                   : integer                                  := 0;
+    PORT_CLKS_SHARING_SLAVE_OUT_WIDTH                  : integer                                  := 0;
+    PORT_AFI_RLAT_WIDTH                                : integer                                  := 0;
+    PORT_AFI_WLAT_WIDTH                                : integer                                  := 0;
+    PORT_AFI_SEQ_BUSY_WIDTH                            : integer                                  := 0;
+    PORT_AFI_ADDR_WIDTH                                : integer                                  := 0;
+    PORT_AFI_BA_WIDTH                                  : integer                                  := 0;
+    PORT_AFI_BG_WIDTH                                  : integer                                  := 0;
+    PORT_AFI_C_WIDTH                                   : integer                                  := 0;
+    PORT_AFI_CKE_WIDTH                                 : integer                                  := 0;
+    PORT_AFI_CS_N_WIDTH                                : integer                                  := 0;
+    PORT_AFI_RM_WIDTH                                  : integer                                  := 0;
+    PORT_AFI_ODT_WIDTH                                 : integer                                  := 0;
+    PORT_AFI_RAS_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_CAS_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_WE_N_WIDTH                                : integer                                  := 0;
+    PORT_AFI_RST_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_ACT_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_PAR_WIDTH                                 : integer                                  := 0;
+    PORT_AFI_CA_WIDTH                                  : integer                                  := 0;
+    PORT_AFI_REF_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_WPS_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_RPS_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_DOFF_N_WIDTH                              : integer                                  := 0;
+    PORT_AFI_LD_N_WIDTH                                : integer                                  := 0;
+    PORT_AFI_RW_N_WIDTH                                : integer                                  := 0;
+    PORT_AFI_LBK0_N_WIDTH                              : integer                                  := 0;
+    PORT_AFI_LBK1_N_WIDTH                              : integer                                  := 0;
+    PORT_AFI_CFG_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_AP_WIDTH                                  : integer                                  := 0;
+    PORT_AFI_AINV_WIDTH                                : integer                                  := 0;
+    PORT_AFI_DM_WIDTH                                  : integer                                  := 0;
+    PORT_AFI_DM_N_WIDTH                                : integer                                  := 0;
+    PORT_AFI_BWS_N_WIDTH                               : integer                                  := 0;
+    PORT_AFI_RDATA_DBI_N_WIDTH                         : integer                                  := 0;
+    PORT_AFI_WDATA_DBI_N_WIDTH                         : integer                                  := 0;
+    PORT_AFI_RDATA_DINV_WIDTH                          : integer                                  := 0;
+    PORT_AFI_WDATA_DINV_WIDTH                          : integer                                  := 0;
+    PORT_AFI_DQS_BURST_WIDTH                           : integer                                  := 0;
+    PORT_AFI_WDATA_VALID_WIDTH                         : integer                                  := 0;
+    PORT_AFI_WDATA_WIDTH                               : integer                                  := 0;
+    PORT_AFI_RDATA_EN_FULL_WIDTH                       : integer                                  := 0;
+    PORT_AFI_RDATA_WIDTH                               : integer                                  := 0;
+    PORT_AFI_RDATA_VALID_WIDTH                         : integer                                  := 0;
+    PORT_AFI_RRANK_WIDTH                               : integer                                  := 0;
+    PORT_AFI_WRANK_WIDTH                               : integer                                  := 0;
+    PORT_AFI_ALERT_N_WIDTH                             : integer                                  := 0;
+    PORT_AFI_PE_N_WIDTH                                : integer                                  := 0;
+    PORT_CTRL_AST_CMD_DATA_WIDTH                       : integer                                  := 0;
+    PORT_CTRL_AST_WR_DATA_WIDTH                        : integer                                  := 0;
+    PORT_CTRL_AST_RD_DATA_WIDTH                        : integer                                  := 0;
+    PORT_CTRL_AMM_ADDRESS_WIDTH                        : integer                                  := 0;
+    PORT_CTRL_AMM_RDATA_WIDTH                          : integer                                  := 0;
+    PORT_CTRL_AMM_WDATA_WIDTH                          : integer                                  := 0;
+    PORT_CTRL_AMM_BCOUNT_WIDTH                         : integer                                  := 0;
+    PORT_CTRL_AMM_BYTEEN_WIDTH                         : integer                                  := 0;
+    PORT_CTRL_USER_REFRESH_REQ_WIDTH                   : integer                                  := 0;
+    PORT_CTRL_USER_REFRESH_BANK_WIDTH                  : integer                                  := 0;
+    PORT_CTRL_SELF_REFRESH_REQ_WIDTH                   : integer                                  := 0;
+    PORT_CTRL_ECC_WRITE_INFO_WIDTH                     : integer                                  := 0;
+    PORT_CTRL_ECC_RDATA_ID_WIDTH                       : integer                                  := 0;
+    PORT_CTRL_ECC_READ_INFO_WIDTH                      : integer                                  := 0;
+    PORT_CTRL_ECC_CMD_INFO_WIDTH                       : integer                                  := 0;
+    PORT_CTRL_ECC_WB_POINTER_WIDTH                     : integer                                  := 0;
+    PORT_CTRL_MMR_SLAVE_ADDRESS_WIDTH                  : integer                                  := 0;
+    PORT_CTRL_MMR_SLAVE_RDATA_WIDTH                    : integer                                  := 0;
+    PORT_CTRL_MMR_SLAVE_WDATA_WIDTH                    : integer                                  := 0;
+    PORT_CTRL_MMR_SLAVE_BCOUNT_WIDTH                   : integer                                  := 0;
+    PORT_HPS_EMIF_H2E_WIDTH                            : integer                                  := 0;
+    PORT_HPS_EMIF_E2H_WIDTH                            : integer                                  := 0;
+    PORT_HPS_EMIF_H2E_GP_WIDTH                         : integer                                  := 0;
+    PORT_HPS_EMIF_E2H_GP_WIDTH                         : integer                                  := 0;
+    PORT_CAL_DEBUG_ADDRESS_WIDTH                       : integer                                  := 0;
+    PORT_CAL_DEBUG_RDATA_WIDTH                         : integer                                  := 0;
+    PORT_CAL_DEBUG_WDATA_WIDTH                         : integer                                  := 0;
+    PORT_CAL_DEBUG_BYTEEN_WIDTH                        : integer                                  := 0;
+    PORT_CAL_DEBUG_OUT_ADDRESS_WIDTH                   : integer                                  := 0;
+    PORT_CAL_DEBUG_OUT_RDATA_WIDTH                     : integer                                  := 0;
+    PORT_CAL_DEBUG_OUT_WDATA_WIDTH                     : integer                                  := 0;
+    PORT_CAL_DEBUG_OUT_BYTEEN_WIDTH                    : integer                                  := 0;
+    PORT_CAL_MASTER_ADDRESS_WIDTH                      : integer                                  := 0;
+    PORT_CAL_MASTER_RDATA_WIDTH                        : integer                                  := 0;
+    PORT_CAL_MASTER_WDATA_WIDTH                        : integer                                  := 0;
+    PORT_CAL_MASTER_BYTEEN_WIDTH                       : integer                                  := 0;
+    PORT_DFT_NF_IOAUX_PIO_IN_WIDTH                     : integer                                  := 0;
+    PORT_DFT_NF_IOAUX_PIO_OUT_WIDTH                    : integer                                  := 0;
+    PORT_DFT_NF_PA_DPRIO_REG_ADDR_WIDTH                : integer                                  := 0;
+    PORT_DFT_NF_PA_DPRIO_WRITEDATA_WIDTH               : integer                                  := 0;
+    PORT_DFT_NF_PA_DPRIO_READDATA_WIDTH                : integer                                  := 0;
+    PORT_DFT_NF_PLL_CNTSEL_WIDTH                       : integer                                  := 0;
+    PORT_DFT_NF_PLL_NUM_SHIFT_WIDTH                    : integer                                  := 0;
+    PORT_DFT_NF_CORE_CLK_BUF_OUT_WIDTH                 : integer                                  := 0;
+    PORT_DFT_NF_CORE_CLK_LOCKED_WIDTH                  : integer                                  := 0;
+    PLL_VCO_FREQ_MHZ_INT                               : integer                                  := 0;
+    PLL_VCO_TO_MEM_CLK_FREQ_RATIO                      : integer                                  := 0;
+    PLL_PHY_CLK_VCO_PHASE                              : integer                                  := 0;
+    PLL_VCO_FREQ_PS_STR                                : string                                   := "";
+    PLL_REF_CLK_FREQ_PS_STR                            : string                                   := "";
+    PLL_REF_CLK_FREQ_PS                                : integer                                  := 0;
+    PLL_SIM_VCO_FREQ_PS                                : integer                                  := 0;
+    PLL_SIM_PHYCLK_0_FREQ_PS                           : integer                                  := 0;
+    PLL_SIM_PHYCLK_1_FREQ_PS                           : integer                                  := 0;
+    PLL_SIM_PHYCLK_FB_FREQ_PS                          : integer                                  := 0;
+    PLL_SIM_PHY_CLK_VCO_PHASE_PS                       : integer                                  := 0;
+    PLL_SIM_CAL_SLAVE_CLK_FREQ_PS                      : integer                                  := 0;
+    PLL_SIM_CAL_MASTER_CLK_FREQ_PS                     : integer                                  := 0;
+    PLL_M_CNT_HIGH                                     : integer                                  := 0;
+    PLL_M_CNT_LOW                                      : integer                                  := 0;
+    PLL_N_CNT_HIGH                                     : integer                                  := 0;
+    PLL_N_CNT_LOW                                      : integer                                  := 0;
+    PLL_M_CNT_BYPASS_EN                                : string                                   := "";
+    PLL_N_CNT_BYPASS_EN                                : string                                   := "";
+    PLL_M_CNT_EVEN_DUTY_EN                             : string                                   := "";
+    PLL_N_CNT_EVEN_DUTY_EN                             : string                                   := "";
+    PLL_FBCLK_MUX_1                                    : string                                   := "";
+    PLL_FBCLK_MUX_2                                    : string                                   := "";
+    PLL_M_CNT_IN_SRC                                   : string                                   := "";
+    PLL_CP_SETTING                                     : string                                   := "";
+    PLL_BW_CTRL                                        : string                                   := "";
+    PLL_BW_SEL                                         : string                                   := "";
+    PLL_C_CNT_HIGH_0                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_0                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_0                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_0                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_0                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_0                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_0                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_0                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_0                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_0                                 : string                                   := "";
+    PLL_C_CNT_HIGH_1                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_1                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_1                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_1                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_1                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_1                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_1                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_1                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_1                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_1                                 : string                                   := "";
+    PLL_C_CNT_HIGH_2                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_2                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_2                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_2                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_2                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_2                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_2                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_2                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_2                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_2                                 : string                                   := "";
+    PLL_C_CNT_HIGH_3                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_3                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_3                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_3                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_3                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_3                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_3                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_3                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_3                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_3                                 : string                                   := "";
+    PLL_C_CNT_HIGH_4                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_4                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_4                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_4                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_4                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_4                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_4                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_4                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_4                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_4                                 : string                                   := "";
+    PLL_C_CNT_HIGH_5                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_5                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_5                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_5                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_5                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_5                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_5                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_5                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_5                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_5                                 : string                                   := "";
+    PLL_C_CNT_HIGH_6                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_6                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_6                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_6                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_6                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_6                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_6                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_6                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_6                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_6                                 : string                                   := "";
+    PLL_C_CNT_HIGH_7                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_7                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_7                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_7                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_7                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_7                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_7                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_7                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_7                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_7                                 : string                                   := "";
+    PLL_C_CNT_HIGH_8                                   : integer                                  := 0;
+    PLL_C_CNT_LOW_8                                    : integer                                  := 0;
+    PLL_C_CNT_PRST_8                                   : integer                                  := 0;
+    PLL_C_CNT_PH_MUX_PRST_8                            : integer                                  := 0;
+    PLL_C_CNT_BYPASS_EN_8                              : string                                   := "";
+    PLL_C_CNT_EVEN_DUTY_EN_8                           : string                                   := "";
+    PLL_C_CNT_FREQ_PS_STR_8                            : string                                   := "";
+    PLL_C_CNT_PHASE_PS_STR_8                           : string                                   := "";
+    PLL_C_CNT_DUTY_CYCLE_8                             : integer                                  := 0;
+    PLL_C_CNT_OUT_EN_8                                 : string                                   := ""
+  );
+  port (
+    global_reset_n                 : in    std_logic;
+    pll_ref_clk                    : in    std_logic;
+    pll_locked                     : out   std_logic;
+    pll_extra_clk_0                : out   std_logic;
+    pll_extra_clk_1                : out   std_logic;
+    pll_extra_clk_2                : out   std_logic;
+    pll_extra_clk_3                : out   std_logic;
+    oct_rzqin                      : in    std_logic;
+    mem_ck                         : out   std_logic_vector(1 downto 0);
+    mem_ck_n                       : out   std_logic_vector(1 downto 0);
+    mem_a                          : out   std_logic_vector(16 downto 0);
+    mem_act_n                      : out   std_logic_vector(0 downto 0);
+    mem_ba                         : out   std_logic_vector(1 downto 0);
+    mem_bg                         : out   std_logic_vector(1 downto 0);
+    mem_c                          : out   std_logic_vector(0 downto 0);
+    mem_cke                        : out   std_logic_vector(1 downto 0);
+    mem_cs_n                       : out   std_logic_vector(1 downto 0);
+    mem_rm                         : out   std_logic_vector(0 downto 0);
+    mem_odt                        : out   std_logic_vector(1 downto 0);
+    mem_reset_n                    : out   std_logic_vector(0 downto 0);
+    mem_par                        : out   std_logic_vector(0 downto 0);
+    mem_alert_n                    : in    std_logic_vector(0 downto 0);
+    mem_dqs                        : inout std_logic_vector(8 downto 0);
+    mem_dqs_n                      : inout std_logic_vector(8 downto 0);
+    mem_dq                         : inout std_logic_vector(71 downto 0);
+    mem_dbi_n                      : inout std_logic_vector(8 downto 0);
+    mem_dk                         : out   std_logic_vector(0 downto 0);
+    mem_dk_n                       : out   std_logic_vector(0 downto 0);
+    mem_dka                        : out   std_logic_vector(0 downto 0);
+    mem_dka_n                      : out   std_logic_vector(0 downto 0);
+    mem_dkb                        : out   std_logic_vector(0 downto 0);
+    mem_dkb_n                      : out   std_logic_vector(0 downto 0);
+    mem_k                          : out   std_logic_vector(0 downto 0);
+    mem_k_n                        : out   std_logic_vector(0 downto 0);
+    mem_ras_n                      : out   std_logic_vector(0 downto 0);
+    mem_cas_n                      : out   std_logic_vector(0 downto 0);
+    mem_we_n                       : out   std_logic_vector(0 downto 0);
+    mem_ca                         : out   std_logic_vector(0 downto 0);
+    mem_ref_n                      : out   std_logic_vector(0 downto 0);
+    mem_wps_n                      : out   std_logic_vector(0 downto 0);
+    mem_rps_n                      : out   std_logic_vector(0 downto 0);
+    mem_doff_n                     : out   std_logic_vector(0 downto 0);
+    mem_lda_n                      : out   std_logic_vector(0 downto 0);
+    mem_ldb_n                      : out   std_logic_vector(0 downto 0);
+    mem_rwa_n                      : out   std_logic_vector(0 downto 0);
+    mem_rwb_n                      : out   std_logic_vector(0 downto 0);
+    mem_lbk0_n                     : out   std_logic_vector(0 downto 0);
+    mem_lbk1_n                     : out   std_logic_vector(0 downto 0);
+    mem_cfg_n                      : out   std_logic_vector(0 downto 0);
+    mem_ap                         : out   std_logic_vector(0 downto 0);
+    mem_ainv                       : out   std_logic_vector(0 downto 0);
+    mem_dm                         : out   std_logic_vector(0 downto 0);
+    mem_bws_n                      : out   std_logic_vector(0 downto 0);
+    mem_d                          : out   std_logic_vector(0 downto 0);
+    mem_dqa                        : inout std_logic_vector(0 downto 0);
+    mem_dqb                        : inout std_logic_vector(0 downto 0);
+    mem_dinva                      : inout std_logic_vector(0 downto 0);
+    mem_dinvb                      : inout std_logic_vector(0 downto 0);
+    mem_q                          : in    std_logic_vector(0 downto 0);
+    mem_qk                         : in    std_logic_vector(0 downto 0);
+    mem_qk_n                       : in    std_logic_vector(0 downto 0);
+    mem_qka                        : in    std_logic_vector(0 downto 0);
+    mem_qka_n                      : in    std_logic_vector(0 downto 0);
+    mem_qkb                        : in    std_logic_vector(0 downto 0);
+    mem_qkb_n                      : in    std_logic_vector(0 downto 0);
+    mem_cq                         : in    std_logic_vector(0 downto 0);
+    mem_cq_n                       : in    std_logic_vector(0 downto 0);
+    mem_pe_n                       : in    std_logic_vector(0 downto 0);
+    local_cal_success              : out   std_logic;
+    local_cal_fail                 : out   std_logic;
+    vid_cal_done_persist           : in    std_logic;
+    afi_reset_n                    : out   std_logic;
+    afi_clk                        : out   std_logic;
+    afi_half_clk                   : out   std_logic;
+    emif_usr_reset_n               : out   std_logic;
+    emif_usr_clk                   : out   std_logic;
+    emif_usr_half_clk              : out   std_logic;
+    emif_usr_reset_n_sec           : out   std_logic;
+    emif_usr_clk_sec               : out   std_logic;
+    emif_usr_half_clk_sec          : out   std_logic;
+    cal_master_reset_n             : out   std_logic;
+    cal_master_clk                 : out   std_logic;
+    cal_slave_reset_n              : out   std_logic;
+    cal_slave_clk                  : out   std_logic;
+    cal_slave_reset_n_in           : in    std_logic;
+    cal_slave_clk_in               : in    std_logic;
+    cal_debug_reset_n              : in    std_logic;
+    cal_debug_clk                  : in    std_logic;
+    cal_debug_out_reset_n          : out   std_logic;
+    cal_debug_out_clk              : out   std_logic;
+    clks_sharing_master_out        : out   std_logic_vector(31 downto 0);
+    clks_sharing_slave_in          : in    std_logic_vector(31 downto 0);
+    clks_sharing_slave_out         : out   std_logic_vector(31 downto 0);
+    afi_cal_success                : out   std_logic;
+    afi_cal_fail                   : out   std_logic;
+    afi_cal_req                    : in    std_logic;
+    afi_rlat                       : out   std_logic_vector(5 downto 0);
+    afi_wlat                       : out   std_logic_vector(5 downto 0);
+    afi_seq_busy                   : out   std_logic_vector(3 downto 0);
+    afi_ctl_refresh_done           : in    std_logic;
+    afi_ctl_long_idle              : in    std_logic;
+    afi_mps_req                    : in    std_logic;
+    afi_mps_ack                    : out   std_logic;
+    afi_addr                       : in    std_logic_vector(0 downto 0);
+    afi_ba                         : in    std_logic_vector(0 downto 0);
+    afi_bg                         : in    std_logic_vector(0 downto 0);
+    afi_c                          : in    std_logic_vector(0 downto 0);
+    afi_cke                        : in    std_logic_vector(0 downto 0);
+    afi_cs_n                       : in    std_logic_vector(0 downto 0);
+    afi_rm                         : in    std_logic_vector(0 downto 0);
+    afi_odt                        : in    std_logic_vector(0 downto 0);
+    afi_ras_n                      : in    std_logic_vector(0 downto 0);
+    afi_cas_n                      : in    std_logic_vector(0 downto 0);
+    afi_we_n                       : in    std_logic_vector(0 downto 0);
+    afi_rst_n                      : in    std_logic_vector(0 downto 0);
+    afi_act_n                      : in    std_logic_vector(0 downto 0);
+    afi_par                        : in    std_logic_vector(0 downto 0);
+    afi_ca                         : in    std_logic_vector(0 downto 0);
+    afi_ref_n                      : in    std_logic_vector(0 downto 0);
+    afi_wps_n                      : in    std_logic_vector(0 downto 0);
+    afi_rps_n                      : in    std_logic_vector(0 downto 0);
+    afi_doff_n                     : in    std_logic_vector(0 downto 0);
+    afi_ld_n                       : in    std_logic_vector(0 downto 0);
+    afi_rw_n                       : in    std_logic_vector(0 downto 0);
+    afi_lbk0_n                     : in    std_logic_vector(0 downto 0);
+    afi_lbk1_n                     : in    std_logic_vector(0 downto 0);
+    afi_cfg_n                      : in    std_logic_vector(0 downto 0);
+    afi_ap                         : in    std_logic_vector(0 downto 0);
+    afi_ainv                       : in    std_logic_vector(0 downto 0);
+    afi_dm                         : in    std_logic_vector(0 downto 0);
+    afi_dm_n                       : in    std_logic_vector(0 downto 0);
+    afi_bws_n                      : in    std_logic_vector(0 downto 0);
+    afi_rdata_dbi_n                : out   std_logic_vector(0 downto 0);
+    afi_wdata_dbi_n                : in    std_logic_vector(0 downto 0);
+    afi_rdata_dinv                 : out   std_logic_vector(0 downto 0);
+    afi_wdata_dinv                 : in    std_logic_vector(0 downto 0);
+    afi_dqs_burst                  : in    std_logic_vector(0 downto 0);
+    afi_wdata_valid                : in    std_logic_vector(0 downto 0);
+    afi_wdata                      : in    std_logic_vector(0 downto 0);
+    afi_rdata_en_full              : in    std_logic_vector(0 downto 0);
+    afi_rdata                      : out   std_logic_vector(0 downto 0);
+    afi_rdata_valid                : out   std_logic_vector(0 downto 0);
+    afi_rrank                      : in    std_logic_vector(0 downto 0);
+    afi_wrank                      : in    std_logic_vector(0 downto 0);
+    afi_alert_n                    : out   std_logic_vector(0 downto 0);
+    afi_pe_n                       : out   std_logic_vector(0 downto 0);
+    ast_cmd_data_0                 : in    std_logic_vector(0 downto 0);
+    ast_cmd_valid_0                : in    std_logic;
+    ast_cmd_ready_0                : out   std_logic;
+    ast_cmd_data_1                 : in    std_logic_vector(0 downto 0);
+    ast_cmd_valid_1                : in    std_logic;
+    ast_cmd_ready_1                : out   std_logic;
+    ast_wr_data_0                  : in    std_logic_vector(0 downto 0);
+    ast_wr_valid_0                 : in    std_logic;
+    ast_wr_ready_0                 : out   std_logic;
+    ast_wr_data_1                  : in    std_logic_vector(0 downto 0);
+    ast_wr_valid_1                 : in    std_logic;
+    ast_wr_ready_1                 : out   std_logic;
+    ast_rd_data_0                  : out   std_logic_vector(0 downto 0);
+    ast_rd_valid_0                 : out   std_logic;
+    ast_rd_ready_0                 : in    std_logic;
+    ast_rd_data_1                  : out   std_logic_vector(0 downto 0);
+    ast_rd_valid_1                 : out   std_logic;
+    ast_rd_ready_1                 : in    std_logic;
+    amm_ready_0                    : out   std_logic;
+    amm_read_0                     : in    std_logic;
+    amm_write_0                    : in    std_logic;
+    amm_address_0                  : in    std_logic_vector(26 downto 0);
+    amm_readdata_0                 : out   std_logic_vector(575 downto 0);
+    amm_writedata_0                : in    std_logic_vector(575 downto 0);
+    amm_burstcount_0               : in    std_logic_vector(6 downto 0);
+    amm_byteenable_0               : in    std_logic_vector(71 downto 0);
+    amm_beginbursttransfer_0       : in    std_logic;
+    amm_readdatavalid_0            : out   std_logic;
+    amm_ready_1                    : out   std_logic;
+    amm_read_1                     : in    std_logic;
+    amm_write_1                    : in    std_logic;
+    amm_address_1                  : in    std_logic_vector(26 downto 0);
+    amm_readdata_1                 : out   std_logic_vector(575 downto 0);
+    amm_writedata_1                : in    std_logic_vector(575 downto 0);
+    amm_burstcount_1               : in    std_logic_vector(6 downto 0);
+    amm_byteenable_1               : in    std_logic_vector(71 downto 0);
+    amm_beginbursttransfer_1       : in    std_logic;
+    amm_readdatavalid_1            : out   std_logic;
+    ctrl_user_priority_hi_0        : in    std_logic;
+    ctrl_user_priority_hi_1        : in    std_logic;
+    ctrl_auto_precharge_req_0      : in    std_logic;
+    ctrl_auto_precharge_req_1      : in    std_logic;
+    ctrl_user_refresh_req          : in    std_logic_vector(3 downto 0);
+    ctrl_user_refresh_bank         : in    std_logic_vector(15 downto 0);
+    ctrl_user_refresh_ack          : out   std_logic;
+    ctrl_self_refresh_req          : in    std_logic_vector(3 downto 0);
+    ctrl_self_refresh_ack          : out   std_logic;
+    ctrl_will_refresh              : out   std_logic;
+    ctrl_deep_power_down_req       : in    std_logic;
+    ctrl_deep_power_down_ack       : out   std_logic;
+    ctrl_power_down_ack            : out   std_logic;
+    ctrl_zq_cal_long_req           : in    std_logic;
+    ctrl_zq_cal_short_req          : in    std_logic;
+    ctrl_zq_cal_ack                : out   std_logic;
+    ctrl_ecc_write_info_0          : in    std_logic_vector(14 downto 0);
+    ctrl_ecc_rdata_id_0            : out   std_logic_vector(12 downto 0);
+    ctrl_ecc_read_info_0           : out   std_logic_vector(2 downto 0);
+    ctrl_ecc_cmd_info_0            : out   std_logic_vector(2 downto 0);
+    ctrl_ecc_idle_0                : out   std_logic;
+    ctrl_ecc_wr_pointer_info_0     : out   std_logic_vector(11 downto 0);
+    ctrl_ecc_write_info_1          : in    std_logic_vector(14 downto 0);
+    ctrl_ecc_rdata_id_1            : out   std_logic_vector(12 downto 0);
+    ctrl_ecc_read_info_1           : out   std_logic_vector(2 downto 0);
+    ctrl_ecc_cmd_info_1            : out   std_logic_vector(2 downto 0);
+    ctrl_ecc_idle_1                : out   std_logic;
+    ctrl_ecc_wr_pointer_info_1     : out   std_logic_vector(11 downto 0);
+    mmr_slave_waitrequest_0        : out   std_logic;
+    mmr_slave_read_0               : in    std_logic;
+    mmr_slave_write_0              : in    std_logic;
+    mmr_slave_address_0            : in    std_logic_vector(9 downto 0);
+    mmr_slave_readdata_0           : out   std_logic_vector(31 downto 0);
+    mmr_slave_writedata_0          : in    std_logic_vector(31 downto 0);
+    mmr_slave_burstcount_0         : in    std_logic_vector(1 downto 0);
+    mmr_slave_beginbursttransfer_0 : in    std_logic;
+    mmr_slave_readdatavalid_0      : out   std_logic;
+    mmr_slave_waitrequest_1        : out   std_logic;
+    mmr_slave_read_1               : in    std_logic;
+    mmr_slave_write_1              : in    std_logic;
+    mmr_slave_address_1            : in    std_logic_vector(9 downto 0);
+    mmr_slave_readdata_1           : out   std_logic_vector(31 downto 0);
+    mmr_slave_writedata_1          : in    std_logic_vector(31 downto 0);
+    mmr_slave_burstcount_1         : in    std_logic_vector(1 downto 0);
+    mmr_slave_beginbursttransfer_1 : in    std_logic;
+    mmr_slave_readdatavalid_1      : out   std_logic;
+    hps_to_emif                    : in    std_logic_vector(4095 downto 0);
+    emif_to_hps                    : out   std_logic_vector(4095 downto 0);
+    hps_to_emif_gp                 : in    std_logic_vector(1 downto 0);
+    emif_to_hps_gp                 : out   std_logic_vector(0 downto 0);
+    cal_debug_waitrequest          : out   std_logic;
+    cal_debug_read                 : in    std_logic;
+    cal_debug_write                : in    std_logic;
+    cal_debug_addr                 : in    std_logic_vector(23 downto 0);
+    cal_debug_read_data            : out   std_logic_vector(31 downto 0);
+    cal_debug_write_data           : in    std_logic_vector(31 downto 0);
+    cal_debug_byteenable           : in    std_logic_vector(3 downto 0);
+    cal_debug_read_data_valid      : out   std_logic;
+    cal_debug_out_waitrequest      : in    std_logic;
+    cal_debug_out_read             : out   std_logic;
+    cal_debug_out_write            : out   std_logic;
+    cal_debug_out_addr             : out   std_logic_vector(23 downto 0);
+    cal_debug_out_read_data        : in    std_logic_vector(31 downto 0);
+    cal_debug_out_write_data       : out   std_logic_vector(31 downto 0);
+    cal_debug_out_byteenable       : out   std_logic_vector(3 downto 0);
+    cal_debug_out_read_data_valid  : in    std_logic;
+    cal_master_waitrequest         : in    std_logic;
+    cal_master_read                : out   std_logic;
+    cal_master_write               : out   std_logic;
+    cal_master_addr                : out   std_logic_vector(15 downto 0);
+    cal_master_read_data           : in    std_logic_vector(31 downto 0);
+    cal_master_write_data          : out   std_logic_vector(31 downto 0);
+    cal_master_byteenable          : out   std_logic_vector(3 downto 0);
+    cal_master_read_data_valid     : in    std_logic;
+    cal_master_burstcount          : out   std_logic;
+    cal_master_debugaccess         : out   std_logic;
+    ioaux_pio_in                   : in    std_logic_vector(7 downto 0);
+    ioaux_pio_out                  : out   std_logic_vector(7 downto 0);
+    pa_dprio_clk                   : in    std_logic;
+    pa_dprio_read                  : in    std_logic;
+    pa_dprio_reg_addr              : in    std_logic_vector(8 downto 0);
+    pa_dprio_rst_n                 : in    std_logic;
+    pa_dprio_write                 : in    std_logic;
+    pa_dprio_writedata             : in    std_logic_vector(7 downto 0);
+    pa_dprio_block_select          : out   std_logic;
+    pa_dprio_readdata              : out   std_logic_vector(7 downto 0);
+    pll_phase_en                   : in    std_logic;
+    pll_up_dn                      : in    std_logic;
+    pll_cnt_sel                    : in    std_logic_vector(3 downto 0);
+    pll_num_phase_shifts           : in    std_logic_vector(2 downto 0);
+    pll_phase_done                 : out   std_logic;
+    dft_core_clk_buf_out           : out   std_logic_vector(1 downto 0);
+    dft_core_clk_locked            : out   std_logic_vector(1 downto 0)
+  );
+end entity ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i;
+
+architecture rtl of ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i is
+  component ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_top is
+    generic (
       PROTOCOL_ENUM                                      : string                                   := "";
       PHY_TARGET_IS_ES                                   : boolean                                  := false;
       PHY_TARGET_IS_ES2                                  : boolean                                  := false;
@@ -1508,9 +3298,12 @@ entity ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i is
       PLL_C_CNT_FREQ_PS_STR_8                            : string                                   := "";
       PLL_C_CNT_PHASE_PS_STR_8                           : string                                   := "";
       PLL_C_CNT_DUTY_CYCLE_8                             : integer                                  := 0;
-      PLL_C_CNT_OUT_EN_8                                 : string                                   := ""
-   );
-   port (
+      PLL_C_CNT_OUT_EN_8                                 : string                                   := "";
+      SEQ_SYNTH_PARAMS_HEX_FILENAME                      : string                                   := "";
+      SEQ_SIM_PARAMS_HEX_FILENAME                        : string                                   := "";
+      SEQ_CODE_HEX_FILENAME                              : string                                   := ""
+    );
+    port (
       global_reset_n                 : in    std_logic;
       pll_ref_clk                    : in    std_logic;
       pll_locked                     : out   std_logic;
@@ -1788,3591 +3581,1798 @@ entity ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i is
       pll_phase_done                 : out   std_logic;
       dft_core_clk_buf_out           : out   std_logic_vector(1 downto 0);
       dft_core_clk_locked            : out   std_logic_vector(1 downto 0)
-   );
-end entity ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i;
-
-architecture rtl of ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i is
-   component ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_top is
-      generic (
-         PROTOCOL_ENUM                                      : string                                   := "";
-         PHY_TARGET_IS_ES                                   : boolean                                  := false;
-         PHY_TARGET_IS_ES2                                  : boolean                                  := false;
-         PHY_TARGET_IS_PRODUCTION                           : boolean                                  := false;
-         PHY_CONFIG_ENUM                                    : string                                   := "";
-         PHY_PING_PONG_EN                                   : boolean                                  := false;
-         PHY_CORE_CLKS_SHARING_ENUM                         : string                                   := "";
-         PHY_CALIBRATED_OCT                                 : boolean                                  := false;
-         PHY_AC_CALIBRATED_OCT                              : boolean                                  := false;
-         PHY_CK_CALIBRATED_OCT                              : boolean                                  := false;
-         PHY_DATA_CALIBRATED_OCT                            : boolean                                  := false;
-         PHY_HPS_ENABLE_EARLY_RELEASE                       : boolean                                  := false;
-         PLL_NUM_OF_EXTRA_CLKS                              : integer                                  := 0;
-         MEM_FORMAT_ENUM                                    : string                                   := "";
-         MEM_BURST_LENGTH                                   : integer                                  := 0;
-         MEM_DATA_MASK_EN                                   : boolean                                  := false;
-         MEM_TTL_DATA_WIDTH                                 : integer                                  := 0;
-         MEM_TTL_NUM_OF_READ_GROUPS                         : integer                                  := 0;
-         MEM_TTL_NUM_OF_WRITE_GROUPS                        : integer                                  := 0;
-         DIAG_SIM_REGTEST_MODE                              : boolean                                  := false;
-         DIAG_SYNTH_FOR_SIM                                 : boolean                                  := false;
-         DIAG_ECLIPSE_DEBUG                                 : boolean                                  := false;
-         DIAG_EXPORT_VJI                                    : boolean                                  := false;
-         DIAG_INTERFACE_ID                                  : integer                                  := 0;
-         DIAG_SIM_VERBOSE_LEVEL                             : integer                                  := 0;
-         DIAG_FAST_SIM                                      : boolean                                  := false;
-         DIAG_USE_ABSTRACT_PHY                              : boolean                                  := false;
-         SILICON_REV                                        : string                                   := "";
-         IS_HPS                                             : boolean                                  := false;
-         IS_VID                                             : boolean                                  := false;
-         USER_CLK_RATIO                                     : integer                                  := 0;
-         C2P_P2C_CLK_RATIO                                  : integer                                  := 0;
-         PHY_HMC_CLK_RATIO                                  : integer                                  := 0;
-         DIAG_ABSTRACT_PHY_WLAT                             : integer                                  := 0;
-         DIAG_ABSTRACT_PHY_RLAT                             : integer                                  := 0;
-         DIAG_CPA_OUT_1_EN                                  : boolean                                  := false;
-         DIAG_USE_CPA_LOCK                                  : boolean                                  := false;
-         DQS_BUS_MODE_ENUM                                  : string                                   := "";
-         AC_PIN_MAP_SCHEME                                  : string                                   := "";
-         NUM_OF_HMC_PORTS                                   : integer                                  := 0;
-         HMC_AVL_PROTOCOL_ENUM                              : string                                   := "";
-         HMC_CTRL_DIMM_TYPE                                 : string                                   := "";
-         REGISTER_AFI                                       : boolean                                  := false;
-         SEQ_SYNTH_CPU_CLK_DIVIDE                           : integer                                  := 0;
-         SEQ_SYNTH_CAL_CLK_DIVIDE                           : integer                                  := 0;
-         SEQ_SIM_CPU_CLK_DIVIDE                             : integer                                  := 0;
-         SEQ_SIM_CAL_CLK_DIVIDE                             : integer                                  := 0;
-         SEQ_SYNTH_OSC_FREQ_MHZ                             : integer                                  := 0;
-         SEQ_SIM_OSC_FREQ_MHZ                               : integer                                  := 0;
-         NUM_OF_RTL_TILES                                   : integer                                  := 0;
-         PRI_RDATA_TILE_INDEX                               : integer                                  := 0;
-         PRI_RDATA_LANE_INDEX                               : integer                                  := 0;
-         PRI_WDATA_TILE_INDEX                               : integer                                  := 0;
-         PRI_WDATA_LANE_INDEX                               : integer                                  := 0;
-         PRI_AC_TILE_INDEX                                  : integer                                  := 0;
-         SEC_RDATA_TILE_INDEX                               : integer                                  := 0;
-         SEC_RDATA_LANE_INDEX                               : integer                                  := 0;
-         SEC_WDATA_TILE_INDEX                               : integer                                  := 0;
-         SEC_WDATA_LANE_INDEX                               : integer                                  := 0;
-         SEC_AC_TILE_INDEX                                  : integer                                  := 0;
-         LANES_USAGE_0                                      : integer                                  := 0;
-         LANES_USAGE_1                                      : integer                                  := 0;
-         LANES_USAGE_2                                      : integer                                  := 0;
-         LANES_USAGE_3                                      : integer                                  := 0;
-         LANES_USAGE_AUTOGEN_WCNT                           : integer                                  := 0;
-         PINS_USAGE_0                                       : integer                                  := 0;
-         PINS_USAGE_1                                       : integer                                  := 0;
-         PINS_USAGE_2                                       : integer                                  := 0;
-         PINS_USAGE_3                                       : integer                                  := 0;
-         PINS_USAGE_4                                       : integer                                  := 0;
-         PINS_USAGE_5                                       : integer                                  := 0;
-         PINS_USAGE_6                                       : integer                                  := 0;
-         PINS_USAGE_7                                       : integer                                  := 0;
-         PINS_USAGE_8                                       : integer                                  := 0;
-         PINS_USAGE_9                                       : integer                                  := 0;
-         PINS_USAGE_10                                      : integer                                  := 0;
-         PINS_USAGE_11                                      : integer                                  := 0;
-         PINS_USAGE_12                                      : integer                                  := 0;
-         PINS_USAGE_AUTOGEN_WCNT                            : integer                                  := 0;
-         PINS_RATE_0                                        : integer                                  := 0;
-         PINS_RATE_1                                        : integer                                  := 0;
-         PINS_RATE_2                                        : integer                                  := 0;
-         PINS_RATE_3                                        : integer                                  := 0;
-         PINS_RATE_4                                        : integer                                  := 0;
-         PINS_RATE_5                                        : integer                                  := 0;
-         PINS_RATE_6                                        : integer                                  := 0;
-         PINS_RATE_7                                        : integer                                  := 0;
-         PINS_RATE_8                                        : integer                                  := 0;
-         PINS_RATE_9                                        : integer                                  := 0;
-         PINS_RATE_10                                       : integer                                  := 0;
-         PINS_RATE_11                                       : integer                                  := 0;
-         PINS_RATE_12                                       : integer                                  := 0;
-         PINS_RATE_AUTOGEN_WCNT                             : integer                                  := 0;
-         PINS_WDB_0                                         : integer                                  := 0;
-         PINS_WDB_1                                         : integer                                  := 0;
-         PINS_WDB_2                                         : integer                                  := 0;
-         PINS_WDB_3                                         : integer                                  := 0;
-         PINS_WDB_4                                         : integer                                  := 0;
-         PINS_WDB_5                                         : integer                                  := 0;
-         PINS_WDB_6                                         : integer                                  := 0;
-         PINS_WDB_7                                         : integer                                  := 0;
-         PINS_WDB_8                                         : integer                                  := 0;
-         PINS_WDB_9                                         : integer                                  := 0;
-         PINS_WDB_10                                        : integer                                  := 0;
-         PINS_WDB_11                                        : integer                                  := 0;
-         PINS_WDB_12                                        : integer                                  := 0;
-         PINS_WDB_13                                        : integer                                  := 0;
-         PINS_WDB_14                                        : integer                                  := 0;
-         PINS_WDB_15                                        : integer                                  := 0;
-         PINS_WDB_16                                        : integer                                  := 0;
-         PINS_WDB_17                                        : integer                                  := 0;
-         PINS_WDB_18                                        : integer                                  := 0;
-         PINS_WDB_19                                        : integer                                  := 0;
-         PINS_WDB_20                                        : integer                                  := 0;
-         PINS_WDB_21                                        : integer                                  := 0;
-         PINS_WDB_22                                        : integer                                  := 0;
-         PINS_WDB_23                                        : integer                                  := 0;
-         PINS_WDB_24                                        : integer                                  := 0;
-         PINS_WDB_25                                        : integer                                  := 0;
-         PINS_WDB_26                                        : integer                                  := 0;
-         PINS_WDB_27                                        : integer                                  := 0;
-         PINS_WDB_28                                        : integer                                  := 0;
-         PINS_WDB_29                                        : integer                                  := 0;
-         PINS_WDB_30                                        : integer                                  := 0;
-         PINS_WDB_31                                        : integer                                  := 0;
-         PINS_WDB_32                                        : integer                                  := 0;
-         PINS_WDB_33                                        : integer                                  := 0;
-         PINS_WDB_34                                        : integer                                  := 0;
-         PINS_WDB_35                                        : integer                                  := 0;
-         PINS_WDB_36                                        : integer                                  := 0;
-         PINS_WDB_37                                        : integer                                  := 0;
-         PINS_WDB_38                                        : integer                                  := 0;
-         PINS_WDB_AUTOGEN_WCNT                              : integer                                  := 0;
-         PINS_DATA_IN_MODE_0                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_1                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_2                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_3                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_4                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_5                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_6                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_7                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_8                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_9                                : integer                                  := 0;
-         PINS_DATA_IN_MODE_10                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_11                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_12                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_13                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_14                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_15                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_16                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_17                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_18                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_19                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_20                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_21                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_22                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_23                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_24                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_25                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_26                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_27                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_28                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_29                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_30                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_31                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_32                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_33                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_34                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_35                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_36                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_37                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_38                               : integer                                  := 0;
-         PINS_DATA_IN_MODE_AUTOGEN_WCNT                     : integer                                  := 0;
-         PINS_C2L_DRIVEN_0                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_1                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_2                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_3                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_4                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_5                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_6                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_7                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_8                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_9                                  : integer                                  := 0;
-         PINS_C2L_DRIVEN_10                                 : integer                                  := 0;
-         PINS_C2L_DRIVEN_11                                 : integer                                  := 0;
-         PINS_C2L_DRIVEN_12                                 : integer                                  := 0;
-         PINS_C2L_DRIVEN_AUTOGEN_WCNT                       : integer                                  := 0;
-         PINS_DB_IN_BYPASS_0                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_1                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_2                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_3                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_4                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_5                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_6                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_7                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_8                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_9                                : integer                                  := 0;
-         PINS_DB_IN_BYPASS_10                               : integer                                  := 0;
-         PINS_DB_IN_BYPASS_11                               : integer                                  := 0;
-         PINS_DB_IN_BYPASS_12                               : integer                                  := 0;
-         PINS_DB_IN_BYPASS_AUTOGEN_WCNT                     : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_0                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_1                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_2                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_3                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_4                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_5                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_6                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_7                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_8                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_9                               : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_10                              : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_11                              : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_12                              : integer                                  := 0;
-         PINS_DB_OUT_BYPASS_AUTOGEN_WCNT                    : integer                                  := 0;
-         PINS_DB_OE_BYPASS_0                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_1                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_2                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_3                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_4                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_5                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_6                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_7                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_8                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_9                                : integer                                  := 0;
-         PINS_DB_OE_BYPASS_10                               : integer                                  := 0;
-         PINS_DB_OE_BYPASS_11                               : integer                                  := 0;
-         PINS_DB_OE_BYPASS_12                               : integer                                  := 0;
-         PINS_DB_OE_BYPASS_AUTOGEN_WCNT                     : integer                                  := 0;
-         PINS_INVERT_WR_0                                   : integer                                  := 0;
-         PINS_INVERT_WR_1                                   : integer                                  := 0;
-         PINS_INVERT_WR_2                                   : integer                                  := 0;
-         PINS_INVERT_WR_3                                   : integer                                  := 0;
-         PINS_INVERT_WR_4                                   : integer                                  := 0;
-         PINS_INVERT_WR_5                                   : integer                                  := 0;
-         PINS_INVERT_WR_6                                   : integer                                  := 0;
-         PINS_INVERT_WR_7                                   : integer                                  := 0;
-         PINS_INVERT_WR_8                                   : integer                                  := 0;
-         PINS_INVERT_WR_9                                   : integer                                  := 0;
-         PINS_INVERT_WR_10                                  : integer                                  := 0;
-         PINS_INVERT_WR_11                                  : integer                                  := 0;
-         PINS_INVERT_WR_12                                  : integer                                  := 0;
-         PINS_INVERT_WR_AUTOGEN_WCNT                        : integer                                  := 0;
-         PINS_INVERT_OE_0                                   : integer                                  := 0;
-         PINS_INVERT_OE_1                                   : integer                                  := 0;
-         PINS_INVERT_OE_2                                   : integer                                  := 0;
-         PINS_INVERT_OE_3                                   : integer                                  := 0;
-         PINS_INVERT_OE_4                                   : integer                                  := 0;
-         PINS_INVERT_OE_5                                   : integer                                  := 0;
-         PINS_INVERT_OE_6                                   : integer                                  := 0;
-         PINS_INVERT_OE_7                                   : integer                                  := 0;
-         PINS_INVERT_OE_8                                   : integer                                  := 0;
-         PINS_INVERT_OE_9                                   : integer                                  := 0;
-         PINS_INVERT_OE_10                                  : integer                                  := 0;
-         PINS_INVERT_OE_11                                  : integer                                  := 0;
-         PINS_INVERT_OE_12                                  : integer                                  := 0;
-         PINS_INVERT_OE_AUTOGEN_WCNT                        : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_0                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_1                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_2                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_3                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_4                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_5                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_6                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_7                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_8                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_9                    : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_10                   : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_11                   : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_12                   : integer                                  := 0;
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_AUTOGEN_WCNT         : integer                                  := 0;
-         PINS_OCT_MODE_0                                    : integer                                  := 0;
-         PINS_OCT_MODE_1                                    : integer                                  := 0;
-         PINS_OCT_MODE_2                                    : integer                                  := 0;
-         PINS_OCT_MODE_3                                    : integer                                  := 0;
-         PINS_OCT_MODE_4                                    : integer                                  := 0;
-         PINS_OCT_MODE_5                                    : integer                                  := 0;
-         PINS_OCT_MODE_6                                    : integer                                  := 0;
-         PINS_OCT_MODE_7                                    : integer                                  := 0;
-         PINS_OCT_MODE_8                                    : integer                                  := 0;
-         PINS_OCT_MODE_9                                    : integer                                  := 0;
-         PINS_OCT_MODE_10                                   : integer                                  := 0;
-         PINS_OCT_MODE_11                                   : integer                                  := 0;
-         PINS_OCT_MODE_12                                   : integer                                  := 0;
-         PINS_OCT_MODE_AUTOGEN_WCNT                         : integer                                  := 0;
-         PINS_GPIO_MODE_0                                   : integer                                  := 0;
-         PINS_GPIO_MODE_1                                   : integer                                  := 0;
-         PINS_GPIO_MODE_2                                   : integer                                  := 0;
-         PINS_GPIO_MODE_3                                   : integer                                  := 0;
-         PINS_GPIO_MODE_4                                   : integer                                  := 0;
-         PINS_GPIO_MODE_5                                   : integer                                  := 0;
-         PINS_GPIO_MODE_6                                   : integer                                  := 0;
-         PINS_GPIO_MODE_7                                   : integer                                  := 0;
-         PINS_GPIO_MODE_8                                   : integer                                  := 0;
-         PINS_GPIO_MODE_9                                   : integer                                  := 0;
-         PINS_GPIO_MODE_10                                  : integer                                  := 0;
-         PINS_GPIO_MODE_11                                  : integer                                  := 0;
-         PINS_GPIO_MODE_12                                  : integer                                  := 0;
-         PINS_GPIO_MODE_AUTOGEN_WCNT                        : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_0                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_1                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_2                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_3                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_4                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_5                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_6                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_7                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_8                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_9                           : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_10                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_11                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_12                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_13                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_14                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_15                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_16                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_17                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_18                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_19                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_20                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_21                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_22                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_23                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_24                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_25                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_26                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_27                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_28                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_29                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_30                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_31                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_32                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_33                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_34                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_35                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_36                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_37                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_38                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_39                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_40                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_41                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_42                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_43                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_44                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_45                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_46                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_47                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_48                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_49                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_50                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_51                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_52                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_53                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_54                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_55                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_56                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_57                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_58                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_59                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_60                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_61                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_62                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_63                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_64                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_65                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_66                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_67                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_68                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_69                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_70                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_71                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_72                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_73                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_74                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_75                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_76                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_77                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_78                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_79                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_80                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_81                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_82                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_83                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_84                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_85                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_86                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_87                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_88                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_89                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_90                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_91                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_92                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_93                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_94                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_95                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_96                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_97                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_98                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_99                          : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_100                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_101                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_102                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_103                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_104                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_105                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_106                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_107                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_108                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_109                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_110                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_111                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_112                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_113                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_114                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_115                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_116                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_117                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_118                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_119                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_120                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_121                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_122                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_123                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_124                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_125                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_126                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_127                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_128                         : integer                                  := 0;
-         UNUSED_MEM_PINS_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_0                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_1                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_2                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_3                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_4                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_5                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_6                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_7                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_8                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_9                         : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_10                        : integer                                  := 0;
-         UNUSED_DQS_BUSES_LANELOC_AUTOGEN_WCNT              : integer                                  := 0;
-         CENTER_TIDS_0                                      : integer                                  := 0;
-         CENTER_TIDS_1                                      : integer                                  := 0;
-         CENTER_TIDS_2                                      : integer                                  := 0;
-         CENTER_TIDS_AUTOGEN_WCNT                           : integer                                  := 0;
-         HMC_TIDS_0                                         : integer                                  := 0;
-         HMC_TIDS_1                                         : integer                                  := 0;
-         HMC_TIDS_2                                         : integer                                  := 0;
-         HMC_TIDS_AUTOGEN_WCNT                              : integer                                  := 0;
-         LANE_TIDS_0                                        : integer                                  := 0;
-         LANE_TIDS_1                                        : integer                                  := 0;
-         LANE_TIDS_2                                        : integer                                  := 0;
-         LANE_TIDS_3                                        : integer                                  := 0;
-         LANE_TIDS_4                                        : integer                                  := 0;
-         LANE_TIDS_5                                        : integer                                  := 0;
-         LANE_TIDS_6                                        : integer                                  := 0;
-         LANE_TIDS_7                                        : integer                                  := 0;
-         LANE_TIDS_8                                        : integer                                  := 0;
-         LANE_TIDS_9                                        : integer                                  := 0;
-         LANE_TIDS_AUTOGEN_WCNT                             : integer                                  := 0;
-         PREAMBLE_MODE                                      : string                                   := "";
-         DBI_WR_ENABLE                                      : string                                   := "";
-         DBI_RD_ENABLE                                      : string                                   := "";
-         CRC_EN                                             : string                                   := "";
-         SWAP_DQS_A_B                                       : string                                   := "";
-         DQS_PACK_MODE                                      : string                                   := "";
-         OCT_SIZE                                           : integer                                  := 0;
-         DBC_WB_RESERVED_ENTRY                              : integer                                  := 0;
-         DLL_MODE                                           : string                                   := "";
-         DLL_CODEWORD                                       : integer                                  := 0;
-         ABPHY_WRITE_PROTOCOL                               : integer                                  := 0;
-         PHY_USERMODE_OCT                                   : boolean                                  := false;
-         PHY_PERIODIC_OCT_RECAL                             : boolean                                  := false;
-         PHY_HAS_DCC                                        : boolean                                  := false;
-         PRI_HMC_CFG_ENABLE_ECC                             : string                                   := "";
-         PRI_HMC_CFG_REORDER_DATA                           : string                                   := "";
-         PRI_HMC_CFG_REORDER_READ                           : string                                   := "";
-         PRI_HMC_CFG_REORDER_RDATA                          : string                                   := "";
-         PRI_HMC_CFG_STARVE_LIMIT                           : integer                                  := 0;
-         PRI_HMC_CFG_DQS_TRACKING_EN                        : string                                   := "";
-         PRI_HMC_CFG_ARBITER_TYPE                           : string                                   := "";
-         PRI_HMC_CFG_OPEN_PAGE_EN                           : string                                   := "";
-         PRI_HMC_CFG_GEAR_DOWN_EN                           : string                                   := "";
-         PRI_HMC_CFG_RLD3_MULTIBANK_MODE                    : string                                   := "";
-         PRI_HMC_CFG_PING_PONG_MODE                         : string                                   := "";
-         PRI_HMC_CFG_SLOT_ROTATE_EN                         : integer                                  := 0;
-         PRI_HMC_CFG_SLOT_OFFSET                            : integer                                  := 0;
-         PRI_HMC_CFG_COL_CMD_SLOT                           : integer                                  := 0;
-         PRI_HMC_CFG_ROW_CMD_SLOT                           : integer                                  := 0;
-         PRI_HMC_CFG_ENABLE_RC                              : string                                   := "";
-         PRI_HMC_CFG_CS_TO_CHIP_MAPPING                     : integer                                  := 0;
-         PRI_HMC_CFG_RB_RESERVED_ENTRY                      : integer                                  := 0;
-         PRI_HMC_CFG_WB_RESERVED_ENTRY                      : integer                                  := 0;
-         PRI_HMC_CFG_TCL                                    : integer                                  := 0;
-         PRI_HMC_CFG_POWER_SAVING_EXIT_CYC                  : integer                                  := 0;
-         PRI_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC              : integer                                  := 0;
-         PRI_HMC_CFG_WRITE_ODT_CHIP                         : integer                                  := 0;
-         PRI_HMC_CFG_READ_ODT_CHIP                          : integer                                  := 0;
-         PRI_HMC_CFG_WR_ODT_ON                              : integer                                  := 0;
-         PRI_HMC_CFG_RD_ODT_ON                              : integer                                  := 0;
-         PRI_HMC_CFG_WR_ODT_PERIOD                          : integer                                  := 0;
-         PRI_HMC_CFG_RD_ODT_PERIOD                          : integer                                  := 0;
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ0                      : integer                                  := 0;
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ1                      : integer                                  := 0;
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ2                      : integer                                  := 0;
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ3                      : integer                                  := 0;
-         PRI_HMC_CFG_SRF_ZQCAL_DISABLE                      : string                                   := "";
-         PRI_HMC_CFG_MPS_ZQCAL_DISABLE                      : string                                   := "";
-         PRI_HMC_CFG_MPS_DQSTRK_DISABLE                     : string                                   := "";
-         PRI_HMC_CFG_SHORT_DQSTRK_CTRL_EN                   : string                                   := "";
-         PRI_HMC_CFG_PERIOD_DQSTRK_CTRL_EN                  : string                                   := "";
-         PRI_HMC_CFG_PERIOD_DQSTRK_INTERVAL                 : integer                                  := 0;
-         PRI_HMC_CFG_DQSTRK_TO_VALID_LAST                   : integer                                  := 0;
-         PRI_HMC_CFG_DQSTRK_TO_VALID                        : integer                                  := 0;
-         PRI_HMC_CFG_RFSH_WARN_THRESHOLD                    : integer                                  := 0;
-         PRI_HMC_CFG_SB_CG_DISABLE                          : string                                   := "";
-         PRI_HMC_CFG_USER_RFSH_EN                           : string                                   := "";
-         PRI_HMC_CFG_SRF_AUTOEXIT_EN                        : string                                   := "";
-         PRI_HMC_CFG_SRF_ENTRY_EXIT_BLOCK                   : string                                   := "";
-         PRI_HMC_CFG_SB_DDR4_MR3                            : integer                                  := 0;
-         PRI_HMC_CFG_SB_DDR4_MR4                            : integer                                  := 0;
-         PRI_HMC_CFG_SB_DDR4_MR5                            : integer                                  := 0;
-         PRI_HMC_CFG_DDR4_MPS_ADDR_MIRROR                   : integer                                  := 0;
-         PRI_HMC_CFG_MEM_IF_COLADDR_WIDTH                   : string                                   := "";
-         PRI_HMC_CFG_MEM_IF_ROWADDR_WIDTH                   : string                                   := "";
-         PRI_HMC_CFG_MEM_IF_BANKADDR_WIDTH                  : string                                   := "";
-         PRI_HMC_CFG_MEM_IF_BGADDR_WIDTH                    : string                                   := "";
-         PRI_HMC_CFG_LOCAL_IF_CS_WIDTH                      : string                                   := "";
-         PRI_HMC_CFG_ADDR_ORDER                             : string                                   := "";
-         PRI_HMC_CFG_ACT_TO_RDWR                            : integer                                  := 0;
-         PRI_HMC_CFG_ACT_TO_PCH                             : integer                                  := 0;
-         PRI_HMC_CFG_ACT_TO_ACT                             : integer                                  := 0;
-         PRI_HMC_CFG_ACT_TO_ACT_DIFF_BANK                   : integer                                  := 0;
-         PRI_HMC_CFG_ACT_TO_ACT_DIFF_BG                     : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_RD                               : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_RD_DIFF_CHIP                     : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_RD_DIFF_BG                       : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_WR                               : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_WR_DIFF_CHIP                     : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_WR_DIFF_BG                       : integer                                  := 0;
-         PRI_HMC_CFG_RD_TO_PCH                              : integer                                  := 0;
-         PRI_HMC_CFG_RD_AP_TO_VALID                         : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_WR                               : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_WR_DIFF_CHIP                     : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_WR_DIFF_BG                       : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_RD                               : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_RD_DIFF_CHIP                     : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_RD_DIFF_BG                       : integer                                  := 0;
-         PRI_HMC_CFG_WR_TO_PCH                              : integer                                  := 0;
-         PRI_HMC_CFG_WR_AP_TO_VALID                         : integer                                  := 0;
-         PRI_HMC_CFG_PCH_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_PCH_ALL_TO_VALID                       : integer                                  := 0;
-         PRI_HMC_CFG_ARF_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_PDN_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_SRF_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_SRF_TO_ZQ_CAL                          : integer                                  := 0;
-         PRI_HMC_CFG_ARF_PERIOD                             : integer                                  := 0;
-         PRI_HMC_CFG_PDN_PERIOD                             : integer                                  := 0;
-         PRI_HMC_CFG_ZQCL_TO_VALID                          : integer                                  := 0;
-         PRI_HMC_CFG_ZQCS_TO_VALID                          : integer                                  := 0;
-         PRI_HMC_CFG_MRS_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_MPS_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_MRR_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_MPR_TO_VALID                           : integer                                  := 0;
-         PRI_HMC_CFG_MPS_EXIT_CS_TO_CKE                     : integer                                  := 0;
-         PRI_HMC_CFG_MPS_EXIT_CKE_TO_CS                     : integer                                  := 0;
-         PRI_HMC_CFG_RLD3_MULTIBANK_REF_DELAY               : integer                                  := 0;
-         PRI_HMC_CFG_MMR_CMD_TO_VALID                       : integer                                  := 0;
-         PRI_HMC_CFG_4_ACT_TO_ACT                           : integer                                  := 0;
-         PRI_HMC_CFG_16_ACT_TO_ACT                          : integer                                  := 0;
-         SEC_HMC_CFG_ENABLE_ECC                             : string                                   := "";
-         SEC_HMC_CFG_REORDER_DATA                           : string                                   := "";
-         SEC_HMC_CFG_REORDER_READ                           : string                                   := "";
-         SEC_HMC_CFG_REORDER_RDATA                          : string                                   := "";
-         SEC_HMC_CFG_STARVE_LIMIT                           : integer                                  := 0;
-         SEC_HMC_CFG_DQS_TRACKING_EN                        : string                                   := "";
-         SEC_HMC_CFG_ARBITER_TYPE                           : string                                   := "";
-         SEC_HMC_CFG_OPEN_PAGE_EN                           : string                                   := "";
-         SEC_HMC_CFG_GEAR_DOWN_EN                           : string                                   := "";
-         SEC_HMC_CFG_RLD3_MULTIBANK_MODE                    : string                                   := "";
-         SEC_HMC_CFG_PING_PONG_MODE                         : string                                   := "";
-         SEC_HMC_CFG_SLOT_ROTATE_EN                         : integer                                  := 0;
-         SEC_HMC_CFG_SLOT_OFFSET                            : integer                                  := 0;
-         SEC_HMC_CFG_COL_CMD_SLOT                           : integer                                  := 0;
-         SEC_HMC_CFG_ROW_CMD_SLOT                           : integer                                  := 0;
-         SEC_HMC_CFG_ENABLE_RC                              : string                                   := "";
-         SEC_HMC_CFG_CS_TO_CHIP_MAPPING                     : integer                                  := 0;
-         SEC_HMC_CFG_RB_RESERVED_ENTRY                      : integer                                  := 0;
-         SEC_HMC_CFG_WB_RESERVED_ENTRY                      : integer                                  := 0;
-         SEC_HMC_CFG_TCL                                    : integer                                  := 0;
-         SEC_HMC_CFG_POWER_SAVING_EXIT_CYC                  : integer                                  := 0;
-         SEC_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC              : integer                                  := 0;
-         SEC_HMC_CFG_WRITE_ODT_CHIP                         : integer                                  := 0;
-         SEC_HMC_CFG_READ_ODT_CHIP                          : integer                                  := 0;
-         SEC_HMC_CFG_WR_ODT_ON                              : integer                                  := 0;
-         SEC_HMC_CFG_RD_ODT_ON                              : integer                                  := 0;
-         SEC_HMC_CFG_WR_ODT_PERIOD                          : integer                                  := 0;
-         SEC_HMC_CFG_RD_ODT_PERIOD                          : integer                                  := 0;
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ0                      : integer                                  := 0;
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ1                      : integer                                  := 0;
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ2                      : integer                                  := 0;
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ3                      : integer                                  := 0;
-         SEC_HMC_CFG_SRF_ZQCAL_DISABLE                      : string                                   := "";
-         SEC_HMC_CFG_MPS_ZQCAL_DISABLE                      : string                                   := "";
-         SEC_HMC_CFG_MPS_DQSTRK_DISABLE                     : string                                   := "";
-         SEC_HMC_CFG_SHORT_DQSTRK_CTRL_EN                   : string                                   := "";
-         SEC_HMC_CFG_PERIOD_DQSTRK_CTRL_EN                  : string                                   := "";
-         SEC_HMC_CFG_PERIOD_DQSTRK_INTERVAL                 : integer                                  := 0;
-         SEC_HMC_CFG_DQSTRK_TO_VALID_LAST                   : integer                                  := 0;
-         SEC_HMC_CFG_DQSTRK_TO_VALID                        : integer                                  := 0;
-         SEC_HMC_CFG_RFSH_WARN_THRESHOLD                    : integer                                  := 0;
-         SEC_HMC_CFG_SB_CG_DISABLE                          : string                                   := "";
-         SEC_HMC_CFG_USER_RFSH_EN                           : string                                   := "";
-         SEC_HMC_CFG_SRF_AUTOEXIT_EN                        : string                                   := "";
-         SEC_HMC_CFG_SRF_ENTRY_EXIT_BLOCK                   : string                                   := "";
-         SEC_HMC_CFG_SB_DDR4_MR3                            : integer                                  := 0;
-         SEC_HMC_CFG_SB_DDR4_MR4                            : integer                                  := 0;
-         SEC_HMC_CFG_SB_DDR4_MR5                            : integer                                  := 0;
-         SEC_HMC_CFG_DDR4_MPS_ADDR_MIRROR                   : integer                                  := 0;
-         SEC_HMC_CFG_MEM_IF_COLADDR_WIDTH                   : string                                   := "";
-         SEC_HMC_CFG_MEM_IF_ROWADDR_WIDTH                   : string                                   := "";
-         SEC_HMC_CFG_MEM_IF_BANKADDR_WIDTH                  : string                                   := "";
-         SEC_HMC_CFG_MEM_IF_BGADDR_WIDTH                    : string                                   := "";
-         SEC_HMC_CFG_LOCAL_IF_CS_WIDTH                      : string                                   := "";
-         SEC_HMC_CFG_ADDR_ORDER                             : string                                   := "";
-         SEC_HMC_CFG_ACT_TO_RDWR                            : integer                                  := 0;
-         SEC_HMC_CFG_ACT_TO_PCH                             : integer                                  := 0;
-         SEC_HMC_CFG_ACT_TO_ACT                             : integer                                  := 0;
-         SEC_HMC_CFG_ACT_TO_ACT_DIFF_BANK                   : integer                                  := 0;
-         SEC_HMC_CFG_ACT_TO_ACT_DIFF_BG                     : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_RD                               : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_RD_DIFF_CHIP                     : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_RD_DIFF_BG                       : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_WR                               : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_WR_DIFF_CHIP                     : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_WR_DIFF_BG                       : integer                                  := 0;
-         SEC_HMC_CFG_RD_TO_PCH                              : integer                                  := 0;
-         SEC_HMC_CFG_RD_AP_TO_VALID                         : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_WR                               : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_WR_DIFF_CHIP                     : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_WR_DIFF_BG                       : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_RD                               : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_RD_DIFF_CHIP                     : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_RD_DIFF_BG                       : integer                                  := 0;
-         SEC_HMC_CFG_WR_TO_PCH                              : integer                                  := 0;
-         SEC_HMC_CFG_WR_AP_TO_VALID                         : integer                                  := 0;
-         SEC_HMC_CFG_PCH_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_PCH_ALL_TO_VALID                       : integer                                  := 0;
-         SEC_HMC_CFG_ARF_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_PDN_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_SRF_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_SRF_TO_ZQ_CAL                          : integer                                  := 0;
-         SEC_HMC_CFG_ARF_PERIOD                             : integer                                  := 0;
-         SEC_HMC_CFG_PDN_PERIOD                             : integer                                  := 0;
-         SEC_HMC_CFG_ZQCL_TO_VALID                          : integer                                  := 0;
-         SEC_HMC_CFG_ZQCS_TO_VALID                          : integer                                  := 0;
-         SEC_HMC_CFG_MRS_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_MPS_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_MRR_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_MPR_TO_VALID                           : integer                                  := 0;
-         SEC_HMC_CFG_MPS_EXIT_CS_TO_CKE                     : integer                                  := 0;
-         SEC_HMC_CFG_MPS_EXIT_CKE_TO_CS                     : integer                                  := 0;
-         SEC_HMC_CFG_RLD3_MULTIBANK_REF_DELAY               : integer                                  := 0;
-         SEC_HMC_CFG_MMR_CMD_TO_VALID                       : integer                                  := 0;
-         SEC_HMC_CFG_4_ACT_TO_ACT                           : integer                                  := 0;
-         SEC_HMC_CFG_16_ACT_TO_ACT                          : integer                                  := 0;
-         PINS_PER_LANE                                      : integer                                  := 0;
-         LANES_PER_TILE                                     : integer                                  := 0;
-         OCT_CONTROL_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_CK_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_CK_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_CK_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_2                             : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_3                             : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_4                             : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_5                             : integer                                  := 0;
-         PORT_MEM_CK_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_DK_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_DK_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_DK_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_2                             : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_3                             : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_4                             : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_5                             : integer                                  := 0;
-         PORT_MEM_DK_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_DKA_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_DKA_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_DKA_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_3                            : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_4                            : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_5                            : integer                                  := 0;
-         PORT_MEM_DKA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_DKB_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_DKB_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_DKB_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_3                            : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_4                            : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_5                            : integer                                  := 0;
-         PORT_MEM_DKB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_K_WIDTH                                   : integer                                  := 0;
-         PORT_MEM_K_PINLOC_0                                : integer                                  := 0;
-         PORT_MEM_K_PINLOC_1                                : integer                                  := 0;
-         PORT_MEM_K_PINLOC_2                                : integer                                  := 0;
-         PORT_MEM_K_PINLOC_3                                : integer                                  := 0;
-         PORT_MEM_K_PINLOC_4                                : integer                                  := 0;
-         PORT_MEM_K_PINLOC_5                                : integer                                  := 0;
-         PORT_MEM_K_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
-         PORT_MEM_K_N_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_K_N_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_A_WIDTH                                   : integer                                  := 0;
-         PORT_MEM_A_PINLOC_0                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_1                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_2                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_3                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_4                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_5                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_6                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_7                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_8                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_9                                : integer                                  := 0;
-         PORT_MEM_A_PINLOC_10                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_11                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_12                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_13                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_14                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_15                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_16                               : integer                                  := 0;
-         PORT_MEM_A_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
-         PORT_MEM_BA_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_BA_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_BG_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_BG_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_C_WIDTH                                   : integer                                  := 0;
-         PORT_MEM_C_PINLOC_0                                : integer                                  := 0;
-         PORT_MEM_C_PINLOC_1                                : integer                                  := 0;
-         PORT_MEM_C_PINLOC_2                                : integer                                  := 0;
-         PORT_MEM_C_PINLOC_3                                : integer                                  := 0;
-         PORT_MEM_C_PINLOC_4                                : integer                                  := 0;
-         PORT_MEM_C_PINLOC_5                                : integer                                  := 0;
-         PORT_MEM_C_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
-         PORT_MEM_CKE_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_CKE_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_CS_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_2                             : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_3                             : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_4                             : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_5                             : integer                                  := 0;
-         PORT_MEM_CS_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_RM_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_RM_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_ODT_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_ODT_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_RAS_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_RAS_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_RAS_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_RAS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_CAS_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_CAS_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_CAS_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_CAS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_WE_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_WE_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_WE_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_WE_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_RESET_N_WIDTH                             : integer                                  := 0;
-         PORT_MEM_RESET_N_PINLOC_0                          : integer                                  := 0;
-         PORT_MEM_RESET_N_PINLOC_1                          : integer                                  := 0;
-         PORT_MEM_RESET_N_PINLOC_AUTOGEN_WCNT               : integer                                  := 0;
-         PORT_MEM_ACT_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_ACT_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_ACT_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_ACT_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_PAR_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_PAR_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_PAR_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_PAR_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_CA_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_6                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_7                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_8                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_9                               : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_10                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_11                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_12                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_13                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_14                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_15                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_16                              : integer                                  := 0;
-         PORT_MEM_CA_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_REF_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_REF_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_REF_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_WPS_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_WPS_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_WPS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_RPS_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_RPS_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_RPS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_DOFF_N_WIDTH                              : integer                                  := 0;
-         PORT_MEM_DOFF_N_PINLOC_0                           : integer                                  := 0;
-         PORT_MEM_DOFF_N_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
-         PORT_MEM_LDA_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_LDA_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_LDA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_LDB_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_LDB_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_LDB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_RWA_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_RWA_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_RWA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_RWB_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_RWB_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_RWB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_LBK0_N_WIDTH                              : integer                                  := 0;
-         PORT_MEM_LBK0_N_PINLOC_0                           : integer                                  := 0;
-         PORT_MEM_LBK0_N_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
-         PORT_MEM_LBK1_N_WIDTH                              : integer                                  := 0;
-         PORT_MEM_LBK1_N_PINLOC_0                           : integer                                  := 0;
-         PORT_MEM_LBK1_N_PINLOC_AUTOGEN_WCNT                : integer                                  := 0;
-         PORT_MEM_CFG_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_CFG_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_CFG_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_AP_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_AP_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_AP_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_AINV_WIDTH                                : integer                                  := 0;
-         PORT_MEM_AINV_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_AINV_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_DM_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_6                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_7                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_8                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_9                               : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_10                              : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_11                              : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_12                              : integer                                  := 0;
-         PORT_MEM_DM_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_BWS_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_BWS_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_BWS_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_BWS_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_BWS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_D_WIDTH                                   : integer                                  := 0;
-         PORT_MEM_D_PINLOC_0                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_1                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_2                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_3                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_4                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_5                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_6                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_7                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_8                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_9                                : integer                                  := 0;
-         PORT_MEM_D_PINLOC_10                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_11                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_12                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_13                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_14                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_15                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_16                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_17                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_18                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_19                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_20                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_21                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_22                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_23                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_24                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_25                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_26                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_27                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_28                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_29                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_30                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_31                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_32                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_33                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_34                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_35                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_36                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_37                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_38                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_39                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_40                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_41                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_42                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_43                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_44                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_45                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_46                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_47                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_48                               : integer                                  := 0;
-         PORT_MEM_D_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
-         PORT_MEM_DQ_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_6                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_7                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_8                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_9                               : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_10                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_11                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_12                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_13                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_14                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_15                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_16                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_17                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_18                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_19                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_20                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_21                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_22                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_23                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_24                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_25                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_26                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_27                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_28                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_29                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_30                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_31                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_32                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_33                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_34                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_35                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_36                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_37                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_38                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_39                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_40                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_41                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_42                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_43                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_44                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_45                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_46                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_47                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_48                              : integer                                  := 0;
-         PORT_MEM_DQ_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_DBI_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_3                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_4                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_5                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_6                            : integer                                  := 0;
-         PORT_MEM_DBI_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_DQA_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_6                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_7                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_8                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_9                              : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_10                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_11                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_12                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_13                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_14                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_15                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_16                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_17                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_18                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_19                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_20                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_21                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_22                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_23                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_24                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_25                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_26                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_27                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_28                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_29                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_30                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_31                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_32                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_33                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_34                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_35                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_36                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_37                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_38                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_39                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_40                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_41                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_42                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_43                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_44                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_45                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_46                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_47                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_48                             : integer                                  := 0;
-         PORT_MEM_DQA_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_DQB_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_6                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_7                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_8                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_9                              : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_10                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_11                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_12                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_13                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_14                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_15                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_16                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_17                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_18                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_19                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_20                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_21                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_22                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_23                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_24                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_25                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_26                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_27                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_28                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_29                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_30                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_31                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_32                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_33                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_34                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_35                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_36                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_37                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_38                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_39                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_40                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_41                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_42                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_43                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_44                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_45                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_46                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_47                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_48                             : integer                                  := 0;
-         PORT_MEM_DQB_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_DINVA_WIDTH                               : integer                                  := 0;
-         PORT_MEM_DINVA_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_DINVA_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_DINVA_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_DINVA_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_DINVB_WIDTH                               : integer                                  := 0;
-         PORT_MEM_DINVB_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_DINVB_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_DINVB_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_DINVB_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_Q_WIDTH                                   : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_0                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_1                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_2                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_3                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_4                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_5                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_6                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_7                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_8                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_9                                : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_10                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_11                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_12                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_13                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_14                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_15                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_16                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_17                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_18                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_19                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_20                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_21                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_22                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_23                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_24                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_25                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_26                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_27                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_28                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_29                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_30                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_31                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_32                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_33                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_34                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_35                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_36                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_37                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_38                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_39                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_40                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_41                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_42                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_43                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_44                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_45                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_46                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_47                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_48                               : integer                                  := 0;
-         PORT_MEM_Q_PINLOC_AUTOGEN_WCNT                     : integer                                  := 0;
-         PORT_MEM_DQS_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_6                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_7                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_8                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_9                              : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_10                             : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_11                             : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_12                             : integer                                  := 0;
-         PORT_MEM_DQS_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_DQS_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_3                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_4                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_5                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_6                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_7                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_8                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_9                            : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_10                           : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_11                           : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_12                           : integer                                  := 0;
-         PORT_MEM_DQS_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_QK_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_2                               : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_3                               : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_4                               : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_5                               : integer                                  := 0;
-         PORT_MEM_QK_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_QK_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_2                             : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_3                             : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_4                             : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_5                             : integer                                  := 0;
-         PORT_MEM_QK_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_QKA_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_QKA_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_QKA_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_3                            : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_4                            : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_5                            : integer                                  := 0;
-         PORT_MEM_QKA_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_QKB_WIDTH                                 : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_0                              : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_1                              : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_2                              : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_3                              : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_4                              : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_5                              : integer                                  := 0;
-         PORT_MEM_QKB_PINLOC_AUTOGEN_WCNT                   : integer                                  := 0;
-         PORT_MEM_QKB_N_WIDTH                               : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_0                            : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_1                            : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_2                            : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_3                            : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_4                            : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_5                            : integer                                  := 0;
-         PORT_MEM_QKB_N_PINLOC_AUTOGEN_WCNT                 : integer                                  := 0;
-         PORT_MEM_CQ_WIDTH                                  : integer                                  := 0;
-         PORT_MEM_CQ_PINLOC_0                               : integer                                  := 0;
-         PORT_MEM_CQ_PINLOC_1                               : integer                                  := 0;
-         PORT_MEM_CQ_PINLOC_AUTOGEN_WCNT                    : integer                                  := 0;
-         PORT_MEM_CQ_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_CQ_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_CQ_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_CQ_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_MEM_ALERT_N_WIDTH                             : integer                                  := 0;
-         PORT_MEM_ALERT_N_PINLOC_0                          : integer                                  := 0;
-         PORT_MEM_ALERT_N_PINLOC_1                          : integer                                  := 0;
-         PORT_MEM_ALERT_N_PINLOC_AUTOGEN_WCNT               : integer                                  := 0;
-         PORT_MEM_PE_N_WIDTH                                : integer                                  := 0;
-         PORT_MEM_PE_N_PINLOC_0                             : integer                                  := 0;
-         PORT_MEM_PE_N_PINLOC_1                             : integer                                  := 0;
-         PORT_MEM_PE_N_PINLOC_AUTOGEN_WCNT                  : integer                                  := 0;
-         PORT_CLKS_SHARING_MASTER_OUT_WIDTH                 : integer                                  := 0;
-         PORT_CLKS_SHARING_SLAVE_IN_WIDTH                   : integer                                  := 0;
-         PORT_CLKS_SHARING_SLAVE_OUT_WIDTH                  : integer                                  := 0;
-         PORT_AFI_RLAT_WIDTH                                : integer                                  := 0;
-         PORT_AFI_WLAT_WIDTH                                : integer                                  := 0;
-         PORT_AFI_SEQ_BUSY_WIDTH                            : integer                                  := 0;
-         PORT_AFI_ADDR_WIDTH                                : integer                                  := 0;
-         PORT_AFI_BA_WIDTH                                  : integer                                  := 0;
-         PORT_AFI_BG_WIDTH                                  : integer                                  := 0;
-         PORT_AFI_C_WIDTH                                   : integer                                  := 0;
-         PORT_AFI_CKE_WIDTH                                 : integer                                  := 0;
-         PORT_AFI_CS_N_WIDTH                                : integer                                  := 0;
-         PORT_AFI_RM_WIDTH                                  : integer                                  := 0;
-         PORT_AFI_ODT_WIDTH                                 : integer                                  := 0;
-         PORT_AFI_RAS_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_CAS_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_WE_N_WIDTH                                : integer                                  := 0;
-         PORT_AFI_RST_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_ACT_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_PAR_WIDTH                                 : integer                                  := 0;
-         PORT_AFI_CA_WIDTH                                  : integer                                  := 0;
-         PORT_AFI_REF_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_WPS_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_RPS_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_DOFF_N_WIDTH                              : integer                                  := 0;
-         PORT_AFI_LD_N_WIDTH                                : integer                                  := 0;
-         PORT_AFI_RW_N_WIDTH                                : integer                                  := 0;
-         PORT_AFI_LBK0_N_WIDTH                              : integer                                  := 0;
-         PORT_AFI_LBK1_N_WIDTH                              : integer                                  := 0;
-         PORT_AFI_CFG_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_AP_WIDTH                                  : integer                                  := 0;
-         PORT_AFI_AINV_WIDTH                                : integer                                  := 0;
-         PORT_AFI_DM_WIDTH                                  : integer                                  := 0;
-         PORT_AFI_DM_N_WIDTH                                : integer                                  := 0;
-         PORT_AFI_BWS_N_WIDTH                               : integer                                  := 0;
-         PORT_AFI_RDATA_DBI_N_WIDTH                         : integer                                  := 0;
-         PORT_AFI_WDATA_DBI_N_WIDTH                         : integer                                  := 0;
-         PORT_AFI_RDATA_DINV_WIDTH                          : integer                                  := 0;
-         PORT_AFI_WDATA_DINV_WIDTH                          : integer                                  := 0;
-         PORT_AFI_DQS_BURST_WIDTH                           : integer                                  := 0;
-         PORT_AFI_WDATA_VALID_WIDTH                         : integer                                  := 0;
-         PORT_AFI_WDATA_WIDTH                               : integer                                  := 0;
-         PORT_AFI_RDATA_EN_FULL_WIDTH                       : integer                                  := 0;
-         PORT_AFI_RDATA_WIDTH                               : integer                                  := 0;
-         PORT_AFI_RDATA_VALID_WIDTH                         : integer                                  := 0;
-         PORT_AFI_RRANK_WIDTH                               : integer                                  := 0;
-         PORT_AFI_WRANK_WIDTH                               : integer                                  := 0;
-         PORT_AFI_ALERT_N_WIDTH                             : integer                                  := 0;
-         PORT_AFI_PE_N_WIDTH                                : integer                                  := 0;
-         PORT_CTRL_AST_CMD_DATA_WIDTH                       : integer                                  := 0;
-         PORT_CTRL_AST_WR_DATA_WIDTH                        : integer                                  := 0;
-         PORT_CTRL_AST_RD_DATA_WIDTH                        : integer                                  := 0;
-         PORT_CTRL_AMM_ADDRESS_WIDTH                        : integer                                  := 0;
-         PORT_CTRL_AMM_RDATA_WIDTH                          : integer                                  := 0;
-         PORT_CTRL_AMM_WDATA_WIDTH                          : integer                                  := 0;
-         PORT_CTRL_AMM_BCOUNT_WIDTH                         : integer                                  := 0;
-         PORT_CTRL_AMM_BYTEEN_WIDTH                         : integer                                  := 0;
-         PORT_CTRL_USER_REFRESH_REQ_WIDTH                   : integer                                  := 0;
-         PORT_CTRL_USER_REFRESH_BANK_WIDTH                  : integer                                  := 0;
-         PORT_CTRL_SELF_REFRESH_REQ_WIDTH                   : integer                                  := 0;
-         PORT_CTRL_ECC_WRITE_INFO_WIDTH                     : integer                                  := 0;
-         PORT_CTRL_ECC_RDATA_ID_WIDTH                       : integer                                  := 0;
-         PORT_CTRL_ECC_READ_INFO_WIDTH                      : integer                                  := 0;
-         PORT_CTRL_ECC_CMD_INFO_WIDTH                       : integer                                  := 0;
-         PORT_CTRL_ECC_WB_POINTER_WIDTH                     : integer                                  := 0;
-         PORT_CTRL_MMR_SLAVE_ADDRESS_WIDTH                  : integer                                  := 0;
-         PORT_CTRL_MMR_SLAVE_RDATA_WIDTH                    : integer                                  := 0;
-         PORT_CTRL_MMR_SLAVE_WDATA_WIDTH                    : integer                                  := 0;
-         PORT_CTRL_MMR_SLAVE_BCOUNT_WIDTH                   : integer                                  := 0;
-         PORT_HPS_EMIF_H2E_WIDTH                            : integer                                  := 0;
-         PORT_HPS_EMIF_E2H_WIDTH                            : integer                                  := 0;
-         PORT_HPS_EMIF_H2E_GP_WIDTH                         : integer                                  := 0;
-         PORT_HPS_EMIF_E2H_GP_WIDTH                         : integer                                  := 0;
-         PORT_CAL_DEBUG_ADDRESS_WIDTH                       : integer                                  := 0;
-         PORT_CAL_DEBUG_RDATA_WIDTH                         : integer                                  := 0;
-         PORT_CAL_DEBUG_WDATA_WIDTH                         : integer                                  := 0;
-         PORT_CAL_DEBUG_BYTEEN_WIDTH                        : integer                                  := 0;
-         PORT_CAL_DEBUG_OUT_ADDRESS_WIDTH                   : integer                                  := 0;
-         PORT_CAL_DEBUG_OUT_RDATA_WIDTH                     : integer                                  := 0;
-         PORT_CAL_DEBUG_OUT_WDATA_WIDTH                     : integer                                  := 0;
-         PORT_CAL_DEBUG_OUT_BYTEEN_WIDTH                    : integer                                  := 0;
-         PORT_CAL_MASTER_ADDRESS_WIDTH                      : integer                                  := 0;
-         PORT_CAL_MASTER_RDATA_WIDTH                        : integer                                  := 0;
-         PORT_CAL_MASTER_WDATA_WIDTH                        : integer                                  := 0;
-         PORT_CAL_MASTER_BYTEEN_WIDTH                       : integer                                  := 0;
-         PORT_DFT_NF_IOAUX_PIO_IN_WIDTH                     : integer                                  := 0;
-         PORT_DFT_NF_IOAUX_PIO_OUT_WIDTH                    : integer                                  := 0;
-         PORT_DFT_NF_PA_DPRIO_REG_ADDR_WIDTH                : integer                                  := 0;
-         PORT_DFT_NF_PA_DPRIO_WRITEDATA_WIDTH               : integer                                  := 0;
-         PORT_DFT_NF_PA_DPRIO_READDATA_WIDTH                : integer                                  := 0;
-         PORT_DFT_NF_PLL_CNTSEL_WIDTH                       : integer                                  := 0;
-         PORT_DFT_NF_PLL_NUM_SHIFT_WIDTH                    : integer                                  := 0;
-         PORT_DFT_NF_CORE_CLK_BUF_OUT_WIDTH                 : integer                                  := 0;
-         PORT_DFT_NF_CORE_CLK_LOCKED_WIDTH                  : integer                                  := 0;
-         PLL_VCO_FREQ_MHZ_INT                               : integer                                  := 0;
-         PLL_VCO_TO_MEM_CLK_FREQ_RATIO                      : integer                                  := 0;
-         PLL_PHY_CLK_VCO_PHASE                              : integer                                  := 0;
-         PLL_VCO_FREQ_PS_STR                                : string                                   := "";
-         PLL_REF_CLK_FREQ_PS_STR                            : string                                   := "";
-         PLL_REF_CLK_FREQ_PS                                : integer                                  := 0;
-         PLL_SIM_VCO_FREQ_PS                                : integer                                  := 0;
-         PLL_SIM_PHYCLK_0_FREQ_PS                           : integer                                  := 0;
-         PLL_SIM_PHYCLK_1_FREQ_PS                           : integer                                  := 0;
-         PLL_SIM_PHYCLK_FB_FREQ_PS                          : integer                                  := 0;
-         PLL_SIM_PHY_CLK_VCO_PHASE_PS                       : integer                                  := 0;
-         PLL_SIM_CAL_SLAVE_CLK_FREQ_PS                      : integer                                  := 0;
-         PLL_SIM_CAL_MASTER_CLK_FREQ_PS                     : integer                                  := 0;
-         PLL_M_CNT_HIGH                                     : integer                                  := 0;
-         PLL_M_CNT_LOW                                      : integer                                  := 0;
-         PLL_N_CNT_HIGH                                     : integer                                  := 0;
-         PLL_N_CNT_LOW                                      : integer                                  := 0;
-         PLL_M_CNT_BYPASS_EN                                : string                                   := "";
-         PLL_N_CNT_BYPASS_EN                                : string                                   := "";
-         PLL_M_CNT_EVEN_DUTY_EN                             : string                                   := "";
-         PLL_N_CNT_EVEN_DUTY_EN                             : string                                   := "";
-         PLL_FBCLK_MUX_1                                    : string                                   := "";
-         PLL_FBCLK_MUX_2                                    : string                                   := "";
-         PLL_M_CNT_IN_SRC                                   : string                                   := "";
-         PLL_CP_SETTING                                     : string                                   := "";
-         PLL_BW_CTRL                                        : string                                   := "";
-         PLL_BW_SEL                                         : string                                   := "";
-         PLL_C_CNT_HIGH_0                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_0                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_0                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_0                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_0                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_0                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_0                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_0                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_0                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_0                                 : string                                   := "";
-         PLL_C_CNT_HIGH_1                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_1                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_1                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_1                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_1                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_1                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_1                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_1                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_1                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_1                                 : string                                   := "";
-         PLL_C_CNT_HIGH_2                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_2                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_2                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_2                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_2                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_2                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_2                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_2                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_2                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_2                                 : string                                   := "";
-         PLL_C_CNT_HIGH_3                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_3                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_3                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_3                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_3                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_3                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_3                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_3                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_3                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_3                                 : string                                   := "";
-         PLL_C_CNT_HIGH_4                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_4                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_4                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_4                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_4                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_4                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_4                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_4                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_4                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_4                                 : string                                   := "";
-         PLL_C_CNT_HIGH_5                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_5                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_5                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_5                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_5                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_5                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_5                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_5                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_5                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_5                                 : string                                   := "";
-         PLL_C_CNT_HIGH_6                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_6                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_6                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_6                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_6                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_6                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_6                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_6                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_6                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_6                                 : string                                   := "";
-         PLL_C_CNT_HIGH_7                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_7                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_7                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_7                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_7                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_7                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_7                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_7                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_7                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_7                                 : string                                   := "";
-         PLL_C_CNT_HIGH_8                                   : integer                                  := 0;
-         PLL_C_CNT_LOW_8                                    : integer                                  := 0;
-         PLL_C_CNT_PRST_8                                   : integer                                  := 0;
-         PLL_C_CNT_PH_MUX_PRST_8                            : integer                                  := 0;
-         PLL_C_CNT_BYPASS_EN_8                              : string                                   := "";
-         PLL_C_CNT_EVEN_DUTY_EN_8                           : string                                   := "";
-         PLL_C_CNT_FREQ_PS_STR_8                            : string                                   := "";
-         PLL_C_CNT_PHASE_PS_STR_8                           : string                                   := "";
-         PLL_C_CNT_DUTY_CYCLE_8                             : integer                                  := 0;
-         PLL_C_CNT_OUT_EN_8                                 : string                                   := "";
-         SEQ_SYNTH_PARAMS_HEX_FILENAME                      : string                                   := "";
-         SEQ_SIM_PARAMS_HEX_FILENAME                        : string                                   := "";
-         SEQ_CODE_HEX_FILENAME                              : string                                   := ""
-      );
-      port (
-         global_reset_n                 : in    std_logic;
-         pll_ref_clk                    : in    std_logic;
-         pll_locked                     : out   std_logic;
-         pll_extra_clk_0                : out   std_logic;
-         pll_extra_clk_1                : out   std_logic;
-         pll_extra_clk_2                : out   std_logic;
-         pll_extra_clk_3                : out   std_logic;
-         oct_rzqin                      : in    std_logic;
-         mem_ck                         : out   std_logic_vector(1 downto 0);
-         mem_ck_n                       : out   std_logic_vector(1 downto 0);
-         mem_a                          : out   std_logic_vector(16 downto 0);
-         mem_act_n                      : out   std_logic_vector(0 downto 0);
-         mem_ba                         : out   std_logic_vector(1 downto 0);
-         mem_bg                         : out   std_logic_vector(1 downto 0);
-         mem_c                          : out   std_logic_vector(0 downto 0);
-         mem_cke                        : out   std_logic_vector(1 downto 0);
-         mem_cs_n                       : out   std_logic_vector(1 downto 0);
-         mem_rm                         : out   std_logic_vector(0 downto 0);
-         mem_odt                        : out   std_logic_vector(1 downto 0);
-         mem_reset_n                    : out   std_logic_vector(0 downto 0);
-         mem_par                        : out   std_logic_vector(0 downto 0);
-         mem_alert_n                    : in    std_logic_vector(0 downto 0);
-         mem_dqs                        : inout std_logic_vector(8 downto 0);
-         mem_dqs_n                      : inout std_logic_vector(8 downto 0);
-         mem_dq                         : inout std_logic_vector(71 downto 0);
-         mem_dbi_n                      : inout std_logic_vector(8 downto 0);
-         mem_dk                         : out   std_logic_vector(0 downto 0);
-         mem_dk_n                       : out   std_logic_vector(0 downto 0);
-         mem_dka                        : out   std_logic_vector(0 downto 0);
-         mem_dka_n                      : out   std_logic_vector(0 downto 0);
-         mem_dkb                        : out   std_logic_vector(0 downto 0);
-         mem_dkb_n                      : out   std_logic_vector(0 downto 0);
-         mem_k                          : out   std_logic_vector(0 downto 0);
-         mem_k_n                        : out   std_logic_vector(0 downto 0);
-         mem_ras_n                      : out   std_logic_vector(0 downto 0);
-         mem_cas_n                      : out   std_logic_vector(0 downto 0);
-         mem_we_n                       : out   std_logic_vector(0 downto 0);
-         mem_ca                         : out   std_logic_vector(0 downto 0);
-         mem_ref_n                      : out   std_logic_vector(0 downto 0);
-         mem_wps_n                      : out   std_logic_vector(0 downto 0);
-         mem_rps_n                      : out   std_logic_vector(0 downto 0);
-         mem_doff_n                     : out   std_logic_vector(0 downto 0);
-         mem_lda_n                      : out   std_logic_vector(0 downto 0);
-         mem_ldb_n                      : out   std_logic_vector(0 downto 0);
-         mem_rwa_n                      : out   std_logic_vector(0 downto 0);
-         mem_rwb_n                      : out   std_logic_vector(0 downto 0);
-         mem_lbk0_n                     : out   std_logic_vector(0 downto 0);
-         mem_lbk1_n                     : out   std_logic_vector(0 downto 0);
-         mem_cfg_n                      : out   std_logic_vector(0 downto 0);
-         mem_ap                         : out   std_logic_vector(0 downto 0);
-         mem_ainv                       : out   std_logic_vector(0 downto 0);
-         mem_dm                         : out   std_logic_vector(0 downto 0);
-         mem_bws_n                      : out   std_logic_vector(0 downto 0);
-         mem_d                          : out   std_logic_vector(0 downto 0);
-         mem_dqa                        : inout std_logic_vector(0 downto 0);
-         mem_dqb                        : inout std_logic_vector(0 downto 0);
-         mem_dinva                      : inout std_logic_vector(0 downto 0);
-         mem_dinvb                      : inout std_logic_vector(0 downto 0);
-         mem_q                          : in    std_logic_vector(0 downto 0);
-         mem_qk                         : in    std_logic_vector(0 downto 0);
-         mem_qk_n                       : in    std_logic_vector(0 downto 0);
-         mem_qka                        : in    std_logic_vector(0 downto 0);
-         mem_qka_n                      : in    std_logic_vector(0 downto 0);
-         mem_qkb                        : in    std_logic_vector(0 downto 0);
-         mem_qkb_n                      : in    std_logic_vector(0 downto 0);
-         mem_cq                         : in    std_logic_vector(0 downto 0);
-         mem_cq_n                       : in    std_logic_vector(0 downto 0);
-         mem_pe_n                       : in    std_logic_vector(0 downto 0);
-         local_cal_success              : out   std_logic;
-         local_cal_fail                 : out   std_logic;
-         vid_cal_done_persist           : in    std_logic;
-         afi_reset_n                    : out   std_logic;
-         afi_clk                        : out   std_logic;
-         afi_half_clk                   : out   std_logic;
-         emif_usr_reset_n               : out   std_logic;
-         emif_usr_clk                   : out   std_logic;
-         emif_usr_half_clk              : out   std_logic;
-         emif_usr_reset_n_sec           : out   std_logic;
-         emif_usr_clk_sec               : out   std_logic;
-         emif_usr_half_clk_sec          : out   std_logic;
-         cal_master_reset_n             : out   std_logic;
-         cal_master_clk                 : out   std_logic;
-         cal_slave_reset_n              : out   std_logic;
-         cal_slave_clk                  : out   std_logic;
-         cal_slave_reset_n_in           : in    std_logic;
-         cal_slave_clk_in               : in    std_logic;
-         cal_debug_reset_n              : in    std_logic;
-         cal_debug_clk                  : in    std_logic;
-         cal_debug_out_reset_n          : out   std_logic;
-         cal_debug_out_clk              : out   std_logic;
-         clks_sharing_master_out        : out   std_logic_vector(31 downto 0);
-         clks_sharing_slave_in          : in    std_logic_vector(31 downto 0);
-         clks_sharing_slave_out         : out   std_logic_vector(31 downto 0);
-         afi_cal_success                : out   std_logic;
-         afi_cal_fail                   : out   std_logic;
-         afi_cal_req                    : in    std_logic;
-         afi_rlat                       : out   std_logic_vector(5 downto 0);
-         afi_wlat                       : out   std_logic_vector(5 downto 0);
-         afi_seq_busy                   : out   std_logic_vector(3 downto 0);
-         afi_ctl_refresh_done           : in    std_logic;
-         afi_ctl_long_idle              : in    std_logic;
-         afi_mps_req                    : in    std_logic;
-         afi_mps_ack                    : out   std_logic;
-         afi_addr                       : in    std_logic_vector(0 downto 0);
-         afi_ba                         : in    std_logic_vector(0 downto 0);
-         afi_bg                         : in    std_logic_vector(0 downto 0);
-         afi_c                          : in    std_logic_vector(0 downto 0);
-         afi_cke                        : in    std_logic_vector(0 downto 0);
-         afi_cs_n                       : in    std_logic_vector(0 downto 0);
-         afi_rm                         : in    std_logic_vector(0 downto 0);
-         afi_odt                        : in    std_logic_vector(0 downto 0);
-         afi_ras_n                      : in    std_logic_vector(0 downto 0);
-         afi_cas_n                      : in    std_logic_vector(0 downto 0);
-         afi_we_n                       : in    std_logic_vector(0 downto 0);
-         afi_rst_n                      : in    std_logic_vector(0 downto 0);
-         afi_act_n                      : in    std_logic_vector(0 downto 0);
-         afi_par                        : in    std_logic_vector(0 downto 0);
-         afi_ca                         : in    std_logic_vector(0 downto 0);
-         afi_ref_n                      : in    std_logic_vector(0 downto 0);
-         afi_wps_n                      : in    std_logic_vector(0 downto 0);
-         afi_rps_n                      : in    std_logic_vector(0 downto 0);
-         afi_doff_n                     : in    std_logic_vector(0 downto 0);
-         afi_ld_n                       : in    std_logic_vector(0 downto 0);
-         afi_rw_n                       : in    std_logic_vector(0 downto 0);
-         afi_lbk0_n                     : in    std_logic_vector(0 downto 0);
-         afi_lbk1_n                     : in    std_logic_vector(0 downto 0);
-         afi_cfg_n                      : in    std_logic_vector(0 downto 0);
-         afi_ap                         : in    std_logic_vector(0 downto 0);
-         afi_ainv                       : in    std_logic_vector(0 downto 0);
-         afi_dm                         : in    std_logic_vector(0 downto 0);
-         afi_dm_n                       : in    std_logic_vector(0 downto 0);
-         afi_bws_n                      : in    std_logic_vector(0 downto 0);
-         afi_rdata_dbi_n                : out   std_logic_vector(0 downto 0);
-         afi_wdata_dbi_n                : in    std_logic_vector(0 downto 0);
-         afi_rdata_dinv                 : out   std_logic_vector(0 downto 0);
-         afi_wdata_dinv                 : in    std_logic_vector(0 downto 0);
-         afi_dqs_burst                  : in    std_logic_vector(0 downto 0);
-         afi_wdata_valid                : in    std_logic_vector(0 downto 0);
-         afi_wdata                      : in    std_logic_vector(0 downto 0);
-         afi_rdata_en_full              : in    std_logic_vector(0 downto 0);
-         afi_rdata                      : out   std_logic_vector(0 downto 0);
-         afi_rdata_valid                : out   std_logic_vector(0 downto 0);
-         afi_rrank                      : in    std_logic_vector(0 downto 0);
-         afi_wrank                      : in    std_logic_vector(0 downto 0);
-         afi_alert_n                    : out   std_logic_vector(0 downto 0);
-         afi_pe_n                       : out   std_logic_vector(0 downto 0);
-         ast_cmd_data_0                 : in    std_logic_vector(0 downto 0);
-         ast_cmd_valid_0                : in    std_logic;
-         ast_cmd_ready_0                : out   std_logic;
-         ast_cmd_data_1                 : in    std_logic_vector(0 downto 0);
-         ast_cmd_valid_1                : in    std_logic;
-         ast_cmd_ready_1                : out   std_logic;
-         ast_wr_data_0                  : in    std_logic_vector(0 downto 0);
-         ast_wr_valid_0                 : in    std_logic;
-         ast_wr_ready_0                 : out   std_logic;
-         ast_wr_data_1                  : in    std_logic_vector(0 downto 0);
-         ast_wr_valid_1                 : in    std_logic;
-         ast_wr_ready_1                 : out   std_logic;
-         ast_rd_data_0                  : out   std_logic_vector(0 downto 0);
-         ast_rd_valid_0                 : out   std_logic;
-         ast_rd_ready_0                 : in    std_logic;
-         ast_rd_data_1                  : out   std_logic_vector(0 downto 0);
-         ast_rd_valid_1                 : out   std_logic;
-         ast_rd_ready_1                 : in    std_logic;
-         amm_ready_0                    : out   std_logic;
-         amm_read_0                     : in    std_logic;
-         amm_write_0                    : in    std_logic;
-         amm_address_0                  : in    std_logic_vector(26 downto 0);
-         amm_readdata_0                 : out   std_logic_vector(575 downto 0);
-         amm_writedata_0                : in    std_logic_vector(575 downto 0);
-         amm_burstcount_0               : in    std_logic_vector(6 downto 0);
-         amm_byteenable_0               : in    std_logic_vector(71 downto 0);
-         amm_beginbursttransfer_0       : in    std_logic;
-         amm_readdatavalid_0            : out   std_logic;
-         amm_ready_1                    : out   std_logic;
-         amm_read_1                     : in    std_logic;
-         amm_write_1                    : in    std_logic;
-         amm_address_1                  : in    std_logic_vector(26 downto 0);
-         amm_readdata_1                 : out   std_logic_vector(575 downto 0);
-         amm_writedata_1                : in    std_logic_vector(575 downto 0);
-         amm_burstcount_1               : in    std_logic_vector(6 downto 0);
-         amm_byteenable_1               : in    std_logic_vector(71 downto 0);
-         amm_beginbursttransfer_1       : in    std_logic;
-         amm_readdatavalid_1            : out   std_logic;
-         ctrl_user_priority_hi_0        : in    std_logic;
-         ctrl_user_priority_hi_1        : in    std_logic;
-         ctrl_auto_precharge_req_0      : in    std_logic;
-         ctrl_auto_precharge_req_1      : in    std_logic;
-         ctrl_user_refresh_req          : in    std_logic_vector(3 downto 0);
-         ctrl_user_refresh_bank         : in    std_logic_vector(15 downto 0);
-         ctrl_user_refresh_ack          : out   std_logic;
-         ctrl_self_refresh_req          : in    std_logic_vector(3 downto 0);
-         ctrl_self_refresh_ack          : out   std_logic;
-         ctrl_will_refresh              : out   std_logic;
-         ctrl_deep_power_down_req       : in    std_logic;
-         ctrl_deep_power_down_ack       : out   std_logic;
-         ctrl_power_down_ack            : out   std_logic;
-         ctrl_zq_cal_long_req           : in    std_logic;
-         ctrl_zq_cal_short_req          : in    std_logic;
-         ctrl_zq_cal_ack                : out   std_logic;
-         ctrl_ecc_write_info_0          : in    std_logic_vector(14 downto 0);
-         ctrl_ecc_rdata_id_0            : out   std_logic_vector(12 downto 0);
-         ctrl_ecc_read_info_0           : out   std_logic_vector(2 downto 0);
-         ctrl_ecc_cmd_info_0            : out   std_logic_vector(2 downto 0);
-         ctrl_ecc_idle_0                : out   std_logic;
-         ctrl_ecc_wr_pointer_info_0     : out   std_logic_vector(11 downto 0);
-         ctrl_ecc_write_info_1          : in    std_logic_vector(14 downto 0);
-         ctrl_ecc_rdata_id_1            : out   std_logic_vector(12 downto 0);
-         ctrl_ecc_read_info_1           : out   std_logic_vector(2 downto 0);
-         ctrl_ecc_cmd_info_1            : out   std_logic_vector(2 downto 0);
-         ctrl_ecc_idle_1                : out   std_logic;
-         ctrl_ecc_wr_pointer_info_1     : out   std_logic_vector(11 downto 0);
-         mmr_slave_waitrequest_0        : out   std_logic;
-         mmr_slave_read_0               : in    std_logic;
-         mmr_slave_write_0              : in    std_logic;
-         mmr_slave_address_0            : in    std_logic_vector(9 downto 0);
-         mmr_slave_readdata_0           : out   std_logic_vector(31 downto 0);
-         mmr_slave_writedata_0          : in    std_logic_vector(31 downto 0);
-         mmr_slave_burstcount_0         : in    std_logic_vector(1 downto 0);
-         mmr_slave_beginbursttransfer_0 : in    std_logic;
-         mmr_slave_readdatavalid_0      : out   std_logic;
-         mmr_slave_waitrequest_1        : out   std_logic;
-         mmr_slave_read_1               : in    std_logic;
-         mmr_slave_write_1              : in    std_logic;
-         mmr_slave_address_1            : in    std_logic_vector(9 downto 0);
-         mmr_slave_readdata_1           : out   std_logic_vector(31 downto 0);
-         mmr_slave_writedata_1          : in    std_logic_vector(31 downto 0);
-         mmr_slave_burstcount_1         : in    std_logic_vector(1 downto 0);
-         mmr_slave_beginbursttransfer_1 : in    std_logic;
-         mmr_slave_readdatavalid_1      : out   std_logic;
-         hps_to_emif                    : in    std_logic_vector(4095 downto 0);
-         emif_to_hps                    : out   std_logic_vector(4095 downto 0);
-         hps_to_emif_gp                 : in    std_logic_vector(1 downto 0);
-         emif_to_hps_gp                 : out   std_logic_vector(0 downto 0);
-         cal_debug_waitrequest          : out   std_logic;
-         cal_debug_read                 : in    std_logic;
-         cal_debug_write                : in    std_logic;
-         cal_debug_addr                 : in    std_logic_vector(23 downto 0);
-         cal_debug_read_data            : out   std_logic_vector(31 downto 0);
-         cal_debug_write_data           : in    std_logic_vector(31 downto 0);
-         cal_debug_byteenable           : in    std_logic_vector(3 downto 0);
-         cal_debug_read_data_valid      : out   std_logic;
-         cal_debug_out_waitrequest      : in    std_logic;
-         cal_debug_out_read             : out   std_logic;
-         cal_debug_out_write            : out   std_logic;
-         cal_debug_out_addr             : out   std_logic_vector(23 downto 0);
-         cal_debug_out_read_data        : in    std_logic_vector(31 downto 0);
-         cal_debug_out_write_data       : out   std_logic_vector(31 downto 0);
-         cal_debug_out_byteenable       : out   std_logic_vector(3 downto 0);
-         cal_debug_out_read_data_valid  : in    std_logic;
-         cal_master_waitrequest         : in    std_logic;
-         cal_master_read                : out   std_logic;
-         cal_master_write               : out   std_logic;
-         cal_master_addr                : out   std_logic_vector(15 downto 0);
-         cal_master_read_data           : in    std_logic_vector(31 downto 0);
-         cal_master_write_data          : out   std_logic_vector(31 downto 0);
-         cal_master_byteenable          : out   std_logic_vector(3 downto 0);
-         cal_master_read_data_valid     : in    std_logic;
-         cal_master_burstcount          : out   std_logic;
-         cal_master_debugaccess         : out   std_logic;
-         ioaux_pio_in                   : in    std_logic_vector(7 downto 0);
-         ioaux_pio_out                  : out   std_logic_vector(7 downto 0);
-         pa_dprio_clk                   : in    std_logic;
-         pa_dprio_read                  : in    std_logic;
-         pa_dprio_reg_addr              : in    std_logic_vector(8 downto 0);
-         pa_dprio_rst_n                 : in    std_logic;
-         pa_dprio_write                 : in    std_logic;
-         pa_dprio_writedata             : in    std_logic_vector(7 downto 0);
-         pa_dprio_block_select          : out   std_logic;
-         pa_dprio_readdata              : out   std_logic_vector(7 downto 0);
-         pll_phase_en                   : in    std_logic;
-         pll_up_dn                      : in    std_logic;
-         pll_cnt_sel                    : in    std_logic_vector(3 downto 0);
-         pll_num_phase_shifts           : in    std_logic_vector(2 downto 0);
-         pll_phase_done                 : out   std_logic;
-         dft_core_clk_buf_out           : out   std_logic_vector(1 downto 0);
-         dft_core_clk_locked            : out   std_logic_vector(1 downto 0)
-      );
-   end component ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_top;
+    );
+  end component ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_top;
 
 begin
-   arch_inst : component ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_top
-      generic map (
-         PROTOCOL_ENUM => PROTOCOL_ENUM,
-         PHY_TARGET_IS_ES => PHY_TARGET_IS_ES,
-         PHY_TARGET_IS_ES2 => PHY_TARGET_IS_ES2,
-         PHY_TARGET_IS_PRODUCTION => PHY_TARGET_IS_PRODUCTION,
-         PHY_CONFIG_ENUM => PHY_CONFIG_ENUM,
-         PHY_PING_PONG_EN => PHY_PING_PONG_EN,
-         PHY_CORE_CLKS_SHARING_ENUM => PHY_CORE_CLKS_SHARING_ENUM,
-         PHY_CALIBRATED_OCT => PHY_CALIBRATED_OCT,
-         PHY_AC_CALIBRATED_OCT => PHY_AC_CALIBRATED_OCT,
-         PHY_CK_CALIBRATED_OCT => PHY_CK_CALIBRATED_OCT,
-         PHY_DATA_CALIBRATED_OCT => PHY_DATA_CALIBRATED_OCT,
-         PHY_HPS_ENABLE_EARLY_RELEASE => PHY_HPS_ENABLE_EARLY_RELEASE,
-         PLL_NUM_OF_EXTRA_CLKS => PLL_NUM_OF_EXTRA_CLKS,
-         MEM_FORMAT_ENUM => MEM_FORMAT_ENUM,
-         MEM_BURST_LENGTH => MEM_BURST_LENGTH,
-         MEM_DATA_MASK_EN => MEM_DATA_MASK_EN,
-         MEM_TTL_DATA_WIDTH => MEM_TTL_DATA_WIDTH,
-         MEM_TTL_NUM_OF_READ_GROUPS => MEM_TTL_NUM_OF_READ_GROUPS,
-         MEM_TTL_NUM_OF_WRITE_GROUPS => MEM_TTL_NUM_OF_WRITE_GROUPS,
-         DIAG_SIM_REGTEST_MODE => DIAG_SIM_REGTEST_MODE,
-         DIAG_SYNTH_FOR_SIM => DIAG_SYNTH_FOR_SIM,
-         DIAG_ECLIPSE_DEBUG => DIAG_ECLIPSE_DEBUG,
-         DIAG_EXPORT_VJI => DIAG_EXPORT_VJI,
-         DIAG_INTERFACE_ID => DIAG_INTERFACE_ID,
-         DIAG_SIM_VERBOSE_LEVEL => DIAG_SIM_VERBOSE_LEVEL,
-         DIAG_FAST_SIM => DIAG_FAST_SIM,
-         DIAG_USE_ABSTRACT_PHY => DIAG_USE_ABSTRACT_PHY,
-         SILICON_REV => SILICON_REV,
-         IS_HPS => IS_HPS,
-         IS_VID => IS_VID,
-         USER_CLK_RATIO => USER_CLK_RATIO,
-         C2P_P2C_CLK_RATIO => C2P_P2C_CLK_RATIO,
-         PHY_HMC_CLK_RATIO => PHY_HMC_CLK_RATIO,
-         DIAG_ABSTRACT_PHY_WLAT => DIAG_ABSTRACT_PHY_WLAT,
-         DIAG_ABSTRACT_PHY_RLAT => DIAG_ABSTRACT_PHY_RLAT,
-         DIAG_CPA_OUT_1_EN => DIAG_CPA_OUT_1_EN,
-         DIAG_USE_CPA_LOCK => DIAG_USE_CPA_LOCK,
-         DQS_BUS_MODE_ENUM => DQS_BUS_MODE_ENUM,
-         AC_PIN_MAP_SCHEME => AC_PIN_MAP_SCHEME,
-         NUM_OF_HMC_PORTS => NUM_OF_HMC_PORTS,
-         HMC_AVL_PROTOCOL_ENUM => HMC_AVL_PROTOCOL_ENUM,
-         HMC_CTRL_DIMM_TYPE => HMC_CTRL_DIMM_TYPE,
-         REGISTER_AFI => REGISTER_AFI,
-         SEQ_SYNTH_CPU_CLK_DIVIDE => SEQ_SYNTH_CPU_CLK_DIVIDE,
-         SEQ_SYNTH_CAL_CLK_DIVIDE => SEQ_SYNTH_CAL_CLK_DIVIDE,
-         SEQ_SIM_CPU_CLK_DIVIDE => SEQ_SIM_CPU_CLK_DIVIDE,
-         SEQ_SIM_CAL_CLK_DIVIDE => SEQ_SIM_CAL_CLK_DIVIDE,
-         SEQ_SYNTH_OSC_FREQ_MHZ => SEQ_SYNTH_OSC_FREQ_MHZ,
-         SEQ_SIM_OSC_FREQ_MHZ => SEQ_SIM_OSC_FREQ_MHZ,
-         NUM_OF_RTL_TILES => NUM_OF_RTL_TILES,
-         PRI_RDATA_TILE_INDEX => PRI_RDATA_TILE_INDEX,
-         PRI_RDATA_LANE_INDEX => PRI_RDATA_LANE_INDEX,
-         PRI_WDATA_TILE_INDEX => PRI_WDATA_TILE_INDEX,
-         PRI_WDATA_LANE_INDEX => PRI_WDATA_LANE_INDEX,
-         PRI_AC_TILE_INDEX => PRI_AC_TILE_INDEX,
-         SEC_RDATA_TILE_INDEX => SEC_RDATA_TILE_INDEX,
-         SEC_RDATA_LANE_INDEX => SEC_RDATA_LANE_INDEX,
-         SEC_WDATA_TILE_INDEX => SEC_WDATA_TILE_INDEX,
-         SEC_WDATA_LANE_INDEX => SEC_WDATA_LANE_INDEX,
-         SEC_AC_TILE_INDEX => SEC_AC_TILE_INDEX,
-         LANES_USAGE_0 => LANES_USAGE_0,
-         LANES_USAGE_1 => LANES_USAGE_1,
-         LANES_USAGE_2 => LANES_USAGE_2,
-         LANES_USAGE_3 => LANES_USAGE_3,
-         LANES_USAGE_AUTOGEN_WCNT => LANES_USAGE_AUTOGEN_WCNT,
-         PINS_USAGE_0 => PINS_USAGE_0,
-         PINS_USAGE_1 => PINS_USAGE_1,
-         PINS_USAGE_2 => PINS_USAGE_2,
-         PINS_USAGE_3 => PINS_USAGE_3,
-         PINS_USAGE_4 => PINS_USAGE_4,
-         PINS_USAGE_5 => PINS_USAGE_5,
-         PINS_USAGE_6 => PINS_USAGE_6,
-         PINS_USAGE_7 => PINS_USAGE_7,
-         PINS_USAGE_8 => PINS_USAGE_8,
-         PINS_USAGE_9 => PINS_USAGE_9,
-         PINS_USAGE_10 => PINS_USAGE_10,
-         PINS_USAGE_11 => PINS_USAGE_11,
-         PINS_USAGE_12 => PINS_USAGE_12,
-         PINS_USAGE_AUTOGEN_WCNT => PINS_USAGE_AUTOGEN_WCNT,
-         PINS_RATE_0 => PINS_RATE_0,
-         PINS_RATE_1 => PINS_RATE_1,
-         PINS_RATE_2 => PINS_RATE_2,
-         PINS_RATE_3 => PINS_RATE_3,
-         PINS_RATE_4 => PINS_RATE_4,
-         PINS_RATE_5 => PINS_RATE_5,
-         PINS_RATE_6 => PINS_RATE_6,
-         PINS_RATE_7 => PINS_RATE_7,
-         PINS_RATE_8 => PINS_RATE_8,
-         PINS_RATE_9 => PINS_RATE_9,
-         PINS_RATE_10 => PINS_RATE_10,
-         PINS_RATE_11 => PINS_RATE_11,
-         PINS_RATE_12 => PINS_RATE_12,
-         PINS_RATE_AUTOGEN_WCNT => PINS_RATE_AUTOGEN_WCNT,
-         PINS_WDB_0 => PINS_WDB_0,
-         PINS_WDB_1 => PINS_WDB_1,
-         PINS_WDB_2 => PINS_WDB_2,
-         PINS_WDB_3 => PINS_WDB_3,
-         PINS_WDB_4 => PINS_WDB_4,
-         PINS_WDB_5 => PINS_WDB_5,
-         PINS_WDB_6 => PINS_WDB_6,
-         PINS_WDB_7 => PINS_WDB_7,
-         PINS_WDB_8 => PINS_WDB_8,
-         PINS_WDB_9 => PINS_WDB_9,
-         PINS_WDB_10 => PINS_WDB_10,
-         PINS_WDB_11 => PINS_WDB_11,
-         PINS_WDB_12 => PINS_WDB_12,
-         PINS_WDB_13 => PINS_WDB_13,
-         PINS_WDB_14 => PINS_WDB_14,
-         PINS_WDB_15 => PINS_WDB_15,
-         PINS_WDB_16 => PINS_WDB_16,
-         PINS_WDB_17 => PINS_WDB_17,
-         PINS_WDB_18 => PINS_WDB_18,
-         PINS_WDB_19 => PINS_WDB_19,
-         PINS_WDB_20 => PINS_WDB_20,
-         PINS_WDB_21 => PINS_WDB_21,
-         PINS_WDB_22 => PINS_WDB_22,
-         PINS_WDB_23 => PINS_WDB_23,
-         PINS_WDB_24 => PINS_WDB_24,
-         PINS_WDB_25 => PINS_WDB_25,
-         PINS_WDB_26 => PINS_WDB_26,
-         PINS_WDB_27 => PINS_WDB_27,
-         PINS_WDB_28 => PINS_WDB_28,
-         PINS_WDB_29 => PINS_WDB_29,
-         PINS_WDB_30 => PINS_WDB_30,
-         PINS_WDB_31 => PINS_WDB_31,
-         PINS_WDB_32 => PINS_WDB_32,
-         PINS_WDB_33 => PINS_WDB_33,
-         PINS_WDB_34 => PINS_WDB_34,
-         PINS_WDB_35 => PINS_WDB_35,
-         PINS_WDB_36 => PINS_WDB_36,
-         PINS_WDB_37 => PINS_WDB_37,
-         PINS_WDB_38 => PINS_WDB_38,
-         PINS_WDB_AUTOGEN_WCNT => PINS_WDB_AUTOGEN_WCNT,
-         PINS_DATA_IN_MODE_0 => PINS_DATA_IN_MODE_0,
-         PINS_DATA_IN_MODE_1 => PINS_DATA_IN_MODE_1,
-         PINS_DATA_IN_MODE_2 => PINS_DATA_IN_MODE_2,
-         PINS_DATA_IN_MODE_3 => PINS_DATA_IN_MODE_3,
-         PINS_DATA_IN_MODE_4 => PINS_DATA_IN_MODE_4,
-         PINS_DATA_IN_MODE_5 => PINS_DATA_IN_MODE_5,
-         PINS_DATA_IN_MODE_6 => PINS_DATA_IN_MODE_6,
-         PINS_DATA_IN_MODE_7 => PINS_DATA_IN_MODE_7,
-         PINS_DATA_IN_MODE_8 => PINS_DATA_IN_MODE_8,
-         PINS_DATA_IN_MODE_9 => PINS_DATA_IN_MODE_9,
-         PINS_DATA_IN_MODE_10 => PINS_DATA_IN_MODE_10,
-         PINS_DATA_IN_MODE_11 => PINS_DATA_IN_MODE_11,
-         PINS_DATA_IN_MODE_12 => PINS_DATA_IN_MODE_12,
-         PINS_DATA_IN_MODE_13 => PINS_DATA_IN_MODE_13,
-         PINS_DATA_IN_MODE_14 => PINS_DATA_IN_MODE_14,
-         PINS_DATA_IN_MODE_15 => PINS_DATA_IN_MODE_15,
-         PINS_DATA_IN_MODE_16 => PINS_DATA_IN_MODE_16,
-         PINS_DATA_IN_MODE_17 => PINS_DATA_IN_MODE_17,
-         PINS_DATA_IN_MODE_18 => PINS_DATA_IN_MODE_18,
-         PINS_DATA_IN_MODE_19 => PINS_DATA_IN_MODE_19,
-         PINS_DATA_IN_MODE_20 => PINS_DATA_IN_MODE_20,
-         PINS_DATA_IN_MODE_21 => PINS_DATA_IN_MODE_21,
-         PINS_DATA_IN_MODE_22 => PINS_DATA_IN_MODE_22,
-         PINS_DATA_IN_MODE_23 => PINS_DATA_IN_MODE_23,
-         PINS_DATA_IN_MODE_24 => PINS_DATA_IN_MODE_24,
-         PINS_DATA_IN_MODE_25 => PINS_DATA_IN_MODE_25,
-         PINS_DATA_IN_MODE_26 => PINS_DATA_IN_MODE_26,
-         PINS_DATA_IN_MODE_27 => PINS_DATA_IN_MODE_27,
-         PINS_DATA_IN_MODE_28 => PINS_DATA_IN_MODE_28,
-         PINS_DATA_IN_MODE_29 => PINS_DATA_IN_MODE_29,
-         PINS_DATA_IN_MODE_30 => PINS_DATA_IN_MODE_30,
-         PINS_DATA_IN_MODE_31 => PINS_DATA_IN_MODE_31,
-         PINS_DATA_IN_MODE_32 => PINS_DATA_IN_MODE_32,
-         PINS_DATA_IN_MODE_33 => PINS_DATA_IN_MODE_33,
-         PINS_DATA_IN_MODE_34 => PINS_DATA_IN_MODE_34,
-         PINS_DATA_IN_MODE_35 => PINS_DATA_IN_MODE_35,
-         PINS_DATA_IN_MODE_36 => PINS_DATA_IN_MODE_36,
-         PINS_DATA_IN_MODE_37 => PINS_DATA_IN_MODE_37,
-         PINS_DATA_IN_MODE_38 => PINS_DATA_IN_MODE_38,
-         PINS_DATA_IN_MODE_AUTOGEN_WCNT => PINS_DATA_IN_MODE_AUTOGEN_WCNT,
-         PINS_C2L_DRIVEN_0 => PINS_C2L_DRIVEN_0,
-         PINS_C2L_DRIVEN_1 => PINS_C2L_DRIVEN_1,
-         PINS_C2L_DRIVEN_2 => PINS_C2L_DRIVEN_2,
-         PINS_C2L_DRIVEN_3 => PINS_C2L_DRIVEN_3,
-         PINS_C2L_DRIVEN_4 => PINS_C2L_DRIVEN_4,
-         PINS_C2L_DRIVEN_5 => PINS_C2L_DRIVEN_5,
-         PINS_C2L_DRIVEN_6 => PINS_C2L_DRIVEN_6,
-         PINS_C2L_DRIVEN_7 => PINS_C2L_DRIVEN_7,
-         PINS_C2L_DRIVEN_8 => PINS_C2L_DRIVEN_8,
-         PINS_C2L_DRIVEN_9 => PINS_C2L_DRIVEN_9,
-         PINS_C2L_DRIVEN_10 => PINS_C2L_DRIVEN_10,
-         PINS_C2L_DRIVEN_11 => PINS_C2L_DRIVEN_11,
-         PINS_C2L_DRIVEN_12 => PINS_C2L_DRIVEN_12,
-         PINS_C2L_DRIVEN_AUTOGEN_WCNT => PINS_C2L_DRIVEN_AUTOGEN_WCNT,
-         PINS_DB_IN_BYPASS_0 => PINS_DB_IN_BYPASS_0,
-         PINS_DB_IN_BYPASS_1 => PINS_DB_IN_BYPASS_1,
-         PINS_DB_IN_BYPASS_2 => PINS_DB_IN_BYPASS_2,
-         PINS_DB_IN_BYPASS_3 => PINS_DB_IN_BYPASS_3,
-         PINS_DB_IN_BYPASS_4 => PINS_DB_IN_BYPASS_4,
-         PINS_DB_IN_BYPASS_5 => PINS_DB_IN_BYPASS_5,
-         PINS_DB_IN_BYPASS_6 => PINS_DB_IN_BYPASS_6,
-         PINS_DB_IN_BYPASS_7 => PINS_DB_IN_BYPASS_7,
-         PINS_DB_IN_BYPASS_8 => PINS_DB_IN_BYPASS_8,
-         PINS_DB_IN_BYPASS_9 => PINS_DB_IN_BYPASS_9,
-         PINS_DB_IN_BYPASS_10 => PINS_DB_IN_BYPASS_10,
-         PINS_DB_IN_BYPASS_11 => PINS_DB_IN_BYPASS_11,
-         PINS_DB_IN_BYPASS_12 => PINS_DB_IN_BYPASS_12,
-         PINS_DB_IN_BYPASS_AUTOGEN_WCNT => PINS_DB_IN_BYPASS_AUTOGEN_WCNT,
-         PINS_DB_OUT_BYPASS_0 => PINS_DB_OUT_BYPASS_0,
-         PINS_DB_OUT_BYPASS_1 => PINS_DB_OUT_BYPASS_1,
-         PINS_DB_OUT_BYPASS_2 => PINS_DB_OUT_BYPASS_2,
-         PINS_DB_OUT_BYPASS_3 => PINS_DB_OUT_BYPASS_3,
-         PINS_DB_OUT_BYPASS_4 => PINS_DB_OUT_BYPASS_4,
-         PINS_DB_OUT_BYPASS_5 => PINS_DB_OUT_BYPASS_5,
-         PINS_DB_OUT_BYPASS_6 => PINS_DB_OUT_BYPASS_6,
-         PINS_DB_OUT_BYPASS_7 => PINS_DB_OUT_BYPASS_7,
-         PINS_DB_OUT_BYPASS_8 => PINS_DB_OUT_BYPASS_8,
-         PINS_DB_OUT_BYPASS_9 => PINS_DB_OUT_BYPASS_9,
-         PINS_DB_OUT_BYPASS_10 => PINS_DB_OUT_BYPASS_10,
-         PINS_DB_OUT_BYPASS_11 => PINS_DB_OUT_BYPASS_11,
-         PINS_DB_OUT_BYPASS_12 => PINS_DB_OUT_BYPASS_12,
-         PINS_DB_OUT_BYPASS_AUTOGEN_WCNT => PINS_DB_OUT_BYPASS_AUTOGEN_WCNT,
-         PINS_DB_OE_BYPASS_0 => PINS_DB_OE_BYPASS_0,
-         PINS_DB_OE_BYPASS_1 => PINS_DB_OE_BYPASS_1,
-         PINS_DB_OE_BYPASS_2 => PINS_DB_OE_BYPASS_2,
-         PINS_DB_OE_BYPASS_3 => PINS_DB_OE_BYPASS_3,
-         PINS_DB_OE_BYPASS_4 => PINS_DB_OE_BYPASS_4,
-         PINS_DB_OE_BYPASS_5 => PINS_DB_OE_BYPASS_5,
-         PINS_DB_OE_BYPASS_6 => PINS_DB_OE_BYPASS_6,
-         PINS_DB_OE_BYPASS_7 => PINS_DB_OE_BYPASS_7,
-         PINS_DB_OE_BYPASS_8 => PINS_DB_OE_BYPASS_8,
-         PINS_DB_OE_BYPASS_9 => PINS_DB_OE_BYPASS_9,
-         PINS_DB_OE_BYPASS_10 => PINS_DB_OE_BYPASS_10,
-         PINS_DB_OE_BYPASS_11 => PINS_DB_OE_BYPASS_11,
-         PINS_DB_OE_BYPASS_12 => PINS_DB_OE_BYPASS_12,
-         PINS_DB_OE_BYPASS_AUTOGEN_WCNT => PINS_DB_OE_BYPASS_AUTOGEN_WCNT,
-         PINS_INVERT_WR_0 => PINS_INVERT_WR_0,
-         PINS_INVERT_WR_1 => PINS_INVERT_WR_1,
-         PINS_INVERT_WR_2 => PINS_INVERT_WR_2,
-         PINS_INVERT_WR_3 => PINS_INVERT_WR_3,
-         PINS_INVERT_WR_4 => PINS_INVERT_WR_4,
-         PINS_INVERT_WR_5 => PINS_INVERT_WR_5,
-         PINS_INVERT_WR_6 => PINS_INVERT_WR_6,
-         PINS_INVERT_WR_7 => PINS_INVERT_WR_7,
-         PINS_INVERT_WR_8 => PINS_INVERT_WR_8,
-         PINS_INVERT_WR_9 => PINS_INVERT_WR_9,
-         PINS_INVERT_WR_10 => PINS_INVERT_WR_10,
-         PINS_INVERT_WR_11 => PINS_INVERT_WR_11,
-         PINS_INVERT_WR_12 => PINS_INVERT_WR_12,
-         PINS_INVERT_WR_AUTOGEN_WCNT => PINS_INVERT_WR_AUTOGEN_WCNT,
-         PINS_INVERT_OE_0 => PINS_INVERT_OE_0,
-         PINS_INVERT_OE_1 => PINS_INVERT_OE_1,
-         PINS_INVERT_OE_2 => PINS_INVERT_OE_2,
-         PINS_INVERT_OE_3 => PINS_INVERT_OE_3,
-         PINS_INVERT_OE_4 => PINS_INVERT_OE_4,
-         PINS_INVERT_OE_5 => PINS_INVERT_OE_5,
-         PINS_INVERT_OE_6 => PINS_INVERT_OE_6,
-         PINS_INVERT_OE_7 => PINS_INVERT_OE_7,
-         PINS_INVERT_OE_8 => PINS_INVERT_OE_8,
-         PINS_INVERT_OE_9 => PINS_INVERT_OE_9,
-         PINS_INVERT_OE_10 => PINS_INVERT_OE_10,
-         PINS_INVERT_OE_11 => PINS_INVERT_OE_11,
-         PINS_INVERT_OE_12 => PINS_INVERT_OE_12,
-         PINS_INVERT_OE_AUTOGEN_WCNT => PINS_INVERT_OE_AUTOGEN_WCNT,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_0 => PINS_AC_HMC_DATA_OVERRIDE_ENA_0,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_1 => PINS_AC_HMC_DATA_OVERRIDE_ENA_1,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_2 => PINS_AC_HMC_DATA_OVERRIDE_ENA_2,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_3 => PINS_AC_HMC_DATA_OVERRIDE_ENA_3,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_4 => PINS_AC_HMC_DATA_OVERRIDE_ENA_4,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_5 => PINS_AC_HMC_DATA_OVERRIDE_ENA_5,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_6 => PINS_AC_HMC_DATA_OVERRIDE_ENA_6,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_7 => PINS_AC_HMC_DATA_OVERRIDE_ENA_7,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_8 => PINS_AC_HMC_DATA_OVERRIDE_ENA_8,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_9 => PINS_AC_HMC_DATA_OVERRIDE_ENA_9,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_10 => PINS_AC_HMC_DATA_OVERRIDE_ENA_10,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_11 => PINS_AC_HMC_DATA_OVERRIDE_ENA_11,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_12 => PINS_AC_HMC_DATA_OVERRIDE_ENA_12,
-         PINS_AC_HMC_DATA_OVERRIDE_ENA_AUTOGEN_WCNT => PINS_AC_HMC_DATA_OVERRIDE_ENA_AUTOGEN_WCNT,
-         PINS_OCT_MODE_0 => PINS_OCT_MODE_0,
-         PINS_OCT_MODE_1 => PINS_OCT_MODE_1,
-         PINS_OCT_MODE_2 => PINS_OCT_MODE_2,
-         PINS_OCT_MODE_3 => PINS_OCT_MODE_3,
-         PINS_OCT_MODE_4 => PINS_OCT_MODE_4,
-         PINS_OCT_MODE_5 => PINS_OCT_MODE_5,
-         PINS_OCT_MODE_6 => PINS_OCT_MODE_6,
-         PINS_OCT_MODE_7 => PINS_OCT_MODE_7,
-         PINS_OCT_MODE_8 => PINS_OCT_MODE_8,
-         PINS_OCT_MODE_9 => PINS_OCT_MODE_9,
-         PINS_OCT_MODE_10 => PINS_OCT_MODE_10,
-         PINS_OCT_MODE_11 => PINS_OCT_MODE_11,
-         PINS_OCT_MODE_12 => PINS_OCT_MODE_12,
-         PINS_OCT_MODE_AUTOGEN_WCNT => PINS_OCT_MODE_AUTOGEN_WCNT,
-         PINS_GPIO_MODE_0 => PINS_GPIO_MODE_0,
-         PINS_GPIO_MODE_1 => PINS_GPIO_MODE_1,
-         PINS_GPIO_MODE_2 => PINS_GPIO_MODE_2,
-         PINS_GPIO_MODE_3 => PINS_GPIO_MODE_3,
-         PINS_GPIO_MODE_4 => PINS_GPIO_MODE_4,
-         PINS_GPIO_MODE_5 => PINS_GPIO_MODE_5,
-         PINS_GPIO_MODE_6 => PINS_GPIO_MODE_6,
-         PINS_GPIO_MODE_7 => PINS_GPIO_MODE_7,
-         PINS_GPIO_MODE_8 => PINS_GPIO_MODE_8,
-         PINS_GPIO_MODE_9 => PINS_GPIO_MODE_9,
-         PINS_GPIO_MODE_10 => PINS_GPIO_MODE_10,
-         PINS_GPIO_MODE_11 => PINS_GPIO_MODE_11,
-         PINS_GPIO_MODE_12 => PINS_GPIO_MODE_12,
-         PINS_GPIO_MODE_AUTOGEN_WCNT => PINS_GPIO_MODE_AUTOGEN_WCNT,
-         UNUSED_MEM_PINS_PINLOC_0 => UNUSED_MEM_PINS_PINLOC_0,
-         UNUSED_MEM_PINS_PINLOC_1 => UNUSED_MEM_PINS_PINLOC_1,
-         UNUSED_MEM_PINS_PINLOC_2 => UNUSED_MEM_PINS_PINLOC_2,
-         UNUSED_MEM_PINS_PINLOC_3 => UNUSED_MEM_PINS_PINLOC_3,
-         UNUSED_MEM_PINS_PINLOC_4 => UNUSED_MEM_PINS_PINLOC_4,
-         UNUSED_MEM_PINS_PINLOC_5 => UNUSED_MEM_PINS_PINLOC_5,
-         UNUSED_MEM_PINS_PINLOC_6 => UNUSED_MEM_PINS_PINLOC_6,
-         UNUSED_MEM_PINS_PINLOC_7 => UNUSED_MEM_PINS_PINLOC_7,
-         UNUSED_MEM_PINS_PINLOC_8 => UNUSED_MEM_PINS_PINLOC_8,
-         UNUSED_MEM_PINS_PINLOC_9 => UNUSED_MEM_PINS_PINLOC_9,
-         UNUSED_MEM_PINS_PINLOC_10 => UNUSED_MEM_PINS_PINLOC_10,
-         UNUSED_MEM_PINS_PINLOC_11 => UNUSED_MEM_PINS_PINLOC_11,
-         UNUSED_MEM_PINS_PINLOC_12 => UNUSED_MEM_PINS_PINLOC_12,
-         UNUSED_MEM_PINS_PINLOC_13 => UNUSED_MEM_PINS_PINLOC_13,
-         UNUSED_MEM_PINS_PINLOC_14 => UNUSED_MEM_PINS_PINLOC_14,
-         UNUSED_MEM_PINS_PINLOC_15 => UNUSED_MEM_PINS_PINLOC_15,
-         UNUSED_MEM_PINS_PINLOC_16 => UNUSED_MEM_PINS_PINLOC_16,
-         UNUSED_MEM_PINS_PINLOC_17 => UNUSED_MEM_PINS_PINLOC_17,
-         UNUSED_MEM_PINS_PINLOC_18 => UNUSED_MEM_PINS_PINLOC_18,
-         UNUSED_MEM_PINS_PINLOC_19 => UNUSED_MEM_PINS_PINLOC_19,
-         UNUSED_MEM_PINS_PINLOC_20 => UNUSED_MEM_PINS_PINLOC_20,
-         UNUSED_MEM_PINS_PINLOC_21 => UNUSED_MEM_PINS_PINLOC_21,
-         UNUSED_MEM_PINS_PINLOC_22 => UNUSED_MEM_PINS_PINLOC_22,
-         UNUSED_MEM_PINS_PINLOC_23 => UNUSED_MEM_PINS_PINLOC_23,
-         UNUSED_MEM_PINS_PINLOC_24 => UNUSED_MEM_PINS_PINLOC_24,
-         UNUSED_MEM_PINS_PINLOC_25 => UNUSED_MEM_PINS_PINLOC_25,
-         UNUSED_MEM_PINS_PINLOC_26 => UNUSED_MEM_PINS_PINLOC_26,
-         UNUSED_MEM_PINS_PINLOC_27 => UNUSED_MEM_PINS_PINLOC_27,
-         UNUSED_MEM_PINS_PINLOC_28 => UNUSED_MEM_PINS_PINLOC_28,
-         UNUSED_MEM_PINS_PINLOC_29 => UNUSED_MEM_PINS_PINLOC_29,
-         UNUSED_MEM_PINS_PINLOC_30 => UNUSED_MEM_PINS_PINLOC_30,
-         UNUSED_MEM_PINS_PINLOC_31 => UNUSED_MEM_PINS_PINLOC_31,
-         UNUSED_MEM_PINS_PINLOC_32 => UNUSED_MEM_PINS_PINLOC_32,
-         UNUSED_MEM_PINS_PINLOC_33 => UNUSED_MEM_PINS_PINLOC_33,
-         UNUSED_MEM_PINS_PINLOC_34 => UNUSED_MEM_PINS_PINLOC_34,
-         UNUSED_MEM_PINS_PINLOC_35 => UNUSED_MEM_PINS_PINLOC_35,
-         UNUSED_MEM_PINS_PINLOC_36 => UNUSED_MEM_PINS_PINLOC_36,
-         UNUSED_MEM_PINS_PINLOC_37 => UNUSED_MEM_PINS_PINLOC_37,
-         UNUSED_MEM_PINS_PINLOC_38 => UNUSED_MEM_PINS_PINLOC_38,
-         UNUSED_MEM_PINS_PINLOC_39 => UNUSED_MEM_PINS_PINLOC_39,
-         UNUSED_MEM_PINS_PINLOC_40 => UNUSED_MEM_PINS_PINLOC_40,
-         UNUSED_MEM_PINS_PINLOC_41 => UNUSED_MEM_PINS_PINLOC_41,
-         UNUSED_MEM_PINS_PINLOC_42 => UNUSED_MEM_PINS_PINLOC_42,
-         UNUSED_MEM_PINS_PINLOC_43 => UNUSED_MEM_PINS_PINLOC_43,
-         UNUSED_MEM_PINS_PINLOC_44 => UNUSED_MEM_PINS_PINLOC_44,
-         UNUSED_MEM_PINS_PINLOC_45 => UNUSED_MEM_PINS_PINLOC_45,
-         UNUSED_MEM_PINS_PINLOC_46 => UNUSED_MEM_PINS_PINLOC_46,
-         UNUSED_MEM_PINS_PINLOC_47 => UNUSED_MEM_PINS_PINLOC_47,
-         UNUSED_MEM_PINS_PINLOC_48 => UNUSED_MEM_PINS_PINLOC_48,
-         UNUSED_MEM_PINS_PINLOC_49 => UNUSED_MEM_PINS_PINLOC_49,
-         UNUSED_MEM_PINS_PINLOC_50 => UNUSED_MEM_PINS_PINLOC_50,
-         UNUSED_MEM_PINS_PINLOC_51 => UNUSED_MEM_PINS_PINLOC_51,
-         UNUSED_MEM_PINS_PINLOC_52 => UNUSED_MEM_PINS_PINLOC_52,
-         UNUSED_MEM_PINS_PINLOC_53 => UNUSED_MEM_PINS_PINLOC_53,
-         UNUSED_MEM_PINS_PINLOC_54 => UNUSED_MEM_PINS_PINLOC_54,
-         UNUSED_MEM_PINS_PINLOC_55 => UNUSED_MEM_PINS_PINLOC_55,
-         UNUSED_MEM_PINS_PINLOC_56 => UNUSED_MEM_PINS_PINLOC_56,
-         UNUSED_MEM_PINS_PINLOC_57 => UNUSED_MEM_PINS_PINLOC_57,
-         UNUSED_MEM_PINS_PINLOC_58 => UNUSED_MEM_PINS_PINLOC_58,
-         UNUSED_MEM_PINS_PINLOC_59 => UNUSED_MEM_PINS_PINLOC_59,
-         UNUSED_MEM_PINS_PINLOC_60 => UNUSED_MEM_PINS_PINLOC_60,
-         UNUSED_MEM_PINS_PINLOC_61 => UNUSED_MEM_PINS_PINLOC_61,
-         UNUSED_MEM_PINS_PINLOC_62 => UNUSED_MEM_PINS_PINLOC_62,
-         UNUSED_MEM_PINS_PINLOC_63 => UNUSED_MEM_PINS_PINLOC_63,
-         UNUSED_MEM_PINS_PINLOC_64 => UNUSED_MEM_PINS_PINLOC_64,
-         UNUSED_MEM_PINS_PINLOC_65 => UNUSED_MEM_PINS_PINLOC_65,
-         UNUSED_MEM_PINS_PINLOC_66 => UNUSED_MEM_PINS_PINLOC_66,
-         UNUSED_MEM_PINS_PINLOC_67 => UNUSED_MEM_PINS_PINLOC_67,
-         UNUSED_MEM_PINS_PINLOC_68 => UNUSED_MEM_PINS_PINLOC_68,
-         UNUSED_MEM_PINS_PINLOC_69 => UNUSED_MEM_PINS_PINLOC_69,
-         UNUSED_MEM_PINS_PINLOC_70 => UNUSED_MEM_PINS_PINLOC_70,
-         UNUSED_MEM_PINS_PINLOC_71 => UNUSED_MEM_PINS_PINLOC_71,
-         UNUSED_MEM_PINS_PINLOC_72 => UNUSED_MEM_PINS_PINLOC_72,
-         UNUSED_MEM_PINS_PINLOC_73 => UNUSED_MEM_PINS_PINLOC_73,
-         UNUSED_MEM_PINS_PINLOC_74 => UNUSED_MEM_PINS_PINLOC_74,
-         UNUSED_MEM_PINS_PINLOC_75 => UNUSED_MEM_PINS_PINLOC_75,
-         UNUSED_MEM_PINS_PINLOC_76 => UNUSED_MEM_PINS_PINLOC_76,
-         UNUSED_MEM_PINS_PINLOC_77 => UNUSED_MEM_PINS_PINLOC_77,
-         UNUSED_MEM_PINS_PINLOC_78 => UNUSED_MEM_PINS_PINLOC_78,
-         UNUSED_MEM_PINS_PINLOC_79 => UNUSED_MEM_PINS_PINLOC_79,
-         UNUSED_MEM_PINS_PINLOC_80 => UNUSED_MEM_PINS_PINLOC_80,
-         UNUSED_MEM_PINS_PINLOC_81 => UNUSED_MEM_PINS_PINLOC_81,
-         UNUSED_MEM_PINS_PINLOC_82 => UNUSED_MEM_PINS_PINLOC_82,
-         UNUSED_MEM_PINS_PINLOC_83 => UNUSED_MEM_PINS_PINLOC_83,
-         UNUSED_MEM_PINS_PINLOC_84 => UNUSED_MEM_PINS_PINLOC_84,
-         UNUSED_MEM_PINS_PINLOC_85 => UNUSED_MEM_PINS_PINLOC_85,
-         UNUSED_MEM_PINS_PINLOC_86 => UNUSED_MEM_PINS_PINLOC_86,
-         UNUSED_MEM_PINS_PINLOC_87 => UNUSED_MEM_PINS_PINLOC_87,
-         UNUSED_MEM_PINS_PINLOC_88 => UNUSED_MEM_PINS_PINLOC_88,
-         UNUSED_MEM_PINS_PINLOC_89 => UNUSED_MEM_PINS_PINLOC_89,
-         UNUSED_MEM_PINS_PINLOC_90 => UNUSED_MEM_PINS_PINLOC_90,
-         UNUSED_MEM_PINS_PINLOC_91 => UNUSED_MEM_PINS_PINLOC_91,
-         UNUSED_MEM_PINS_PINLOC_92 => UNUSED_MEM_PINS_PINLOC_92,
-         UNUSED_MEM_PINS_PINLOC_93 => UNUSED_MEM_PINS_PINLOC_93,
-         UNUSED_MEM_PINS_PINLOC_94 => UNUSED_MEM_PINS_PINLOC_94,
-         UNUSED_MEM_PINS_PINLOC_95 => UNUSED_MEM_PINS_PINLOC_95,
-         UNUSED_MEM_PINS_PINLOC_96 => UNUSED_MEM_PINS_PINLOC_96,
-         UNUSED_MEM_PINS_PINLOC_97 => UNUSED_MEM_PINS_PINLOC_97,
-         UNUSED_MEM_PINS_PINLOC_98 => UNUSED_MEM_PINS_PINLOC_98,
-         UNUSED_MEM_PINS_PINLOC_99 => UNUSED_MEM_PINS_PINLOC_99,
-         UNUSED_MEM_PINS_PINLOC_100 => UNUSED_MEM_PINS_PINLOC_100,
-         UNUSED_MEM_PINS_PINLOC_101 => UNUSED_MEM_PINS_PINLOC_101,
-         UNUSED_MEM_PINS_PINLOC_102 => UNUSED_MEM_PINS_PINLOC_102,
-         UNUSED_MEM_PINS_PINLOC_103 => UNUSED_MEM_PINS_PINLOC_103,
-         UNUSED_MEM_PINS_PINLOC_104 => UNUSED_MEM_PINS_PINLOC_104,
-         UNUSED_MEM_PINS_PINLOC_105 => UNUSED_MEM_PINS_PINLOC_105,
-         UNUSED_MEM_PINS_PINLOC_106 => UNUSED_MEM_PINS_PINLOC_106,
-         UNUSED_MEM_PINS_PINLOC_107 => UNUSED_MEM_PINS_PINLOC_107,
-         UNUSED_MEM_PINS_PINLOC_108 => UNUSED_MEM_PINS_PINLOC_108,
-         UNUSED_MEM_PINS_PINLOC_109 => UNUSED_MEM_PINS_PINLOC_109,
-         UNUSED_MEM_PINS_PINLOC_110 => UNUSED_MEM_PINS_PINLOC_110,
-         UNUSED_MEM_PINS_PINLOC_111 => UNUSED_MEM_PINS_PINLOC_111,
-         UNUSED_MEM_PINS_PINLOC_112 => UNUSED_MEM_PINS_PINLOC_112,
-         UNUSED_MEM_PINS_PINLOC_113 => UNUSED_MEM_PINS_PINLOC_113,
-         UNUSED_MEM_PINS_PINLOC_114 => UNUSED_MEM_PINS_PINLOC_114,
-         UNUSED_MEM_PINS_PINLOC_115 => UNUSED_MEM_PINS_PINLOC_115,
-         UNUSED_MEM_PINS_PINLOC_116 => UNUSED_MEM_PINS_PINLOC_116,
-         UNUSED_MEM_PINS_PINLOC_117 => UNUSED_MEM_PINS_PINLOC_117,
-         UNUSED_MEM_PINS_PINLOC_118 => UNUSED_MEM_PINS_PINLOC_118,
-         UNUSED_MEM_PINS_PINLOC_119 => UNUSED_MEM_PINS_PINLOC_119,
-         UNUSED_MEM_PINS_PINLOC_120 => UNUSED_MEM_PINS_PINLOC_120,
-         UNUSED_MEM_PINS_PINLOC_121 => UNUSED_MEM_PINS_PINLOC_121,
-         UNUSED_MEM_PINS_PINLOC_122 => UNUSED_MEM_PINS_PINLOC_122,
-         UNUSED_MEM_PINS_PINLOC_123 => UNUSED_MEM_PINS_PINLOC_123,
-         UNUSED_MEM_PINS_PINLOC_124 => UNUSED_MEM_PINS_PINLOC_124,
-         UNUSED_MEM_PINS_PINLOC_125 => UNUSED_MEM_PINS_PINLOC_125,
-         UNUSED_MEM_PINS_PINLOC_126 => UNUSED_MEM_PINS_PINLOC_126,
-         UNUSED_MEM_PINS_PINLOC_127 => UNUSED_MEM_PINS_PINLOC_127,
-         UNUSED_MEM_PINS_PINLOC_128 => UNUSED_MEM_PINS_PINLOC_128,
-         UNUSED_MEM_PINS_PINLOC_AUTOGEN_WCNT => UNUSED_MEM_PINS_PINLOC_AUTOGEN_WCNT,
-         UNUSED_DQS_BUSES_LANELOC_0 => UNUSED_DQS_BUSES_LANELOC_0,
-         UNUSED_DQS_BUSES_LANELOC_1 => UNUSED_DQS_BUSES_LANELOC_1,
-         UNUSED_DQS_BUSES_LANELOC_2 => UNUSED_DQS_BUSES_LANELOC_2,
-         UNUSED_DQS_BUSES_LANELOC_3 => UNUSED_DQS_BUSES_LANELOC_3,
-         UNUSED_DQS_BUSES_LANELOC_4 => UNUSED_DQS_BUSES_LANELOC_4,
-         UNUSED_DQS_BUSES_LANELOC_5 => UNUSED_DQS_BUSES_LANELOC_5,
-         UNUSED_DQS_BUSES_LANELOC_6 => UNUSED_DQS_BUSES_LANELOC_6,
-         UNUSED_DQS_BUSES_LANELOC_7 => UNUSED_DQS_BUSES_LANELOC_7,
-         UNUSED_DQS_BUSES_LANELOC_8 => UNUSED_DQS_BUSES_LANELOC_8,
-         UNUSED_DQS_BUSES_LANELOC_9 => UNUSED_DQS_BUSES_LANELOC_9,
-         UNUSED_DQS_BUSES_LANELOC_10 => UNUSED_DQS_BUSES_LANELOC_10,
-         UNUSED_DQS_BUSES_LANELOC_AUTOGEN_WCNT => UNUSED_DQS_BUSES_LANELOC_AUTOGEN_WCNT,
-         CENTER_TIDS_0 => CENTER_TIDS_0,
-         CENTER_TIDS_1 => CENTER_TIDS_1,
-         CENTER_TIDS_2 => CENTER_TIDS_2,
-         CENTER_TIDS_AUTOGEN_WCNT => CENTER_TIDS_AUTOGEN_WCNT,
-         HMC_TIDS_0 => HMC_TIDS_0,
-         HMC_TIDS_1 => HMC_TIDS_1,
-         HMC_TIDS_2 => HMC_TIDS_2,
-         HMC_TIDS_AUTOGEN_WCNT => HMC_TIDS_AUTOGEN_WCNT,
-         LANE_TIDS_0 => LANE_TIDS_0,
-         LANE_TIDS_1 => LANE_TIDS_1,
-         LANE_TIDS_2 => LANE_TIDS_2,
-         LANE_TIDS_3 => LANE_TIDS_3,
-         LANE_TIDS_4 => LANE_TIDS_4,
-         LANE_TIDS_5 => LANE_TIDS_5,
-         LANE_TIDS_6 => LANE_TIDS_6,
-         LANE_TIDS_7 => LANE_TIDS_7,
-         LANE_TIDS_8 => LANE_TIDS_8,
-         LANE_TIDS_9 => LANE_TIDS_9,
-         LANE_TIDS_AUTOGEN_WCNT => LANE_TIDS_AUTOGEN_WCNT,
-         PREAMBLE_MODE => PREAMBLE_MODE,
-         DBI_WR_ENABLE => DBI_WR_ENABLE,
-         DBI_RD_ENABLE => DBI_RD_ENABLE,
-         CRC_EN => CRC_EN,
-         SWAP_DQS_A_B => SWAP_DQS_A_B,
-         DQS_PACK_MODE => DQS_PACK_MODE,
-         OCT_SIZE => OCT_SIZE,
-         DBC_WB_RESERVED_ENTRY => DBC_WB_RESERVED_ENTRY,
-         DLL_MODE => DLL_MODE,
-         DLL_CODEWORD => DLL_CODEWORD,
-         ABPHY_WRITE_PROTOCOL => ABPHY_WRITE_PROTOCOL,
-         PHY_USERMODE_OCT => PHY_USERMODE_OCT,
-         PHY_PERIODIC_OCT_RECAL => PHY_PERIODIC_OCT_RECAL,
-         PHY_HAS_DCC => PHY_HAS_DCC,
-         PRI_HMC_CFG_ENABLE_ECC => PRI_HMC_CFG_ENABLE_ECC,
-         PRI_HMC_CFG_REORDER_DATA => PRI_HMC_CFG_REORDER_DATA,
-         PRI_HMC_CFG_REORDER_READ => PRI_HMC_CFG_REORDER_READ,
-         PRI_HMC_CFG_REORDER_RDATA => PRI_HMC_CFG_REORDER_RDATA,
-         PRI_HMC_CFG_STARVE_LIMIT => PRI_HMC_CFG_STARVE_LIMIT,
-         PRI_HMC_CFG_DQS_TRACKING_EN => PRI_HMC_CFG_DQS_TRACKING_EN,
-         PRI_HMC_CFG_ARBITER_TYPE => PRI_HMC_CFG_ARBITER_TYPE,
-         PRI_HMC_CFG_OPEN_PAGE_EN => PRI_HMC_CFG_OPEN_PAGE_EN,
-         PRI_HMC_CFG_GEAR_DOWN_EN => PRI_HMC_CFG_GEAR_DOWN_EN,
-         PRI_HMC_CFG_RLD3_MULTIBANK_MODE => PRI_HMC_CFG_RLD3_MULTIBANK_MODE,
-         PRI_HMC_CFG_PING_PONG_MODE => PRI_HMC_CFG_PING_PONG_MODE,
-         PRI_HMC_CFG_SLOT_ROTATE_EN => PRI_HMC_CFG_SLOT_ROTATE_EN,
-         PRI_HMC_CFG_SLOT_OFFSET => PRI_HMC_CFG_SLOT_OFFSET,
-         PRI_HMC_CFG_COL_CMD_SLOT => PRI_HMC_CFG_COL_CMD_SLOT,
-         PRI_HMC_CFG_ROW_CMD_SLOT => PRI_HMC_CFG_ROW_CMD_SLOT,
-         PRI_HMC_CFG_ENABLE_RC => PRI_HMC_CFG_ENABLE_RC,
-         PRI_HMC_CFG_CS_TO_CHIP_MAPPING => PRI_HMC_CFG_CS_TO_CHIP_MAPPING,
-         PRI_HMC_CFG_RB_RESERVED_ENTRY => PRI_HMC_CFG_RB_RESERVED_ENTRY,
-         PRI_HMC_CFG_WB_RESERVED_ENTRY => PRI_HMC_CFG_WB_RESERVED_ENTRY,
-         PRI_HMC_CFG_TCL => PRI_HMC_CFG_TCL,
-         PRI_HMC_CFG_POWER_SAVING_EXIT_CYC => PRI_HMC_CFG_POWER_SAVING_EXIT_CYC,
-         PRI_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC => PRI_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC,
-         PRI_HMC_CFG_WRITE_ODT_CHIP => PRI_HMC_CFG_WRITE_ODT_CHIP,
-         PRI_HMC_CFG_READ_ODT_CHIP => PRI_HMC_CFG_READ_ODT_CHIP,
-         PRI_HMC_CFG_WR_ODT_ON => PRI_HMC_CFG_WR_ODT_ON,
-         PRI_HMC_CFG_RD_ODT_ON => PRI_HMC_CFG_RD_ODT_ON,
-         PRI_HMC_CFG_WR_ODT_PERIOD => PRI_HMC_CFG_WR_ODT_PERIOD,
-         PRI_HMC_CFG_RD_ODT_PERIOD => PRI_HMC_CFG_RD_ODT_PERIOD,
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ0 => PRI_HMC_CFG_RLD3_REFRESH_SEQ0,
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ1 => PRI_HMC_CFG_RLD3_REFRESH_SEQ1,
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ2 => PRI_HMC_CFG_RLD3_REFRESH_SEQ2,
-         PRI_HMC_CFG_RLD3_REFRESH_SEQ3 => PRI_HMC_CFG_RLD3_REFRESH_SEQ3,
-         PRI_HMC_CFG_SRF_ZQCAL_DISABLE => PRI_HMC_CFG_SRF_ZQCAL_DISABLE,
-         PRI_HMC_CFG_MPS_ZQCAL_DISABLE => PRI_HMC_CFG_MPS_ZQCAL_DISABLE,
-         PRI_HMC_CFG_MPS_DQSTRK_DISABLE => PRI_HMC_CFG_MPS_DQSTRK_DISABLE,
-         PRI_HMC_CFG_SHORT_DQSTRK_CTRL_EN => PRI_HMC_CFG_SHORT_DQSTRK_CTRL_EN,
-         PRI_HMC_CFG_PERIOD_DQSTRK_CTRL_EN => PRI_HMC_CFG_PERIOD_DQSTRK_CTRL_EN,
-         PRI_HMC_CFG_PERIOD_DQSTRK_INTERVAL => PRI_HMC_CFG_PERIOD_DQSTRK_INTERVAL,
-         PRI_HMC_CFG_DQSTRK_TO_VALID_LAST => PRI_HMC_CFG_DQSTRK_TO_VALID_LAST,
-         PRI_HMC_CFG_DQSTRK_TO_VALID => PRI_HMC_CFG_DQSTRK_TO_VALID,
-         PRI_HMC_CFG_RFSH_WARN_THRESHOLD => PRI_HMC_CFG_RFSH_WARN_THRESHOLD,
-         PRI_HMC_CFG_SB_CG_DISABLE => PRI_HMC_CFG_SB_CG_DISABLE,
-         PRI_HMC_CFG_USER_RFSH_EN => PRI_HMC_CFG_USER_RFSH_EN,
-         PRI_HMC_CFG_SRF_AUTOEXIT_EN => PRI_HMC_CFG_SRF_AUTOEXIT_EN,
-         PRI_HMC_CFG_SRF_ENTRY_EXIT_BLOCK => PRI_HMC_CFG_SRF_ENTRY_EXIT_BLOCK,
-         PRI_HMC_CFG_SB_DDR4_MR3 => PRI_HMC_CFG_SB_DDR4_MR3,
-         PRI_HMC_CFG_SB_DDR4_MR4 => PRI_HMC_CFG_SB_DDR4_MR4,
-         PRI_HMC_CFG_SB_DDR4_MR5 => PRI_HMC_CFG_SB_DDR4_MR5,
-         PRI_HMC_CFG_DDR4_MPS_ADDR_MIRROR => PRI_HMC_CFG_DDR4_MPS_ADDR_MIRROR,
-         PRI_HMC_CFG_MEM_IF_COLADDR_WIDTH => PRI_HMC_CFG_MEM_IF_COLADDR_WIDTH,
-         PRI_HMC_CFG_MEM_IF_ROWADDR_WIDTH => PRI_HMC_CFG_MEM_IF_ROWADDR_WIDTH,
-         PRI_HMC_CFG_MEM_IF_BANKADDR_WIDTH => PRI_HMC_CFG_MEM_IF_BANKADDR_WIDTH,
-         PRI_HMC_CFG_MEM_IF_BGADDR_WIDTH => PRI_HMC_CFG_MEM_IF_BGADDR_WIDTH,
-         PRI_HMC_CFG_LOCAL_IF_CS_WIDTH => PRI_HMC_CFG_LOCAL_IF_CS_WIDTH,
-         PRI_HMC_CFG_ADDR_ORDER => PRI_HMC_CFG_ADDR_ORDER,
-         PRI_HMC_CFG_ACT_TO_RDWR => PRI_HMC_CFG_ACT_TO_RDWR,
-         PRI_HMC_CFG_ACT_TO_PCH => PRI_HMC_CFG_ACT_TO_PCH,
-         PRI_HMC_CFG_ACT_TO_ACT => PRI_HMC_CFG_ACT_TO_ACT,
-         PRI_HMC_CFG_ACT_TO_ACT_DIFF_BANK => PRI_HMC_CFG_ACT_TO_ACT_DIFF_BANK,
-         PRI_HMC_CFG_ACT_TO_ACT_DIFF_BG => PRI_HMC_CFG_ACT_TO_ACT_DIFF_BG,
-         PRI_HMC_CFG_RD_TO_RD => PRI_HMC_CFG_RD_TO_RD,
-         PRI_HMC_CFG_RD_TO_RD_DIFF_CHIP => PRI_HMC_CFG_RD_TO_RD_DIFF_CHIP,
-         PRI_HMC_CFG_RD_TO_RD_DIFF_BG => PRI_HMC_CFG_RD_TO_RD_DIFF_BG,
-         PRI_HMC_CFG_RD_TO_WR => PRI_HMC_CFG_RD_TO_WR,
-         PRI_HMC_CFG_RD_TO_WR_DIFF_CHIP => PRI_HMC_CFG_RD_TO_WR_DIFF_CHIP,
-         PRI_HMC_CFG_RD_TO_WR_DIFF_BG => PRI_HMC_CFG_RD_TO_WR_DIFF_BG,
-         PRI_HMC_CFG_RD_TO_PCH => PRI_HMC_CFG_RD_TO_PCH,
-         PRI_HMC_CFG_RD_AP_TO_VALID => PRI_HMC_CFG_RD_AP_TO_VALID,
-         PRI_HMC_CFG_WR_TO_WR => PRI_HMC_CFG_WR_TO_WR,
-         PRI_HMC_CFG_WR_TO_WR_DIFF_CHIP => PRI_HMC_CFG_WR_TO_WR_DIFF_CHIP,
-         PRI_HMC_CFG_WR_TO_WR_DIFF_BG => PRI_HMC_CFG_WR_TO_WR_DIFF_BG,
-         PRI_HMC_CFG_WR_TO_RD => PRI_HMC_CFG_WR_TO_RD,
-         PRI_HMC_CFG_WR_TO_RD_DIFF_CHIP => PRI_HMC_CFG_WR_TO_RD_DIFF_CHIP,
-         PRI_HMC_CFG_WR_TO_RD_DIFF_BG => PRI_HMC_CFG_WR_TO_RD_DIFF_BG,
-         PRI_HMC_CFG_WR_TO_PCH => PRI_HMC_CFG_WR_TO_PCH,
-         PRI_HMC_CFG_WR_AP_TO_VALID => PRI_HMC_CFG_WR_AP_TO_VALID,
-         PRI_HMC_CFG_PCH_TO_VALID => PRI_HMC_CFG_PCH_TO_VALID,
-         PRI_HMC_CFG_PCH_ALL_TO_VALID => PRI_HMC_CFG_PCH_ALL_TO_VALID,
-         PRI_HMC_CFG_ARF_TO_VALID => PRI_HMC_CFG_ARF_TO_VALID,
-         PRI_HMC_CFG_PDN_TO_VALID => PRI_HMC_CFG_PDN_TO_VALID,
-         PRI_HMC_CFG_SRF_TO_VALID => PRI_HMC_CFG_SRF_TO_VALID,
-         PRI_HMC_CFG_SRF_TO_ZQ_CAL => PRI_HMC_CFG_SRF_TO_ZQ_CAL,
-         PRI_HMC_CFG_ARF_PERIOD => PRI_HMC_CFG_ARF_PERIOD,
-         PRI_HMC_CFG_PDN_PERIOD => PRI_HMC_CFG_PDN_PERIOD,
-         PRI_HMC_CFG_ZQCL_TO_VALID => PRI_HMC_CFG_ZQCL_TO_VALID,
-         PRI_HMC_CFG_ZQCS_TO_VALID => PRI_HMC_CFG_ZQCS_TO_VALID,
-         PRI_HMC_CFG_MRS_TO_VALID => PRI_HMC_CFG_MRS_TO_VALID,
-         PRI_HMC_CFG_MPS_TO_VALID => PRI_HMC_CFG_MPS_TO_VALID,
-         PRI_HMC_CFG_MRR_TO_VALID => PRI_HMC_CFG_MRR_TO_VALID,
-         PRI_HMC_CFG_MPR_TO_VALID => PRI_HMC_CFG_MPR_TO_VALID,
-         PRI_HMC_CFG_MPS_EXIT_CS_TO_CKE => PRI_HMC_CFG_MPS_EXIT_CS_TO_CKE,
-         PRI_HMC_CFG_MPS_EXIT_CKE_TO_CS => PRI_HMC_CFG_MPS_EXIT_CKE_TO_CS,
-         PRI_HMC_CFG_RLD3_MULTIBANK_REF_DELAY => PRI_HMC_CFG_RLD3_MULTIBANK_REF_DELAY,
-         PRI_HMC_CFG_MMR_CMD_TO_VALID => PRI_HMC_CFG_MMR_CMD_TO_VALID,
-         PRI_HMC_CFG_4_ACT_TO_ACT => PRI_HMC_CFG_4_ACT_TO_ACT,
-         PRI_HMC_CFG_16_ACT_TO_ACT => PRI_HMC_CFG_16_ACT_TO_ACT,
-         SEC_HMC_CFG_ENABLE_ECC => SEC_HMC_CFG_ENABLE_ECC,
-         SEC_HMC_CFG_REORDER_DATA => SEC_HMC_CFG_REORDER_DATA,
-         SEC_HMC_CFG_REORDER_READ => SEC_HMC_CFG_REORDER_READ,
-         SEC_HMC_CFG_REORDER_RDATA => SEC_HMC_CFG_REORDER_RDATA,
-         SEC_HMC_CFG_STARVE_LIMIT => SEC_HMC_CFG_STARVE_LIMIT,
-         SEC_HMC_CFG_DQS_TRACKING_EN => SEC_HMC_CFG_DQS_TRACKING_EN,
-         SEC_HMC_CFG_ARBITER_TYPE => SEC_HMC_CFG_ARBITER_TYPE,
-         SEC_HMC_CFG_OPEN_PAGE_EN => SEC_HMC_CFG_OPEN_PAGE_EN,
-         SEC_HMC_CFG_GEAR_DOWN_EN => SEC_HMC_CFG_GEAR_DOWN_EN,
-         SEC_HMC_CFG_RLD3_MULTIBANK_MODE => SEC_HMC_CFG_RLD3_MULTIBANK_MODE,
-         SEC_HMC_CFG_PING_PONG_MODE => SEC_HMC_CFG_PING_PONG_MODE,
-         SEC_HMC_CFG_SLOT_ROTATE_EN => SEC_HMC_CFG_SLOT_ROTATE_EN,
-         SEC_HMC_CFG_SLOT_OFFSET => SEC_HMC_CFG_SLOT_OFFSET,
-         SEC_HMC_CFG_COL_CMD_SLOT => SEC_HMC_CFG_COL_CMD_SLOT,
-         SEC_HMC_CFG_ROW_CMD_SLOT => SEC_HMC_CFG_ROW_CMD_SLOT,
-         SEC_HMC_CFG_ENABLE_RC => SEC_HMC_CFG_ENABLE_RC,
-         SEC_HMC_CFG_CS_TO_CHIP_MAPPING => SEC_HMC_CFG_CS_TO_CHIP_MAPPING,
-         SEC_HMC_CFG_RB_RESERVED_ENTRY => SEC_HMC_CFG_RB_RESERVED_ENTRY,
-         SEC_HMC_CFG_WB_RESERVED_ENTRY => SEC_HMC_CFG_WB_RESERVED_ENTRY,
-         SEC_HMC_CFG_TCL => SEC_HMC_CFG_TCL,
-         SEC_HMC_CFG_POWER_SAVING_EXIT_CYC => SEC_HMC_CFG_POWER_SAVING_EXIT_CYC,
-         SEC_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC => SEC_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC,
-         SEC_HMC_CFG_WRITE_ODT_CHIP => SEC_HMC_CFG_WRITE_ODT_CHIP,
-         SEC_HMC_CFG_READ_ODT_CHIP => SEC_HMC_CFG_READ_ODT_CHIP,
-         SEC_HMC_CFG_WR_ODT_ON => SEC_HMC_CFG_WR_ODT_ON,
-         SEC_HMC_CFG_RD_ODT_ON => SEC_HMC_CFG_RD_ODT_ON,
-         SEC_HMC_CFG_WR_ODT_PERIOD => SEC_HMC_CFG_WR_ODT_PERIOD,
-         SEC_HMC_CFG_RD_ODT_PERIOD => SEC_HMC_CFG_RD_ODT_PERIOD,
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ0 => SEC_HMC_CFG_RLD3_REFRESH_SEQ0,
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ1 => SEC_HMC_CFG_RLD3_REFRESH_SEQ1,
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ2 => SEC_HMC_CFG_RLD3_REFRESH_SEQ2,
-         SEC_HMC_CFG_RLD3_REFRESH_SEQ3 => SEC_HMC_CFG_RLD3_REFRESH_SEQ3,
-         SEC_HMC_CFG_SRF_ZQCAL_DISABLE => SEC_HMC_CFG_SRF_ZQCAL_DISABLE,
-         SEC_HMC_CFG_MPS_ZQCAL_DISABLE => SEC_HMC_CFG_MPS_ZQCAL_DISABLE,
-         SEC_HMC_CFG_MPS_DQSTRK_DISABLE => SEC_HMC_CFG_MPS_DQSTRK_DISABLE,
-         SEC_HMC_CFG_SHORT_DQSTRK_CTRL_EN => SEC_HMC_CFG_SHORT_DQSTRK_CTRL_EN,
-         SEC_HMC_CFG_PERIOD_DQSTRK_CTRL_EN => SEC_HMC_CFG_PERIOD_DQSTRK_CTRL_EN,
-         SEC_HMC_CFG_PERIOD_DQSTRK_INTERVAL => SEC_HMC_CFG_PERIOD_DQSTRK_INTERVAL,
-         SEC_HMC_CFG_DQSTRK_TO_VALID_LAST => SEC_HMC_CFG_DQSTRK_TO_VALID_LAST,
-         SEC_HMC_CFG_DQSTRK_TO_VALID => SEC_HMC_CFG_DQSTRK_TO_VALID,
-         SEC_HMC_CFG_RFSH_WARN_THRESHOLD => SEC_HMC_CFG_RFSH_WARN_THRESHOLD,
-         SEC_HMC_CFG_SB_CG_DISABLE => SEC_HMC_CFG_SB_CG_DISABLE,
-         SEC_HMC_CFG_USER_RFSH_EN => SEC_HMC_CFG_USER_RFSH_EN,
-         SEC_HMC_CFG_SRF_AUTOEXIT_EN => SEC_HMC_CFG_SRF_AUTOEXIT_EN,
-         SEC_HMC_CFG_SRF_ENTRY_EXIT_BLOCK => SEC_HMC_CFG_SRF_ENTRY_EXIT_BLOCK,
-         SEC_HMC_CFG_SB_DDR4_MR3 => SEC_HMC_CFG_SB_DDR4_MR3,
-         SEC_HMC_CFG_SB_DDR4_MR4 => SEC_HMC_CFG_SB_DDR4_MR4,
-         SEC_HMC_CFG_SB_DDR4_MR5 => SEC_HMC_CFG_SB_DDR4_MR5,
-         SEC_HMC_CFG_DDR4_MPS_ADDR_MIRROR => SEC_HMC_CFG_DDR4_MPS_ADDR_MIRROR,
-         SEC_HMC_CFG_MEM_IF_COLADDR_WIDTH => SEC_HMC_CFG_MEM_IF_COLADDR_WIDTH,
-         SEC_HMC_CFG_MEM_IF_ROWADDR_WIDTH => SEC_HMC_CFG_MEM_IF_ROWADDR_WIDTH,
-         SEC_HMC_CFG_MEM_IF_BANKADDR_WIDTH => SEC_HMC_CFG_MEM_IF_BANKADDR_WIDTH,
-         SEC_HMC_CFG_MEM_IF_BGADDR_WIDTH => SEC_HMC_CFG_MEM_IF_BGADDR_WIDTH,
-         SEC_HMC_CFG_LOCAL_IF_CS_WIDTH => SEC_HMC_CFG_LOCAL_IF_CS_WIDTH,
-         SEC_HMC_CFG_ADDR_ORDER => SEC_HMC_CFG_ADDR_ORDER,
-         SEC_HMC_CFG_ACT_TO_RDWR => SEC_HMC_CFG_ACT_TO_RDWR,
-         SEC_HMC_CFG_ACT_TO_PCH => SEC_HMC_CFG_ACT_TO_PCH,
-         SEC_HMC_CFG_ACT_TO_ACT => SEC_HMC_CFG_ACT_TO_ACT,
-         SEC_HMC_CFG_ACT_TO_ACT_DIFF_BANK => SEC_HMC_CFG_ACT_TO_ACT_DIFF_BANK,
-         SEC_HMC_CFG_ACT_TO_ACT_DIFF_BG => SEC_HMC_CFG_ACT_TO_ACT_DIFF_BG,
-         SEC_HMC_CFG_RD_TO_RD => SEC_HMC_CFG_RD_TO_RD,
-         SEC_HMC_CFG_RD_TO_RD_DIFF_CHIP => SEC_HMC_CFG_RD_TO_RD_DIFF_CHIP,
-         SEC_HMC_CFG_RD_TO_RD_DIFF_BG => SEC_HMC_CFG_RD_TO_RD_DIFF_BG,
-         SEC_HMC_CFG_RD_TO_WR => SEC_HMC_CFG_RD_TO_WR,
-         SEC_HMC_CFG_RD_TO_WR_DIFF_CHIP => SEC_HMC_CFG_RD_TO_WR_DIFF_CHIP,
-         SEC_HMC_CFG_RD_TO_WR_DIFF_BG => SEC_HMC_CFG_RD_TO_WR_DIFF_BG,
-         SEC_HMC_CFG_RD_TO_PCH => SEC_HMC_CFG_RD_TO_PCH,
-         SEC_HMC_CFG_RD_AP_TO_VALID => SEC_HMC_CFG_RD_AP_TO_VALID,
-         SEC_HMC_CFG_WR_TO_WR => SEC_HMC_CFG_WR_TO_WR,
-         SEC_HMC_CFG_WR_TO_WR_DIFF_CHIP => SEC_HMC_CFG_WR_TO_WR_DIFF_CHIP,
-         SEC_HMC_CFG_WR_TO_WR_DIFF_BG => SEC_HMC_CFG_WR_TO_WR_DIFF_BG,
-         SEC_HMC_CFG_WR_TO_RD => SEC_HMC_CFG_WR_TO_RD,
-         SEC_HMC_CFG_WR_TO_RD_DIFF_CHIP => SEC_HMC_CFG_WR_TO_RD_DIFF_CHIP,
-         SEC_HMC_CFG_WR_TO_RD_DIFF_BG => SEC_HMC_CFG_WR_TO_RD_DIFF_BG,
-         SEC_HMC_CFG_WR_TO_PCH => SEC_HMC_CFG_WR_TO_PCH,
-         SEC_HMC_CFG_WR_AP_TO_VALID => SEC_HMC_CFG_WR_AP_TO_VALID,
-         SEC_HMC_CFG_PCH_TO_VALID => SEC_HMC_CFG_PCH_TO_VALID,
-         SEC_HMC_CFG_PCH_ALL_TO_VALID => SEC_HMC_CFG_PCH_ALL_TO_VALID,
-         SEC_HMC_CFG_ARF_TO_VALID => SEC_HMC_CFG_ARF_TO_VALID,
-         SEC_HMC_CFG_PDN_TO_VALID => SEC_HMC_CFG_PDN_TO_VALID,
-         SEC_HMC_CFG_SRF_TO_VALID => SEC_HMC_CFG_SRF_TO_VALID,
-         SEC_HMC_CFG_SRF_TO_ZQ_CAL => SEC_HMC_CFG_SRF_TO_ZQ_CAL,
-         SEC_HMC_CFG_ARF_PERIOD => SEC_HMC_CFG_ARF_PERIOD,
-         SEC_HMC_CFG_PDN_PERIOD => SEC_HMC_CFG_PDN_PERIOD,
-         SEC_HMC_CFG_ZQCL_TO_VALID => SEC_HMC_CFG_ZQCL_TO_VALID,
-         SEC_HMC_CFG_ZQCS_TO_VALID => SEC_HMC_CFG_ZQCS_TO_VALID,
-         SEC_HMC_CFG_MRS_TO_VALID => SEC_HMC_CFG_MRS_TO_VALID,
-         SEC_HMC_CFG_MPS_TO_VALID => SEC_HMC_CFG_MPS_TO_VALID,
-         SEC_HMC_CFG_MRR_TO_VALID => SEC_HMC_CFG_MRR_TO_VALID,
-         SEC_HMC_CFG_MPR_TO_VALID => SEC_HMC_CFG_MPR_TO_VALID,
-         SEC_HMC_CFG_MPS_EXIT_CS_TO_CKE => SEC_HMC_CFG_MPS_EXIT_CS_TO_CKE,
-         SEC_HMC_CFG_MPS_EXIT_CKE_TO_CS => SEC_HMC_CFG_MPS_EXIT_CKE_TO_CS,
-         SEC_HMC_CFG_RLD3_MULTIBANK_REF_DELAY => SEC_HMC_CFG_RLD3_MULTIBANK_REF_DELAY,
-         SEC_HMC_CFG_MMR_CMD_TO_VALID => SEC_HMC_CFG_MMR_CMD_TO_VALID,
-         SEC_HMC_CFG_4_ACT_TO_ACT => SEC_HMC_CFG_4_ACT_TO_ACT,
-         SEC_HMC_CFG_16_ACT_TO_ACT => SEC_HMC_CFG_16_ACT_TO_ACT,
-         PINS_PER_LANE => PINS_PER_LANE,
-         LANES_PER_TILE => LANES_PER_TILE,
-         OCT_CONTROL_WIDTH => OCT_CONTROL_WIDTH,
-         PORT_MEM_CK_WIDTH => PORT_MEM_CK_WIDTH,
-         PORT_MEM_CK_PINLOC_0 => PORT_MEM_CK_PINLOC_0,
-         PORT_MEM_CK_PINLOC_1 => PORT_MEM_CK_PINLOC_1,
-         PORT_MEM_CK_PINLOC_2 => PORT_MEM_CK_PINLOC_2,
-         PORT_MEM_CK_PINLOC_3 => PORT_MEM_CK_PINLOC_3,
-         PORT_MEM_CK_PINLOC_4 => PORT_MEM_CK_PINLOC_4,
-         PORT_MEM_CK_PINLOC_5 => PORT_MEM_CK_PINLOC_5,
-         PORT_MEM_CK_PINLOC_AUTOGEN_WCNT => PORT_MEM_CK_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CK_N_WIDTH => PORT_MEM_CK_N_WIDTH,
-         PORT_MEM_CK_N_PINLOC_0 => PORT_MEM_CK_N_PINLOC_0,
-         PORT_MEM_CK_N_PINLOC_1 => PORT_MEM_CK_N_PINLOC_1,
-         PORT_MEM_CK_N_PINLOC_2 => PORT_MEM_CK_N_PINLOC_2,
-         PORT_MEM_CK_N_PINLOC_3 => PORT_MEM_CK_N_PINLOC_3,
-         PORT_MEM_CK_N_PINLOC_4 => PORT_MEM_CK_N_PINLOC_4,
-         PORT_MEM_CK_N_PINLOC_5 => PORT_MEM_CK_N_PINLOC_5,
-         PORT_MEM_CK_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CK_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DK_WIDTH => PORT_MEM_DK_WIDTH,
-         PORT_MEM_DK_PINLOC_0 => PORT_MEM_DK_PINLOC_0,
-         PORT_MEM_DK_PINLOC_1 => PORT_MEM_DK_PINLOC_1,
-         PORT_MEM_DK_PINLOC_2 => PORT_MEM_DK_PINLOC_2,
-         PORT_MEM_DK_PINLOC_3 => PORT_MEM_DK_PINLOC_3,
-         PORT_MEM_DK_PINLOC_4 => PORT_MEM_DK_PINLOC_4,
-         PORT_MEM_DK_PINLOC_5 => PORT_MEM_DK_PINLOC_5,
-         PORT_MEM_DK_PINLOC_AUTOGEN_WCNT => PORT_MEM_DK_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DK_N_WIDTH => PORT_MEM_DK_N_WIDTH,
-         PORT_MEM_DK_N_PINLOC_0 => PORT_MEM_DK_N_PINLOC_0,
-         PORT_MEM_DK_N_PINLOC_1 => PORT_MEM_DK_N_PINLOC_1,
-         PORT_MEM_DK_N_PINLOC_2 => PORT_MEM_DK_N_PINLOC_2,
-         PORT_MEM_DK_N_PINLOC_3 => PORT_MEM_DK_N_PINLOC_3,
-         PORT_MEM_DK_N_PINLOC_4 => PORT_MEM_DK_N_PINLOC_4,
-         PORT_MEM_DK_N_PINLOC_5 => PORT_MEM_DK_N_PINLOC_5,
-         PORT_MEM_DK_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DK_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DKA_WIDTH => PORT_MEM_DKA_WIDTH,
-         PORT_MEM_DKA_PINLOC_0 => PORT_MEM_DKA_PINLOC_0,
-         PORT_MEM_DKA_PINLOC_1 => PORT_MEM_DKA_PINLOC_1,
-         PORT_MEM_DKA_PINLOC_2 => PORT_MEM_DKA_PINLOC_2,
-         PORT_MEM_DKA_PINLOC_3 => PORT_MEM_DKA_PINLOC_3,
-         PORT_MEM_DKA_PINLOC_4 => PORT_MEM_DKA_PINLOC_4,
-         PORT_MEM_DKA_PINLOC_5 => PORT_MEM_DKA_PINLOC_5,
-         PORT_MEM_DKA_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKA_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DKA_N_WIDTH => PORT_MEM_DKA_N_WIDTH,
-         PORT_MEM_DKA_N_PINLOC_0 => PORT_MEM_DKA_N_PINLOC_0,
-         PORT_MEM_DKA_N_PINLOC_1 => PORT_MEM_DKA_N_PINLOC_1,
-         PORT_MEM_DKA_N_PINLOC_2 => PORT_MEM_DKA_N_PINLOC_2,
-         PORT_MEM_DKA_N_PINLOC_3 => PORT_MEM_DKA_N_PINLOC_3,
-         PORT_MEM_DKA_N_PINLOC_4 => PORT_MEM_DKA_N_PINLOC_4,
-         PORT_MEM_DKA_N_PINLOC_5 => PORT_MEM_DKA_N_PINLOC_5,
-         PORT_MEM_DKA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKA_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DKB_WIDTH => PORT_MEM_DKB_WIDTH,
-         PORT_MEM_DKB_PINLOC_0 => PORT_MEM_DKB_PINLOC_0,
-         PORT_MEM_DKB_PINLOC_1 => PORT_MEM_DKB_PINLOC_1,
-         PORT_MEM_DKB_PINLOC_2 => PORT_MEM_DKB_PINLOC_2,
-         PORT_MEM_DKB_PINLOC_3 => PORT_MEM_DKB_PINLOC_3,
-         PORT_MEM_DKB_PINLOC_4 => PORT_MEM_DKB_PINLOC_4,
-         PORT_MEM_DKB_PINLOC_5 => PORT_MEM_DKB_PINLOC_5,
-         PORT_MEM_DKB_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKB_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DKB_N_WIDTH => PORT_MEM_DKB_N_WIDTH,
-         PORT_MEM_DKB_N_PINLOC_0 => PORT_MEM_DKB_N_PINLOC_0,
-         PORT_MEM_DKB_N_PINLOC_1 => PORT_MEM_DKB_N_PINLOC_1,
-         PORT_MEM_DKB_N_PINLOC_2 => PORT_MEM_DKB_N_PINLOC_2,
-         PORT_MEM_DKB_N_PINLOC_3 => PORT_MEM_DKB_N_PINLOC_3,
-         PORT_MEM_DKB_N_PINLOC_4 => PORT_MEM_DKB_N_PINLOC_4,
-         PORT_MEM_DKB_N_PINLOC_5 => PORT_MEM_DKB_N_PINLOC_5,
-         PORT_MEM_DKB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKB_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_K_WIDTH => PORT_MEM_K_WIDTH,
-         PORT_MEM_K_PINLOC_0 => PORT_MEM_K_PINLOC_0,
-         PORT_MEM_K_PINLOC_1 => PORT_MEM_K_PINLOC_1,
-         PORT_MEM_K_PINLOC_2 => PORT_MEM_K_PINLOC_2,
-         PORT_MEM_K_PINLOC_3 => PORT_MEM_K_PINLOC_3,
-         PORT_MEM_K_PINLOC_4 => PORT_MEM_K_PINLOC_4,
-         PORT_MEM_K_PINLOC_5 => PORT_MEM_K_PINLOC_5,
-         PORT_MEM_K_PINLOC_AUTOGEN_WCNT => PORT_MEM_K_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_K_N_WIDTH => PORT_MEM_K_N_WIDTH,
-         PORT_MEM_K_N_PINLOC_0 => PORT_MEM_K_N_PINLOC_0,
-         PORT_MEM_K_N_PINLOC_1 => PORT_MEM_K_N_PINLOC_1,
-         PORT_MEM_K_N_PINLOC_2 => PORT_MEM_K_N_PINLOC_2,
-         PORT_MEM_K_N_PINLOC_3 => PORT_MEM_K_N_PINLOC_3,
-         PORT_MEM_K_N_PINLOC_4 => PORT_MEM_K_N_PINLOC_4,
-         PORT_MEM_K_N_PINLOC_5 => PORT_MEM_K_N_PINLOC_5,
-         PORT_MEM_K_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_K_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_A_WIDTH => PORT_MEM_A_WIDTH,
-         PORT_MEM_A_PINLOC_0 => PORT_MEM_A_PINLOC_0,
-         PORT_MEM_A_PINLOC_1 => PORT_MEM_A_PINLOC_1,
-         PORT_MEM_A_PINLOC_2 => PORT_MEM_A_PINLOC_2,
-         PORT_MEM_A_PINLOC_3 => PORT_MEM_A_PINLOC_3,
-         PORT_MEM_A_PINLOC_4 => PORT_MEM_A_PINLOC_4,
-         PORT_MEM_A_PINLOC_5 => PORT_MEM_A_PINLOC_5,
-         PORT_MEM_A_PINLOC_6 => PORT_MEM_A_PINLOC_6,
-         PORT_MEM_A_PINLOC_7 => PORT_MEM_A_PINLOC_7,
-         PORT_MEM_A_PINLOC_8 => PORT_MEM_A_PINLOC_8,
-         PORT_MEM_A_PINLOC_9 => PORT_MEM_A_PINLOC_9,
-         PORT_MEM_A_PINLOC_10 => PORT_MEM_A_PINLOC_10,
-         PORT_MEM_A_PINLOC_11 => PORT_MEM_A_PINLOC_11,
-         PORT_MEM_A_PINLOC_12 => PORT_MEM_A_PINLOC_12,
-         PORT_MEM_A_PINLOC_13 => PORT_MEM_A_PINLOC_13,
-         PORT_MEM_A_PINLOC_14 => PORT_MEM_A_PINLOC_14,
-         PORT_MEM_A_PINLOC_15 => PORT_MEM_A_PINLOC_15,
-         PORT_MEM_A_PINLOC_16 => PORT_MEM_A_PINLOC_16,
-         PORT_MEM_A_PINLOC_AUTOGEN_WCNT => PORT_MEM_A_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_BA_WIDTH => PORT_MEM_BA_WIDTH,
-         PORT_MEM_BA_PINLOC_0 => PORT_MEM_BA_PINLOC_0,
-         PORT_MEM_BA_PINLOC_1 => PORT_MEM_BA_PINLOC_1,
-         PORT_MEM_BA_PINLOC_2 => PORT_MEM_BA_PINLOC_2,
-         PORT_MEM_BA_PINLOC_3 => PORT_MEM_BA_PINLOC_3,
-         PORT_MEM_BA_PINLOC_4 => PORT_MEM_BA_PINLOC_4,
-         PORT_MEM_BA_PINLOC_5 => PORT_MEM_BA_PINLOC_5,
-         PORT_MEM_BA_PINLOC_AUTOGEN_WCNT => PORT_MEM_BA_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_BG_WIDTH => PORT_MEM_BG_WIDTH,
-         PORT_MEM_BG_PINLOC_0 => PORT_MEM_BG_PINLOC_0,
-         PORT_MEM_BG_PINLOC_1 => PORT_MEM_BG_PINLOC_1,
-         PORT_MEM_BG_PINLOC_2 => PORT_MEM_BG_PINLOC_2,
-         PORT_MEM_BG_PINLOC_3 => PORT_MEM_BG_PINLOC_3,
-         PORT_MEM_BG_PINLOC_4 => PORT_MEM_BG_PINLOC_4,
-         PORT_MEM_BG_PINLOC_5 => PORT_MEM_BG_PINLOC_5,
-         PORT_MEM_BG_PINLOC_AUTOGEN_WCNT => PORT_MEM_BG_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_C_WIDTH => PORT_MEM_C_WIDTH,
-         PORT_MEM_C_PINLOC_0 => PORT_MEM_C_PINLOC_0,
-         PORT_MEM_C_PINLOC_1 => PORT_MEM_C_PINLOC_1,
-         PORT_MEM_C_PINLOC_2 => PORT_MEM_C_PINLOC_2,
-         PORT_MEM_C_PINLOC_3 => PORT_MEM_C_PINLOC_3,
-         PORT_MEM_C_PINLOC_4 => PORT_MEM_C_PINLOC_4,
-         PORT_MEM_C_PINLOC_5 => PORT_MEM_C_PINLOC_5,
-         PORT_MEM_C_PINLOC_AUTOGEN_WCNT => PORT_MEM_C_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CKE_WIDTH => PORT_MEM_CKE_WIDTH,
-         PORT_MEM_CKE_PINLOC_0 => PORT_MEM_CKE_PINLOC_0,
-         PORT_MEM_CKE_PINLOC_1 => PORT_MEM_CKE_PINLOC_1,
-         PORT_MEM_CKE_PINLOC_2 => PORT_MEM_CKE_PINLOC_2,
-         PORT_MEM_CKE_PINLOC_3 => PORT_MEM_CKE_PINLOC_3,
-         PORT_MEM_CKE_PINLOC_4 => PORT_MEM_CKE_PINLOC_4,
-         PORT_MEM_CKE_PINLOC_5 => PORT_MEM_CKE_PINLOC_5,
-         PORT_MEM_CKE_PINLOC_AUTOGEN_WCNT => PORT_MEM_CKE_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CS_N_WIDTH => PORT_MEM_CS_N_WIDTH,
-         PORT_MEM_CS_N_PINLOC_0 => PORT_MEM_CS_N_PINLOC_0,
-         PORT_MEM_CS_N_PINLOC_1 => PORT_MEM_CS_N_PINLOC_1,
-         PORT_MEM_CS_N_PINLOC_2 => PORT_MEM_CS_N_PINLOC_2,
-         PORT_MEM_CS_N_PINLOC_3 => PORT_MEM_CS_N_PINLOC_3,
-         PORT_MEM_CS_N_PINLOC_4 => PORT_MEM_CS_N_PINLOC_4,
-         PORT_MEM_CS_N_PINLOC_5 => PORT_MEM_CS_N_PINLOC_5,
-         PORT_MEM_CS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_RM_WIDTH => PORT_MEM_RM_WIDTH,
-         PORT_MEM_RM_PINLOC_0 => PORT_MEM_RM_PINLOC_0,
-         PORT_MEM_RM_PINLOC_1 => PORT_MEM_RM_PINLOC_1,
-         PORT_MEM_RM_PINLOC_2 => PORT_MEM_RM_PINLOC_2,
-         PORT_MEM_RM_PINLOC_3 => PORT_MEM_RM_PINLOC_3,
-         PORT_MEM_RM_PINLOC_4 => PORT_MEM_RM_PINLOC_4,
-         PORT_MEM_RM_PINLOC_5 => PORT_MEM_RM_PINLOC_5,
-         PORT_MEM_RM_PINLOC_AUTOGEN_WCNT => PORT_MEM_RM_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_ODT_WIDTH => PORT_MEM_ODT_WIDTH,
-         PORT_MEM_ODT_PINLOC_0 => PORT_MEM_ODT_PINLOC_0,
-         PORT_MEM_ODT_PINLOC_1 => PORT_MEM_ODT_PINLOC_1,
-         PORT_MEM_ODT_PINLOC_2 => PORT_MEM_ODT_PINLOC_2,
-         PORT_MEM_ODT_PINLOC_3 => PORT_MEM_ODT_PINLOC_3,
-         PORT_MEM_ODT_PINLOC_4 => PORT_MEM_ODT_PINLOC_4,
-         PORT_MEM_ODT_PINLOC_5 => PORT_MEM_ODT_PINLOC_5,
-         PORT_MEM_ODT_PINLOC_AUTOGEN_WCNT => PORT_MEM_ODT_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_RAS_N_WIDTH => PORT_MEM_RAS_N_WIDTH,
-         PORT_MEM_RAS_N_PINLOC_0 => PORT_MEM_RAS_N_PINLOC_0,
-         PORT_MEM_RAS_N_PINLOC_1 => PORT_MEM_RAS_N_PINLOC_1,
-         PORT_MEM_RAS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RAS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CAS_N_WIDTH => PORT_MEM_CAS_N_WIDTH,
-         PORT_MEM_CAS_N_PINLOC_0 => PORT_MEM_CAS_N_PINLOC_0,
-         PORT_MEM_CAS_N_PINLOC_1 => PORT_MEM_CAS_N_PINLOC_1,
-         PORT_MEM_CAS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CAS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_WE_N_WIDTH => PORT_MEM_WE_N_WIDTH,
-         PORT_MEM_WE_N_PINLOC_0 => PORT_MEM_WE_N_PINLOC_0,
-         PORT_MEM_WE_N_PINLOC_1 => PORT_MEM_WE_N_PINLOC_1,
-         PORT_MEM_WE_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_WE_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_RESET_N_WIDTH => PORT_MEM_RESET_N_WIDTH,
-         PORT_MEM_RESET_N_PINLOC_0 => PORT_MEM_RESET_N_PINLOC_0,
-         PORT_MEM_RESET_N_PINLOC_1 => PORT_MEM_RESET_N_PINLOC_1,
-         PORT_MEM_RESET_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RESET_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_ACT_N_WIDTH => PORT_MEM_ACT_N_WIDTH,
-         PORT_MEM_ACT_N_PINLOC_0 => PORT_MEM_ACT_N_PINLOC_0,
-         PORT_MEM_ACT_N_PINLOC_1 => PORT_MEM_ACT_N_PINLOC_1,
-         PORT_MEM_ACT_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_ACT_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_PAR_WIDTH => PORT_MEM_PAR_WIDTH,
-         PORT_MEM_PAR_PINLOC_0 => PORT_MEM_PAR_PINLOC_0,
-         PORT_MEM_PAR_PINLOC_1 => PORT_MEM_PAR_PINLOC_1,
-         PORT_MEM_PAR_PINLOC_AUTOGEN_WCNT => PORT_MEM_PAR_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CA_WIDTH => PORT_MEM_CA_WIDTH,
-         PORT_MEM_CA_PINLOC_0 => PORT_MEM_CA_PINLOC_0,
-         PORT_MEM_CA_PINLOC_1 => PORT_MEM_CA_PINLOC_1,
-         PORT_MEM_CA_PINLOC_2 => PORT_MEM_CA_PINLOC_2,
-         PORT_MEM_CA_PINLOC_3 => PORT_MEM_CA_PINLOC_3,
-         PORT_MEM_CA_PINLOC_4 => PORT_MEM_CA_PINLOC_4,
-         PORT_MEM_CA_PINLOC_5 => PORT_MEM_CA_PINLOC_5,
-         PORT_MEM_CA_PINLOC_6 => PORT_MEM_CA_PINLOC_6,
-         PORT_MEM_CA_PINLOC_7 => PORT_MEM_CA_PINLOC_7,
-         PORT_MEM_CA_PINLOC_8 => PORT_MEM_CA_PINLOC_8,
-         PORT_MEM_CA_PINLOC_9 => PORT_MEM_CA_PINLOC_9,
-         PORT_MEM_CA_PINLOC_10 => PORT_MEM_CA_PINLOC_10,
-         PORT_MEM_CA_PINLOC_11 => PORT_MEM_CA_PINLOC_11,
-         PORT_MEM_CA_PINLOC_12 => PORT_MEM_CA_PINLOC_12,
-         PORT_MEM_CA_PINLOC_13 => PORT_MEM_CA_PINLOC_13,
-         PORT_MEM_CA_PINLOC_14 => PORT_MEM_CA_PINLOC_14,
-         PORT_MEM_CA_PINLOC_15 => PORT_MEM_CA_PINLOC_15,
-         PORT_MEM_CA_PINLOC_16 => PORT_MEM_CA_PINLOC_16,
-         PORT_MEM_CA_PINLOC_AUTOGEN_WCNT => PORT_MEM_CA_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_REF_N_WIDTH => PORT_MEM_REF_N_WIDTH,
-         PORT_MEM_REF_N_PINLOC_0 => PORT_MEM_REF_N_PINLOC_0,
-         PORT_MEM_REF_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_REF_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_WPS_N_WIDTH => PORT_MEM_WPS_N_WIDTH,
-         PORT_MEM_WPS_N_PINLOC_0 => PORT_MEM_WPS_N_PINLOC_0,
-         PORT_MEM_WPS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_WPS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_RPS_N_WIDTH => PORT_MEM_RPS_N_WIDTH,
-         PORT_MEM_RPS_N_PINLOC_0 => PORT_MEM_RPS_N_PINLOC_0,
-         PORT_MEM_RPS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RPS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DOFF_N_WIDTH => PORT_MEM_DOFF_N_WIDTH,
-         PORT_MEM_DOFF_N_PINLOC_0 => PORT_MEM_DOFF_N_PINLOC_0,
-         PORT_MEM_DOFF_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DOFF_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_LDA_N_WIDTH => PORT_MEM_LDA_N_WIDTH,
-         PORT_MEM_LDA_N_PINLOC_0 => PORT_MEM_LDA_N_PINLOC_0,
-         PORT_MEM_LDA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LDA_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_LDB_N_WIDTH => PORT_MEM_LDB_N_WIDTH,
-         PORT_MEM_LDB_N_PINLOC_0 => PORT_MEM_LDB_N_PINLOC_0,
-         PORT_MEM_LDB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LDB_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_RWA_N_WIDTH => PORT_MEM_RWA_N_WIDTH,
-         PORT_MEM_RWA_N_PINLOC_0 => PORT_MEM_RWA_N_PINLOC_0,
-         PORT_MEM_RWA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RWA_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_RWB_N_WIDTH => PORT_MEM_RWB_N_WIDTH,
-         PORT_MEM_RWB_N_PINLOC_0 => PORT_MEM_RWB_N_PINLOC_0,
-         PORT_MEM_RWB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RWB_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_LBK0_N_WIDTH => PORT_MEM_LBK0_N_WIDTH,
-         PORT_MEM_LBK0_N_PINLOC_0 => PORT_MEM_LBK0_N_PINLOC_0,
-         PORT_MEM_LBK0_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LBK0_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_LBK1_N_WIDTH => PORT_MEM_LBK1_N_WIDTH,
-         PORT_MEM_LBK1_N_PINLOC_0 => PORT_MEM_LBK1_N_PINLOC_0,
-         PORT_MEM_LBK1_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LBK1_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CFG_N_WIDTH => PORT_MEM_CFG_N_WIDTH,
-         PORT_MEM_CFG_N_PINLOC_0 => PORT_MEM_CFG_N_PINLOC_0,
-         PORT_MEM_CFG_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CFG_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_AP_WIDTH => PORT_MEM_AP_WIDTH,
-         PORT_MEM_AP_PINLOC_0 => PORT_MEM_AP_PINLOC_0,
-         PORT_MEM_AP_PINLOC_AUTOGEN_WCNT => PORT_MEM_AP_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_AINV_WIDTH => PORT_MEM_AINV_WIDTH,
-         PORT_MEM_AINV_PINLOC_0 => PORT_MEM_AINV_PINLOC_0,
-         PORT_MEM_AINV_PINLOC_AUTOGEN_WCNT => PORT_MEM_AINV_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DM_WIDTH => PORT_MEM_DM_WIDTH,
-         PORT_MEM_DM_PINLOC_0 => PORT_MEM_DM_PINLOC_0,
-         PORT_MEM_DM_PINLOC_1 => PORT_MEM_DM_PINLOC_1,
-         PORT_MEM_DM_PINLOC_2 => PORT_MEM_DM_PINLOC_2,
-         PORT_MEM_DM_PINLOC_3 => PORT_MEM_DM_PINLOC_3,
-         PORT_MEM_DM_PINLOC_4 => PORT_MEM_DM_PINLOC_4,
-         PORT_MEM_DM_PINLOC_5 => PORT_MEM_DM_PINLOC_5,
-         PORT_MEM_DM_PINLOC_6 => PORT_MEM_DM_PINLOC_6,
-         PORT_MEM_DM_PINLOC_7 => PORT_MEM_DM_PINLOC_7,
-         PORT_MEM_DM_PINLOC_8 => PORT_MEM_DM_PINLOC_8,
-         PORT_MEM_DM_PINLOC_9 => PORT_MEM_DM_PINLOC_9,
-         PORT_MEM_DM_PINLOC_10 => PORT_MEM_DM_PINLOC_10,
-         PORT_MEM_DM_PINLOC_11 => PORT_MEM_DM_PINLOC_11,
-         PORT_MEM_DM_PINLOC_12 => PORT_MEM_DM_PINLOC_12,
-         PORT_MEM_DM_PINLOC_AUTOGEN_WCNT => PORT_MEM_DM_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_BWS_N_WIDTH => PORT_MEM_BWS_N_WIDTH,
-         PORT_MEM_BWS_N_PINLOC_0 => PORT_MEM_BWS_N_PINLOC_0,
-         PORT_MEM_BWS_N_PINLOC_1 => PORT_MEM_BWS_N_PINLOC_1,
-         PORT_MEM_BWS_N_PINLOC_2 => PORT_MEM_BWS_N_PINLOC_2,
-         PORT_MEM_BWS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_BWS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_D_WIDTH => PORT_MEM_D_WIDTH,
-         PORT_MEM_D_PINLOC_0 => PORT_MEM_D_PINLOC_0,
-         PORT_MEM_D_PINLOC_1 => PORT_MEM_D_PINLOC_1,
-         PORT_MEM_D_PINLOC_2 => PORT_MEM_D_PINLOC_2,
-         PORT_MEM_D_PINLOC_3 => PORT_MEM_D_PINLOC_3,
-         PORT_MEM_D_PINLOC_4 => PORT_MEM_D_PINLOC_4,
-         PORT_MEM_D_PINLOC_5 => PORT_MEM_D_PINLOC_5,
-         PORT_MEM_D_PINLOC_6 => PORT_MEM_D_PINLOC_6,
-         PORT_MEM_D_PINLOC_7 => PORT_MEM_D_PINLOC_7,
-         PORT_MEM_D_PINLOC_8 => PORT_MEM_D_PINLOC_8,
-         PORT_MEM_D_PINLOC_9 => PORT_MEM_D_PINLOC_9,
-         PORT_MEM_D_PINLOC_10 => PORT_MEM_D_PINLOC_10,
-         PORT_MEM_D_PINLOC_11 => PORT_MEM_D_PINLOC_11,
-         PORT_MEM_D_PINLOC_12 => PORT_MEM_D_PINLOC_12,
-         PORT_MEM_D_PINLOC_13 => PORT_MEM_D_PINLOC_13,
-         PORT_MEM_D_PINLOC_14 => PORT_MEM_D_PINLOC_14,
-         PORT_MEM_D_PINLOC_15 => PORT_MEM_D_PINLOC_15,
-         PORT_MEM_D_PINLOC_16 => PORT_MEM_D_PINLOC_16,
-         PORT_MEM_D_PINLOC_17 => PORT_MEM_D_PINLOC_17,
-         PORT_MEM_D_PINLOC_18 => PORT_MEM_D_PINLOC_18,
-         PORT_MEM_D_PINLOC_19 => PORT_MEM_D_PINLOC_19,
-         PORT_MEM_D_PINLOC_20 => PORT_MEM_D_PINLOC_20,
-         PORT_MEM_D_PINLOC_21 => PORT_MEM_D_PINLOC_21,
-         PORT_MEM_D_PINLOC_22 => PORT_MEM_D_PINLOC_22,
-         PORT_MEM_D_PINLOC_23 => PORT_MEM_D_PINLOC_23,
-         PORT_MEM_D_PINLOC_24 => PORT_MEM_D_PINLOC_24,
-         PORT_MEM_D_PINLOC_25 => PORT_MEM_D_PINLOC_25,
-         PORT_MEM_D_PINLOC_26 => PORT_MEM_D_PINLOC_26,
-         PORT_MEM_D_PINLOC_27 => PORT_MEM_D_PINLOC_27,
-         PORT_MEM_D_PINLOC_28 => PORT_MEM_D_PINLOC_28,
-         PORT_MEM_D_PINLOC_29 => PORT_MEM_D_PINLOC_29,
-         PORT_MEM_D_PINLOC_30 => PORT_MEM_D_PINLOC_30,
-         PORT_MEM_D_PINLOC_31 => PORT_MEM_D_PINLOC_31,
-         PORT_MEM_D_PINLOC_32 => PORT_MEM_D_PINLOC_32,
-         PORT_MEM_D_PINLOC_33 => PORT_MEM_D_PINLOC_33,
-         PORT_MEM_D_PINLOC_34 => PORT_MEM_D_PINLOC_34,
-         PORT_MEM_D_PINLOC_35 => PORT_MEM_D_PINLOC_35,
-         PORT_MEM_D_PINLOC_36 => PORT_MEM_D_PINLOC_36,
-         PORT_MEM_D_PINLOC_37 => PORT_MEM_D_PINLOC_37,
-         PORT_MEM_D_PINLOC_38 => PORT_MEM_D_PINLOC_38,
-         PORT_MEM_D_PINLOC_39 => PORT_MEM_D_PINLOC_39,
-         PORT_MEM_D_PINLOC_40 => PORT_MEM_D_PINLOC_40,
-         PORT_MEM_D_PINLOC_41 => PORT_MEM_D_PINLOC_41,
-         PORT_MEM_D_PINLOC_42 => PORT_MEM_D_PINLOC_42,
-         PORT_MEM_D_PINLOC_43 => PORT_MEM_D_PINLOC_43,
-         PORT_MEM_D_PINLOC_44 => PORT_MEM_D_PINLOC_44,
-         PORT_MEM_D_PINLOC_45 => PORT_MEM_D_PINLOC_45,
-         PORT_MEM_D_PINLOC_46 => PORT_MEM_D_PINLOC_46,
-         PORT_MEM_D_PINLOC_47 => PORT_MEM_D_PINLOC_47,
-         PORT_MEM_D_PINLOC_48 => PORT_MEM_D_PINLOC_48,
-         PORT_MEM_D_PINLOC_AUTOGEN_WCNT => PORT_MEM_D_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DQ_WIDTH => PORT_MEM_DQ_WIDTH,
-         PORT_MEM_DQ_PINLOC_0 => PORT_MEM_DQ_PINLOC_0,
-         PORT_MEM_DQ_PINLOC_1 => PORT_MEM_DQ_PINLOC_1,
-         PORT_MEM_DQ_PINLOC_2 => PORT_MEM_DQ_PINLOC_2,
-         PORT_MEM_DQ_PINLOC_3 => PORT_MEM_DQ_PINLOC_3,
-         PORT_MEM_DQ_PINLOC_4 => PORT_MEM_DQ_PINLOC_4,
-         PORT_MEM_DQ_PINLOC_5 => PORT_MEM_DQ_PINLOC_5,
-         PORT_MEM_DQ_PINLOC_6 => PORT_MEM_DQ_PINLOC_6,
-         PORT_MEM_DQ_PINLOC_7 => PORT_MEM_DQ_PINLOC_7,
-         PORT_MEM_DQ_PINLOC_8 => PORT_MEM_DQ_PINLOC_8,
-         PORT_MEM_DQ_PINLOC_9 => PORT_MEM_DQ_PINLOC_9,
-         PORT_MEM_DQ_PINLOC_10 => PORT_MEM_DQ_PINLOC_10,
-         PORT_MEM_DQ_PINLOC_11 => PORT_MEM_DQ_PINLOC_11,
-         PORT_MEM_DQ_PINLOC_12 => PORT_MEM_DQ_PINLOC_12,
-         PORT_MEM_DQ_PINLOC_13 => PORT_MEM_DQ_PINLOC_13,
-         PORT_MEM_DQ_PINLOC_14 => PORT_MEM_DQ_PINLOC_14,
-         PORT_MEM_DQ_PINLOC_15 => PORT_MEM_DQ_PINLOC_15,
-         PORT_MEM_DQ_PINLOC_16 => PORT_MEM_DQ_PINLOC_16,
-         PORT_MEM_DQ_PINLOC_17 => PORT_MEM_DQ_PINLOC_17,
-         PORT_MEM_DQ_PINLOC_18 => PORT_MEM_DQ_PINLOC_18,
-         PORT_MEM_DQ_PINLOC_19 => PORT_MEM_DQ_PINLOC_19,
-         PORT_MEM_DQ_PINLOC_20 => PORT_MEM_DQ_PINLOC_20,
-         PORT_MEM_DQ_PINLOC_21 => PORT_MEM_DQ_PINLOC_21,
-         PORT_MEM_DQ_PINLOC_22 => PORT_MEM_DQ_PINLOC_22,
-         PORT_MEM_DQ_PINLOC_23 => PORT_MEM_DQ_PINLOC_23,
-         PORT_MEM_DQ_PINLOC_24 => PORT_MEM_DQ_PINLOC_24,
-         PORT_MEM_DQ_PINLOC_25 => PORT_MEM_DQ_PINLOC_25,
-         PORT_MEM_DQ_PINLOC_26 => PORT_MEM_DQ_PINLOC_26,
-         PORT_MEM_DQ_PINLOC_27 => PORT_MEM_DQ_PINLOC_27,
-         PORT_MEM_DQ_PINLOC_28 => PORT_MEM_DQ_PINLOC_28,
-         PORT_MEM_DQ_PINLOC_29 => PORT_MEM_DQ_PINLOC_29,
-         PORT_MEM_DQ_PINLOC_30 => PORT_MEM_DQ_PINLOC_30,
-         PORT_MEM_DQ_PINLOC_31 => PORT_MEM_DQ_PINLOC_31,
-         PORT_MEM_DQ_PINLOC_32 => PORT_MEM_DQ_PINLOC_32,
-         PORT_MEM_DQ_PINLOC_33 => PORT_MEM_DQ_PINLOC_33,
-         PORT_MEM_DQ_PINLOC_34 => PORT_MEM_DQ_PINLOC_34,
-         PORT_MEM_DQ_PINLOC_35 => PORT_MEM_DQ_PINLOC_35,
-         PORT_MEM_DQ_PINLOC_36 => PORT_MEM_DQ_PINLOC_36,
-         PORT_MEM_DQ_PINLOC_37 => PORT_MEM_DQ_PINLOC_37,
-         PORT_MEM_DQ_PINLOC_38 => PORT_MEM_DQ_PINLOC_38,
-         PORT_MEM_DQ_PINLOC_39 => PORT_MEM_DQ_PINLOC_39,
-         PORT_MEM_DQ_PINLOC_40 => PORT_MEM_DQ_PINLOC_40,
-         PORT_MEM_DQ_PINLOC_41 => PORT_MEM_DQ_PINLOC_41,
-         PORT_MEM_DQ_PINLOC_42 => PORT_MEM_DQ_PINLOC_42,
-         PORT_MEM_DQ_PINLOC_43 => PORT_MEM_DQ_PINLOC_43,
-         PORT_MEM_DQ_PINLOC_44 => PORT_MEM_DQ_PINLOC_44,
-         PORT_MEM_DQ_PINLOC_45 => PORT_MEM_DQ_PINLOC_45,
-         PORT_MEM_DQ_PINLOC_46 => PORT_MEM_DQ_PINLOC_46,
-         PORT_MEM_DQ_PINLOC_47 => PORT_MEM_DQ_PINLOC_47,
-         PORT_MEM_DQ_PINLOC_48 => PORT_MEM_DQ_PINLOC_48,
-         PORT_MEM_DQ_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQ_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DBI_N_WIDTH => PORT_MEM_DBI_N_WIDTH,
-         PORT_MEM_DBI_N_PINLOC_0 => PORT_MEM_DBI_N_PINLOC_0,
-         PORT_MEM_DBI_N_PINLOC_1 => PORT_MEM_DBI_N_PINLOC_1,
-         PORT_MEM_DBI_N_PINLOC_2 => PORT_MEM_DBI_N_PINLOC_2,
-         PORT_MEM_DBI_N_PINLOC_3 => PORT_MEM_DBI_N_PINLOC_3,
-         PORT_MEM_DBI_N_PINLOC_4 => PORT_MEM_DBI_N_PINLOC_4,
-         PORT_MEM_DBI_N_PINLOC_5 => PORT_MEM_DBI_N_PINLOC_5,
-         PORT_MEM_DBI_N_PINLOC_6 => PORT_MEM_DBI_N_PINLOC_6,
-         PORT_MEM_DBI_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DBI_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DQA_WIDTH => PORT_MEM_DQA_WIDTH,
-         PORT_MEM_DQA_PINLOC_0 => PORT_MEM_DQA_PINLOC_0,
-         PORT_MEM_DQA_PINLOC_1 => PORT_MEM_DQA_PINLOC_1,
-         PORT_MEM_DQA_PINLOC_2 => PORT_MEM_DQA_PINLOC_2,
-         PORT_MEM_DQA_PINLOC_3 => PORT_MEM_DQA_PINLOC_3,
-         PORT_MEM_DQA_PINLOC_4 => PORT_MEM_DQA_PINLOC_4,
-         PORT_MEM_DQA_PINLOC_5 => PORT_MEM_DQA_PINLOC_5,
-         PORT_MEM_DQA_PINLOC_6 => PORT_MEM_DQA_PINLOC_6,
-         PORT_MEM_DQA_PINLOC_7 => PORT_MEM_DQA_PINLOC_7,
-         PORT_MEM_DQA_PINLOC_8 => PORT_MEM_DQA_PINLOC_8,
-         PORT_MEM_DQA_PINLOC_9 => PORT_MEM_DQA_PINLOC_9,
-         PORT_MEM_DQA_PINLOC_10 => PORT_MEM_DQA_PINLOC_10,
-         PORT_MEM_DQA_PINLOC_11 => PORT_MEM_DQA_PINLOC_11,
-         PORT_MEM_DQA_PINLOC_12 => PORT_MEM_DQA_PINLOC_12,
-         PORT_MEM_DQA_PINLOC_13 => PORT_MEM_DQA_PINLOC_13,
-         PORT_MEM_DQA_PINLOC_14 => PORT_MEM_DQA_PINLOC_14,
-         PORT_MEM_DQA_PINLOC_15 => PORT_MEM_DQA_PINLOC_15,
-         PORT_MEM_DQA_PINLOC_16 => PORT_MEM_DQA_PINLOC_16,
-         PORT_MEM_DQA_PINLOC_17 => PORT_MEM_DQA_PINLOC_17,
-         PORT_MEM_DQA_PINLOC_18 => PORT_MEM_DQA_PINLOC_18,
-         PORT_MEM_DQA_PINLOC_19 => PORT_MEM_DQA_PINLOC_19,
-         PORT_MEM_DQA_PINLOC_20 => PORT_MEM_DQA_PINLOC_20,
-         PORT_MEM_DQA_PINLOC_21 => PORT_MEM_DQA_PINLOC_21,
-         PORT_MEM_DQA_PINLOC_22 => PORT_MEM_DQA_PINLOC_22,
-         PORT_MEM_DQA_PINLOC_23 => PORT_MEM_DQA_PINLOC_23,
-         PORT_MEM_DQA_PINLOC_24 => PORT_MEM_DQA_PINLOC_24,
-         PORT_MEM_DQA_PINLOC_25 => PORT_MEM_DQA_PINLOC_25,
-         PORT_MEM_DQA_PINLOC_26 => PORT_MEM_DQA_PINLOC_26,
-         PORT_MEM_DQA_PINLOC_27 => PORT_MEM_DQA_PINLOC_27,
-         PORT_MEM_DQA_PINLOC_28 => PORT_MEM_DQA_PINLOC_28,
-         PORT_MEM_DQA_PINLOC_29 => PORT_MEM_DQA_PINLOC_29,
-         PORT_MEM_DQA_PINLOC_30 => PORT_MEM_DQA_PINLOC_30,
-         PORT_MEM_DQA_PINLOC_31 => PORT_MEM_DQA_PINLOC_31,
-         PORT_MEM_DQA_PINLOC_32 => PORT_MEM_DQA_PINLOC_32,
-         PORT_MEM_DQA_PINLOC_33 => PORT_MEM_DQA_PINLOC_33,
-         PORT_MEM_DQA_PINLOC_34 => PORT_MEM_DQA_PINLOC_34,
-         PORT_MEM_DQA_PINLOC_35 => PORT_MEM_DQA_PINLOC_35,
-         PORT_MEM_DQA_PINLOC_36 => PORT_MEM_DQA_PINLOC_36,
-         PORT_MEM_DQA_PINLOC_37 => PORT_MEM_DQA_PINLOC_37,
-         PORT_MEM_DQA_PINLOC_38 => PORT_MEM_DQA_PINLOC_38,
-         PORT_MEM_DQA_PINLOC_39 => PORT_MEM_DQA_PINLOC_39,
-         PORT_MEM_DQA_PINLOC_40 => PORT_MEM_DQA_PINLOC_40,
-         PORT_MEM_DQA_PINLOC_41 => PORT_MEM_DQA_PINLOC_41,
-         PORT_MEM_DQA_PINLOC_42 => PORT_MEM_DQA_PINLOC_42,
-         PORT_MEM_DQA_PINLOC_43 => PORT_MEM_DQA_PINLOC_43,
-         PORT_MEM_DQA_PINLOC_44 => PORT_MEM_DQA_PINLOC_44,
-         PORT_MEM_DQA_PINLOC_45 => PORT_MEM_DQA_PINLOC_45,
-         PORT_MEM_DQA_PINLOC_46 => PORT_MEM_DQA_PINLOC_46,
-         PORT_MEM_DQA_PINLOC_47 => PORT_MEM_DQA_PINLOC_47,
-         PORT_MEM_DQA_PINLOC_48 => PORT_MEM_DQA_PINLOC_48,
-         PORT_MEM_DQA_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQA_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DQB_WIDTH => PORT_MEM_DQB_WIDTH,
-         PORT_MEM_DQB_PINLOC_0 => PORT_MEM_DQB_PINLOC_0,
-         PORT_MEM_DQB_PINLOC_1 => PORT_MEM_DQB_PINLOC_1,
-         PORT_MEM_DQB_PINLOC_2 => PORT_MEM_DQB_PINLOC_2,
-         PORT_MEM_DQB_PINLOC_3 => PORT_MEM_DQB_PINLOC_3,
-         PORT_MEM_DQB_PINLOC_4 => PORT_MEM_DQB_PINLOC_4,
-         PORT_MEM_DQB_PINLOC_5 => PORT_MEM_DQB_PINLOC_5,
-         PORT_MEM_DQB_PINLOC_6 => PORT_MEM_DQB_PINLOC_6,
-         PORT_MEM_DQB_PINLOC_7 => PORT_MEM_DQB_PINLOC_7,
-         PORT_MEM_DQB_PINLOC_8 => PORT_MEM_DQB_PINLOC_8,
-         PORT_MEM_DQB_PINLOC_9 => PORT_MEM_DQB_PINLOC_9,
-         PORT_MEM_DQB_PINLOC_10 => PORT_MEM_DQB_PINLOC_10,
-         PORT_MEM_DQB_PINLOC_11 => PORT_MEM_DQB_PINLOC_11,
-         PORT_MEM_DQB_PINLOC_12 => PORT_MEM_DQB_PINLOC_12,
-         PORT_MEM_DQB_PINLOC_13 => PORT_MEM_DQB_PINLOC_13,
-         PORT_MEM_DQB_PINLOC_14 => PORT_MEM_DQB_PINLOC_14,
-         PORT_MEM_DQB_PINLOC_15 => PORT_MEM_DQB_PINLOC_15,
-         PORT_MEM_DQB_PINLOC_16 => PORT_MEM_DQB_PINLOC_16,
-         PORT_MEM_DQB_PINLOC_17 => PORT_MEM_DQB_PINLOC_17,
-         PORT_MEM_DQB_PINLOC_18 => PORT_MEM_DQB_PINLOC_18,
-         PORT_MEM_DQB_PINLOC_19 => PORT_MEM_DQB_PINLOC_19,
-         PORT_MEM_DQB_PINLOC_20 => PORT_MEM_DQB_PINLOC_20,
-         PORT_MEM_DQB_PINLOC_21 => PORT_MEM_DQB_PINLOC_21,
-         PORT_MEM_DQB_PINLOC_22 => PORT_MEM_DQB_PINLOC_22,
-         PORT_MEM_DQB_PINLOC_23 => PORT_MEM_DQB_PINLOC_23,
-         PORT_MEM_DQB_PINLOC_24 => PORT_MEM_DQB_PINLOC_24,
-         PORT_MEM_DQB_PINLOC_25 => PORT_MEM_DQB_PINLOC_25,
-         PORT_MEM_DQB_PINLOC_26 => PORT_MEM_DQB_PINLOC_26,
-         PORT_MEM_DQB_PINLOC_27 => PORT_MEM_DQB_PINLOC_27,
-         PORT_MEM_DQB_PINLOC_28 => PORT_MEM_DQB_PINLOC_28,
-         PORT_MEM_DQB_PINLOC_29 => PORT_MEM_DQB_PINLOC_29,
-         PORT_MEM_DQB_PINLOC_30 => PORT_MEM_DQB_PINLOC_30,
-         PORT_MEM_DQB_PINLOC_31 => PORT_MEM_DQB_PINLOC_31,
-         PORT_MEM_DQB_PINLOC_32 => PORT_MEM_DQB_PINLOC_32,
-         PORT_MEM_DQB_PINLOC_33 => PORT_MEM_DQB_PINLOC_33,
-         PORT_MEM_DQB_PINLOC_34 => PORT_MEM_DQB_PINLOC_34,
-         PORT_MEM_DQB_PINLOC_35 => PORT_MEM_DQB_PINLOC_35,
-         PORT_MEM_DQB_PINLOC_36 => PORT_MEM_DQB_PINLOC_36,
-         PORT_MEM_DQB_PINLOC_37 => PORT_MEM_DQB_PINLOC_37,
-         PORT_MEM_DQB_PINLOC_38 => PORT_MEM_DQB_PINLOC_38,
-         PORT_MEM_DQB_PINLOC_39 => PORT_MEM_DQB_PINLOC_39,
-         PORT_MEM_DQB_PINLOC_40 => PORT_MEM_DQB_PINLOC_40,
-         PORT_MEM_DQB_PINLOC_41 => PORT_MEM_DQB_PINLOC_41,
-         PORT_MEM_DQB_PINLOC_42 => PORT_MEM_DQB_PINLOC_42,
-         PORT_MEM_DQB_PINLOC_43 => PORT_MEM_DQB_PINLOC_43,
-         PORT_MEM_DQB_PINLOC_44 => PORT_MEM_DQB_PINLOC_44,
-         PORT_MEM_DQB_PINLOC_45 => PORT_MEM_DQB_PINLOC_45,
-         PORT_MEM_DQB_PINLOC_46 => PORT_MEM_DQB_PINLOC_46,
-         PORT_MEM_DQB_PINLOC_47 => PORT_MEM_DQB_PINLOC_47,
-         PORT_MEM_DQB_PINLOC_48 => PORT_MEM_DQB_PINLOC_48,
-         PORT_MEM_DQB_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQB_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DINVA_WIDTH => PORT_MEM_DINVA_WIDTH,
-         PORT_MEM_DINVA_PINLOC_0 => PORT_MEM_DINVA_PINLOC_0,
-         PORT_MEM_DINVA_PINLOC_1 => PORT_MEM_DINVA_PINLOC_1,
-         PORT_MEM_DINVA_PINLOC_2 => PORT_MEM_DINVA_PINLOC_2,
-         PORT_MEM_DINVA_PINLOC_AUTOGEN_WCNT => PORT_MEM_DINVA_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DINVB_WIDTH => PORT_MEM_DINVB_WIDTH,
-         PORT_MEM_DINVB_PINLOC_0 => PORT_MEM_DINVB_PINLOC_0,
-         PORT_MEM_DINVB_PINLOC_1 => PORT_MEM_DINVB_PINLOC_1,
-         PORT_MEM_DINVB_PINLOC_2 => PORT_MEM_DINVB_PINLOC_2,
-         PORT_MEM_DINVB_PINLOC_AUTOGEN_WCNT => PORT_MEM_DINVB_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_Q_WIDTH => PORT_MEM_Q_WIDTH,
-         PORT_MEM_Q_PINLOC_0 => PORT_MEM_Q_PINLOC_0,
-         PORT_MEM_Q_PINLOC_1 => PORT_MEM_Q_PINLOC_1,
-         PORT_MEM_Q_PINLOC_2 => PORT_MEM_Q_PINLOC_2,
-         PORT_MEM_Q_PINLOC_3 => PORT_MEM_Q_PINLOC_3,
-         PORT_MEM_Q_PINLOC_4 => PORT_MEM_Q_PINLOC_4,
-         PORT_MEM_Q_PINLOC_5 => PORT_MEM_Q_PINLOC_5,
-         PORT_MEM_Q_PINLOC_6 => PORT_MEM_Q_PINLOC_6,
-         PORT_MEM_Q_PINLOC_7 => PORT_MEM_Q_PINLOC_7,
-         PORT_MEM_Q_PINLOC_8 => PORT_MEM_Q_PINLOC_8,
-         PORT_MEM_Q_PINLOC_9 => PORT_MEM_Q_PINLOC_9,
-         PORT_MEM_Q_PINLOC_10 => PORT_MEM_Q_PINLOC_10,
-         PORT_MEM_Q_PINLOC_11 => PORT_MEM_Q_PINLOC_11,
-         PORT_MEM_Q_PINLOC_12 => PORT_MEM_Q_PINLOC_12,
-         PORT_MEM_Q_PINLOC_13 => PORT_MEM_Q_PINLOC_13,
-         PORT_MEM_Q_PINLOC_14 => PORT_MEM_Q_PINLOC_14,
-         PORT_MEM_Q_PINLOC_15 => PORT_MEM_Q_PINLOC_15,
-         PORT_MEM_Q_PINLOC_16 => PORT_MEM_Q_PINLOC_16,
-         PORT_MEM_Q_PINLOC_17 => PORT_MEM_Q_PINLOC_17,
-         PORT_MEM_Q_PINLOC_18 => PORT_MEM_Q_PINLOC_18,
-         PORT_MEM_Q_PINLOC_19 => PORT_MEM_Q_PINLOC_19,
-         PORT_MEM_Q_PINLOC_20 => PORT_MEM_Q_PINLOC_20,
-         PORT_MEM_Q_PINLOC_21 => PORT_MEM_Q_PINLOC_21,
-         PORT_MEM_Q_PINLOC_22 => PORT_MEM_Q_PINLOC_22,
-         PORT_MEM_Q_PINLOC_23 => PORT_MEM_Q_PINLOC_23,
-         PORT_MEM_Q_PINLOC_24 => PORT_MEM_Q_PINLOC_24,
-         PORT_MEM_Q_PINLOC_25 => PORT_MEM_Q_PINLOC_25,
-         PORT_MEM_Q_PINLOC_26 => PORT_MEM_Q_PINLOC_26,
-         PORT_MEM_Q_PINLOC_27 => PORT_MEM_Q_PINLOC_27,
-         PORT_MEM_Q_PINLOC_28 => PORT_MEM_Q_PINLOC_28,
-         PORT_MEM_Q_PINLOC_29 => PORT_MEM_Q_PINLOC_29,
-         PORT_MEM_Q_PINLOC_30 => PORT_MEM_Q_PINLOC_30,
-         PORT_MEM_Q_PINLOC_31 => PORT_MEM_Q_PINLOC_31,
-         PORT_MEM_Q_PINLOC_32 => PORT_MEM_Q_PINLOC_32,
-         PORT_MEM_Q_PINLOC_33 => PORT_MEM_Q_PINLOC_33,
-         PORT_MEM_Q_PINLOC_34 => PORT_MEM_Q_PINLOC_34,
-         PORT_MEM_Q_PINLOC_35 => PORT_MEM_Q_PINLOC_35,
-         PORT_MEM_Q_PINLOC_36 => PORT_MEM_Q_PINLOC_36,
-         PORT_MEM_Q_PINLOC_37 => PORT_MEM_Q_PINLOC_37,
-         PORT_MEM_Q_PINLOC_38 => PORT_MEM_Q_PINLOC_38,
-         PORT_MEM_Q_PINLOC_39 => PORT_MEM_Q_PINLOC_39,
-         PORT_MEM_Q_PINLOC_40 => PORT_MEM_Q_PINLOC_40,
-         PORT_MEM_Q_PINLOC_41 => PORT_MEM_Q_PINLOC_41,
-         PORT_MEM_Q_PINLOC_42 => PORT_MEM_Q_PINLOC_42,
-         PORT_MEM_Q_PINLOC_43 => PORT_MEM_Q_PINLOC_43,
-         PORT_MEM_Q_PINLOC_44 => PORT_MEM_Q_PINLOC_44,
-         PORT_MEM_Q_PINLOC_45 => PORT_MEM_Q_PINLOC_45,
-         PORT_MEM_Q_PINLOC_46 => PORT_MEM_Q_PINLOC_46,
-         PORT_MEM_Q_PINLOC_47 => PORT_MEM_Q_PINLOC_47,
-         PORT_MEM_Q_PINLOC_48 => PORT_MEM_Q_PINLOC_48,
-         PORT_MEM_Q_PINLOC_AUTOGEN_WCNT => PORT_MEM_Q_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DQS_WIDTH => PORT_MEM_DQS_WIDTH,
-         PORT_MEM_DQS_PINLOC_0 => PORT_MEM_DQS_PINLOC_0,
-         PORT_MEM_DQS_PINLOC_1 => PORT_MEM_DQS_PINLOC_1,
-         PORT_MEM_DQS_PINLOC_2 => PORT_MEM_DQS_PINLOC_2,
-         PORT_MEM_DQS_PINLOC_3 => PORT_MEM_DQS_PINLOC_3,
-         PORT_MEM_DQS_PINLOC_4 => PORT_MEM_DQS_PINLOC_4,
-         PORT_MEM_DQS_PINLOC_5 => PORT_MEM_DQS_PINLOC_5,
-         PORT_MEM_DQS_PINLOC_6 => PORT_MEM_DQS_PINLOC_6,
-         PORT_MEM_DQS_PINLOC_7 => PORT_MEM_DQS_PINLOC_7,
-         PORT_MEM_DQS_PINLOC_8 => PORT_MEM_DQS_PINLOC_8,
-         PORT_MEM_DQS_PINLOC_9 => PORT_MEM_DQS_PINLOC_9,
-         PORT_MEM_DQS_PINLOC_10 => PORT_MEM_DQS_PINLOC_10,
-         PORT_MEM_DQS_PINLOC_11 => PORT_MEM_DQS_PINLOC_11,
-         PORT_MEM_DQS_PINLOC_12 => PORT_MEM_DQS_PINLOC_12,
-         PORT_MEM_DQS_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQS_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_DQS_N_WIDTH => PORT_MEM_DQS_N_WIDTH,
-         PORT_MEM_DQS_N_PINLOC_0 => PORT_MEM_DQS_N_PINLOC_0,
-         PORT_MEM_DQS_N_PINLOC_1 => PORT_MEM_DQS_N_PINLOC_1,
-         PORT_MEM_DQS_N_PINLOC_2 => PORT_MEM_DQS_N_PINLOC_2,
-         PORT_MEM_DQS_N_PINLOC_3 => PORT_MEM_DQS_N_PINLOC_3,
-         PORT_MEM_DQS_N_PINLOC_4 => PORT_MEM_DQS_N_PINLOC_4,
-         PORT_MEM_DQS_N_PINLOC_5 => PORT_MEM_DQS_N_PINLOC_5,
-         PORT_MEM_DQS_N_PINLOC_6 => PORT_MEM_DQS_N_PINLOC_6,
-         PORT_MEM_DQS_N_PINLOC_7 => PORT_MEM_DQS_N_PINLOC_7,
-         PORT_MEM_DQS_N_PINLOC_8 => PORT_MEM_DQS_N_PINLOC_8,
-         PORT_MEM_DQS_N_PINLOC_9 => PORT_MEM_DQS_N_PINLOC_9,
-         PORT_MEM_DQS_N_PINLOC_10 => PORT_MEM_DQS_N_PINLOC_10,
-         PORT_MEM_DQS_N_PINLOC_11 => PORT_MEM_DQS_N_PINLOC_11,
-         PORT_MEM_DQS_N_PINLOC_12 => PORT_MEM_DQS_N_PINLOC_12,
-         PORT_MEM_DQS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQS_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_QK_WIDTH => PORT_MEM_QK_WIDTH,
-         PORT_MEM_QK_PINLOC_0 => PORT_MEM_QK_PINLOC_0,
-         PORT_MEM_QK_PINLOC_1 => PORT_MEM_QK_PINLOC_1,
-         PORT_MEM_QK_PINLOC_2 => PORT_MEM_QK_PINLOC_2,
-         PORT_MEM_QK_PINLOC_3 => PORT_MEM_QK_PINLOC_3,
-         PORT_MEM_QK_PINLOC_4 => PORT_MEM_QK_PINLOC_4,
-         PORT_MEM_QK_PINLOC_5 => PORT_MEM_QK_PINLOC_5,
-         PORT_MEM_QK_PINLOC_AUTOGEN_WCNT => PORT_MEM_QK_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_QK_N_WIDTH => PORT_MEM_QK_N_WIDTH,
-         PORT_MEM_QK_N_PINLOC_0 => PORT_MEM_QK_N_PINLOC_0,
-         PORT_MEM_QK_N_PINLOC_1 => PORT_MEM_QK_N_PINLOC_1,
-         PORT_MEM_QK_N_PINLOC_2 => PORT_MEM_QK_N_PINLOC_2,
-         PORT_MEM_QK_N_PINLOC_3 => PORT_MEM_QK_N_PINLOC_3,
-         PORT_MEM_QK_N_PINLOC_4 => PORT_MEM_QK_N_PINLOC_4,
-         PORT_MEM_QK_N_PINLOC_5 => PORT_MEM_QK_N_PINLOC_5,
-         PORT_MEM_QK_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_QK_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_QKA_WIDTH => PORT_MEM_QKA_WIDTH,
-         PORT_MEM_QKA_PINLOC_0 => PORT_MEM_QKA_PINLOC_0,
-         PORT_MEM_QKA_PINLOC_1 => PORT_MEM_QKA_PINLOC_1,
-         PORT_MEM_QKA_PINLOC_2 => PORT_MEM_QKA_PINLOC_2,
-         PORT_MEM_QKA_PINLOC_3 => PORT_MEM_QKA_PINLOC_3,
-         PORT_MEM_QKA_PINLOC_4 => PORT_MEM_QKA_PINLOC_4,
-         PORT_MEM_QKA_PINLOC_5 => PORT_MEM_QKA_PINLOC_5,
-         PORT_MEM_QKA_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKA_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_QKA_N_WIDTH => PORT_MEM_QKA_N_WIDTH,
-         PORT_MEM_QKA_N_PINLOC_0 => PORT_MEM_QKA_N_PINLOC_0,
-         PORT_MEM_QKA_N_PINLOC_1 => PORT_MEM_QKA_N_PINLOC_1,
-         PORT_MEM_QKA_N_PINLOC_2 => PORT_MEM_QKA_N_PINLOC_2,
-         PORT_MEM_QKA_N_PINLOC_3 => PORT_MEM_QKA_N_PINLOC_3,
-         PORT_MEM_QKA_N_PINLOC_4 => PORT_MEM_QKA_N_PINLOC_4,
-         PORT_MEM_QKA_N_PINLOC_5 => PORT_MEM_QKA_N_PINLOC_5,
-         PORT_MEM_QKA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKA_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_QKB_WIDTH => PORT_MEM_QKB_WIDTH,
-         PORT_MEM_QKB_PINLOC_0 => PORT_MEM_QKB_PINLOC_0,
-         PORT_MEM_QKB_PINLOC_1 => PORT_MEM_QKB_PINLOC_1,
-         PORT_MEM_QKB_PINLOC_2 => PORT_MEM_QKB_PINLOC_2,
-         PORT_MEM_QKB_PINLOC_3 => PORT_MEM_QKB_PINLOC_3,
-         PORT_MEM_QKB_PINLOC_4 => PORT_MEM_QKB_PINLOC_4,
-         PORT_MEM_QKB_PINLOC_5 => PORT_MEM_QKB_PINLOC_5,
-         PORT_MEM_QKB_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKB_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_QKB_N_WIDTH => PORT_MEM_QKB_N_WIDTH,
-         PORT_MEM_QKB_N_PINLOC_0 => PORT_MEM_QKB_N_PINLOC_0,
-         PORT_MEM_QKB_N_PINLOC_1 => PORT_MEM_QKB_N_PINLOC_1,
-         PORT_MEM_QKB_N_PINLOC_2 => PORT_MEM_QKB_N_PINLOC_2,
-         PORT_MEM_QKB_N_PINLOC_3 => PORT_MEM_QKB_N_PINLOC_3,
-         PORT_MEM_QKB_N_PINLOC_4 => PORT_MEM_QKB_N_PINLOC_4,
-         PORT_MEM_QKB_N_PINLOC_5 => PORT_MEM_QKB_N_PINLOC_5,
-         PORT_MEM_QKB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKB_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CQ_WIDTH => PORT_MEM_CQ_WIDTH,
-         PORT_MEM_CQ_PINLOC_0 => PORT_MEM_CQ_PINLOC_0,
-         PORT_MEM_CQ_PINLOC_1 => PORT_MEM_CQ_PINLOC_1,
-         PORT_MEM_CQ_PINLOC_AUTOGEN_WCNT => PORT_MEM_CQ_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_CQ_N_WIDTH => PORT_MEM_CQ_N_WIDTH,
-         PORT_MEM_CQ_N_PINLOC_0 => PORT_MEM_CQ_N_PINLOC_0,
-         PORT_MEM_CQ_N_PINLOC_1 => PORT_MEM_CQ_N_PINLOC_1,
-         PORT_MEM_CQ_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CQ_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_ALERT_N_WIDTH => PORT_MEM_ALERT_N_WIDTH,
-         PORT_MEM_ALERT_N_PINLOC_0 => PORT_MEM_ALERT_N_PINLOC_0,
-         PORT_MEM_ALERT_N_PINLOC_1 => PORT_MEM_ALERT_N_PINLOC_1,
-         PORT_MEM_ALERT_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_ALERT_N_PINLOC_AUTOGEN_WCNT,
-         PORT_MEM_PE_N_WIDTH => PORT_MEM_PE_N_WIDTH,
-         PORT_MEM_PE_N_PINLOC_0 => PORT_MEM_PE_N_PINLOC_0,
-         PORT_MEM_PE_N_PINLOC_1 => PORT_MEM_PE_N_PINLOC_1,
-         PORT_MEM_PE_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_PE_N_PINLOC_AUTOGEN_WCNT,
-         PORT_CLKS_SHARING_MASTER_OUT_WIDTH => PORT_CLKS_SHARING_MASTER_OUT_WIDTH,
-         PORT_CLKS_SHARING_SLAVE_IN_WIDTH => PORT_CLKS_SHARING_SLAVE_IN_WIDTH,
-         PORT_CLKS_SHARING_SLAVE_OUT_WIDTH => PORT_CLKS_SHARING_SLAVE_OUT_WIDTH,
-         PORT_AFI_RLAT_WIDTH => PORT_AFI_RLAT_WIDTH,
-         PORT_AFI_WLAT_WIDTH => PORT_AFI_WLAT_WIDTH,
-         PORT_AFI_SEQ_BUSY_WIDTH => PORT_AFI_SEQ_BUSY_WIDTH,
-         PORT_AFI_ADDR_WIDTH => PORT_AFI_ADDR_WIDTH,
-         PORT_AFI_BA_WIDTH => PORT_AFI_BA_WIDTH,
-         PORT_AFI_BG_WIDTH => PORT_AFI_BG_WIDTH,
-         PORT_AFI_C_WIDTH => PORT_AFI_C_WIDTH,
-         PORT_AFI_CKE_WIDTH => PORT_AFI_CKE_WIDTH,
-         PORT_AFI_CS_N_WIDTH => PORT_AFI_CS_N_WIDTH,
-         PORT_AFI_RM_WIDTH => PORT_AFI_RM_WIDTH,
-         PORT_AFI_ODT_WIDTH => PORT_AFI_ODT_WIDTH,
-         PORT_AFI_RAS_N_WIDTH => PORT_AFI_RAS_N_WIDTH,
-         PORT_AFI_CAS_N_WIDTH => PORT_AFI_CAS_N_WIDTH,
-         PORT_AFI_WE_N_WIDTH => PORT_AFI_WE_N_WIDTH,
-         PORT_AFI_RST_N_WIDTH => PORT_AFI_RST_N_WIDTH,
-         PORT_AFI_ACT_N_WIDTH => PORT_AFI_ACT_N_WIDTH,
-         PORT_AFI_PAR_WIDTH => PORT_AFI_PAR_WIDTH,
-         PORT_AFI_CA_WIDTH => PORT_AFI_CA_WIDTH,
-         PORT_AFI_REF_N_WIDTH => PORT_AFI_REF_N_WIDTH,
-         PORT_AFI_WPS_N_WIDTH => PORT_AFI_WPS_N_WIDTH,
-         PORT_AFI_RPS_N_WIDTH => PORT_AFI_RPS_N_WIDTH,
-         PORT_AFI_DOFF_N_WIDTH => PORT_AFI_DOFF_N_WIDTH,
-         PORT_AFI_LD_N_WIDTH => PORT_AFI_LD_N_WIDTH,
-         PORT_AFI_RW_N_WIDTH => PORT_AFI_RW_N_WIDTH,
-         PORT_AFI_LBK0_N_WIDTH => PORT_AFI_LBK0_N_WIDTH,
-         PORT_AFI_LBK1_N_WIDTH => PORT_AFI_LBK1_N_WIDTH,
-         PORT_AFI_CFG_N_WIDTH => PORT_AFI_CFG_N_WIDTH,
-         PORT_AFI_AP_WIDTH => PORT_AFI_AP_WIDTH,
-         PORT_AFI_AINV_WIDTH => PORT_AFI_AINV_WIDTH,
-         PORT_AFI_DM_WIDTH => PORT_AFI_DM_WIDTH,
-         PORT_AFI_DM_N_WIDTH => PORT_AFI_DM_N_WIDTH,
-         PORT_AFI_BWS_N_WIDTH => PORT_AFI_BWS_N_WIDTH,
-         PORT_AFI_RDATA_DBI_N_WIDTH => PORT_AFI_RDATA_DBI_N_WIDTH,
-         PORT_AFI_WDATA_DBI_N_WIDTH => PORT_AFI_WDATA_DBI_N_WIDTH,
-         PORT_AFI_RDATA_DINV_WIDTH => PORT_AFI_RDATA_DINV_WIDTH,
-         PORT_AFI_WDATA_DINV_WIDTH => PORT_AFI_WDATA_DINV_WIDTH,
-         PORT_AFI_DQS_BURST_WIDTH => PORT_AFI_DQS_BURST_WIDTH,
-         PORT_AFI_WDATA_VALID_WIDTH => PORT_AFI_WDATA_VALID_WIDTH,
-         PORT_AFI_WDATA_WIDTH => PORT_AFI_WDATA_WIDTH,
-         PORT_AFI_RDATA_EN_FULL_WIDTH => PORT_AFI_RDATA_EN_FULL_WIDTH,
-         PORT_AFI_RDATA_WIDTH => PORT_AFI_RDATA_WIDTH,
-         PORT_AFI_RDATA_VALID_WIDTH => PORT_AFI_RDATA_VALID_WIDTH,
-         PORT_AFI_RRANK_WIDTH => PORT_AFI_RRANK_WIDTH,
-         PORT_AFI_WRANK_WIDTH => PORT_AFI_WRANK_WIDTH,
-         PORT_AFI_ALERT_N_WIDTH => PORT_AFI_ALERT_N_WIDTH,
-         PORT_AFI_PE_N_WIDTH => PORT_AFI_PE_N_WIDTH,
-         PORT_CTRL_AST_CMD_DATA_WIDTH => PORT_CTRL_AST_CMD_DATA_WIDTH,
-         PORT_CTRL_AST_WR_DATA_WIDTH => PORT_CTRL_AST_WR_DATA_WIDTH,
-         PORT_CTRL_AST_RD_DATA_WIDTH => PORT_CTRL_AST_RD_DATA_WIDTH,
-         PORT_CTRL_AMM_ADDRESS_WIDTH => PORT_CTRL_AMM_ADDRESS_WIDTH,
-         PORT_CTRL_AMM_RDATA_WIDTH => PORT_CTRL_AMM_RDATA_WIDTH,
-         PORT_CTRL_AMM_WDATA_WIDTH => PORT_CTRL_AMM_WDATA_WIDTH,
-         PORT_CTRL_AMM_BCOUNT_WIDTH => PORT_CTRL_AMM_BCOUNT_WIDTH,
-         PORT_CTRL_AMM_BYTEEN_WIDTH => PORT_CTRL_AMM_BYTEEN_WIDTH,
-         PORT_CTRL_USER_REFRESH_REQ_WIDTH => PORT_CTRL_USER_REFRESH_REQ_WIDTH,
-         PORT_CTRL_USER_REFRESH_BANK_WIDTH => PORT_CTRL_USER_REFRESH_BANK_WIDTH,
-         PORT_CTRL_SELF_REFRESH_REQ_WIDTH => PORT_CTRL_SELF_REFRESH_REQ_WIDTH,
-         PORT_CTRL_ECC_WRITE_INFO_WIDTH => PORT_CTRL_ECC_WRITE_INFO_WIDTH,
-         PORT_CTRL_ECC_RDATA_ID_WIDTH => PORT_CTRL_ECC_RDATA_ID_WIDTH,
-         PORT_CTRL_ECC_READ_INFO_WIDTH => PORT_CTRL_ECC_READ_INFO_WIDTH,
-         PORT_CTRL_ECC_CMD_INFO_WIDTH => PORT_CTRL_ECC_CMD_INFO_WIDTH,
-         PORT_CTRL_ECC_WB_POINTER_WIDTH => PORT_CTRL_ECC_WB_POINTER_WIDTH,
-         PORT_CTRL_MMR_SLAVE_ADDRESS_WIDTH => PORT_CTRL_MMR_SLAVE_ADDRESS_WIDTH,
-         PORT_CTRL_MMR_SLAVE_RDATA_WIDTH => PORT_CTRL_MMR_SLAVE_RDATA_WIDTH,
-         PORT_CTRL_MMR_SLAVE_WDATA_WIDTH => PORT_CTRL_MMR_SLAVE_WDATA_WIDTH,
-         PORT_CTRL_MMR_SLAVE_BCOUNT_WIDTH => PORT_CTRL_MMR_SLAVE_BCOUNT_WIDTH,
-         PORT_HPS_EMIF_H2E_WIDTH => PORT_HPS_EMIF_H2E_WIDTH,
-         PORT_HPS_EMIF_E2H_WIDTH => PORT_HPS_EMIF_E2H_WIDTH,
-         PORT_HPS_EMIF_H2E_GP_WIDTH => PORT_HPS_EMIF_H2E_GP_WIDTH,
-         PORT_HPS_EMIF_E2H_GP_WIDTH => PORT_HPS_EMIF_E2H_GP_WIDTH,
-         PORT_CAL_DEBUG_ADDRESS_WIDTH => PORT_CAL_DEBUG_ADDRESS_WIDTH,
-         PORT_CAL_DEBUG_RDATA_WIDTH => PORT_CAL_DEBUG_RDATA_WIDTH,
-         PORT_CAL_DEBUG_WDATA_WIDTH => PORT_CAL_DEBUG_WDATA_WIDTH,
-         PORT_CAL_DEBUG_BYTEEN_WIDTH => PORT_CAL_DEBUG_BYTEEN_WIDTH,
-         PORT_CAL_DEBUG_OUT_ADDRESS_WIDTH => PORT_CAL_DEBUG_OUT_ADDRESS_WIDTH,
-         PORT_CAL_DEBUG_OUT_RDATA_WIDTH => PORT_CAL_DEBUG_OUT_RDATA_WIDTH,
-         PORT_CAL_DEBUG_OUT_WDATA_WIDTH => PORT_CAL_DEBUG_OUT_WDATA_WIDTH,
-         PORT_CAL_DEBUG_OUT_BYTEEN_WIDTH => PORT_CAL_DEBUG_OUT_BYTEEN_WIDTH,
-         PORT_CAL_MASTER_ADDRESS_WIDTH => PORT_CAL_MASTER_ADDRESS_WIDTH,
-         PORT_CAL_MASTER_RDATA_WIDTH => PORT_CAL_MASTER_RDATA_WIDTH,
-         PORT_CAL_MASTER_WDATA_WIDTH => PORT_CAL_MASTER_WDATA_WIDTH,
-         PORT_CAL_MASTER_BYTEEN_WIDTH => PORT_CAL_MASTER_BYTEEN_WIDTH,
-         PORT_DFT_NF_IOAUX_PIO_IN_WIDTH => PORT_DFT_NF_IOAUX_PIO_IN_WIDTH,
-         PORT_DFT_NF_IOAUX_PIO_OUT_WIDTH => PORT_DFT_NF_IOAUX_PIO_OUT_WIDTH,
-         PORT_DFT_NF_PA_DPRIO_REG_ADDR_WIDTH => PORT_DFT_NF_PA_DPRIO_REG_ADDR_WIDTH,
-         PORT_DFT_NF_PA_DPRIO_WRITEDATA_WIDTH => PORT_DFT_NF_PA_DPRIO_WRITEDATA_WIDTH,
-         PORT_DFT_NF_PA_DPRIO_READDATA_WIDTH => PORT_DFT_NF_PA_DPRIO_READDATA_WIDTH,
-         PORT_DFT_NF_PLL_CNTSEL_WIDTH => PORT_DFT_NF_PLL_CNTSEL_WIDTH,
-         PORT_DFT_NF_PLL_NUM_SHIFT_WIDTH => PORT_DFT_NF_PLL_NUM_SHIFT_WIDTH,
-         PORT_DFT_NF_CORE_CLK_BUF_OUT_WIDTH => PORT_DFT_NF_CORE_CLK_BUF_OUT_WIDTH,
-         PORT_DFT_NF_CORE_CLK_LOCKED_WIDTH => PORT_DFT_NF_CORE_CLK_LOCKED_WIDTH,
-         PLL_VCO_FREQ_MHZ_INT => PLL_VCO_FREQ_MHZ_INT,
-         PLL_VCO_TO_MEM_CLK_FREQ_RATIO => PLL_VCO_TO_MEM_CLK_FREQ_RATIO,
-         PLL_PHY_CLK_VCO_PHASE => PLL_PHY_CLK_VCO_PHASE,
-         PLL_VCO_FREQ_PS_STR => PLL_VCO_FREQ_PS_STR,
-         PLL_REF_CLK_FREQ_PS_STR => PLL_REF_CLK_FREQ_PS_STR,
-         PLL_REF_CLK_FREQ_PS => PLL_REF_CLK_FREQ_PS,
-         PLL_SIM_VCO_FREQ_PS => PLL_SIM_VCO_FREQ_PS,
-         PLL_SIM_PHYCLK_0_FREQ_PS => PLL_SIM_PHYCLK_0_FREQ_PS,
-         PLL_SIM_PHYCLK_1_FREQ_PS => PLL_SIM_PHYCLK_1_FREQ_PS,
-         PLL_SIM_PHYCLK_FB_FREQ_PS => PLL_SIM_PHYCLK_FB_FREQ_PS,
-         PLL_SIM_PHY_CLK_VCO_PHASE_PS => PLL_SIM_PHY_CLK_VCO_PHASE_PS,
-         PLL_SIM_CAL_SLAVE_CLK_FREQ_PS => PLL_SIM_CAL_SLAVE_CLK_FREQ_PS,
-         PLL_SIM_CAL_MASTER_CLK_FREQ_PS => PLL_SIM_CAL_MASTER_CLK_FREQ_PS,
-         PLL_M_CNT_HIGH => PLL_M_CNT_HIGH,
-         PLL_M_CNT_LOW => PLL_M_CNT_LOW,
-         PLL_N_CNT_HIGH => PLL_N_CNT_HIGH,
-         PLL_N_CNT_LOW => PLL_N_CNT_LOW,
-         PLL_M_CNT_BYPASS_EN => PLL_M_CNT_BYPASS_EN,
-         PLL_N_CNT_BYPASS_EN => PLL_N_CNT_BYPASS_EN,
-         PLL_M_CNT_EVEN_DUTY_EN => PLL_M_CNT_EVEN_DUTY_EN,
-         PLL_N_CNT_EVEN_DUTY_EN => PLL_N_CNT_EVEN_DUTY_EN,
-         PLL_FBCLK_MUX_1 => PLL_FBCLK_MUX_1,
-         PLL_FBCLK_MUX_2 => PLL_FBCLK_MUX_2,
-         PLL_M_CNT_IN_SRC => PLL_M_CNT_IN_SRC,
-         PLL_CP_SETTING => PLL_CP_SETTING,
-         PLL_BW_CTRL => PLL_BW_CTRL,
-         PLL_BW_SEL => PLL_BW_SEL,
-         PLL_C_CNT_HIGH_0 => PLL_C_CNT_HIGH_0,
-         PLL_C_CNT_LOW_0 => PLL_C_CNT_LOW_0,
-         PLL_C_CNT_PRST_0 => PLL_C_CNT_PRST_0,
-         PLL_C_CNT_PH_MUX_PRST_0 => PLL_C_CNT_PH_MUX_PRST_0,
-         PLL_C_CNT_BYPASS_EN_0 => PLL_C_CNT_BYPASS_EN_0,
-         PLL_C_CNT_EVEN_DUTY_EN_0 => PLL_C_CNT_EVEN_DUTY_EN_0,
-         PLL_C_CNT_FREQ_PS_STR_0 => PLL_C_CNT_FREQ_PS_STR_0,
-         PLL_C_CNT_PHASE_PS_STR_0 => PLL_C_CNT_PHASE_PS_STR_0,
-         PLL_C_CNT_DUTY_CYCLE_0 => PLL_C_CNT_DUTY_CYCLE_0,
-         PLL_C_CNT_OUT_EN_0 => PLL_C_CNT_OUT_EN_0,
-         PLL_C_CNT_HIGH_1 => PLL_C_CNT_HIGH_1,
-         PLL_C_CNT_LOW_1 => PLL_C_CNT_LOW_1,
-         PLL_C_CNT_PRST_1 => PLL_C_CNT_PRST_1,
-         PLL_C_CNT_PH_MUX_PRST_1 => PLL_C_CNT_PH_MUX_PRST_1,
-         PLL_C_CNT_BYPASS_EN_1 => PLL_C_CNT_BYPASS_EN_1,
-         PLL_C_CNT_EVEN_DUTY_EN_1 => PLL_C_CNT_EVEN_DUTY_EN_1,
-         PLL_C_CNT_FREQ_PS_STR_1 => PLL_C_CNT_FREQ_PS_STR_1,
-         PLL_C_CNT_PHASE_PS_STR_1 => PLL_C_CNT_PHASE_PS_STR_1,
-         PLL_C_CNT_DUTY_CYCLE_1 => PLL_C_CNT_DUTY_CYCLE_1,
-         PLL_C_CNT_OUT_EN_1 => PLL_C_CNT_OUT_EN_1,
-         PLL_C_CNT_HIGH_2 => PLL_C_CNT_HIGH_2,
-         PLL_C_CNT_LOW_2 => PLL_C_CNT_LOW_2,
-         PLL_C_CNT_PRST_2 => PLL_C_CNT_PRST_2,
-         PLL_C_CNT_PH_MUX_PRST_2 => PLL_C_CNT_PH_MUX_PRST_2,
-         PLL_C_CNT_BYPASS_EN_2 => PLL_C_CNT_BYPASS_EN_2,
-         PLL_C_CNT_EVEN_DUTY_EN_2 => PLL_C_CNT_EVEN_DUTY_EN_2,
-         PLL_C_CNT_FREQ_PS_STR_2 => PLL_C_CNT_FREQ_PS_STR_2,
-         PLL_C_CNT_PHASE_PS_STR_2 => PLL_C_CNT_PHASE_PS_STR_2,
-         PLL_C_CNT_DUTY_CYCLE_2 => PLL_C_CNT_DUTY_CYCLE_2,
-         PLL_C_CNT_OUT_EN_2 => PLL_C_CNT_OUT_EN_2,
-         PLL_C_CNT_HIGH_3 => PLL_C_CNT_HIGH_3,
-         PLL_C_CNT_LOW_3 => PLL_C_CNT_LOW_3,
-         PLL_C_CNT_PRST_3 => PLL_C_CNT_PRST_3,
-         PLL_C_CNT_PH_MUX_PRST_3 => PLL_C_CNT_PH_MUX_PRST_3,
-         PLL_C_CNT_BYPASS_EN_3 => PLL_C_CNT_BYPASS_EN_3,
-         PLL_C_CNT_EVEN_DUTY_EN_3 => PLL_C_CNT_EVEN_DUTY_EN_3,
-         PLL_C_CNT_FREQ_PS_STR_3 => PLL_C_CNT_FREQ_PS_STR_3,
-         PLL_C_CNT_PHASE_PS_STR_3 => PLL_C_CNT_PHASE_PS_STR_3,
-         PLL_C_CNT_DUTY_CYCLE_3 => PLL_C_CNT_DUTY_CYCLE_3,
-         PLL_C_CNT_OUT_EN_3 => PLL_C_CNT_OUT_EN_3,
-         PLL_C_CNT_HIGH_4 => PLL_C_CNT_HIGH_4,
-         PLL_C_CNT_LOW_4 => PLL_C_CNT_LOW_4,
-         PLL_C_CNT_PRST_4 => PLL_C_CNT_PRST_4,
-         PLL_C_CNT_PH_MUX_PRST_4 => PLL_C_CNT_PH_MUX_PRST_4,
-         PLL_C_CNT_BYPASS_EN_4 => PLL_C_CNT_BYPASS_EN_4,
-         PLL_C_CNT_EVEN_DUTY_EN_4 => PLL_C_CNT_EVEN_DUTY_EN_4,
-         PLL_C_CNT_FREQ_PS_STR_4 => PLL_C_CNT_FREQ_PS_STR_4,
-         PLL_C_CNT_PHASE_PS_STR_4 => PLL_C_CNT_PHASE_PS_STR_4,
-         PLL_C_CNT_DUTY_CYCLE_4 => PLL_C_CNT_DUTY_CYCLE_4,
-         PLL_C_CNT_OUT_EN_4 => PLL_C_CNT_OUT_EN_4,
-         PLL_C_CNT_HIGH_5 => PLL_C_CNT_HIGH_5,
-         PLL_C_CNT_LOW_5 => PLL_C_CNT_LOW_5,
-         PLL_C_CNT_PRST_5 => PLL_C_CNT_PRST_5,
-         PLL_C_CNT_PH_MUX_PRST_5 => PLL_C_CNT_PH_MUX_PRST_5,
-         PLL_C_CNT_BYPASS_EN_5 => PLL_C_CNT_BYPASS_EN_5,
-         PLL_C_CNT_EVEN_DUTY_EN_5 => PLL_C_CNT_EVEN_DUTY_EN_5,
-         PLL_C_CNT_FREQ_PS_STR_5 => PLL_C_CNT_FREQ_PS_STR_5,
-         PLL_C_CNT_PHASE_PS_STR_5 => PLL_C_CNT_PHASE_PS_STR_5,
-         PLL_C_CNT_DUTY_CYCLE_5 => PLL_C_CNT_DUTY_CYCLE_5,
-         PLL_C_CNT_OUT_EN_5 => PLL_C_CNT_OUT_EN_5,
-         PLL_C_CNT_HIGH_6 => PLL_C_CNT_HIGH_6,
-         PLL_C_CNT_LOW_6 => PLL_C_CNT_LOW_6,
-         PLL_C_CNT_PRST_6 => PLL_C_CNT_PRST_6,
-         PLL_C_CNT_PH_MUX_PRST_6 => PLL_C_CNT_PH_MUX_PRST_6,
-         PLL_C_CNT_BYPASS_EN_6 => PLL_C_CNT_BYPASS_EN_6,
-         PLL_C_CNT_EVEN_DUTY_EN_6 => PLL_C_CNT_EVEN_DUTY_EN_6,
-         PLL_C_CNT_FREQ_PS_STR_6 => PLL_C_CNT_FREQ_PS_STR_6,
-         PLL_C_CNT_PHASE_PS_STR_6 => PLL_C_CNT_PHASE_PS_STR_6,
-         PLL_C_CNT_DUTY_CYCLE_6 => PLL_C_CNT_DUTY_CYCLE_6,
-         PLL_C_CNT_OUT_EN_6 => PLL_C_CNT_OUT_EN_6,
-         PLL_C_CNT_HIGH_7 => PLL_C_CNT_HIGH_7,
-         PLL_C_CNT_LOW_7 => PLL_C_CNT_LOW_7,
-         PLL_C_CNT_PRST_7 => PLL_C_CNT_PRST_7,
-         PLL_C_CNT_PH_MUX_PRST_7 => PLL_C_CNT_PH_MUX_PRST_7,
-         PLL_C_CNT_BYPASS_EN_7 => PLL_C_CNT_BYPASS_EN_7,
-         PLL_C_CNT_EVEN_DUTY_EN_7 => PLL_C_CNT_EVEN_DUTY_EN_7,
-         PLL_C_CNT_FREQ_PS_STR_7 => PLL_C_CNT_FREQ_PS_STR_7,
-         PLL_C_CNT_PHASE_PS_STR_7 => PLL_C_CNT_PHASE_PS_STR_7,
-         PLL_C_CNT_DUTY_CYCLE_7 => PLL_C_CNT_DUTY_CYCLE_7,
-         PLL_C_CNT_OUT_EN_7 => PLL_C_CNT_OUT_EN_7,
-         PLL_C_CNT_HIGH_8 => PLL_C_CNT_HIGH_8,
-         PLL_C_CNT_LOW_8 => PLL_C_CNT_LOW_8,
-         PLL_C_CNT_PRST_8 => PLL_C_CNT_PRST_8,
-         PLL_C_CNT_PH_MUX_PRST_8 => PLL_C_CNT_PH_MUX_PRST_8,
-         PLL_C_CNT_BYPASS_EN_8 => PLL_C_CNT_BYPASS_EN_8,
-         PLL_C_CNT_EVEN_DUTY_EN_8 => PLL_C_CNT_EVEN_DUTY_EN_8,
-         PLL_C_CNT_FREQ_PS_STR_8 => PLL_C_CNT_FREQ_PS_STR_8,
-         PLL_C_CNT_PHASE_PS_STR_8 => PLL_C_CNT_PHASE_PS_STR_8,
-         PLL_C_CNT_DUTY_CYCLE_8 => PLL_C_CNT_DUTY_CYCLE_8,
-         PLL_C_CNT_OUT_EN_8 => PLL_C_CNT_OUT_EN_8,
-         SEQ_SYNTH_PARAMS_HEX_FILENAME => "ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_seq_params_synth.hex",
-         SEQ_SIM_PARAMS_HEX_FILENAME => "ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_seq_params_sim.hex",
-         SEQ_CODE_HEX_FILENAME => "ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_seq_cal.hex"
-      )
-      port map (
-         global_reset_n => global_reset_n,
-         pll_ref_clk => pll_ref_clk,
-         pll_locked => pll_locked,
-         pll_extra_clk_0 => pll_extra_clk_0,
-         pll_extra_clk_1 => pll_extra_clk_1,
-         pll_extra_clk_2 => pll_extra_clk_2,
-         pll_extra_clk_3 => pll_extra_clk_3,
-         oct_rzqin => oct_rzqin,
-         mem_ck => mem_ck,
-         mem_ck_n => mem_ck_n,
-         mem_a => mem_a,
-         mem_act_n => mem_act_n,
-         mem_ba => mem_ba,
-         mem_bg => mem_bg,
-         mem_c => mem_c,
-         mem_cke => mem_cke,
-         mem_cs_n => mem_cs_n,
-         mem_rm => mem_rm,
-         mem_odt => mem_odt,
-         mem_reset_n => mem_reset_n,
-         mem_par => mem_par,
-         mem_alert_n => mem_alert_n,
-         mem_dqs => mem_dqs,
-         mem_dqs_n => mem_dqs_n,
-         mem_dq => mem_dq,
-         mem_dbi_n => mem_dbi_n,
-         mem_dk => mem_dk,
-         mem_dk_n => mem_dk_n,
-         mem_dka => mem_dka,
-         mem_dka_n => mem_dka_n,
-         mem_dkb => mem_dkb,
-         mem_dkb_n => mem_dkb_n,
-         mem_k => mem_k,
-         mem_k_n => mem_k_n,
-         mem_ras_n => mem_ras_n,
-         mem_cas_n => mem_cas_n,
-         mem_we_n => mem_we_n,
-         mem_ca => mem_ca,
-         mem_ref_n => mem_ref_n,
-         mem_wps_n => mem_wps_n,
-         mem_rps_n => mem_rps_n,
-         mem_doff_n => mem_doff_n,
-         mem_lda_n => mem_lda_n,
-         mem_ldb_n => mem_ldb_n,
-         mem_rwa_n => mem_rwa_n,
-         mem_rwb_n => mem_rwb_n,
-         mem_lbk0_n => mem_lbk0_n,
-         mem_lbk1_n => mem_lbk1_n,
-         mem_cfg_n => mem_cfg_n,
-         mem_ap => mem_ap,
-         mem_ainv => mem_ainv,
-         mem_dm => mem_dm,
-         mem_bws_n => mem_bws_n,
-         mem_d => mem_d,
-         mem_dqa => mem_dqa,
-         mem_dqb => mem_dqb,
-         mem_dinva => mem_dinva,
-         mem_dinvb => mem_dinvb,
-         mem_q => mem_q,
-         mem_qk => mem_qk,
-         mem_qk_n => mem_qk_n,
-         mem_qka => mem_qka,
-         mem_qka_n => mem_qka_n,
-         mem_qkb => mem_qkb,
-         mem_qkb_n => mem_qkb_n,
-         mem_cq => mem_cq,
-         mem_cq_n => mem_cq_n,
-         mem_pe_n => mem_pe_n,
-         local_cal_success => local_cal_success,
-         local_cal_fail => local_cal_fail,
-         vid_cal_done_persist => vid_cal_done_persist,
-         afi_reset_n => afi_reset_n,
-         afi_clk => afi_clk,
-         afi_half_clk => afi_half_clk,
-         emif_usr_reset_n => emif_usr_reset_n,
-         emif_usr_clk => emif_usr_clk,
-         emif_usr_half_clk => emif_usr_half_clk,
-         emif_usr_reset_n_sec => emif_usr_reset_n_sec,
-         emif_usr_clk_sec => emif_usr_clk_sec,
-         emif_usr_half_clk_sec => emif_usr_half_clk_sec,
-         cal_master_reset_n => cal_master_reset_n,
-         cal_master_clk => cal_master_clk,
-         cal_slave_reset_n => cal_slave_reset_n,
-         cal_slave_clk => cal_slave_clk,
-         cal_slave_reset_n_in => cal_slave_reset_n_in,
-         cal_slave_clk_in => cal_slave_clk_in,
-         cal_debug_reset_n => cal_debug_reset_n,
-         cal_debug_clk => cal_debug_clk,
-         cal_debug_out_reset_n => cal_debug_out_reset_n,
-         cal_debug_out_clk => cal_debug_out_clk,
-         clks_sharing_master_out => clks_sharing_master_out,
-         clks_sharing_slave_in => clks_sharing_slave_in,
-         clks_sharing_slave_out => clks_sharing_slave_out,
-         afi_cal_success => afi_cal_success,
-         afi_cal_fail => afi_cal_fail,
-         afi_cal_req => afi_cal_req,
-         afi_rlat => afi_rlat,
-         afi_wlat => afi_wlat,
-         afi_seq_busy => afi_seq_busy,
-         afi_ctl_refresh_done => afi_ctl_refresh_done,
-         afi_ctl_long_idle => afi_ctl_long_idle,
-         afi_mps_req => afi_mps_req,
-         afi_mps_ack => afi_mps_ack,
-         afi_addr => afi_addr,
-         afi_ba => afi_ba,
-         afi_bg => afi_bg,
-         afi_c => afi_c,
-         afi_cke => afi_cke,
-         afi_cs_n => afi_cs_n,
-         afi_rm => afi_rm,
-         afi_odt => afi_odt,
-         afi_ras_n => afi_ras_n,
-         afi_cas_n => afi_cas_n,
-         afi_we_n => afi_we_n,
-         afi_rst_n => afi_rst_n,
-         afi_act_n => afi_act_n,
-         afi_par => afi_par,
-         afi_ca => afi_ca,
-         afi_ref_n => afi_ref_n,
-         afi_wps_n => afi_wps_n,
-         afi_rps_n => afi_rps_n,
-         afi_doff_n => afi_doff_n,
-         afi_ld_n => afi_ld_n,
-         afi_rw_n => afi_rw_n,
-         afi_lbk0_n => afi_lbk0_n,
-         afi_lbk1_n => afi_lbk1_n,
-         afi_cfg_n => afi_cfg_n,
-         afi_ap => afi_ap,
-         afi_ainv => afi_ainv,
-         afi_dm => afi_dm,
-         afi_dm_n => afi_dm_n,
-         afi_bws_n => afi_bws_n,
-         afi_rdata_dbi_n => afi_rdata_dbi_n,
-         afi_wdata_dbi_n => afi_wdata_dbi_n,
-         afi_rdata_dinv => afi_rdata_dinv,
-         afi_wdata_dinv => afi_wdata_dinv,
-         afi_dqs_burst => afi_dqs_burst,
-         afi_wdata_valid => afi_wdata_valid,
-         afi_wdata => afi_wdata,
-         afi_rdata_en_full => afi_rdata_en_full,
-         afi_rdata => afi_rdata,
-         afi_rdata_valid => afi_rdata_valid,
-         afi_rrank => afi_rrank,
-         afi_wrank => afi_wrank,
-         afi_alert_n => afi_alert_n,
-         afi_pe_n => afi_pe_n,
-         ast_cmd_data_0 => ast_cmd_data_0,
-         ast_cmd_valid_0 => ast_cmd_valid_0,
-         ast_cmd_ready_0 => ast_cmd_ready_0,
-         ast_cmd_data_1 => ast_cmd_data_1,
-         ast_cmd_valid_1 => ast_cmd_valid_1,
-         ast_cmd_ready_1 => ast_cmd_ready_1,
-         ast_wr_data_0 => ast_wr_data_0,
-         ast_wr_valid_0 => ast_wr_valid_0,
-         ast_wr_ready_0 => ast_wr_ready_0,
-         ast_wr_data_1 => ast_wr_data_1,
-         ast_wr_valid_1 => ast_wr_valid_1,
-         ast_wr_ready_1 => ast_wr_ready_1,
-         ast_rd_data_0 => ast_rd_data_0,
-         ast_rd_valid_0 => ast_rd_valid_0,
-         ast_rd_ready_0 => ast_rd_ready_0,
-         ast_rd_data_1 => ast_rd_data_1,
-         ast_rd_valid_1 => ast_rd_valid_1,
-         ast_rd_ready_1 => ast_rd_ready_1,
-         amm_ready_0 => amm_ready_0,
-         amm_read_0 => amm_read_0,
-         amm_write_0 => amm_write_0,
-         amm_address_0 => amm_address_0,
-         amm_readdata_0 => amm_readdata_0,
-         amm_writedata_0 => amm_writedata_0,
-         amm_burstcount_0 => amm_burstcount_0,
-         amm_byteenable_0 => amm_byteenable_0,
-         amm_beginbursttransfer_0 => amm_beginbursttransfer_0,
-         amm_readdatavalid_0 => amm_readdatavalid_0,
-         amm_ready_1 => amm_ready_1,
-         amm_read_1 => amm_read_1,
-         amm_write_1 => amm_write_1,
-         amm_address_1 => amm_address_1,
-         amm_readdata_1 => amm_readdata_1,
-         amm_writedata_1 => amm_writedata_1,
-         amm_burstcount_1 => amm_burstcount_1,
-         amm_byteenable_1 => amm_byteenable_1,
-         amm_beginbursttransfer_1 => amm_beginbursttransfer_1,
-         amm_readdatavalid_1 => amm_readdatavalid_1,
-         ctrl_user_priority_hi_0 => ctrl_user_priority_hi_0,
-         ctrl_user_priority_hi_1 => ctrl_user_priority_hi_1,
-         ctrl_auto_precharge_req_0 => ctrl_auto_precharge_req_0,
-         ctrl_auto_precharge_req_1 => ctrl_auto_precharge_req_1,
-         ctrl_user_refresh_req => ctrl_user_refresh_req,
-         ctrl_user_refresh_bank => ctrl_user_refresh_bank,
-         ctrl_user_refresh_ack => ctrl_user_refresh_ack,
-         ctrl_self_refresh_req => ctrl_self_refresh_req,
-         ctrl_self_refresh_ack => ctrl_self_refresh_ack,
-         ctrl_will_refresh => ctrl_will_refresh,
-         ctrl_deep_power_down_req => ctrl_deep_power_down_req,
-         ctrl_deep_power_down_ack => ctrl_deep_power_down_ack,
-         ctrl_power_down_ack => ctrl_power_down_ack,
-         ctrl_zq_cal_long_req => ctrl_zq_cal_long_req,
-         ctrl_zq_cal_short_req => ctrl_zq_cal_short_req,
-         ctrl_zq_cal_ack => ctrl_zq_cal_ack,
-         ctrl_ecc_write_info_0 => ctrl_ecc_write_info_0,
-         ctrl_ecc_rdata_id_0 => ctrl_ecc_rdata_id_0,
-         ctrl_ecc_read_info_0 => ctrl_ecc_read_info_0,
-         ctrl_ecc_cmd_info_0 => ctrl_ecc_cmd_info_0,
-         ctrl_ecc_idle_0 => ctrl_ecc_idle_0,
-         ctrl_ecc_wr_pointer_info_0 => ctrl_ecc_wr_pointer_info_0,
-         ctrl_ecc_write_info_1 => ctrl_ecc_write_info_1,
-         ctrl_ecc_rdata_id_1 => ctrl_ecc_rdata_id_1,
-         ctrl_ecc_read_info_1 => ctrl_ecc_read_info_1,
-         ctrl_ecc_cmd_info_1 => ctrl_ecc_cmd_info_1,
-         ctrl_ecc_idle_1 => ctrl_ecc_idle_1,
-         ctrl_ecc_wr_pointer_info_1 => ctrl_ecc_wr_pointer_info_1,
-         mmr_slave_waitrequest_0 => mmr_slave_waitrequest_0,
-         mmr_slave_read_0 => mmr_slave_read_0,
-         mmr_slave_write_0 => mmr_slave_write_0,
-         mmr_slave_address_0 => mmr_slave_address_0,
-         mmr_slave_readdata_0 => mmr_slave_readdata_0,
-         mmr_slave_writedata_0 => mmr_slave_writedata_0,
-         mmr_slave_burstcount_0 => mmr_slave_burstcount_0,
-         mmr_slave_beginbursttransfer_0 => mmr_slave_beginbursttransfer_0,
-         mmr_slave_readdatavalid_0 => mmr_slave_readdatavalid_0,
-         mmr_slave_waitrequest_1 => mmr_slave_waitrequest_1,
-         mmr_slave_read_1 => mmr_slave_read_1,
-         mmr_slave_write_1 => mmr_slave_write_1,
-         mmr_slave_address_1 => mmr_slave_address_1,
-         mmr_slave_readdata_1 => mmr_slave_readdata_1,
-         mmr_slave_writedata_1 => mmr_slave_writedata_1,
-         mmr_slave_burstcount_1 => mmr_slave_burstcount_1,
-         mmr_slave_beginbursttransfer_1 => mmr_slave_beginbursttransfer_1,
-         mmr_slave_readdatavalid_1 => mmr_slave_readdatavalid_1,
-         hps_to_emif => hps_to_emif,
-         emif_to_hps => emif_to_hps,
-         hps_to_emif_gp => hps_to_emif_gp,
-         emif_to_hps_gp => emif_to_hps_gp,
-         cal_debug_waitrequest => cal_debug_waitrequest,
-         cal_debug_read => cal_debug_read,
-         cal_debug_write => cal_debug_write,
-         cal_debug_addr => cal_debug_addr,
-         cal_debug_read_data => cal_debug_read_data,
-         cal_debug_write_data => cal_debug_write_data,
-         cal_debug_byteenable => cal_debug_byteenable,
-         cal_debug_read_data_valid => cal_debug_read_data_valid,
-         cal_debug_out_waitrequest => cal_debug_out_waitrequest,
-         cal_debug_out_read => cal_debug_out_read,
-         cal_debug_out_write => cal_debug_out_write,
-         cal_debug_out_addr => cal_debug_out_addr,
-         cal_debug_out_read_data => cal_debug_out_read_data,
-         cal_debug_out_write_data => cal_debug_out_write_data,
-         cal_debug_out_byteenable => cal_debug_out_byteenable,
-         cal_debug_out_read_data_valid => cal_debug_out_read_data_valid,
-         cal_master_waitrequest => cal_master_waitrequest,
-         cal_master_read => cal_master_read,
-         cal_master_write => cal_master_write,
-         cal_master_addr => cal_master_addr,
-         cal_master_read_data => cal_master_read_data,
-         cal_master_write_data => cal_master_write_data,
-         cal_master_byteenable => cal_master_byteenable,
-         cal_master_read_data_valid => cal_master_read_data_valid,
-         cal_master_burstcount => cal_master_burstcount,
-         cal_master_debugaccess => cal_master_debugaccess,
-         ioaux_pio_in => ioaux_pio_in,
-         ioaux_pio_out => ioaux_pio_out,
-         pa_dprio_clk => pa_dprio_clk,
-         pa_dprio_read => pa_dprio_read,
-         pa_dprio_reg_addr => pa_dprio_reg_addr,
-         pa_dprio_rst_n => pa_dprio_rst_n,
-         pa_dprio_write => pa_dprio_write,
-         pa_dprio_writedata => pa_dprio_writedata,
-         pa_dprio_block_select => pa_dprio_block_select,
-         pa_dprio_readdata => pa_dprio_readdata,
-         pll_phase_en => pll_phase_en,
-         pll_up_dn => pll_up_dn,
-         pll_cnt_sel => pll_cnt_sel,
-         pll_num_phase_shifts => pll_num_phase_shifts,
-         pll_phase_done => pll_phase_done,
-         dft_core_clk_buf_out => dft_core_clk_buf_out,
-         dft_core_clk_locked => dft_core_clk_locked
-      );
+  arch_inst : component ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_top
+    generic map (
+      PROTOCOL_ENUM => PROTOCOL_ENUM,
+      PHY_TARGET_IS_ES => PHY_TARGET_IS_ES,
+      PHY_TARGET_IS_ES2 => PHY_TARGET_IS_ES2,
+      PHY_TARGET_IS_PRODUCTION => PHY_TARGET_IS_PRODUCTION,
+      PHY_CONFIG_ENUM => PHY_CONFIG_ENUM,
+      PHY_PING_PONG_EN => PHY_PING_PONG_EN,
+      PHY_CORE_CLKS_SHARING_ENUM => PHY_CORE_CLKS_SHARING_ENUM,
+      PHY_CALIBRATED_OCT => PHY_CALIBRATED_OCT,
+      PHY_AC_CALIBRATED_OCT => PHY_AC_CALIBRATED_OCT,
+      PHY_CK_CALIBRATED_OCT => PHY_CK_CALIBRATED_OCT,
+      PHY_DATA_CALIBRATED_OCT => PHY_DATA_CALIBRATED_OCT,
+      PHY_HPS_ENABLE_EARLY_RELEASE => PHY_HPS_ENABLE_EARLY_RELEASE,
+      PLL_NUM_OF_EXTRA_CLKS => PLL_NUM_OF_EXTRA_CLKS,
+      MEM_FORMAT_ENUM => MEM_FORMAT_ENUM,
+      MEM_BURST_LENGTH => MEM_BURST_LENGTH,
+      MEM_DATA_MASK_EN => MEM_DATA_MASK_EN,
+      MEM_TTL_DATA_WIDTH => MEM_TTL_DATA_WIDTH,
+      MEM_TTL_NUM_OF_READ_GROUPS => MEM_TTL_NUM_OF_READ_GROUPS,
+      MEM_TTL_NUM_OF_WRITE_GROUPS => MEM_TTL_NUM_OF_WRITE_GROUPS,
+      DIAG_SIM_REGTEST_MODE => DIAG_SIM_REGTEST_MODE,
+      DIAG_SYNTH_FOR_SIM => DIAG_SYNTH_FOR_SIM,
+      DIAG_ECLIPSE_DEBUG => DIAG_ECLIPSE_DEBUG,
+      DIAG_EXPORT_VJI => DIAG_EXPORT_VJI,
+      DIAG_INTERFACE_ID => DIAG_INTERFACE_ID,
+      DIAG_SIM_VERBOSE_LEVEL => DIAG_SIM_VERBOSE_LEVEL,
+      DIAG_FAST_SIM => DIAG_FAST_SIM,
+      DIAG_USE_ABSTRACT_PHY => DIAG_USE_ABSTRACT_PHY,
+      SILICON_REV => SILICON_REV,
+      IS_HPS => IS_HPS,
+      IS_VID => IS_VID,
+      USER_CLK_RATIO => USER_CLK_RATIO,
+      C2P_P2C_CLK_RATIO => C2P_P2C_CLK_RATIO,
+      PHY_HMC_CLK_RATIO => PHY_HMC_CLK_RATIO,
+      DIAG_ABSTRACT_PHY_WLAT => DIAG_ABSTRACT_PHY_WLAT,
+      DIAG_ABSTRACT_PHY_RLAT => DIAG_ABSTRACT_PHY_RLAT,
+      DIAG_CPA_OUT_1_EN => DIAG_CPA_OUT_1_EN,
+      DIAG_USE_CPA_LOCK => DIAG_USE_CPA_LOCK,
+      DQS_BUS_MODE_ENUM => DQS_BUS_MODE_ENUM,
+      AC_PIN_MAP_SCHEME => AC_PIN_MAP_SCHEME,
+      NUM_OF_HMC_PORTS => NUM_OF_HMC_PORTS,
+      HMC_AVL_PROTOCOL_ENUM => HMC_AVL_PROTOCOL_ENUM,
+      HMC_CTRL_DIMM_TYPE => HMC_CTRL_DIMM_TYPE,
+      REGISTER_AFI => REGISTER_AFI,
+      SEQ_SYNTH_CPU_CLK_DIVIDE => SEQ_SYNTH_CPU_CLK_DIVIDE,
+      SEQ_SYNTH_CAL_CLK_DIVIDE => SEQ_SYNTH_CAL_CLK_DIVIDE,
+      SEQ_SIM_CPU_CLK_DIVIDE => SEQ_SIM_CPU_CLK_DIVIDE,
+      SEQ_SIM_CAL_CLK_DIVIDE => SEQ_SIM_CAL_CLK_DIVIDE,
+      SEQ_SYNTH_OSC_FREQ_MHZ => SEQ_SYNTH_OSC_FREQ_MHZ,
+      SEQ_SIM_OSC_FREQ_MHZ => SEQ_SIM_OSC_FREQ_MHZ,
+      NUM_OF_RTL_TILES => NUM_OF_RTL_TILES,
+      PRI_RDATA_TILE_INDEX => PRI_RDATA_TILE_INDEX,
+      PRI_RDATA_LANE_INDEX => PRI_RDATA_LANE_INDEX,
+      PRI_WDATA_TILE_INDEX => PRI_WDATA_TILE_INDEX,
+      PRI_WDATA_LANE_INDEX => PRI_WDATA_LANE_INDEX,
+      PRI_AC_TILE_INDEX => PRI_AC_TILE_INDEX,
+      SEC_RDATA_TILE_INDEX => SEC_RDATA_TILE_INDEX,
+      SEC_RDATA_LANE_INDEX => SEC_RDATA_LANE_INDEX,
+      SEC_WDATA_TILE_INDEX => SEC_WDATA_TILE_INDEX,
+      SEC_WDATA_LANE_INDEX => SEC_WDATA_LANE_INDEX,
+      SEC_AC_TILE_INDEX => SEC_AC_TILE_INDEX,
+      LANES_USAGE_0 => LANES_USAGE_0,
+      LANES_USAGE_1 => LANES_USAGE_1,
+      LANES_USAGE_2 => LANES_USAGE_2,
+      LANES_USAGE_3 => LANES_USAGE_3,
+      LANES_USAGE_AUTOGEN_WCNT => LANES_USAGE_AUTOGEN_WCNT,
+      PINS_USAGE_0 => PINS_USAGE_0,
+      PINS_USAGE_1 => PINS_USAGE_1,
+      PINS_USAGE_2 => PINS_USAGE_2,
+      PINS_USAGE_3 => PINS_USAGE_3,
+      PINS_USAGE_4 => PINS_USAGE_4,
+      PINS_USAGE_5 => PINS_USAGE_5,
+      PINS_USAGE_6 => PINS_USAGE_6,
+      PINS_USAGE_7 => PINS_USAGE_7,
+      PINS_USAGE_8 => PINS_USAGE_8,
+      PINS_USAGE_9 => PINS_USAGE_9,
+      PINS_USAGE_10 => PINS_USAGE_10,
+      PINS_USAGE_11 => PINS_USAGE_11,
+      PINS_USAGE_12 => PINS_USAGE_12,
+      PINS_USAGE_AUTOGEN_WCNT => PINS_USAGE_AUTOGEN_WCNT,
+      PINS_RATE_0 => PINS_RATE_0,
+      PINS_RATE_1 => PINS_RATE_1,
+      PINS_RATE_2 => PINS_RATE_2,
+      PINS_RATE_3 => PINS_RATE_3,
+      PINS_RATE_4 => PINS_RATE_4,
+      PINS_RATE_5 => PINS_RATE_5,
+      PINS_RATE_6 => PINS_RATE_6,
+      PINS_RATE_7 => PINS_RATE_7,
+      PINS_RATE_8 => PINS_RATE_8,
+      PINS_RATE_9 => PINS_RATE_9,
+      PINS_RATE_10 => PINS_RATE_10,
+      PINS_RATE_11 => PINS_RATE_11,
+      PINS_RATE_12 => PINS_RATE_12,
+      PINS_RATE_AUTOGEN_WCNT => PINS_RATE_AUTOGEN_WCNT,
+      PINS_WDB_0 => PINS_WDB_0,
+      PINS_WDB_1 => PINS_WDB_1,
+      PINS_WDB_2 => PINS_WDB_2,
+      PINS_WDB_3 => PINS_WDB_3,
+      PINS_WDB_4 => PINS_WDB_4,
+      PINS_WDB_5 => PINS_WDB_5,
+      PINS_WDB_6 => PINS_WDB_6,
+      PINS_WDB_7 => PINS_WDB_7,
+      PINS_WDB_8 => PINS_WDB_8,
+      PINS_WDB_9 => PINS_WDB_9,
+      PINS_WDB_10 => PINS_WDB_10,
+      PINS_WDB_11 => PINS_WDB_11,
+      PINS_WDB_12 => PINS_WDB_12,
+      PINS_WDB_13 => PINS_WDB_13,
+      PINS_WDB_14 => PINS_WDB_14,
+      PINS_WDB_15 => PINS_WDB_15,
+      PINS_WDB_16 => PINS_WDB_16,
+      PINS_WDB_17 => PINS_WDB_17,
+      PINS_WDB_18 => PINS_WDB_18,
+      PINS_WDB_19 => PINS_WDB_19,
+      PINS_WDB_20 => PINS_WDB_20,
+      PINS_WDB_21 => PINS_WDB_21,
+      PINS_WDB_22 => PINS_WDB_22,
+      PINS_WDB_23 => PINS_WDB_23,
+      PINS_WDB_24 => PINS_WDB_24,
+      PINS_WDB_25 => PINS_WDB_25,
+      PINS_WDB_26 => PINS_WDB_26,
+      PINS_WDB_27 => PINS_WDB_27,
+      PINS_WDB_28 => PINS_WDB_28,
+      PINS_WDB_29 => PINS_WDB_29,
+      PINS_WDB_30 => PINS_WDB_30,
+      PINS_WDB_31 => PINS_WDB_31,
+      PINS_WDB_32 => PINS_WDB_32,
+      PINS_WDB_33 => PINS_WDB_33,
+      PINS_WDB_34 => PINS_WDB_34,
+      PINS_WDB_35 => PINS_WDB_35,
+      PINS_WDB_36 => PINS_WDB_36,
+      PINS_WDB_37 => PINS_WDB_37,
+      PINS_WDB_38 => PINS_WDB_38,
+      PINS_WDB_AUTOGEN_WCNT => PINS_WDB_AUTOGEN_WCNT,
+      PINS_DATA_IN_MODE_0 => PINS_DATA_IN_MODE_0,
+      PINS_DATA_IN_MODE_1 => PINS_DATA_IN_MODE_1,
+      PINS_DATA_IN_MODE_2 => PINS_DATA_IN_MODE_2,
+      PINS_DATA_IN_MODE_3 => PINS_DATA_IN_MODE_3,
+      PINS_DATA_IN_MODE_4 => PINS_DATA_IN_MODE_4,
+      PINS_DATA_IN_MODE_5 => PINS_DATA_IN_MODE_5,
+      PINS_DATA_IN_MODE_6 => PINS_DATA_IN_MODE_6,
+      PINS_DATA_IN_MODE_7 => PINS_DATA_IN_MODE_7,
+      PINS_DATA_IN_MODE_8 => PINS_DATA_IN_MODE_8,
+      PINS_DATA_IN_MODE_9 => PINS_DATA_IN_MODE_9,
+      PINS_DATA_IN_MODE_10 => PINS_DATA_IN_MODE_10,
+      PINS_DATA_IN_MODE_11 => PINS_DATA_IN_MODE_11,
+      PINS_DATA_IN_MODE_12 => PINS_DATA_IN_MODE_12,
+      PINS_DATA_IN_MODE_13 => PINS_DATA_IN_MODE_13,
+      PINS_DATA_IN_MODE_14 => PINS_DATA_IN_MODE_14,
+      PINS_DATA_IN_MODE_15 => PINS_DATA_IN_MODE_15,
+      PINS_DATA_IN_MODE_16 => PINS_DATA_IN_MODE_16,
+      PINS_DATA_IN_MODE_17 => PINS_DATA_IN_MODE_17,
+      PINS_DATA_IN_MODE_18 => PINS_DATA_IN_MODE_18,
+      PINS_DATA_IN_MODE_19 => PINS_DATA_IN_MODE_19,
+      PINS_DATA_IN_MODE_20 => PINS_DATA_IN_MODE_20,
+      PINS_DATA_IN_MODE_21 => PINS_DATA_IN_MODE_21,
+      PINS_DATA_IN_MODE_22 => PINS_DATA_IN_MODE_22,
+      PINS_DATA_IN_MODE_23 => PINS_DATA_IN_MODE_23,
+      PINS_DATA_IN_MODE_24 => PINS_DATA_IN_MODE_24,
+      PINS_DATA_IN_MODE_25 => PINS_DATA_IN_MODE_25,
+      PINS_DATA_IN_MODE_26 => PINS_DATA_IN_MODE_26,
+      PINS_DATA_IN_MODE_27 => PINS_DATA_IN_MODE_27,
+      PINS_DATA_IN_MODE_28 => PINS_DATA_IN_MODE_28,
+      PINS_DATA_IN_MODE_29 => PINS_DATA_IN_MODE_29,
+      PINS_DATA_IN_MODE_30 => PINS_DATA_IN_MODE_30,
+      PINS_DATA_IN_MODE_31 => PINS_DATA_IN_MODE_31,
+      PINS_DATA_IN_MODE_32 => PINS_DATA_IN_MODE_32,
+      PINS_DATA_IN_MODE_33 => PINS_DATA_IN_MODE_33,
+      PINS_DATA_IN_MODE_34 => PINS_DATA_IN_MODE_34,
+      PINS_DATA_IN_MODE_35 => PINS_DATA_IN_MODE_35,
+      PINS_DATA_IN_MODE_36 => PINS_DATA_IN_MODE_36,
+      PINS_DATA_IN_MODE_37 => PINS_DATA_IN_MODE_37,
+      PINS_DATA_IN_MODE_38 => PINS_DATA_IN_MODE_38,
+      PINS_DATA_IN_MODE_AUTOGEN_WCNT => PINS_DATA_IN_MODE_AUTOGEN_WCNT,
+      PINS_C2L_DRIVEN_0 => PINS_C2L_DRIVEN_0,
+      PINS_C2L_DRIVEN_1 => PINS_C2L_DRIVEN_1,
+      PINS_C2L_DRIVEN_2 => PINS_C2L_DRIVEN_2,
+      PINS_C2L_DRIVEN_3 => PINS_C2L_DRIVEN_3,
+      PINS_C2L_DRIVEN_4 => PINS_C2L_DRIVEN_4,
+      PINS_C2L_DRIVEN_5 => PINS_C2L_DRIVEN_5,
+      PINS_C2L_DRIVEN_6 => PINS_C2L_DRIVEN_6,
+      PINS_C2L_DRIVEN_7 => PINS_C2L_DRIVEN_7,
+      PINS_C2L_DRIVEN_8 => PINS_C2L_DRIVEN_8,
+      PINS_C2L_DRIVEN_9 => PINS_C2L_DRIVEN_9,
+      PINS_C2L_DRIVEN_10 => PINS_C2L_DRIVEN_10,
+      PINS_C2L_DRIVEN_11 => PINS_C2L_DRIVEN_11,
+      PINS_C2L_DRIVEN_12 => PINS_C2L_DRIVEN_12,
+      PINS_C2L_DRIVEN_AUTOGEN_WCNT => PINS_C2L_DRIVEN_AUTOGEN_WCNT,
+      PINS_DB_IN_BYPASS_0 => PINS_DB_IN_BYPASS_0,
+      PINS_DB_IN_BYPASS_1 => PINS_DB_IN_BYPASS_1,
+      PINS_DB_IN_BYPASS_2 => PINS_DB_IN_BYPASS_2,
+      PINS_DB_IN_BYPASS_3 => PINS_DB_IN_BYPASS_3,
+      PINS_DB_IN_BYPASS_4 => PINS_DB_IN_BYPASS_4,
+      PINS_DB_IN_BYPASS_5 => PINS_DB_IN_BYPASS_5,
+      PINS_DB_IN_BYPASS_6 => PINS_DB_IN_BYPASS_6,
+      PINS_DB_IN_BYPASS_7 => PINS_DB_IN_BYPASS_7,
+      PINS_DB_IN_BYPASS_8 => PINS_DB_IN_BYPASS_8,
+      PINS_DB_IN_BYPASS_9 => PINS_DB_IN_BYPASS_9,
+      PINS_DB_IN_BYPASS_10 => PINS_DB_IN_BYPASS_10,
+      PINS_DB_IN_BYPASS_11 => PINS_DB_IN_BYPASS_11,
+      PINS_DB_IN_BYPASS_12 => PINS_DB_IN_BYPASS_12,
+      PINS_DB_IN_BYPASS_AUTOGEN_WCNT => PINS_DB_IN_BYPASS_AUTOGEN_WCNT,
+      PINS_DB_OUT_BYPASS_0 => PINS_DB_OUT_BYPASS_0,
+      PINS_DB_OUT_BYPASS_1 => PINS_DB_OUT_BYPASS_1,
+      PINS_DB_OUT_BYPASS_2 => PINS_DB_OUT_BYPASS_2,
+      PINS_DB_OUT_BYPASS_3 => PINS_DB_OUT_BYPASS_3,
+      PINS_DB_OUT_BYPASS_4 => PINS_DB_OUT_BYPASS_4,
+      PINS_DB_OUT_BYPASS_5 => PINS_DB_OUT_BYPASS_5,
+      PINS_DB_OUT_BYPASS_6 => PINS_DB_OUT_BYPASS_6,
+      PINS_DB_OUT_BYPASS_7 => PINS_DB_OUT_BYPASS_7,
+      PINS_DB_OUT_BYPASS_8 => PINS_DB_OUT_BYPASS_8,
+      PINS_DB_OUT_BYPASS_9 => PINS_DB_OUT_BYPASS_9,
+      PINS_DB_OUT_BYPASS_10 => PINS_DB_OUT_BYPASS_10,
+      PINS_DB_OUT_BYPASS_11 => PINS_DB_OUT_BYPASS_11,
+      PINS_DB_OUT_BYPASS_12 => PINS_DB_OUT_BYPASS_12,
+      PINS_DB_OUT_BYPASS_AUTOGEN_WCNT => PINS_DB_OUT_BYPASS_AUTOGEN_WCNT,
+      PINS_DB_OE_BYPASS_0 => PINS_DB_OE_BYPASS_0,
+      PINS_DB_OE_BYPASS_1 => PINS_DB_OE_BYPASS_1,
+      PINS_DB_OE_BYPASS_2 => PINS_DB_OE_BYPASS_2,
+      PINS_DB_OE_BYPASS_3 => PINS_DB_OE_BYPASS_3,
+      PINS_DB_OE_BYPASS_4 => PINS_DB_OE_BYPASS_4,
+      PINS_DB_OE_BYPASS_5 => PINS_DB_OE_BYPASS_5,
+      PINS_DB_OE_BYPASS_6 => PINS_DB_OE_BYPASS_6,
+      PINS_DB_OE_BYPASS_7 => PINS_DB_OE_BYPASS_7,
+      PINS_DB_OE_BYPASS_8 => PINS_DB_OE_BYPASS_8,
+      PINS_DB_OE_BYPASS_9 => PINS_DB_OE_BYPASS_9,
+      PINS_DB_OE_BYPASS_10 => PINS_DB_OE_BYPASS_10,
+      PINS_DB_OE_BYPASS_11 => PINS_DB_OE_BYPASS_11,
+      PINS_DB_OE_BYPASS_12 => PINS_DB_OE_BYPASS_12,
+      PINS_DB_OE_BYPASS_AUTOGEN_WCNT => PINS_DB_OE_BYPASS_AUTOGEN_WCNT,
+      PINS_INVERT_WR_0 => PINS_INVERT_WR_0,
+      PINS_INVERT_WR_1 => PINS_INVERT_WR_1,
+      PINS_INVERT_WR_2 => PINS_INVERT_WR_2,
+      PINS_INVERT_WR_3 => PINS_INVERT_WR_3,
+      PINS_INVERT_WR_4 => PINS_INVERT_WR_4,
+      PINS_INVERT_WR_5 => PINS_INVERT_WR_5,
+      PINS_INVERT_WR_6 => PINS_INVERT_WR_6,
+      PINS_INVERT_WR_7 => PINS_INVERT_WR_7,
+      PINS_INVERT_WR_8 => PINS_INVERT_WR_8,
+      PINS_INVERT_WR_9 => PINS_INVERT_WR_9,
+      PINS_INVERT_WR_10 => PINS_INVERT_WR_10,
+      PINS_INVERT_WR_11 => PINS_INVERT_WR_11,
+      PINS_INVERT_WR_12 => PINS_INVERT_WR_12,
+      PINS_INVERT_WR_AUTOGEN_WCNT => PINS_INVERT_WR_AUTOGEN_WCNT,
+      PINS_INVERT_OE_0 => PINS_INVERT_OE_0,
+      PINS_INVERT_OE_1 => PINS_INVERT_OE_1,
+      PINS_INVERT_OE_2 => PINS_INVERT_OE_2,
+      PINS_INVERT_OE_3 => PINS_INVERT_OE_3,
+      PINS_INVERT_OE_4 => PINS_INVERT_OE_4,
+      PINS_INVERT_OE_5 => PINS_INVERT_OE_5,
+      PINS_INVERT_OE_6 => PINS_INVERT_OE_6,
+      PINS_INVERT_OE_7 => PINS_INVERT_OE_7,
+      PINS_INVERT_OE_8 => PINS_INVERT_OE_8,
+      PINS_INVERT_OE_9 => PINS_INVERT_OE_9,
+      PINS_INVERT_OE_10 => PINS_INVERT_OE_10,
+      PINS_INVERT_OE_11 => PINS_INVERT_OE_11,
+      PINS_INVERT_OE_12 => PINS_INVERT_OE_12,
+      PINS_INVERT_OE_AUTOGEN_WCNT => PINS_INVERT_OE_AUTOGEN_WCNT,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_0 => PINS_AC_HMC_DATA_OVERRIDE_ENA_0,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_1 => PINS_AC_HMC_DATA_OVERRIDE_ENA_1,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_2 => PINS_AC_HMC_DATA_OVERRIDE_ENA_2,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_3 => PINS_AC_HMC_DATA_OVERRIDE_ENA_3,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_4 => PINS_AC_HMC_DATA_OVERRIDE_ENA_4,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_5 => PINS_AC_HMC_DATA_OVERRIDE_ENA_5,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_6 => PINS_AC_HMC_DATA_OVERRIDE_ENA_6,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_7 => PINS_AC_HMC_DATA_OVERRIDE_ENA_7,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_8 => PINS_AC_HMC_DATA_OVERRIDE_ENA_8,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_9 => PINS_AC_HMC_DATA_OVERRIDE_ENA_9,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_10 => PINS_AC_HMC_DATA_OVERRIDE_ENA_10,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_11 => PINS_AC_HMC_DATA_OVERRIDE_ENA_11,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_12 => PINS_AC_HMC_DATA_OVERRIDE_ENA_12,
+      PINS_AC_HMC_DATA_OVERRIDE_ENA_AUTOGEN_WCNT => PINS_AC_HMC_DATA_OVERRIDE_ENA_AUTOGEN_WCNT,
+      PINS_OCT_MODE_0 => PINS_OCT_MODE_0,
+      PINS_OCT_MODE_1 => PINS_OCT_MODE_1,
+      PINS_OCT_MODE_2 => PINS_OCT_MODE_2,
+      PINS_OCT_MODE_3 => PINS_OCT_MODE_3,
+      PINS_OCT_MODE_4 => PINS_OCT_MODE_4,
+      PINS_OCT_MODE_5 => PINS_OCT_MODE_5,
+      PINS_OCT_MODE_6 => PINS_OCT_MODE_6,
+      PINS_OCT_MODE_7 => PINS_OCT_MODE_7,
+      PINS_OCT_MODE_8 => PINS_OCT_MODE_8,
+      PINS_OCT_MODE_9 => PINS_OCT_MODE_9,
+      PINS_OCT_MODE_10 => PINS_OCT_MODE_10,
+      PINS_OCT_MODE_11 => PINS_OCT_MODE_11,
+      PINS_OCT_MODE_12 => PINS_OCT_MODE_12,
+      PINS_OCT_MODE_AUTOGEN_WCNT => PINS_OCT_MODE_AUTOGEN_WCNT,
+      PINS_GPIO_MODE_0 => PINS_GPIO_MODE_0,
+      PINS_GPIO_MODE_1 => PINS_GPIO_MODE_1,
+      PINS_GPIO_MODE_2 => PINS_GPIO_MODE_2,
+      PINS_GPIO_MODE_3 => PINS_GPIO_MODE_3,
+      PINS_GPIO_MODE_4 => PINS_GPIO_MODE_4,
+      PINS_GPIO_MODE_5 => PINS_GPIO_MODE_5,
+      PINS_GPIO_MODE_6 => PINS_GPIO_MODE_6,
+      PINS_GPIO_MODE_7 => PINS_GPIO_MODE_7,
+      PINS_GPIO_MODE_8 => PINS_GPIO_MODE_8,
+      PINS_GPIO_MODE_9 => PINS_GPIO_MODE_9,
+      PINS_GPIO_MODE_10 => PINS_GPIO_MODE_10,
+      PINS_GPIO_MODE_11 => PINS_GPIO_MODE_11,
+      PINS_GPIO_MODE_12 => PINS_GPIO_MODE_12,
+      PINS_GPIO_MODE_AUTOGEN_WCNT => PINS_GPIO_MODE_AUTOGEN_WCNT,
+      UNUSED_MEM_PINS_PINLOC_0 => UNUSED_MEM_PINS_PINLOC_0,
+      UNUSED_MEM_PINS_PINLOC_1 => UNUSED_MEM_PINS_PINLOC_1,
+      UNUSED_MEM_PINS_PINLOC_2 => UNUSED_MEM_PINS_PINLOC_2,
+      UNUSED_MEM_PINS_PINLOC_3 => UNUSED_MEM_PINS_PINLOC_3,
+      UNUSED_MEM_PINS_PINLOC_4 => UNUSED_MEM_PINS_PINLOC_4,
+      UNUSED_MEM_PINS_PINLOC_5 => UNUSED_MEM_PINS_PINLOC_5,
+      UNUSED_MEM_PINS_PINLOC_6 => UNUSED_MEM_PINS_PINLOC_6,
+      UNUSED_MEM_PINS_PINLOC_7 => UNUSED_MEM_PINS_PINLOC_7,
+      UNUSED_MEM_PINS_PINLOC_8 => UNUSED_MEM_PINS_PINLOC_8,
+      UNUSED_MEM_PINS_PINLOC_9 => UNUSED_MEM_PINS_PINLOC_9,
+      UNUSED_MEM_PINS_PINLOC_10 => UNUSED_MEM_PINS_PINLOC_10,
+      UNUSED_MEM_PINS_PINLOC_11 => UNUSED_MEM_PINS_PINLOC_11,
+      UNUSED_MEM_PINS_PINLOC_12 => UNUSED_MEM_PINS_PINLOC_12,
+      UNUSED_MEM_PINS_PINLOC_13 => UNUSED_MEM_PINS_PINLOC_13,
+      UNUSED_MEM_PINS_PINLOC_14 => UNUSED_MEM_PINS_PINLOC_14,
+      UNUSED_MEM_PINS_PINLOC_15 => UNUSED_MEM_PINS_PINLOC_15,
+      UNUSED_MEM_PINS_PINLOC_16 => UNUSED_MEM_PINS_PINLOC_16,
+      UNUSED_MEM_PINS_PINLOC_17 => UNUSED_MEM_PINS_PINLOC_17,
+      UNUSED_MEM_PINS_PINLOC_18 => UNUSED_MEM_PINS_PINLOC_18,
+      UNUSED_MEM_PINS_PINLOC_19 => UNUSED_MEM_PINS_PINLOC_19,
+      UNUSED_MEM_PINS_PINLOC_20 => UNUSED_MEM_PINS_PINLOC_20,
+      UNUSED_MEM_PINS_PINLOC_21 => UNUSED_MEM_PINS_PINLOC_21,
+      UNUSED_MEM_PINS_PINLOC_22 => UNUSED_MEM_PINS_PINLOC_22,
+      UNUSED_MEM_PINS_PINLOC_23 => UNUSED_MEM_PINS_PINLOC_23,
+      UNUSED_MEM_PINS_PINLOC_24 => UNUSED_MEM_PINS_PINLOC_24,
+      UNUSED_MEM_PINS_PINLOC_25 => UNUSED_MEM_PINS_PINLOC_25,
+      UNUSED_MEM_PINS_PINLOC_26 => UNUSED_MEM_PINS_PINLOC_26,
+      UNUSED_MEM_PINS_PINLOC_27 => UNUSED_MEM_PINS_PINLOC_27,
+      UNUSED_MEM_PINS_PINLOC_28 => UNUSED_MEM_PINS_PINLOC_28,
+      UNUSED_MEM_PINS_PINLOC_29 => UNUSED_MEM_PINS_PINLOC_29,
+      UNUSED_MEM_PINS_PINLOC_30 => UNUSED_MEM_PINS_PINLOC_30,
+      UNUSED_MEM_PINS_PINLOC_31 => UNUSED_MEM_PINS_PINLOC_31,
+      UNUSED_MEM_PINS_PINLOC_32 => UNUSED_MEM_PINS_PINLOC_32,
+      UNUSED_MEM_PINS_PINLOC_33 => UNUSED_MEM_PINS_PINLOC_33,
+      UNUSED_MEM_PINS_PINLOC_34 => UNUSED_MEM_PINS_PINLOC_34,
+      UNUSED_MEM_PINS_PINLOC_35 => UNUSED_MEM_PINS_PINLOC_35,
+      UNUSED_MEM_PINS_PINLOC_36 => UNUSED_MEM_PINS_PINLOC_36,
+      UNUSED_MEM_PINS_PINLOC_37 => UNUSED_MEM_PINS_PINLOC_37,
+      UNUSED_MEM_PINS_PINLOC_38 => UNUSED_MEM_PINS_PINLOC_38,
+      UNUSED_MEM_PINS_PINLOC_39 => UNUSED_MEM_PINS_PINLOC_39,
+      UNUSED_MEM_PINS_PINLOC_40 => UNUSED_MEM_PINS_PINLOC_40,
+      UNUSED_MEM_PINS_PINLOC_41 => UNUSED_MEM_PINS_PINLOC_41,
+      UNUSED_MEM_PINS_PINLOC_42 => UNUSED_MEM_PINS_PINLOC_42,
+      UNUSED_MEM_PINS_PINLOC_43 => UNUSED_MEM_PINS_PINLOC_43,
+      UNUSED_MEM_PINS_PINLOC_44 => UNUSED_MEM_PINS_PINLOC_44,
+      UNUSED_MEM_PINS_PINLOC_45 => UNUSED_MEM_PINS_PINLOC_45,
+      UNUSED_MEM_PINS_PINLOC_46 => UNUSED_MEM_PINS_PINLOC_46,
+      UNUSED_MEM_PINS_PINLOC_47 => UNUSED_MEM_PINS_PINLOC_47,
+      UNUSED_MEM_PINS_PINLOC_48 => UNUSED_MEM_PINS_PINLOC_48,
+      UNUSED_MEM_PINS_PINLOC_49 => UNUSED_MEM_PINS_PINLOC_49,
+      UNUSED_MEM_PINS_PINLOC_50 => UNUSED_MEM_PINS_PINLOC_50,
+      UNUSED_MEM_PINS_PINLOC_51 => UNUSED_MEM_PINS_PINLOC_51,
+      UNUSED_MEM_PINS_PINLOC_52 => UNUSED_MEM_PINS_PINLOC_52,
+      UNUSED_MEM_PINS_PINLOC_53 => UNUSED_MEM_PINS_PINLOC_53,
+      UNUSED_MEM_PINS_PINLOC_54 => UNUSED_MEM_PINS_PINLOC_54,
+      UNUSED_MEM_PINS_PINLOC_55 => UNUSED_MEM_PINS_PINLOC_55,
+      UNUSED_MEM_PINS_PINLOC_56 => UNUSED_MEM_PINS_PINLOC_56,
+      UNUSED_MEM_PINS_PINLOC_57 => UNUSED_MEM_PINS_PINLOC_57,
+      UNUSED_MEM_PINS_PINLOC_58 => UNUSED_MEM_PINS_PINLOC_58,
+      UNUSED_MEM_PINS_PINLOC_59 => UNUSED_MEM_PINS_PINLOC_59,
+      UNUSED_MEM_PINS_PINLOC_60 => UNUSED_MEM_PINS_PINLOC_60,
+      UNUSED_MEM_PINS_PINLOC_61 => UNUSED_MEM_PINS_PINLOC_61,
+      UNUSED_MEM_PINS_PINLOC_62 => UNUSED_MEM_PINS_PINLOC_62,
+      UNUSED_MEM_PINS_PINLOC_63 => UNUSED_MEM_PINS_PINLOC_63,
+      UNUSED_MEM_PINS_PINLOC_64 => UNUSED_MEM_PINS_PINLOC_64,
+      UNUSED_MEM_PINS_PINLOC_65 => UNUSED_MEM_PINS_PINLOC_65,
+      UNUSED_MEM_PINS_PINLOC_66 => UNUSED_MEM_PINS_PINLOC_66,
+      UNUSED_MEM_PINS_PINLOC_67 => UNUSED_MEM_PINS_PINLOC_67,
+      UNUSED_MEM_PINS_PINLOC_68 => UNUSED_MEM_PINS_PINLOC_68,
+      UNUSED_MEM_PINS_PINLOC_69 => UNUSED_MEM_PINS_PINLOC_69,
+      UNUSED_MEM_PINS_PINLOC_70 => UNUSED_MEM_PINS_PINLOC_70,
+      UNUSED_MEM_PINS_PINLOC_71 => UNUSED_MEM_PINS_PINLOC_71,
+      UNUSED_MEM_PINS_PINLOC_72 => UNUSED_MEM_PINS_PINLOC_72,
+      UNUSED_MEM_PINS_PINLOC_73 => UNUSED_MEM_PINS_PINLOC_73,
+      UNUSED_MEM_PINS_PINLOC_74 => UNUSED_MEM_PINS_PINLOC_74,
+      UNUSED_MEM_PINS_PINLOC_75 => UNUSED_MEM_PINS_PINLOC_75,
+      UNUSED_MEM_PINS_PINLOC_76 => UNUSED_MEM_PINS_PINLOC_76,
+      UNUSED_MEM_PINS_PINLOC_77 => UNUSED_MEM_PINS_PINLOC_77,
+      UNUSED_MEM_PINS_PINLOC_78 => UNUSED_MEM_PINS_PINLOC_78,
+      UNUSED_MEM_PINS_PINLOC_79 => UNUSED_MEM_PINS_PINLOC_79,
+      UNUSED_MEM_PINS_PINLOC_80 => UNUSED_MEM_PINS_PINLOC_80,
+      UNUSED_MEM_PINS_PINLOC_81 => UNUSED_MEM_PINS_PINLOC_81,
+      UNUSED_MEM_PINS_PINLOC_82 => UNUSED_MEM_PINS_PINLOC_82,
+      UNUSED_MEM_PINS_PINLOC_83 => UNUSED_MEM_PINS_PINLOC_83,
+      UNUSED_MEM_PINS_PINLOC_84 => UNUSED_MEM_PINS_PINLOC_84,
+      UNUSED_MEM_PINS_PINLOC_85 => UNUSED_MEM_PINS_PINLOC_85,
+      UNUSED_MEM_PINS_PINLOC_86 => UNUSED_MEM_PINS_PINLOC_86,
+      UNUSED_MEM_PINS_PINLOC_87 => UNUSED_MEM_PINS_PINLOC_87,
+      UNUSED_MEM_PINS_PINLOC_88 => UNUSED_MEM_PINS_PINLOC_88,
+      UNUSED_MEM_PINS_PINLOC_89 => UNUSED_MEM_PINS_PINLOC_89,
+      UNUSED_MEM_PINS_PINLOC_90 => UNUSED_MEM_PINS_PINLOC_90,
+      UNUSED_MEM_PINS_PINLOC_91 => UNUSED_MEM_PINS_PINLOC_91,
+      UNUSED_MEM_PINS_PINLOC_92 => UNUSED_MEM_PINS_PINLOC_92,
+      UNUSED_MEM_PINS_PINLOC_93 => UNUSED_MEM_PINS_PINLOC_93,
+      UNUSED_MEM_PINS_PINLOC_94 => UNUSED_MEM_PINS_PINLOC_94,
+      UNUSED_MEM_PINS_PINLOC_95 => UNUSED_MEM_PINS_PINLOC_95,
+      UNUSED_MEM_PINS_PINLOC_96 => UNUSED_MEM_PINS_PINLOC_96,
+      UNUSED_MEM_PINS_PINLOC_97 => UNUSED_MEM_PINS_PINLOC_97,
+      UNUSED_MEM_PINS_PINLOC_98 => UNUSED_MEM_PINS_PINLOC_98,
+      UNUSED_MEM_PINS_PINLOC_99 => UNUSED_MEM_PINS_PINLOC_99,
+      UNUSED_MEM_PINS_PINLOC_100 => UNUSED_MEM_PINS_PINLOC_100,
+      UNUSED_MEM_PINS_PINLOC_101 => UNUSED_MEM_PINS_PINLOC_101,
+      UNUSED_MEM_PINS_PINLOC_102 => UNUSED_MEM_PINS_PINLOC_102,
+      UNUSED_MEM_PINS_PINLOC_103 => UNUSED_MEM_PINS_PINLOC_103,
+      UNUSED_MEM_PINS_PINLOC_104 => UNUSED_MEM_PINS_PINLOC_104,
+      UNUSED_MEM_PINS_PINLOC_105 => UNUSED_MEM_PINS_PINLOC_105,
+      UNUSED_MEM_PINS_PINLOC_106 => UNUSED_MEM_PINS_PINLOC_106,
+      UNUSED_MEM_PINS_PINLOC_107 => UNUSED_MEM_PINS_PINLOC_107,
+      UNUSED_MEM_PINS_PINLOC_108 => UNUSED_MEM_PINS_PINLOC_108,
+      UNUSED_MEM_PINS_PINLOC_109 => UNUSED_MEM_PINS_PINLOC_109,
+      UNUSED_MEM_PINS_PINLOC_110 => UNUSED_MEM_PINS_PINLOC_110,
+      UNUSED_MEM_PINS_PINLOC_111 => UNUSED_MEM_PINS_PINLOC_111,
+      UNUSED_MEM_PINS_PINLOC_112 => UNUSED_MEM_PINS_PINLOC_112,
+      UNUSED_MEM_PINS_PINLOC_113 => UNUSED_MEM_PINS_PINLOC_113,
+      UNUSED_MEM_PINS_PINLOC_114 => UNUSED_MEM_PINS_PINLOC_114,
+      UNUSED_MEM_PINS_PINLOC_115 => UNUSED_MEM_PINS_PINLOC_115,
+      UNUSED_MEM_PINS_PINLOC_116 => UNUSED_MEM_PINS_PINLOC_116,
+      UNUSED_MEM_PINS_PINLOC_117 => UNUSED_MEM_PINS_PINLOC_117,
+      UNUSED_MEM_PINS_PINLOC_118 => UNUSED_MEM_PINS_PINLOC_118,
+      UNUSED_MEM_PINS_PINLOC_119 => UNUSED_MEM_PINS_PINLOC_119,
+      UNUSED_MEM_PINS_PINLOC_120 => UNUSED_MEM_PINS_PINLOC_120,
+      UNUSED_MEM_PINS_PINLOC_121 => UNUSED_MEM_PINS_PINLOC_121,
+      UNUSED_MEM_PINS_PINLOC_122 => UNUSED_MEM_PINS_PINLOC_122,
+      UNUSED_MEM_PINS_PINLOC_123 => UNUSED_MEM_PINS_PINLOC_123,
+      UNUSED_MEM_PINS_PINLOC_124 => UNUSED_MEM_PINS_PINLOC_124,
+      UNUSED_MEM_PINS_PINLOC_125 => UNUSED_MEM_PINS_PINLOC_125,
+      UNUSED_MEM_PINS_PINLOC_126 => UNUSED_MEM_PINS_PINLOC_126,
+      UNUSED_MEM_PINS_PINLOC_127 => UNUSED_MEM_PINS_PINLOC_127,
+      UNUSED_MEM_PINS_PINLOC_128 => UNUSED_MEM_PINS_PINLOC_128,
+      UNUSED_MEM_PINS_PINLOC_AUTOGEN_WCNT => UNUSED_MEM_PINS_PINLOC_AUTOGEN_WCNT,
+      UNUSED_DQS_BUSES_LANELOC_0 => UNUSED_DQS_BUSES_LANELOC_0,
+      UNUSED_DQS_BUSES_LANELOC_1 => UNUSED_DQS_BUSES_LANELOC_1,
+      UNUSED_DQS_BUSES_LANELOC_2 => UNUSED_DQS_BUSES_LANELOC_2,
+      UNUSED_DQS_BUSES_LANELOC_3 => UNUSED_DQS_BUSES_LANELOC_3,
+      UNUSED_DQS_BUSES_LANELOC_4 => UNUSED_DQS_BUSES_LANELOC_4,
+      UNUSED_DQS_BUSES_LANELOC_5 => UNUSED_DQS_BUSES_LANELOC_5,
+      UNUSED_DQS_BUSES_LANELOC_6 => UNUSED_DQS_BUSES_LANELOC_6,
+      UNUSED_DQS_BUSES_LANELOC_7 => UNUSED_DQS_BUSES_LANELOC_7,
+      UNUSED_DQS_BUSES_LANELOC_8 => UNUSED_DQS_BUSES_LANELOC_8,
+      UNUSED_DQS_BUSES_LANELOC_9 => UNUSED_DQS_BUSES_LANELOC_9,
+      UNUSED_DQS_BUSES_LANELOC_10 => UNUSED_DQS_BUSES_LANELOC_10,
+      UNUSED_DQS_BUSES_LANELOC_AUTOGEN_WCNT => UNUSED_DQS_BUSES_LANELOC_AUTOGEN_WCNT,
+      CENTER_TIDS_0 => CENTER_TIDS_0,
+      CENTER_TIDS_1 => CENTER_TIDS_1,
+      CENTER_TIDS_2 => CENTER_TIDS_2,
+      CENTER_TIDS_AUTOGEN_WCNT => CENTER_TIDS_AUTOGEN_WCNT,
+      HMC_TIDS_0 => HMC_TIDS_0,
+      HMC_TIDS_1 => HMC_TIDS_1,
+      HMC_TIDS_2 => HMC_TIDS_2,
+      HMC_TIDS_AUTOGEN_WCNT => HMC_TIDS_AUTOGEN_WCNT,
+      LANE_TIDS_0 => LANE_TIDS_0,
+      LANE_TIDS_1 => LANE_TIDS_1,
+      LANE_TIDS_2 => LANE_TIDS_2,
+      LANE_TIDS_3 => LANE_TIDS_3,
+      LANE_TIDS_4 => LANE_TIDS_4,
+      LANE_TIDS_5 => LANE_TIDS_5,
+      LANE_TIDS_6 => LANE_TIDS_6,
+      LANE_TIDS_7 => LANE_TIDS_7,
+      LANE_TIDS_8 => LANE_TIDS_8,
+      LANE_TIDS_9 => LANE_TIDS_9,
+      LANE_TIDS_AUTOGEN_WCNT => LANE_TIDS_AUTOGEN_WCNT,
+      PREAMBLE_MODE => PREAMBLE_MODE,
+      DBI_WR_ENABLE => DBI_WR_ENABLE,
+      DBI_RD_ENABLE => DBI_RD_ENABLE,
+      CRC_EN => CRC_EN,
+      SWAP_DQS_A_B => SWAP_DQS_A_B,
+      DQS_PACK_MODE => DQS_PACK_MODE,
+      OCT_SIZE => OCT_SIZE,
+      DBC_WB_RESERVED_ENTRY => DBC_WB_RESERVED_ENTRY,
+      DLL_MODE => DLL_MODE,
+      DLL_CODEWORD => DLL_CODEWORD,
+      ABPHY_WRITE_PROTOCOL => ABPHY_WRITE_PROTOCOL,
+      PHY_USERMODE_OCT => PHY_USERMODE_OCT,
+      PHY_PERIODIC_OCT_RECAL => PHY_PERIODIC_OCT_RECAL,
+      PHY_HAS_DCC => PHY_HAS_DCC,
+      PRI_HMC_CFG_ENABLE_ECC => PRI_HMC_CFG_ENABLE_ECC,
+      PRI_HMC_CFG_REORDER_DATA => PRI_HMC_CFG_REORDER_DATA,
+      PRI_HMC_CFG_REORDER_READ => PRI_HMC_CFG_REORDER_READ,
+      PRI_HMC_CFG_REORDER_RDATA => PRI_HMC_CFG_REORDER_RDATA,
+      PRI_HMC_CFG_STARVE_LIMIT => PRI_HMC_CFG_STARVE_LIMIT,
+      PRI_HMC_CFG_DQS_TRACKING_EN => PRI_HMC_CFG_DQS_TRACKING_EN,
+      PRI_HMC_CFG_ARBITER_TYPE => PRI_HMC_CFG_ARBITER_TYPE,
+      PRI_HMC_CFG_OPEN_PAGE_EN => PRI_HMC_CFG_OPEN_PAGE_EN,
+      PRI_HMC_CFG_GEAR_DOWN_EN => PRI_HMC_CFG_GEAR_DOWN_EN,
+      PRI_HMC_CFG_RLD3_MULTIBANK_MODE => PRI_HMC_CFG_RLD3_MULTIBANK_MODE,
+      PRI_HMC_CFG_PING_PONG_MODE => PRI_HMC_CFG_PING_PONG_MODE,
+      PRI_HMC_CFG_SLOT_ROTATE_EN => PRI_HMC_CFG_SLOT_ROTATE_EN,
+      PRI_HMC_CFG_SLOT_OFFSET => PRI_HMC_CFG_SLOT_OFFSET,
+      PRI_HMC_CFG_COL_CMD_SLOT => PRI_HMC_CFG_COL_CMD_SLOT,
+      PRI_HMC_CFG_ROW_CMD_SLOT => PRI_HMC_CFG_ROW_CMD_SLOT,
+      PRI_HMC_CFG_ENABLE_RC => PRI_HMC_CFG_ENABLE_RC,
+      PRI_HMC_CFG_CS_TO_CHIP_MAPPING => PRI_HMC_CFG_CS_TO_CHIP_MAPPING,
+      PRI_HMC_CFG_RB_RESERVED_ENTRY => PRI_HMC_CFG_RB_RESERVED_ENTRY,
+      PRI_HMC_CFG_WB_RESERVED_ENTRY => PRI_HMC_CFG_WB_RESERVED_ENTRY,
+      PRI_HMC_CFG_TCL => PRI_HMC_CFG_TCL,
+      PRI_HMC_CFG_POWER_SAVING_EXIT_CYC => PRI_HMC_CFG_POWER_SAVING_EXIT_CYC,
+      PRI_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC => PRI_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC,
+      PRI_HMC_CFG_WRITE_ODT_CHIP => PRI_HMC_CFG_WRITE_ODT_CHIP,
+      PRI_HMC_CFG_READ_ODT_CHIP => PRI_HMC_CFG_READ_ODT_CHIP,
+      PRI_HMC_CFG_WR_ODT_ON => PRI_HMC_CFG_WR_ODT_ON,
+      PRI_HMC_CFG_RD_ODT_ON => PRI_HMC_CFG_RD_ODT_ON,
+      PRI_HMC_CFG_WR_ODT_PERIOD => PRI_HMC_CFG_WR_ODT_PERIOD,
+      PRI_HMC_CFG_RD_ODT_PERIOD => PRI_HMC_CFG_RD_ODT_PERIOD,
+      PRI_HMC_CFG_RLD3_REFRESH_SEQ0 => PRI_HMC_CFG_RLD3_REFRESH_SEQ0,
+      PRI_HMC_CFG_RLD3_REFRESH_SEQ1 => PRI_HMC_CFG_RLD3_REFRESH_SEQ1,
+      PRI_HMC_CFG_RLD3_REFRESH_SEQ2 => PRI_HMC_CFG_RLD3_REFRESH_SEQ2,
+      PRI_HMC_CFG_RLD3_REFRESH_SEQ3 => PRI_HMC_CFG_RLD3_REFRESH_SEQ3,
+      PRI_HMC_CFG_SRF_ZQCAL_DISABLE => PRI_HMC_CFG_SRF_ZQCAL_DISABLE,
+      PRI_HMC_CFG_MPS_ZQCAL_DISABLE => PRI_HMC_CFG_MPS_ZQCAL_DISABLE,
+      PRI_HMC_CFG_MPS_DQSTRK_DISABLE => PRI_HMC_CFG_MPS_DQSTRK_DISABLE,
+      PRI_HMC_CFG_SHORT_DQSTRK_CTRL_EN => PRI_HMC_CFG_SHORT_DQSTRK_CTRL_EN,
+      PRI_HMC_CFG_PERIOD_DQSTRK_CTRL_EN => PRI_HMC_CFG_PERIOD_DQSTRK_CTRL_EN,
+      PRI_HMC_CFG_PERIOD_DQSTRK_INTERVAL => PRI_HMC_CFG_PERIOD_DQSTRK_INTERVAL,
+      PRI_HMC_CFG_DQSTRK_TO_VALID_LAST => PRI_HMC_CFG_DQSTRK_TO_VALID_LAST,
+      PRI_HMC_CFG_DQSTRK_TO_VALID => PRI_HMC_CFG_DQSTRK_TO_VALID,
+      PRI_HMC_CFG_RFSH_WARN_THRESHOLD => PRI_HMC_CFG_RFSH_WARN_THRESHOLD,
+      PRI_HMC_CFG_SB_CG_DISABLE => PRI_HMC_CFG_SB_CG_DISABLE,
+      PRI_HMC_CFG_USER_RFSH_EN => PRI_HMC_CFG_USER_RFSH_EN,
+      PRI_HMC_CFG_SRF_AUTOEXIT_EN => PRI_HMC_CFG_SRF_AUTOEXIT_EN,
+      PRI_HMC_CFG_SRF_ENTRY_EXIT_BLOCK => PRI_HMC_CFG_SRF_ENTRY_EXIT_BLOCK,
+      PRI_HMC_CFG_SB_DDR4_MR3 => PRI_HMC_CFG_SB_DDR4_MR3,
+      PRI_HMC_CFG_SB_DDR4_MR4 => PRI_HMC_CFG_SB_DDR4_MR4,
+      PRI_HMC_CFG_SB_DDR4_MR5 => PRI_HMC_CFG_SB_DDR4_MR5,
+      PRI_HMC_CFG_DDR4_MPS_ADDR_MIRROR => PRI_HMC_CFG_DDR4_MPS_ADDR_MIRROR,
+      PRI_HMC_CFG_MEM_IF_COLADDR_WIDTH => PRI_HMC_CFG_MEM_IF_COLADDR_WIDTH,
+      PRI_HMC_CFG_MEM_IF_ROWADDR_WIDTH => PRI_HMC_CFG_MEM_IF_ROWADDR_WIDTH,
+      PRI_HMC_CFG_MEM_IF_BANKADDR_WIDTH => PRI_HMC_CFG_MEM_IF_BANKADDR_WIDTH,
+      PRI_HMC_CFG_MEM_IF_BGADDR_WIDTH => PRI_HMC_CFG_MEM_IF_BGADDR_WIDTH,
+      PRI_HMC_CFG_LOCAL_IF_CS_WIDTH => PRI_HMC_CFG_LOCAL_IF_CS_WIDTH,
+      PRI_HMC_CFG_ADDR_ORDER => PRI_HMC_CFG_ADDR_ORDER,
+      PRI_HMC_CFG_ACT_TO_RDWR => PRI_HMC_CFG_ACT_TO_RDWR,
+      PRI_HMC_CFG_ACT_TO_PCH => PRI_HMC_CFG_ACT_TO_PCH,
+      PRI_HMC_CFG_ACT_TO_ACT => PRI_HMC_CFG_ACT_TO_ACT,
+      PRI_HMC_CFG_ACT_TO_ACT_DIFF_BANK => PRI_HMC_CFG_ACT_TO_ACT_DIFF_BANK,
+      PRI_HMC_CFG_ACT_TO_ACT_DIFF_BG => PRI_HMC_CFG_ACT_TO_ACT_DIFF_BG,
+      PRI_HMC_CFG_RD_TO_RD => PRI_HMC_CFG_RD_TO_RD,
+      PRI_HMC_CFG_RD_TO_RD_DIFF_CHIP => PRI_HMC_CFG_RD_TO_RD_DIFF_CHIP,
+      PRI_HMC_CFG_RD_TO_RD_DIFF_BG => PRI_HMC_CFG_RD_TO_RD_DIFF_BG,
+      PRI_HMC_CFG_RD_TO_WR => PRI_HMC_CFG_RD_TO_WR,
+      PRI_HMC_CFG_RD_TO_WR_DIFF_CHIP => PRI_HMC_CFG_RD_TO_WR_DIFF_CHIP,
+      PRI_HMC_CFG_RD_TO_WR_DIFF_BG => PRI_HMC_CFG_RD_TO_WR_DIFF_BG,
+      PRI_HMC_CFG_RD_TO_PCH => PRI_HMC_CFG_RD_TO_PCH,
+      PRI_HMC_CFG_RD_AP_TO_VALID => PRI_HMC_CFG_RD_AP_TO_VALID,
+      PRI_HMC_CFG_WR_TO_WR => PRI_HMC_CFG_WR_TO_WR,
+      PRI_HMC_CFG_WR_TO_WR_DIFF_CHIP => PRI_HMC_CFG_WR_TO_WR_DIFF_CHIP,
+      PRI_HMC_CFG_WR_TO_WR_DIFF_BG => PRI_HMC_CFG_WR_TO_WR_DIFF_BG,
+      PRI_HMC_CFG_WR_TO_RD => PRI_HMC_CFG_WR_TO_RD,
+      PRI_HMC_CFG_WR_TO_RD_DIFF_CHIP => PRI_HMC_CFG_WR_TO_RD_DIFF_CHIP,
+      PRI_HMC_CFG_WR_TO_RD_DIFF_BG => PRI_HMC_CFG_WR_TO_RD_DIFF_BG,
+      PRI_HMC_CFG_WR_TO_PCH => PRI_HMC_CFG_WR_TO_PCH,
+      PRI_HMC_CFG_WR_AP_TO_VALID => PRI_HMC_CFG_WR_AP_TO_VALID,
+      PRI_HMC_CFG_PCH_TO_VALID => PRI_HMC_CFG_PCH_TO_VALID,
+      PRI_HMC_CFG_PCH_ALL_TO_VALID => PRI_HMC_CFG_PCH_ALL_TO_VALID,
+      PRI_HMC_CFG_ARF_TO_VALID => PRI_HMC_CFG_ARF_TO_VALID,
+      PRI_HMC_CFG_PDN_TO_VALID => PRI_HMC_CFG_PDN_TO_VALID,
+      PRI_HMC_CFG_SRF_TO_VALID => PRI_HMC_CFG_SRF_TO_VALID,
+      PRI_HMC_CFG_SRF_TO_ZQ_CAL => PRI_HMC_CFG_SRF_TO_ZQ_CAL,
+      PRI_HMC_CFG_ARF_PERIOD => PRI_HMC_CFG_ARF_PERIOD,
+      PRI_HMC_CFG_PDN_PERIOD => PRI_HMC_CFG_PDN_PERIOD,
+      PRI_HMC_CFG_ZQCL_TO_VALID => PRI_HMC_CFG_ZQCL_TO_VALID,
+      PRI_HMC_CFG_ZQCS_TO_VALID => PRI_HMC_CFG_ZQCS_TO_VALID,
+      PRI_HMC_CFG_MRS_TO_VALID => PRI_HMC_CFG_MRS_TO_VALID,
+      PRI_HMC_CFG_MPS_TO_VALID => PRI_HMC_CFG_MPS_TO_VALID,
+      PRI_HMC_CFG_MRR_TO_VALID => PRI_HMC_CFG_MRR_TO_VALID,
+      PRI_HMC_CFG_MPR_TO_VALID => PRI_HMC_CFG_MPR_TO_VALID,
+      PRI_HMC_CFG_MPS_EXIT_CS_TO_CKE => PRI_HMC_CFG_MPS_EXIT_CS_TO_CKE,
+      PRI_HMC_CFG_MPS_EXIT_CKE_TO_CS => PRI_HMC_CFG_MPS_EXIT_CKE_TO_CS,
+      PRI_HMC_CFG_RLD3_MULTIBANK_REF_DELAY => PRI_HMC_CFG_RLD3_MULTIBANK_REF_DELAY,
+      PRI_HMC_CFG_MMR_CMD_TO_VALID => PRI_HMC_CFG_MMR_CMD_TO_VALID,
+      PRI_HMC_CFG_4_ACT_TO_ACT => PRI_HMC_CFG_4_ACT_TO_ACT,
+      PRI_HMC_CFG_16_ACT_TO_ACT => PRI_HMC_CFG_16_ACT_TO_ACT,
+      SEC_HMC_CFG_ENABLE_ECC => SEC_HMC_CFG_ENABLE_ECC,
+      SEC_HMC_CFG_REORDER_DATA => SEC_HMC_CFG_REORDER_DATA,
+      SEC_HMC_CFG_REORDER_READ => SEC_HMC_CFG_REORDER_READ,
+      SEC_HMC_CFG_REORDER_RDATA => SEC_HMC_CFG_REORDER_RDATA,
+      SEC_HMC_CFG_STARVE_LIMIT => SEC_HMC_CFG_STARVE_LIMIT,
+      SEC_HMC_CFG_DQS_TRACKING_EN => SEC_HMC_CFG_DQS_TRACKING_EN,
+      SEC_HMC_CFG_ARBITER_TYPE => SEC_HMC_CFG_ARBITER_TYPE,
+      SEC_HMC_CFG_OPEN_PAGE_EN => SEC_HMC_CFG_OPEN_PAGE_EN,
+      SEC_HMC_CFG_GEAR_DOWN_EN => SEC_HMC_CFG_GEAR_DOWN_EN,
+      SEC_HMC_CFG_RLD3_MULTIBANK_MODE => SEC_HMC_CFG_RLD3_MULTIBANK_MODE,
+      SEC_HMC_CFG_PING_PONG_MODE => SEC_HMC_CFG_PING_PONG_MODE,
+      SEC_HMC_CFG_SLOT_ROTATE_EN => SEC_HMC_CFG_SLOT_ROTATE_EN,
+      SEC_HMC_CFG_SLOT_OFFSET => SEC_HMC_CFG_SLOT_OFFSET,
+      SEC_HMC_CFG_COL_CMD_SLOT => SEC_HMC_CFG_COL_CMD_SLOT,
+      SEC_HMC_CFG_ROW_CMD_SLOT => SEC_HMC_CFG_ROW_CMD_SLOT,
+      SEC_HMC_CFG_ENABLE_RC => SEC_HMC_CFG_ENABLE_RC,
+      SEC_HMC_CFG_CS_TO_CHIP_MAPPING => SEC_HMC_CFG_CS_TO_CHIP_MAPPING,
+      SEC_HMC_CFG_RB_RESERVED_ENTRY => SEC_HMC_CFG_RB_RESERVED_ENTRY,
+      SEC_HMC_CFG_WB_RESERVED_ENTRY => SEC_HMC_CFG_WB_RESERVED_ENTRY,
+      SEC_HMC_CFG_TCL => SEC_HMC_CFG_TCL,
+      SEC_HMC_CFG_POWER_SAVING_EXIT_CYC => SEC_HMC_CFG_POWER_SAVING_EXIT_CYC,
+      SEC_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC => SEC_HMC_CFG_MEM_CLK_DISABLE_ENTRY_CYC,
+      SEC_HMC_CFG_WRITE_ODT_CHIP => SEC_HMC_CFG_WRITE_ODT_CHIP,
+      SEC_HMC_CFG_READ_ODT_CHIP => SEC_HMC_CFG_READ_ODT_CHIP,
+      SEC_HMC_CFG_WR_ODT_ON => SEC_HMC_CFG_WR_ODT_ON,
+      SEC_HMC_CFG_RD_ODT_ON => SEC_HMC_CFG_RD_ODT_ON,
+      SEC_HMC_CFG_WR_ODT_PERIOD => SEC_HMC_CFG_WR_ODT_PERIOD,
+      SEC_HMC_CFG_RD_ODT_PERIOD => SEC_HMC_CFG_RD_ODT_PERIOD,
+      SEC_HMC_CFG_RLD3_REFRESH_SEQ0 => SEC_HMC_CFG_RLD3_REFRESH_SEQ0,
+      SEC_HMC_CFG_RLD3_REFRESH_SEQ1 => SEC_HMC_CFG_RLD3_REFRESH_SEQ1,
+      SEC_HMC_CFG_RLD3_REFRESH_SEQ2 => SEC_HMC_CFG_RLD3_REFRESH_SEQ2,
+      SEC_HMC_CFG_RLD3_REFRESH_SEQ3 => SEC_HMC_CFG_RLD3_REFRESH_SEQ3,
+      SEC_HMC_CFG_SRF_ZQCAL_DISABLE => SEC_HMC_CFG_SRF_ZQCAL_DISABLE,
+      SEC_HMC_CFG_MPS_ZQCAL_DISABLE => SEC_HMC_CFG_MPS_ZQCAL_DISABLE,
+      SEC_HMC_CFG_MPS_DQSTRK_DISABLE => SEC_HMC_CFG_MPS_DQSTRK_DISABLE,
+      SEC_HMC_CFG_SHORT_DQSTRK_CTRL_EN => SEC_HMC_CFG_SHORT_DQSTRK_CTRL_EN,
+      SEC_HMC_CFG_PERIOD_DQSTRK_CTRL_EN => SEC_HMC_CFG_PERIOD_DQSTRK_CTRL_EN,
+      SEC_HMC_CFG_PERIOD_DQSTRK_INTERVAL => SEC_HMC_CFG_PERIOD_DQSTRK_INTERVAL,
+      SEC_HMC_CFG_DQSTRK_TO_VALID_LAST => SEC_HMC_CFG_DQSTRK_TO_VALID_LAST,
+      SEC_HMC_CFG_DQSTRK_TO_VALID => SEC_HMC_CFG_DQSTRK_TO_VALID,
+      SEC_HMC_CFG_RFSH_WARN_THRESHOLD => SEC_HMC_CFG_RFSH_WARN_THRESHOLD,
+      SEC_HMC_CFG_SB_CG_DISABLE => SEC_HMC_CFG_SB_CG_DISABLE,
+      SEC_HMC_CFG_USER_RFSH_EN => SEC_HMC_CFG_USER_RFSH_EN,
+      SEC_HMC_CFG_SRF_AUTOEXIT_EN => SEC_HMC_CFG_SRF_AUTOEXIT_EN,
+      SEC_HMC_CFG_SRF_ENTRY_EXIT_BLOCK => SEC_HMC_CFG_SRF_ENTRY_EXIT_BLOCK,
+      SEC_HMC_CFG_SB_DDR4_MR3 => SEC_HMC_CFG_SB_DDR4_MR3,
+      SEC_HMC_CFG_SB_DDR4_MR4 => SEC_HMC_CFG_SB_DDR4_MR4,
+      SEC_HMC_CFG_SB_DDR4_MR5 => SEC_HMC_CFG_SB_DDR4_MR5,
+      SEC_HMC_CFG_DDR4_MPS_ADDR_MIRROR => SEC_HMC_CFG_DDR4_MPS_ADDR_MIRROR,
+      SEC_HMC_CFG_MEM_IF_COLADDR_WIDTH => SEC_HMC_CFG_MEM_IF_COLADDR_WIDTH,
+      SEC_HMC_CFG_MEM_IF_ROWADDR_WIDTH => SEC_HMC_CFG_MEM_IF_ROWADDR_WIDTH,
+      SEC_HMC_CFG_MEM_IF_BANKADDR_WIDTH => SEC_HMC_CFG_MEM_IF_BANKADDR_WIDTH,
+      SEC_HMC_CFG_MEM_IF_BGADDR_WIDTH => SEC_HMC_CFG_MEM_IF_BGADDR_WIDTH,
+      SEC_HMC_CFG_LOCAL_IF_CS_WIDTH => SEC_HMC_CFG_LOCAL_IF_CS_WIDTH,
+      SEC_HMC_CFG_ADDR_ORDER => SEC_HMC_CFG_ADDR_ORDER,
+      SEC_HMC_CFG_ACT_TO_RDWR => SEC_HMC_CFG_ACT_TO_RDWR,
+      SEC_HMC_CFG_ACT_TO_PCH => SEC_HMC_CFG_ACT_TO_PCH,
+      SEC_HMC_CFG_ACT_TO_ACT => SEC_HMC_CFG_ACT_TO_ACT,
+      SEC_HMC_CFG_ACT_TO_ACT_DIFF_BANK => SEC_HMC_CFG_ACT_TO_ACT_DIFF_BANK,
+      SEC_HMC_CFG_ACT_TO_ACT_DIFF_BG => SEC_HMC_CFG_ACT_TO_ACT_DIFF_BG,
+      SEC_HMC_CFG_RD_TO_RD => SEC_HMC_CFG_RD_TO_RD,
+      SEC_HMC_CFG_RD_TO_RD_DIFF_CHIP => SEC_HMC_CFG_RD_TO_RD_DIFF_CHIP,
+      SEC_HMC_CFG_RD_TO_RD_DIFF_BG => SEC_HMC_CFG_RD_TO_RD_DIFF_BG,
+      SEC_HMC_CFG_RD_TO_WR => SEC_HMC_CFG_RD_TO_WR,
+      SEC_HMC_CFG_RD_TO_WR_DIFF_CHIP => SEC_HMC_CFG_RD_TO_WR_DIFF_CHIP,
+      SEC_HMC_CFG_RD_TO_WR_DIFF_BG => SEC_HMC_CFG_RD_TO_WR_DIFF_BG,
+      SEC_HMC_CFG_RD_TO_PCH => SEC_HMC_CFG_RD_TO_PCH,
+      SEC_HMC_CFG_RD_AP_TO_VALID => SEC_HMC_CFG_RD_AP_TO_VALID,
+      SEC_HMC_CFG_WR_TO_WR => SEC_HMC_CFG_WR_TO_WR,
+      SEC_HMC_CFG_WR_TO_WR_DIFF_CHIP => SEC_HMC_CFG_WR_TO_WR_DIFF_CHIP,
+      SEC_HMC_CFG_WR_TO_WR_DIFF_BG => SEC_HMC_CFG_WR_TO_WR_DIFF_BG,
+      SEC_HMC_CFG_WR_TO_RD => SEC_HMC_CFG_WR_TO_RD,
+      SEC_HMC_CFG_WR_TO_RD_DIFF_CHIP => SEC_HMC_CFG_WR_TO_RD_DIFF_CHIP,
+      SEC_HMC_CFG_WR_TO_RD_DIFF_BG => SEC_HMC_CFG_WR_TO_RD_DIFF_BG,
+      SEC_HMC_CFG_WR_TO_PCH => SEC_HMC_CFG_WR_TO_PCH,
+      SEC_HMC_CFG_WR_AP_TO_VALID => SEC_HMC_CFG_WR_AP_TO_VALID,
+      SEC_HMC_CFG_PCH_TO_VALID => SEC_HMC_CFG_PCH_TO_VALID,
+      SEC_HMC_CFG_PCH_ALL_TO_VALID => SEC_HMC_CFG_PCH_ALL_TO_VALID,
+      SEC_HMC_CFG_ARF_TO_VALID => SEC_HMC_CFG_ARF_TO_VALID,
+      SEC_HMC_CFG_PDN_TO_VALID => SEC_HMC_CFG_PDN_TO_VALID,
+      SEC_HMC_CFG_SRF_TO_VALID => SEC_HMC_CFG_SRF_TO_VALID,
+      SEC_HMC_CFG_SRF_TO_ZQ_CAL => SEC_HMC_CFG_SRF_TO_ZQ_CAL,
+      SEC_HMC_CFG_ARF_PERIOD => SEC_HMC_CFG_ARF_PERIOD,
+      SEC_HMC_CFG_PDN_PERIOD => SEC_HMC_CFG_PDN_PERIOD,
+      SEC_HMC_CFG_ZQCL_TO_VALID => SEC_HMC_CFG_ZQCL_TO_VALID,
+      SEC_HMC_CFG_ZQCS_TO_VALID => SEC_HMC_CFG_ZQCS_TO_VALID,
+      SEC_HMC_CFG_MRS_TO_VALID => SEC_HMC_CFG_MRS_TO_VALID,
+      SEC_HMC_CFG_MPS_TO_VALID => SEC_HMC_CFG_MPS_TO_VALID,
+      SEC_HMC_CFG_MRR_TO_VALID => SEC_HMC_CFG_MRR_TO_VALID,
+      SEC_HMC_CFG_MPR_TO_VALID => SEC_HMC_CFG_MPR_TO_VALID,
+      SEC_HMC_CFG_MPS_EXIT_CS_TO_CKE => SEC_HMC_CFG_MPS_EXIT_CS_TO_CKE,
+      SEC_HMC_CFG_MPS_EXIT_CKE_TO_CS => SEC_HMC_CFG_MPS_EXIT_CKE_TO_CS,
+      SEC_HMC_CFG_RLD3_MULTIBANK_REF_DELAY => SEC_HMC_CFG_RLD3_MULTIBANK_REF_DELAY,
+      SEC_HMC_CFG_MMR_CMD_TO_VALID => SEC_HMC_CFG_MMR_CMD_TO_VALID,
+      SEC_HMC_CFG_4_ACT_TO_ACT => SEC_HMC_CFG_4_ACT_TO_ACT,
+      SEC_HMC_CFG_16_ACT_TO_ACT => SEC_HMC_CFG_16_ACT_TO_ACT,
+      PINS_PER_LANE => PINS_PER_LANE,
+      LANES_PER_TILE => LANES_PER_TILE,
+      OCT_CONTROL_WIDTH => OCT_CONTROL_WIDTH,
+      PORT_MEM_CK_WIDTH => PORT_MEM_CK_WIDTH,
+      PORT_MEM_CK_PINLOC_0 => PORT_MEM_CK_PINLOC_0,
+      PORT_MEM_CK_PINLOC_1 => PORT_MEM_CK_PINLOC_1,
+      PORT_MEM_CK_PINLOC_2 => PORT_MEM_CK_PINLOC_2,
+      PORT_MEM_CK_PINLOC_3 => PORT_MEM_CK_PINLOC_3,
+      PORT_MEM_CK_PINLOC_4 => PORT_MEM_CK_PINLOC_4,
+      PORT_MEM_CK_PINLOC_5 => PORT_MEM_CK_PINLOC_5,
+      PORT_MEM_CK_PINLOC_AUTOGEN_WCNT => PORT_MEM_CK_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CK_N_WIDTH => PORT_MEM_CK_N_WIDTH,
+      PORT_MEM_CK_N_PINLOC_0 => PORT_MEM_CK_N_PINLOC_0,
+      PORT_MEM_CK_N_PINLOC_1 => PORT_MEM_CK_N_PINLOC_1,
+      PORT_MEM_CK_N_PINLOC_2 => PORT_MEM_CK_N_PINLOC_2,
+      PORT_MEM_CK_N_PINLOC_3 => PORT_MEM_CK_N_PINLOC_3,
+      PORT_MEM_CK_N_PINLOC_4 => PORT_MEM_CK_N_PINLOC_4,
+      PORT_MEM_CK_N_PINLOC_5 => PORT_MEM_CK_N_PINLOC_5,
+      PORT_MEM_CK_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CK_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DK_WIDTH => PORT_MEM_DK_WIDTH,
+      PORT_MEM_DK_PINLOC_0 => PORT_MEM_DK_PINLOC_0,
+      PORT_MEM_DK_PINLOC_1 => PORT_MEM_DK_PINLOC_1,
+      PORT_MEM_DK_PINLOC_2 => PORT_MEM_DK_PINLOC_2,
+      PORT_MEM_DK_PINLOC_3 => PORT_MEM_DK_PINLOC_3,
+      PORT_MEM_DK_PINLOC_4 => PORT_MEM_DK_PINLOC_4,
+      PORT_MEM_DK_PINLOC_5 => PORT_MEM_DK_PINLOC_5,
+      PORT_MEM_DK_PINLOC_AUTOGEN_WCNT => PORT_MEM_DK_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DK_N_WIDTH => PORT_MEM_DK_N_WIDTH,
+      PORT_MEM_DK_N_PINLOC_0 => PORT_MEM_DK_N_PINLOC_0,
+      PORT_MEM_DK_N_PINLOC_1 => PORT_MEM_DK_N_PINLOC_1,
+      PORT_MEM_DK_N_PINLOC_2 => PORT_MEM_DK_N_PINLOC_2,
+      PORT_MEM_DK_N_PINLOC_3 => PORT_MEM_DK_N_PINLOC_3,
+      PORT_MEM_DK_N_PINLOC_4 => PORT_MEM_DK_N_PINLOC_4,
+      PORT_MEM_DK_N_PINLOC_5 => PORT_MEM_DK_N_PINLOC_5,
+      PORT_MEM_DK_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DK_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DKA_WIDTH => PORT_MEM_DKA_WIDTH,
+      PORT_MEM_DKA_PINLOC_0 => PORT_MEM_DKA_PINLOC_0,
+      PORT_MEM_DKA_PINLOC_1 => PORT_MEM_DKA_PINLOC_1,
+      PORT_MEM_DKA_PINLOC_2 => PORT_MEM_DKA_PINLOC_2,
+      PORT_MEM_DKA_PINLOC_3 => PORT_MEM_DKA_PINLOC_3,
+      PORT_MEM_DKA_PINLOC_4 => PORT_MEM_DKA_PINLOC_4,
+      PORT_MEM_DKA_PINLOC_5 => PORT_MEM_DKA_PINLOC_5,
+      PORT_MEM_DKA_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKA_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DKA_N_WIDTH => PORT_MEM_DKA_N_WIDTH,
+      PORT_MEM_DKA_N_PINLOC_0 => PORT_MEM_DKA_N_PINLOC_0,
+      PORT_MEM_DKA_N_PINLOC_1 => PORT_MEM_DKA_N_PINLOC_1,
+      PORT_MEM_DKA_N_PINLOC_2 => PORT_MEM_DKA_N_PINLOC_2,
+      PORT_MEM_DKA_N_PINLOC_3 => PORT_MEM_DKA_N_PINLOC_3,
+      PORT_MEM_DKA_N_PINLOC_4 => PORT_MEM_DKA_N_PINLOC_4,
+      PORT_MEM_DKA_N_PINLOC_5 => PORT_MEM_DKA_N_PINLOC_5,
+      PORT_MEM_DKA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKA_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DKB_WIDTH => PORT_MEM_DKB_WIDTH,
+      PORT_MEM_DKB_PINLOC_0 => PORT_MEM_DKB_PINLOC_0,
+      PORT_MEM_DKB_PINLOC_1 => PORT_MEM_DKB_PINLOC_1,
+      PORT_MEM_DKB_PINLOC_2 => PORT_MEM_DKB_PINLOC_2,
+      PORT_MEM_DKB_PINLOC_3 => PORT_MEM_DKB_PINLOC_3,
+      PORT_MEM_DKB_PINLOC_4 => PORT_MEM_DKB_PINLOC_4,
+      PORT_MEM_DKB_PINLOC_5 => PORT_MEM_DKB_PINLOC_5,
+      PORT_MEM_DKB_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKB_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DKB_N_WIDTH => PORT_MEM_DKB_N_WIDTH,
+      PORT_MEM_DKB_N_PINLOC_0 => PORT_MEM_DKB_N_PINLOC_0,
+      PORT_MEM_DKB_N_PINLOC_1 => PORT_MEM_DKB_N_PINLOC_1,
+      PORT_MEM_DKB_N_PINLOC_2 => PORT_MEM_DKB_N_PINLOC_2,
+      PORT_MEM_DKB_N_PINLOC_3 => PORT_MEM_DKB_N_PINLOC_3,
+      PORT_MEM_DKB_N_PINLOC_4 => PORT_MEM_DKB_N_PINLOC_4,
+      PORT_MEM_DKB_N_PINLOC_5 => PORT_MEM_DKB_N_PINLOC_5,
+      PORT_MEM_DKB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DKB_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_K_WIDTH => PORT_MEM_K_WIDTH,
+      PORT_MEM_K_PINLOC_0 => PORT_MEM_K_PINLOC_0,
+      PORT_MEM_K_PINLOC_1 => PORT_MEM_K_PINLOC_1,
+      PORT_MEM_K_PINLOC_2 => PORT_MEM_K_PINLOC_2,
+      PORT_MEM_K_PINLOC_3 => PORT_MEM_K_PINLOC_3,
+      PORT_MEM_K_PINLOC_4 => PORT_MEM_K_PINLOC_4,
+      PORT_MEM_K_PINLOC_5 => PORT_MEM_K_PINLOC_5,
+      PORT_MEM_K_PINLOC_AUTOGEN_WCNT => PORT_MEM_K_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_K_N_WIDTH => PORT_MEM_K_N_WIDTH,
+      PORT_MEM_K_N_PINLOC_0 => PORT_MEM_K_N_PINLOC_0,
+      PORT_MEM_K_N_PINLOC_1 => PORT_MEM_K_N_PINLOC_1,
+      PORT_MEM_K_N_PINLOC_2 => PORT_MEM_K_N_PINLOC_2,
+      PORT_MEM_K_N_PINLOC_3 => PORT_MEM_K_N_PINLOC_3,
+      PORT_MEM_K_N_PINLOC_4 => PORT_MEM_K_N_PINLOC_4,
+      PORT_MEM_K_N_PINLOC_5 => PORT_MEM_K_N_PINLOC_5,
+      PORT_MEM_K_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_K_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_A_WIDTH => PORT_MEM_A_WIDTH,
+      PORT_MEM_A_PINLOC_0 => PORT_MEM_A_PINLOC_0,
+      PORT_MEM_A_PINLOC_1 => PORT_MEM_A_PINLOC_1,
+      PORT_MEM_A_PINLOC_2 => PORT_MEM_A_PINLOC_2,
+      PORT_MEM_A_PINLOC_3 => PORT_MEM_A_PINLOC_3,
+      PORT_MEM_A_PINLOC_4 => PORT_MEM_A_PINLOC_4,
+      PORT_MEM_A_PINLOC_5 => PORT_MEM_A_PINLOC_5,
+      PORT_MEM_A_PINLOC_6 => PORT_MEM_A_PINLOC_6,
+      PORT_MEM_A_PINLOC_7 => PORT_MEM_A_PINLOC_7,
+      PORT_MEM_A_PINLOC_8 => PORT_MEM_A_PINLOC_8,
+      PORT_MEM_A_PINLOC_9 => PORT_MEM_A_PINLOC_9,
+      PORT_MEM_A_PINLOC_10 => PORT_MEM_A_PINLOC_10,
+      PORT_MEM_A_PINLOC_11 => PORT_MEM_A_PINLOC_11,
+      PORT_MEM_A_PINLOC_12 => PORT_MEM_A_PINLOC_12,
+      PORT_MEM_A_PINLOC_13 => PORT_MEM_A_PINLOC_13,
+      PORT_MEM_A_PINLOC_14 => PORT_MEM_A_PINLOC_14,
+      PORT_MEM_A_PINLOC_15 => PORT_MEM_A_PINLOC_15,
+      PORT_MEM_A_PINLOC_16 => PORT_MEM_A_PINLOC_16,
+      PORT_MEM_A_PINLOC_AUTOGEN_WCNT => PORT_MEM_A_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_BA_WIDTH => PORT_MEM_BA_WIDTH,
+      PORT_MEM_BA_PINLOC_0 => PORT_MEM_BA_PINLOC_0,
+      PORT_MEM_BA_PINLOC_1 => PORT_MEM_BA_PINLOC_1,
+      PORT_MEM_BA_PINLOC_2 => PORT_MEM_BA_PINLOC_2,
+      PORT_MEM_BA_PINLOC_3 => PORT_MEM_BA_PINLOC_3,
+      PORT_MEM_BA_PINLOC_4 => PORT_MEM_BA_PINLOC_4,
+      PORT_MEM_BA_PINLOC_5 => PORT_MEM_BA_PINLOC_5,
+      PORT_MEM_BA_PINLOC_AUTOGEN_WCNT => PORT_MEM_BA_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_BG_WIDTH => PORT_MEM_BG_WIDTH,
+      PORT_MEM_BG_PINLOC_0 => PORT_MEM_BG_PINLOC_0,
+      PORT_MEM_BG_PINLOC_1 => PORT_MEM_BG_PINLOC_1,
+      PORT_MEM_BG_PINLOC_2 => PORT_MEM_BG_PINLOC_2,
+      PORT_MEM_BG_PINLOC_3 => PORT_MEM_BG_PINLOC_3,
+      PORT_MEM_BG_PINLOC_4 => PORT_MEM_BG_PINLOC_4,
+      PORT_MEM_BG_PINLOC_5 => PORT_MEM_BG_PINLOC_5,
+      PORT_MEM_BG_PINLOC_AUTOGEN_WCNT => PORT_MEM_BG_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_C_WIDTH => PORT_MEM_C_WIDTH,
+      PORT_MEM_C_PINLOC_0 => PORT_MEM_C_PINLOC_0,
+      PORT_MEM_C_PINLOC_1 => PORT_MEM_C_PINLOC_1,
+      PORT_MEM_C_PINLOC_2 => PORT_MEM_C_PINLOC_2,
+      PORT_MEM_C_PINLOC_3 => PORT_MEM_C_PINLOC_3,
+      PORT_MEM_C_PINLOC_4 => PORT_MEM_C_PINLOC_4,
+      PORT_MEM_C_PINLOC_5 => PORT_MEM_C_PINLOC_5,
+      PORT_MEM_C_PINLOC_AUTOGEN_WCNT => PORT_MEM_C_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CKE_WIDTH => PORT_MEM_CKE_WIDTH,
+      PORT_MEM_CKE_PINLOC_0 => PORT_MEM_CKE_PINLOC_0,
+      PORT_MEM_CKE_PINLOC_1 => PORT_MEM_CKE_PINLOC_1,
+      PORT_MEM_CKE_PINLOC_2 => PORT_MEM_CKE_PINLOC_2,
+      PORT_MEM_CKE_PINLOC_3 => PORT_MEM_CKE_PINLOC_3,
+      PORT_MEM_CKE_PINLOC_4 => PORT_MEM_CKE_PINLOC_4,
+      PORT_MEM_CKE_PINLOC_5 => PORT_MEM_CKE_PINLOC_5,
+      PORT_MEM_CKE_PINLOC_AUTOGEN_WCNT => PORT_MEM_CKE_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CS_N_WIDTH => PORT_MEM_CS_N_WIDTH,
+      PORT_MEM_CS_N_PINLOC_0 => PORT_MEM_CS_N_PINLOC_0,
+      PORT_MEM_CS_N_PINLOC_1 => PORT_MEM_CS_N_PINLOC_1,
+      PORT_MEM_CS_N_PINLOC_2 => PORT_MEM_CS_N_PINLOC_2,
+      PORT_MEM_CS_N_PINLOC_3 => PORT_MEM_CS_N_PINLOC_3,
+      PORT_MEM_CS_N_PINLOC_4 => PORT_MEM_CS_N_PINLOC_4,
+      PORT_MEM_CS_N_PINLOC_5 => PORT_MEM_CS_N_PINLOC_5,
+      PORT_MEM_CS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_RM_WIDTH => PORT_MEM_RM_WIDTH,
+      PORT_MEM_RM_PINLOC_0 => PORT_MEM_RM_PINLOC_0,
+      PORT_MEM_RM_PINLOC_1 => PORT_MEM_RM_PINLOC_1,
+      PORT_MEM_RM_PINLOC_2 => PORT_MEM_RM_PINLOC_2,
+      PORT_MEM_RM_PINLOC_3 => PORT_MEM_RM_PINLOC_3,
+      PORT_MEM_RM_PINLOC_4 => PORT_MEM_RM_PINLOC_4,
+      PORT_MEM_RM_PINLOC_5 => PORT_MEM_RM_PINLOC_5,
+      PORT_MEM_RM_PINLOC_AUTOGEN_WCNT => PORT_MEM_RM_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_ODT_WIDTH => PORT_MEM_ODT_WIDTH,
+      PORT_MEM_ODT_PINLOC_0 => PORT_MEM_ODT_PINLOC_0,
+      PORT_MEM_ODT_PINLOC_1 => PORT_MEM_ODT_PINLOC_1,
+      PORT_MEM_ODT_PINLOC_2 => PORT_MEM_ODT_PINLOC_2,
+      PORT_MEM_ODT_PINLOC_3 => PORT_MEM_ODT_PINLOC_3,
+      PORT_MEM_ODT_PINLOC_4 => PORT_MEM_ODT_PINLOC_4,
+      PORT_MEM_ODT_PINLOC_5 => PORT_MEM_ODT_PINLOC_5,
+      PORT_MEM_ODT_PINLOC_AUTOGEN_WCNT => PORT_MEM_ODT_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_RAS_N_WIDTH => PORT_MEM_RAS_N_WIDTH,
+      PORT_MEM_RAS_N_PINLOC_0 => PORT_MEM_RAS_N_PINLOC_0,
+      PORT_MEM_RAS_N_PINLOC_1 => PORT_MEM_RAS_N_PINLOC_1,
+      PORT_MEM_RAS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RAS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CAS_N_WIDTH => PORT_MEM_CAS_N_WIDTH,
+      PORT_MEM_CAS_N_PINLOC_0 => PORT_MEM_CAS_N_PINLOC_0,
+      PORT_MEM_CAS_N_PINLOC_1 => PORT_MEM_CAS_N_PINLOC_1,
+      PORT_MEM_CAS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CAS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_WE_N_WIDTH => PORT_MEM_WE_N_WIDTH,
+      PORT_MEM_WE_N_PINLOC_0 => PORT_MEM_WE_N_PINLOC_0,
+      PORT_MEM_WE_N_PINLOC_1 => PORT_MEM_WE_N_PINLOC_1,
+      PORT_MEM_WE_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_WE_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_RESET_N_WIDTH => PORT_MEM_RESET_N_WIDTH,
+      PORT_MEM_RESET_N_PINLOC_0 => PORT_MEM_RESET_N_PINLOC_0,
+      PORT_MEM_RESET_N_PINLOC_1 => PORT_MEM_RESET_N_PINLOC_1,
+      PORT_MEM_RESET_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RESET_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_ACT_N_WIDTH => PORT_MEM_ACT_N_WIDTH,
+      PORT_MEM_ACT_N_PINLOC_0 => PORT_MEM_ACT_N_PINLOC_0,
+      PORT_MEM_ACT_N_PINLOC_1 => PORT_MEM_ACT_N_PINLOC_1,
+      PORT_MEM_ACT_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_ACT_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_PAR_WIDTH => PORT_MEM_PAR_WIDTH,
+      PORT_MEM_PAR_PINLOC_0 => PORT_MEM_PAR_PINLOC_0,
+      PORT_MEM_PAR_PINLOC_1 => PORT_MEM_PAR_PINLOC_1,
+      PORT_MEM_PAR_PINLOC_AUTOGEN_WCNT => PORT_MEM_PAR_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CA_WIDTH => PORT_MEM_CA_WIDTH,
+      PORT_MEM_CA_PINLOC_0 => PORT_MEM_CA_PINLOC_0,
+      PORT_MEM_CA_PINLOC_1 => PORT_MEM_CA_PINLOC_1,
+      PORT_MEM_CA_PINLOC_2 => PORT_MEM_CA_PINLOC_2,
+      PORT_MEM_CA_PINLOC_3 => PORT_MEM_CA_PINLOC_3,
+      PORT_MEM_CA_PINLOC_4 => PORT_MEM_CA_PINLOC_4,
+      PORT_MEM_CA_PINLOC_5 => PORT_MEM_CA_PINLOC_5,
+      PORT_MEM_CA_PINLOC_6 => PORT_MEM_CA_PINLOC_6,
+      PORT_MEM_CA_PINLOC_7 => PORT_MEM_CA_PINLOC_7,
+      PORT_MEM_CA_PINLOC_8 => PORT_MEM_CA_PINLOC_8,
+      PORT_MEM_CA_PINLOC_9 => PORT_MEM_CA_PINLOC_9,
+      PORT_MEM_CA_PINLOC_10 => PORT_MEM_CA_PINLOC_10,
+      PORT_MEM_CA_PINLOC_11 => PORT_MEM_CA_PINLOC_11,
+      PORT_MEM_CA_PINLOC_12 => PORT_MEM_CA_PINLOC_12,
+      PORT_MEM_CA_PINLOC_13 => PORT_MEM_CA_PINLOC_13,
+      PORT_MEM_CA_PINLOC_14 => PORT_MEM_CA_PINLOC_14,
+      PORT_MEM_CA_PINLOC_15 => PORT_MEM_CA_PINLOC_15,
+      PORT_MEM_CA_PINLOC_16 => PORT_MEM_CA_PINLOC_16,
+      PORT_MEM_CA_PINLOC_AUTOGEN_WCNT => PORT_MEM_CA_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_REF_N_WIDTH => PORT_MEM_REF_N_WIDTH,
+      PORT_MEM_REF_N_PINLOC_0 => PORT_MEM_REF_N_PINLOC_0,
+      PORT_MEM_REF_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_REF_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_WPS_N_WIDTH => PORT_MEM_WPS_N_WIDTH,
+      PORT_MEM_WPS_N_PINLOC_0 => PORT_MEM_WPS_N_PINLOC_0,
+      PORT_MEM_WPS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_WPS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_RPS_N_WIDTH => PORT_MEM_RPS_N_WIDTH,
+      PORT_MEM_RPS_N_PINLOC_0 => PORT_MEM_RPS_N_PINLOC_0,
+      PORT_MEM_RPS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RPS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DOFF_N_WIDTH => PORT_MEM_DOFF_N_WIDTH,
+      PORT_MEM_DOFF_N_PINLOC_0 => PORT_MEM_DOFF_N_PINLOC_0,
+      PORT_MEM_DOFF_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DOFF_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_LDA_N_WIDTH => PORT_MEM_LDA_N_WIDTH,
+      PORT_MEM_LDA_N_PINLOC_0 => PORT_MEM_LDA_N_PINLOC_0,
+      PORT_MEM_LDA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LDA_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_LDB_N_WIDTH => PORT_MEM_LDB_N_WIDTH,
+      PORT_MEM_LDB_N_PINLOC_0 => PORT_MEM_LDB_N_PINLOC_0,
+      PORT_MEM_LDB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LDB_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_RWA_N_WIDTH => PORT_MEM_RWA_N_WIDTH,
+      PORT_MEM_RWA_N_PINLOC_0 => PORT_MEM_RWA_N_PINLOC_0,
+      PORT_MEM_RWA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RWA_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_RWB_N_WIDTH => PORT_MEM_RWB_N_WIDTH,
+      PORT_MEM_RWB_N_PINLOC_0 => PORT_MEM_RWB_N_PINLOC_0,
+      PORT_MEM_RWB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_RWB_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_LBK0_N_WIDTH => PORT_MEM_LBK0_N_WIDTH,
+      PORT_MEM_LBK0_N_PINLOC_0 => PORT_MEM_LBK0_N_PINLOC_0,
+      PORT_MEM_LBK0_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LBK0_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_LBK1_N_WIDTH => PORT_MEM_LBK1_N_WIDTH,
+      PORT_MEM_LBK1_N_PINLOC_0 => PORT_MEM_LBK1_N_PINLOC_0,
+      PORT_MEM_LBK1_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_LBK1_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CFG_N_WIDTH => PORT_MEM_CFG_N_WIDTH,
+      PORT_MEM_CFG_N_PINLOC_0 => PORT_MEM_CFG_N_PINLOC_0,
+      PORT_MEM_CFG_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CFG_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_AP_WIDTH => PORT_MEM_AP_WIDTH,
+      PORT_MEM_AP_PINLOC_0 => PORT_MEM_AP_PINLOC_0,
+      PORT_MEM_AP_PINLOC_AUTOGEN_WCNT => PORT_MEM_AP_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_AINV_WIDTH => PORT_MEM_AINV_WIDTH,
+      PORT_MEM_AINV_PINLOC_0 => PORT_MEM_AINV_PINLOC_0,
+      PORT_MEM_AINV_PINLOC_AUTOGEN_WCNT => PORT_MEM_AINV_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DM_WIDTH => PORT_MEM_DM_WIDTH,
+      PORT_MEM_DM_PINLOC_0 => PORT_MEM_DM_PINLOC_0,
+      PORT_MEM_DM_PINLOC_1 => PORT_MEM_DM_PINLOC_1,
+      PORT_MEM_DM_PINLOC_2 => PORT_MEM_DM_PINLOC_2,
+      PORT_MEM_DM_PINLOC_3 => PORT_MEM_DM_PINLOC_3,
+      PORT_MEM_DM_PINLOC_4 => PORT_MEM_DM_PINLOC_4,
+      PORT_MEM_DM_PINLOC_5 => PORT_MEM_DM_PINLOC_5,
+      PORT_MEM_DM_PINLOC_6 => PORT_MEM_DM_PINLOC_6,
+      PORT_MEM_DM_PINLOC_7 => PORT_MEM_DM_PINLOC_7,
+      PORT_MEM_DM_PINLOC_8 => PORT_MEM_DM_PINLOC_8,
+      PORT_MEM_DM_PINLOC_9 => PORT_MEM_DM_PINLOC_9,
+      PORT_MEM_DM_PINLOC_10 => PORT_MEM_DM_PINLOC_10,
+      PORT_MEM_DM_PINLOC_11 => PORT_MEM_DM_PINLOC_11,
+      PORT_MEM_DM_PINLOC_12 => PORT_MEM_DM_PINLOC_12,
+      PORT_MEM_DM_PINLOC_AUTOGEN_WCNT => PORT_MEM_DM_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_BWS_N_WIDTH => PORT_MEM_BWS_N_WIDTH,
+      PORT_MEM_BWS_N_PINLOC_0 => PORT_MEM_BWS_N_PINLOC_0,
+      PORT_MEM_BWS_N_PINLOC_1 => PORT_MEM_BWS_N_PINLOC_1,
+      PORT_MEM_BWS_N_PINLOC_2 => PORT_MEM_BWS_N_PINLOC_2,
+      PORT_MEM_BWS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_BWS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_D_WIDTH => PORT_MEM_D_WIDTH,
+      PORT_MEM_D_PINLOC_0 => PORT_MEM_D_PINLOC_0,
+      PORT_MEM_D_PINLOC_1 => PORT_MEM_D_PINLOC_1,
+      PORT_MEM_D_PINLOC_2 => PORT_MEM_D_PINLOC_2,
+      PORT_MEM_D_PINLOC_3 => PORT_MEM_D_PINLOC_3,
+      PORT_MEM_D_PINLOC_4 => PORT_MEM_D_PINLOC_4,
+      PORT_MEM_D_PINLOC_5 => PORT_MEM_D_PINLOC_5,
+      PORT_MEM_D_PINLOC_6 => PORT_MEM_D_PINLOC_6,
+      PORT_MEM_D_PINLOC_7 => PORT_MEM_D_PINLOC_7,
+      PORT_MEM_D_PINLOC_8 => PORT_MEM_D_PINLOC_8,
+      PORT_MEM_D_PINLOC_9 => PORT_MEM_D_PINLOC_9,
+      PORT_MEM_D_PINLOC_10 => PORT_MEM_D_PINLOC_10,
+      PORT_MEM_D_PINLOC_11 => PORT_MEM_D_PINLOC_11,
+      PORT_MEM_D_PINLOC_12 => PORT_MEM_D_PINLOC_12,
+      PORT_MEM_D_PINLOC_13 => PORT_MEM_D_PINLOC_13,
+      PORT_MEM_D_PINLOC_14 => PORT_MEM_D_PINLOC_14,
+      PORT_MEM_D_PINLOC_15 => PORT_MEM_D_PINLOC_15,
+      PORT_MEM_D_PINLOC_16 => PORT_MEM_D_PINLOC_16,
+      PORT_MEM_D_PINLOC_17 => PORT_MEM_D_PINLOC_17,
+      PORT_MEM_D_PINLOC_18 => PORT_MEM_D_PINLOC_18,
+      PORT_MEM_D_PINLOC_19 => PORT_MEM_D_PINLOC_19,
+      PORT_MEM_D_PINLOC_20 => PORT_MEM_D_PINLOC_20,
+      PORT_MEM_D_PINLOC_21 => PORT_MEM_D_PINLOC_21,
+      PORT_MEM_D_PINLOC_22 => PORT_MEM_D_PINLOC_22,
+      PORT_MEM_D_PINLOC_23 => PORT_MEM_D_PINLOC_23,
+      PORT_MEM_D_PINLOC_24 => PORT_MEM_D_PINLOC_24,
+      PORT_MEM_D_PINLOC_25 => PORT_MEM_D_PINLOC_25,
+      PORT_MEM_D_PINLOC_26 => PORT_MEM_D_PINLOC_26,
+      PORT_MEM_D_PINLOC_27 => PORT_MEM_D_PINLOC_27,
+      PORT_MEM_D_PINLOC_28 => PORT_MEM_D_PINLOC_28,
+      PORT_MEM_D_PINLOC_29 => PORT_MEM_D_PINLOC_29,
+      PORT_MEM_D_PINLOC_30 => PORT_MEM_D_PINLOC_30,
+      PORT_MEM_D_PINLOC_31 => PORT_MEM_D_PINLOC_31,
+      PORT_MEM_D_PINLOC_32 => PORT_MEM_D_PINLOC_32,
+      PORT_MEM_D_PINLOC_33 => PORT_MEM_D_PINLOC_33,
+      PORT_MEM_D_PINLOC_34 => PORT_MEM_D_PINLOC_34,
+      PORT_MEM_D_PINLOC_35 => PORT_MEM_D_PINLOC_35,
+      PORT_MEM_D_PINLOC_36 => PORT_MEM_D_PINLOC_36,
+      PORT_MEM_D_PINLOC_37 => PORT_MEM_D_PINLOC_37,
+      PORT_MEM_D_PINLOC_38 => PORT_MEM_D_PINLOC_38,
+      PORT_MEM_D_PINLOC_39 => PORT_MEM_D_PINLOC_39,
+      PORT_MEM_D_PINLOC_40 => PORT_MEM_D_PINLOC_40,
+      PORT_MEM_D_PINLOC_41 => PORT_MEM_D_PINLOC_41,
+      PORT_MEM_D_PINLOC_42 => PORT_MEM_D_PINLOC_42,
+      PORT_MEM_D_PINLOC_43 => PORT_MEM_D_PINLOC_43,
+      PORT_MEM_D_PINLOC_44 => PORT_MEM_D_PINLOC_44,
+      PORT_MEM_D_PINLOC_45 => PORT_MEM_D_PINLOC_45,
+      PORT_MEM_D_PINLOC_46 => PORT_MEM_D_PINLOC_46,
+      PORT_MEM_D_PINLOC_47 => PORT_MEM_D_PINLOC_47,
+      PORT_MEM_D_PINLOC_48 => PORT_MEM_D_PINLOC_48,
+      PORT_MEM_D_PINLOC_AUTOGEN_WCNT => PORT_MEM_D_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DQ_WIDTH => PORT_MEM_DQ_WIDTH,
+      PORT_MEM_DQ_PINLOC_0 => PORT_MEM_DQ_PINLOC_0,
+      PORT_MEM_DQ_PINLOC_1 => PORT_MEM_DQ_PINLOC_1,
+      PORT_MEM_DQ_PINLOC_2 => PORT_MEM_DQ_PINLOC_2,
+      PORT_MEM_DQ_PINLOC_3 => PORT_MEM_DQ_PINLOC_3,
+      PORT_MEM_DQ_PINLOC_4 => PORT_MEM_DQ_PINLOC_4,
+      PORT_MEM_DQ_PINLOC_5 => PORT_MEM_DQ_PINLOC_5,
+      PORT_MEM_DQ_PINLOC_6 => PORT_MEM_DQ_PINLOC_6,
+      PORT_MEM_DQ_PINLOC_7 => PORT_MEM_DQ_PINLOC_7,
+      PORT_MEM_DQ_PINLOC_8 => PORT_MEM_DQ_PINLOC_8,
+      PORT_MEM_DQ_PINLOC_9 => PORT_MEM_DQ_PINLOC_9,
+      PORT_MEM_DQ_PINLOC_10 => PORT_MEM_DQ_PINLOC_10,
+      PORT_MEM_DQ_PINLOC_11 => PORT_MEM_DQ_PINLOC_11,
+      PORT_MEM_DQ_PINLOC_12 => PORT_MEM_DQ_PINLOC_12,
+      PORT_MEM_DQ_PINLOC_13 => PORT_MEM_DQ_PINLOC_13,
+      PORT_MEM_DQ_PINLOC_14 => PORT_MEM_DQ_PINLOC_14,
+      PORT_MEM_DQ_PINLOC_15 => PORT_MEM_DQ_PINLOC_15,
+      PORT_MEM_DQ_PINLOC_16 => PORT_MEM_DQ_PINLOC_16,
+      PORT_MEM_DQ_PINLOC_17 => PORT_MEM_DQ_PINLOC_17,
+      PORT_MEM_DQ_PINLOC_18 => PORT_MEM_DQ_PINLOC_18,
+      PORT_MEM_DQ_PINLOC_19 => PORT_MEM_DQ_PINLOC_19,
+      PORT_MEM_DQ_PINLOC_20 => PORT_MEM_DQ_PINLOC_20,
+      PORT_MEM_DQ_PINLOC_21 => PORT_MEM_DQ_PINLOC_21,
+      PORT_MEM_DQ_PINLOC_22 => PORT_MEM_DQ_PINLOC_22,
+      PORT_MEM_DQ_PINLOC_23 => PORT_MEM_DQ_PINLOC_23,
+      PORT_MEM_DQ_PINLOC_24 => PORT_MEM_DQ_PINLOC_24,
+      PORT_MEM_DQ_PINLOC_25 => PORT_MEM_DQ_PINLOC_25,
+      PORT_MEM_DQ_PINLOC_26 => PORT_MEM_DQ_PINLOC_26,
+      PORT_MEM_DQ_PINLOC_27 => PORT_MEM_DQ_PINLOC_27,
+      PORT_MEM_DQ_PINLOC_28 => PORT_MEM_DQ_PINLOC_28,
+      PORT_MEM_DQ_PINLOC_29 => PORT_MEM_DQ_PINLOC_29,
+      PORT_MEM_DQ_PINLOC_30 => PORT_MEM_DQ_PINLOC_30,
+      PORT_MEM_DQ_PINLOC_31 => PORT_MEM_DQ_PINLOC_31,
+      PORT_MEM_DQ_PINLOC_32 => PORT_MEM_DQ_PINLOC_32,
+      PORT_MEM_DQ_PINLOC_33 => PORT_MEM_DQ_PINLOC_33,
+      PORT_MEM_DQ_PINLOC_34 => PORT_MEM_DQ_PINLOC_34,
+      PORT_MEM_DQ_PINLOC_35 => PORT_MEM_DQ_PINLOC_35,
+      PORT_MEM_DQ_PINLOC_36 => PORT_MEM_DQ_PINLOC_36,
+      PORT_MEM_DQ_PINLOC_37 => PORT_MEM_DQ_PINLOC_37,
+      PORT_MEM_DQ_PINLOC_38 => PORT_MEM_DQ_PINLOC_38,
+      PORT_MEM_DQ_PINLOC_39 => PORT_MEM_DQ_PINLOC_39,
+      PORT_MEM_DQ_PINLOC_40 => PORT_MEM_DQ_PINLOC_40,
+      PORT_MEM_DQ_PINLOC_41 => PORT_MEM_DQ_PINLOC_41,
+      PORT_MEM_DQ_PINLOC_42 => PORT_MEM_DQ_PINLOC_42,
+      PORT_MEM_DQ_PINLOC_43 => PORT_MEM_DQ_PINLOC_43,
+      PORT_MEM_DQ_PINLOC_44 => PORT_MEM_DQ_PINLOC_44,
+      PORT_MEM_DQ_PINLOC_45 => PORT_MEM_DQ_PINLOC_45,
+      PORT_MEM_DQ_PINLOC_46 => PORT_MEM_DQ_PINLOC_46,
+      PORT_MEM_DQ_PINLOC_47 => PORT_MEM_DQ_PINLOC_47,
+      PORT_MEM_DQ_PINLOC_48 => PORT_MEM_DQ_PINLOC_48,
+      PORT_MEM_DQ_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQ_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DBI_N_WIDTH => PORT_MEM_DBI_N_WIDTH,
+      PORT_MEM_DBI_N_PINLOC_0 => PORT_MEM_DBI_N_PINLOC_0,
+      PORT_MEM_DBI_N_PINLOC_1 => PORT_MEM_DBI_N_PINLOC_1,
+      PORT_MEM_DBI_N_PINLOC_2 => PORT_MEM_DBI_N_PINLOC_2,
+      PORT_MEM_DBI_N_PINLOC_3 => PORT_MEM_DBI_N_PINLOC_3,
+      PORT_MEM_DBI_N_PINLOC_4 => PORT_MEM_DBI_N_PINLOC_4,
+      PORT_MEM_DBI_N_PINLOC_5 => PORT_MEM_DBI_N_PINLOC_5,
+      PORT_MEM_DBI_N_PINLOC_6 => PORT_MEM_DBI_N_PINLOC_6,
+      PORT_MEM_DBI_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DBI_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DQA_WIDTH => PORT_MEM_DQA_WIDTH,
+      PORT_MEM_DQA_PINLOC_0 => PORT_MEM_DQA_PINLOC_0,
+      PORT_MEM_DQA_PINLOC_1 => PORT_MEM_DQA_PINLOC_1,
+      PORT_MEM_DQA_PINLOC_2 => PORT_MEM_DQA_PINLOC_2,
+      PORT_MEM_DQA_PINLOC_3 => PORT_MEM_DQA_PINLOC_3,
+      PORT_MEM_DQA_PINLOC_4 => PORT_MEM_DQA_PINLOC_4,
+      PORT_MEM_DQA_PINLOC_5 => PORT_MEM_DQA_PINLOC_5,
+      PORT_MEM_DQA_PINLOC_6 => PORT_MEM_DQA_PINLOC_6,
+      PORT_MEM_DQA_PINLOC_7 => PORT_MEM_DQA_PINLOC_7,
+      PORT_MEM_DQA_PINLOC_8 => PORT_MEM_DQA_PINLOC_8,
+      PORT_MEM_DQA_PINLOC_9 => PORT_MEM_DQA_PINLOC_9,
+      PORT_MEM_DQA_PINLOC_10 => PORT_MEM_DQA_PINLOC_10,
+      PORT_MEM_DQA_PINLOC_11 => PORT_MEM_DQA_PINLOC_11,
+      PORT_MEM_DQA_PINLOC_12 => PORT_MEM_DQA_PINLOC_12,
+      PORT_MEM_DQA_PINLOC_13 => PORT_MEM_DQA_PINLOC_13,
+      PORT_MEM_DQA_PINLOC_14 => PORT_MEM_DQA_PINLOC_14,
+      PORT_MEM_DQA_PINLOC_15 => PORT_MEM_DQA_PINLOC_15,
+      PORT_MEM_DQA_PINLOC_16 => PORT_MEM_DQA_PINLOC_16,
+      PORT_MEM_DQA_PINLOC_17 => PORT_MEM_DQA_PINLOC_17,
+      PORT_MEM_DQA_PINLOC_18 => PORT_MEM_DQA_PINLOC_18,
+      PORT_MEM_DQA_PINLOC_19 => PORT_MEM_DQA_PINLOC_19,
+      PORT_MEM_DQA_PINLOC_20 => PORT_MEM_DQA_PINLOC_20,
+      PORT_MEM_DQA_PINLOC_21 => PORT_MEM_DQA_PINLOC_21,
+      PORT_MEM_DQA_PINLOC_22 => PORT_MEM_DQA_PINLOC_22,
+      PORT_MEM_DQA_PINLOC_23 => PORT_MEM_DQA_PINLOC_23,
+      PORT_MEM_DQA_PINLOC_24 => PORT_MEM_DQA_PINLOC_24,
+      PORT_MEM_DQA_PINLOC_25 => PORT_MEM_DQA_PINLOC_25,
+      PORT_MEM_DQA_PINLOC_26 => PORT_MEM_DQA_PINLOC_26,
+      PORT_MEM_DQA_PINLOC_27 => PORT_MEM_DQA_PINLOC_27,
+      PORT_MEM_DQA_PINLOC_28 => PORT_MEM_DQA_PINLOC_28,
+      PORT_MEM_DQA_PINLOC_29 => PORT_MEM_DQA_PINLOC_29,
+      PORT_MEM_DQA_PINLOC_30 => PORT_MEM_DQA_PINLOC_30,
+      PORT_MEM_DQA_PINLOC_31 => PORT_MEM_DQA_PINLOC_31,
+      PORT_MEM_DQA_PINLOC_32 => PORT_MEM_DQA_PINLOC_32,
+      PORT_MEM_DQA_PINLOC_33 => PORT_MEM_DQA_PINLOC_33,
+      PORT_MEM_DQA_PINLOC_34 => PORT_MEM_DQA_PINLOC_34,
+      PORT_MEM_DQA_PINLOC_35 => PORT_MEM_DQA_PINLOC_35,
+      PORT_MEM_DQA_PINLOC_36 => PORT_MEM_DQA_PINLOC_36,
+      PORT_MEM_DQA_PINLOC_37 => PORT_MEM_DQA_PINLOC_37,
+      PORT_MEM_DQA_PINLOC_38 => PORT_MEM_DQA_PINLOC_38,
+      PORT_MEM_DQA_PINLOC_39 => PORT_MEM_DQA_PINLOC_39,
+      PORT_MEM_DQA_PINLOC_40 => PORT_MEM_DQA_PINLOC_40,
+      PORT_MEM_DQA_PINLOC_41 => PORT_MEM_DQA_PINLOC_41,
+      PORT_MEM_DQA_PINLOC_42 => PORT_MEM_DQA_PINLOC_42,
+      PORT_MEM_DQA_PINLOC_43 => PORT_MEM_DQA_PINLOC_43,
+      PORT_MEM_DQA_PINLOC_44 => PORT_MEM_DQA_PINLOC_44,
+      PORT_MEM_DQA_PINLOC_45 => PORT_MEM_DQA_PINLOC_45,
+      PORT_MEM_DQA_PINLOC_46 => PORT_MEM_DQA_PINLOC_46,
+      PORT_MEM_DQA_PINLOC_47 => PORT_MEM_DQA_PINLOC_47,
+      PORT_MEM_DQA_PINLOC_48 => PORT_MEM_DQA_PINLOC_48,
+      PORT_MEM_DQA_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQA_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DQB_WIDTH => PORT_MEM_DQB_WIDTH,
+      PORT_MEM_DQB_PINLOC_0 => PORT_MEM_DQB_PINLOC_0,
+      PORT_MEM_DQB_PINLOC_1 => PORT_MEM_DQB_PINLOC_1,
+      PORT_MEM_DQB_PINLOC_2 => PORT_MEM_DQB_PINLOC_2,
+      PORT_MEM_DQB_PINLOC_3 => PORT_MEM_DQB_PINLOC_3,
+      PORT_MEM_DQB_PINLOC_4 => PORT_MEM_DQB_PINLOC_4,
+      PORT_MEM_DQB_PINLOC_5 => PORT_MEM_DQB_PINLOC_5,
+      PORT_MEM_DQB_PINLOC_6 => PORT_MEM_DQB_PINLOC_6,
+      PORT_MEM_DQB_PINLOC_7 => PORT_MEM_DQB_PINLOC_7,
+      PORT_MEM_DQB_PINLOC_8 => PORT_MEM_DQB_PINLOC_8,
+      PORT_MEM_DQB_PINLOC_9 => PORT_MEM_DQB_PINLOC_9,
+      PORT_MEM_DQB_PINLOC_10 => PORT_MEM_DQB_PINLOC_10,
+      PORT_MEM_DQB_PINLOC_11 => PORT_MEM_DQB_PINLOC_11,
+      PORT_MEM_DQB_PINLOC_12 => PORT_MEM_DQB_PINLOC_12,
+      PORT_MEM_DQB_PINLOC_13 => PORT_MEM_DQB_PINLOC_13,
+      PORT_MEM_DQB_PINLOC_14 => PORT_MEM_DQB_PINLOC_14,
+      PORT_MEM_DQB_PINLOC_15 => PORT_MEM_DQB_PINLOC_15,
+      PORT_MEM_DQB_PINLOC_16 => PORT_MEM_DQB_PINLOC_16,
+      PORT_MEM_DQB_PINLOC_17 => PORT_MEM_DQB_PINLOC_17,
+      PORT_MEM_DQB_PINLOC_18 => PORT_MEM_DQB_PINLOC_18,
+      PORT_MEM_DQB_PINLOC_19 => PORT_MEM_DQB_PINLOC_19,
+      PORT_MEM_DQB_PINLOC_20 => PORT_MEM_DQB_PINLOC_20,
+      PORT_MEM_DQB_PINLOC_21 => PORT_MEM_DQB_PINLOC_21,
+      PORT_MEM_DQB_PINLOC_22 => PORT_MEM_DQB_PINLOC_22,
+      PORT_MEM_DQB_PINLOC_23 => PORT_MEM_DQB_PINLOC_23,
+      PORT_MEM_DQB_PINLOC_24 => PORT_MEM_DQB_PINLOC_24,
+      PORT_MEM_DQB_PINLOC_25 => PORT_MEM_DQB_PINLOC_25,
+      PORT_MEM_DQB_PINLOC_26 => PORT_MEM_DQB_PINLOC_26,
+      PORT_MEM_DQB_PINLOC_27 => PORT_MEM_DQB_PINLOC_27,
+      PORT_MEM_DQB_PINLOC_28 => PORT_MEM_DQB_PINLOC_28,
+      PORT_MEM_DQB_PINLOC_29 => PORT_MEM_DQB_PINLOC_29,
+      PORT_MEM_DQB_PINLOC_30 => PORT_MEM_DQB_PINLOC_30,
+      PORT_MEM_DQB_PINLOC_31 => PORT_MEM_DQB_PINLOC_31,
+      PORT_MEM_DQB_PINLOC_32 => PORT_MEM_DQB_PINLOC_32,
+      PORT_MEM_DQB_PINLOC_33 => PORT_MEM_DQB_PINLOC_33,
+      PORT_MEM_DQB_PINLOC_34 => PORT_MEM_DQB_PINLOC_34,
+      PORT_MEM_DQB_PINLOC_35 => PORT_MEM_DQB_PINLOC_35,
+      PORT_MEM_DQB_PINLOC_36 => PORT_MEM_DQB_PINLOC_36,
+      PORT_MEM_DQB_PINLOC_37 => PORT_MEM_DQB_PINLOC_37,
+      PORT_MEM_DQB_PINLOC_38 => PORT_MEM_DQB_PINLOC_38,
+      PORT_MEM_DQB_PINLOC_39 => PORT_MEM_DQB_PINLOC_39,
+      PORT_MEM_DQB_PINLOC_40 => PORT_MEM_DQB_PINLOC_40,
+      PORT_MEM_DQB_PINLOC_41 => PORT_MEM_DQB_PINLOC_41,
+      PORT_MEM_DQB_PINLOC_42 => PORT_MEM_DQB_PINLOC_42,
+      PORT_MEM_DQB_PINLOC_43 => PORT_MEM_DQB_PINLOC_43,
+      PORT_MEM_DQB_PINLOC_44 => PORT_MEM_DQB_PINLOC_44,
+      PORT_MEM_DQB_PINLOC_45 => PORT_MEM_DQB_PINLOC_45,
+      PORT_MEM_DQB_PINLOC_46 => PORT_MEM_DQB_PINLOC_46,
+      PORT_MEM_DQB_PINLOC_47 => PORT_MEM_DQB_PINLOC_47,
+      PORT_MEM_DQB_PINLOC_48 => PORT_MEM_DQB_PINLOC_48,
+      PORT_MEM_DQB_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQB_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DINVA_WIDTH => PORT_MEM_DINVA_WIDTH,
+      PORT_MEM_DINVA_PINLOC_0 => PORT_MEM_DINVA_PINLOC_0,
+      PORT_MEM_DINVA_PINLOC_1 => PORT_MEM_DINVA_PINLOC_1,
+      PORT_MEM_DINVA_PINLOC_2 => PORT_MEM_DINVA_PINLOC_2,
+      PORT_MEM_DINVA_PINLOC_AUTOGEN_WCNT => PORT_MEM_DINVA_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DINVB_WIDTH => PORT_MEM_DINVB_WIDTH,
+      PORT_MEM_DINVB_PINLOC_0 => PORT_MEM_DINVB_PINLOC_0,
+      PORT_MEM_DINVB_PINLOC_1 => PORT_MEM_DINVB_PINLOC_1,
+      PORT_MEM_DINVB_PINLOC_2 => PORT_MEM_DINVB_PINLOC_2,
+      PORT_MEM_DINVB_PINLOC_AUTOGEN_WCNT => PORT_MEM_DINVB_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_Q_WIDTH => PORT_MEM_Q_WIDTH,
+      PORT_MEM_Q_PINLOC_0 => PORT_MEM_Q_PINLOC_0,
+      PORT_MEM_Q_PINLOC_1 => PORT_MEM_Q_PINLOC_1,
+      PORT_MEM_Q_PINLOC_2 => PORT_MEM_Q_PINLOC_2,
+      PORT_MEM_Q_PINLOC_3 => PORT_MEM_Q_PINLOC_3,
+      PORT_MEM_Q_PINLOC_4 => PORT_MEM_Q_PINLOC_4,
+      PORT_MEM_Q_PINLOC_5 => PORT_MEM_Q_PINLOC_5,
+      PORT_MEM_Q_PINLOC_6 => PORT_MEM_Q_PINLOC_6,
+      PORT_MEM_Q_PINLOC_7 => PORT_MEM_Q_PINLOC_7,
+      PORT_MEM_Q_PINLOC_8 => PORT_MEM_Q_PINLOC_8,
+      PORT_MEM_Q_PINLOC_9 => PORT_MEM_Q_PINLOC_9,
+      PORT_MEM_Q_PINLOC_10 => PORT_MEM_Q_PINLOC_10,
+      PORT_MEM_Q_PINLOC_11 => PORT_MEM_Q_PINLOC_11,
+      PORT_MEM_Q_PINLOC_12 => PORT_MEM_Q_PINLOC_12,
+      PORT_MEM_Q_PINLOC_13 => PORT_MEM_Q_PINLOC_13,
+      PORT_MEM_Q_PINLOC_14 => PORT_MEM_Q_PINLOC_14,
+      PORT_MEM_Q_PINLOC_15 => PORT_MEM_Q_PINLOC_15,
+      PORT_MEM_Q_PINLOC_16 => PORT_MEM_Q_PINLOC_16,
+      PORT_MEM_Q_PINLOC_17 => PORT_MEM_Q_PINLOC_17,
+      PORT_MEM_Q_PINLOC_18 => PORT_MEM_Q_PINLOC_18,
+      PORT_MEM_Q_PINLOC_19 => PORT_MEM_Q_PINLOC_19,
+      PORT_MEM_Q_PINLOC_20 => PORT_MEM_Q_PINLOC_20,
+      PORT_MEM_Q_PINLOC_21 => PORT_MEM_Q_PINLOC_21,
+      PORT_MEM_Q_PINLOC_22 => PORT_MEM_Q_PINLOC_22,
+      PORT_MEM_Q_PINLOC_23 => PORT_MEM_Q_PINLOC_23,
+      PORT_MEM_Q_PINLOC_24 => PORT_MEM_Q_PINLOC_24,
+      PORT_MEM_Q_PINLOC_25 => PORT_MEM_Q_PINLOC_25,
+      PORT_MEM_Q_PINLOC_26 => PORT_MEM_Q_PINLOC_26,
+      PORT_MEM_Q_PINLOC_27 => PORT_MEM_Q_PINLOC_27,
+      PORT_MEM_Q_PINLOC_28 => PORT_MEM_Q_PINLOC_28,
+      PORT_MEM_Q_PINLOC_29 => PORT_MEM_Q_PINLOC_29,
+      PORT_MEM_Q_PINLOC_30 => PORT_MEM_Q_PINLOC_30,
+      PORT_MEM_Q_PINLOC_31 => PORT_MEM_Q_PINLOC_31,
+      PORT_MEM_Q_PINLOC_32 => PORT_MEM_Q_PINLOC_32,
+      PORT_MEM_Q_PINLOC_33 => PORT_MEM_Q_PINLOC_33,
+      PORT_MEM_Q_PINLOC_34 => PORT_MEM_Q_PINLOC_34,
+      PORT_MEM_Q_PINLOC_35 => PORT_MEM_Q_PINLOC_35,
+      PORT_MEM_Q_PINLOC_36 => PORT_MEM_Q_PINLOC_36,
+      PORT_MEM_Q_PINLOC_37 => PORT_MEM_Q_PINLOC_37,
+      PORT_MEM_Q_PINLOC_38 => PORT_MEM_Q_PINLOC_38,
+      PORT_MEM_Q_PINLOC_39 => PORT_MEM_Q_PINLOC_39,
+      PORT_MEM_Q_PINLOC_40 => PORT_MEM_Q_PINLOC_40,
+      PORT_MEM_Q_PINLOC_41 => PORT_MEM_Q_PINLOC_41,
+      PORT_MEM_Q_PINLOC_42 => PORT_MEM_Q_PINLOC_42,
+      PORT_MEM_Q_PINLOC_43 => PORT_MEM_Q_PINLOC_43,
+      PORT_MEM_Q_PINLOC_44 => PORT_MEM_Q_PINLOC_44,
+      PORT_MEM_Q_PINLOC_45 => PORT_MEM_Q_PINLOC_45,
+      PORT_MEM_Q_PINLOC_46 => PORT_MEM_Q_PINLOC_46,
+      PORT_MEM_Q_PINLOC_47 => PORT_MEM_Q_PINLOC_47,
+      PORT_MEM_Q_PINLOC_48 => PORT_MEM_Q_PINLOC_48,
+      PORT_MEM_Q_PINLOC_AUTOGEN_WCNT => PORT_MEM_Q_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DQS_WIDTH => PORT_MEM_DQS_WIDTH,
+      PORT_MEM_DQS_PINLOC_0 => PORT_MEM_DQS_PINLOC_0,
+      PORT_MEM_DQS_PINLOC_1 => PORT_MEM_DQS_PINLOC_1,
+      PORT_MEM_DQS_PINLOC_2 => PORT_MEM_DQS_PINLOC_2,
+      PORT_MEM_DQS_PINLOC_3 => PORT_MEM_DQS_PINLOC_3,
+      PORT_MEM_DQS_PINLOC_4 => PORT_MEM_DQS_PINLOC_4,
+      PORT_MEM_DQS_PINLOC_5 => PORT_MEM_DQS_PINLOC_5,
+      PORT_MEM_DQS_PINLOC_6 => PORT_MEM_DQS_PINLOC_6,
+      PORT_MEM_DQS_PINLOC_7 => PORT_MEM_DQS_PINLOC_7,
+      PORT_MEM_DQS_PINLOC_8 => PORT_MEM_DQS_PINLOC_8,
+      PORT_MEM_DQS_PINLOC_9 => PORT_MEM_DQS_PINLOC_9,
+      PORT_MEM_DQS_PINLOC_10 => PORT_MEM_DQS_PINLOC_10,
+      PORT_MEM_DQS_PINLOC_11 => PORT_MEM_DQS_PINLOC_11,
+      PORT_MEM_DQS_PINLOC_12 => PORT_MEM_DQS_PINLOC_12,
+      PORT_MEM_DQS_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQS_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_DQS_N_WIDTH => PORT_MEM_DQS_N_WIDTH,
+      PORT_MEM_DQS_N_PINLOC_0 => PORT_MEM_DQS_N_PINLOC_0,
+      PORT_MEM_DQS_N_PINLOC_1 => PORT_MEM_DQS_N_PINLOC_1,
+      PORT_MEM_DQS_N_PINLOC_2 => PORT_MEM_DQS_N_PINLOC_2,
+      PORT_MEM_DQS_N_PINLOC_3 => PORT_MEM_DQS_N_PINLOC_3,
+      PORT_MEM_DQS_N_PINLOC_4 => PORT_MEM_DQS_N_PINLOC_4,
+      PORT_MEM_DQS_N_PINLOC_5 => PORT_MEM_DQS_N_PINLOC_5,
+      PORT_MEM_DQS_N_PINLOC_6 => PORT_MEM_DQS_N_PINLOC_6,
+      PORT_MEM_DQS_N_PINLOC_7 => PORT_MEM_DQS_N_PINLOC_7,
+      PORT_MEM_DQS_N_PINLOC_8 => PORT_MEM_DQS_N_PINLOC_8,
+      PORT_MEM_DQS_N_PINLOC_9 => PORT_MEM_DQS_N_PINLOC_9,
+      PORT_MEM_DQS_N_PINLOC_10 => PORT_MEM_DQS_N_PINLOC_10,
+      PORT_MEM_DQS_N_PINLOC_11 => PORT_MEM_DQS_N_PINLOC_11,
+      PORT_MEM_DQS_N_PINLOC_12 => PORT_MEM_DQS_N_PINLOC_12,
+      PORT_MEM_DQS_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_DQS_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_QK_WIDTH => PORT_MEM_QK_WIDTH,
+      PORT_MEM_QK_PINLOC_0 => PORT_MEM_QK_PINLOC_0,
+      PORT_MEM_QK_PINLOC_1 => PORT_MEM_QK_PINLOC_1,
+      PORT_MEM_QK_PINLOC_2 => PORT_MEM_QK_PINLOC_2,
+      PORT_MEM_QK_PINLOC_3 => PORT_MEM_QK_PINLOC_3,
+      PORT_MEM_QK_PINLOC_4 => PORT_MEM_QK_PINLOC_4,
+      PORT_MEM_QK_PINLOC_5 => PORT_MEM_QK_PINLOC_5,
+      PORT_MEM_QK_PINLOC_AUTOGEN_WCNT => PORT_MEM_QK_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_QK_N_WIDTH => PORT_MEM_QK_N_WIDTH,
+      PORT_MEM_QK_N_PINLOC_0 => PORT_MEM_QK_N_PINLOC_0,
+      PORT_MEM_QK_N_PINLOC_1 => PORT_MEM_QK_N_PINLOC_1,
+      PORT_MEM_QK_N_PINLOC_2 => PORT_MEM_QK_N_PINLOC_2,
+      PORT_MEM_QK_N_PINLOC_3 => PORT_MEM_QK_N_PINLOC_3,
+      PORT_MEM_QK_N_PINLOC_4 => PORT_MEM_QK_N_PINLOC_4,
+      PORT_MEM_QK_N_PINLOC_5 => PORT_MEM_QK_N_PINLOC_5,
+      PORT_MEM_QK_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_QK_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_QKA_WIDTH => PORT_MEM_QKA_WIDTH,
+      PORT_MEM_QKA_PINLOC_0 => PORT_MEM_QKA_PINLOC_0,
+      PORT_MEM_QKA_PINLOC_1 => PORT_MEM_QKA_PINLOC_1,
+      PORT_MEM_QKA_PINLOC_2 => PORT_MEM_QKA_PINLOC_2,
+      PORT_MEM_QKA_PINLOC_3 => PORT_MEM_QKA_PINLOC_3,
+      PORT_MEM_QKA_PINLOC_4 => PORT_MEM_QKA_PINLOC_4,
+      PORT_MEM_QKA_PINLOC_5 => PORT_MEM_QKA_PINLOC_5,
+      PORT_MEM_QKA_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKA_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_QKA_N_WIDTH => PORT_MEM_QKA_N_WIDTH,
+      PORT_MEM_QKA_N_PINLOC_0 => PORT_MEM_QKA_N_PINLOC_0,
+      PORT_MEM_QKA_N_PINLOC_1 => PORT_MEM_QKA_N_PINLOC_1,
+      PORT_MEM_QKA_N_PINLOC_2 => PORT_MEM_QKA_N_PINLOC_2,
+      PORT_MEM_QKA_N_PINLOC_3 => PORT_MEM_QKA_N_PINLOC_3,
+      PORT_MEM_QKA_N_PINLOC_4 => PORT_MEM_QKA_N_PINLOC_4,
+      PORT_MEM_QKA_N_PINLOC_5 => PORT_MEM_QKA_N_PINLOC_5,
+      PORT_MEM_QKA_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKA_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_QKB_WIDTH => PORT_MEM_QKB_WIDTH,
+      PORT_MEM_QKB_PINLOC_0 => PORT_MEM_QKB_PINLOC_0,
+      PORT_MEM_QKB_PINLOC_1 => PORT_MEM_QKB_PINLOC_1,
+      PORT_MEM_QKB_PINLOC_2 => PORT_MEM_QKB_PINLOC_2,
+      PORT_MEM_QKB_PINLOC_3 => PORT_MEM_QKB_PINLOC_3,
+      PORT_MEM_QKB_PINLOC_4 => PORT_MEM_QKB_PINLOC_4,
+      PORT_MEM_QKB_PINLOC_5 => PORT_MEM_QKB_PINLOC_5,
+      PORT_MEM_QKB_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKB_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_QKB_N_WIDTH => PORT_MEM_QKB_N_WIDTH,
+      PORT_MEM_QKB_N_PINLOC_0 => PORT_MEM_QKB_N_PINLOC_0,
+      PORT_MEM_QKB_N_PINLOC_1 => PORT_MEM_QKB_N_PINLOC_1,
+      PORT_MEM_QKB_N_PINLOC_2 => PORT_MEM_QKB_N_PINLOC_2,
+      PORT_MEM_QKB_N_PINLOC_3 => PORT_MEM_QKB_N_PINLOC_3,
+      PORT_MEM_QKB_N_PINLOC_4 => PORT_MEM_QKB_N_PINLOC_4,
+      PORT_MEM_QKB_N_PINLOC_5 => PORT_MEM_QKB_N_PINLOC_5,
+      PORT_MEM_QKB_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_QKB_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CQ_WIDTH => PORT_MEM_CQ_WIDTH,
+      PORT_MEM_CQ_PINLOC_0 => PORT_MEM_CQ_PINLOC_0,
+      PORT_MEM_CQ_PINLOC_1 => PORT_MEM_CQ_PINLOC_1,
+      PORT_MEM_CQ_PINLOC_AUTOGEN_WCNT => PORT_MEM_CQ_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_CQ_N_WIDTH => PORT_MEM_CQ_N_WIDTH,
+      PORT_MEM_CQ_N_PINLOC_0 => PORT_MEM_CQ_N_PINLOC_0,
+      PORT_MEM_CQ_N_PINLOC_1 => PORT_MEM_CQ_N_PINLOC_1,
+      PORT_MEM_CQ_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_CQ_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_ALERT_N_WIDTH => PORT_MEM_ALERT_N_WIDTH,
+      PORT_MEM_ALERT_N_PINLOC_0 => PORT_MEM_ALERT_N_PINLOC_0,
+      PORT_MEM_ALERT_N_PINLOC_1 => PORT_MEM_ALERT_N_PINLOC_1,
+      PORT_MEM_ALERT_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_ALERT_N_PINLOC_AUTOGEN_WCNT,
+      PORT_MEM_PE_N_WIDTH => PORT_MEM_PE_N_WIDTH,
+      PORT_MEM_PE_N_PINLOC_0 => PORT_MEM_PE_N_PINLOC_0,
+      PORT_MEM_PE_N_PINLOC_1 => PORT_MEM_PE_N_PINLOC_1,
+      PORT_MEM_PE_N_PINLOC_AUTOGEN_WCNT => PORT_MEM_PE_N_PINLOC_AUTOGEN_WCNT,
+      PORT_CLKS_SHARING_MASTER_OUT_WIDTH => PORT_CLKS_SHARING_MASTER_OUT_WIDTH,
+      PORT_CLKS_SHARING_SLAVE_IN_WIDTH => PORT_CLKS_SHARING_SLAVE_IN_WIDTH,
+      PORT_CLKS_SHARING_SLAVE_OUT_WIDTH => PORT_CLKS_SHARING_SLAVE_OUT_WIDTH,
+      PORT_AFI_RLAT_WIDTH => PORT_AFI_RLAT_WIDTH,
+      PORT_AFI_WLAT_WIDTH => PORT_AFI_WLAT_WIDTH,
+      PORT_AFI_SEQ_BUSY_WIDTH => PORT_AFI_SEQ_BUSY_WIDTH,
+      PORT_AFI_ADDR_WIDTH => PORT_AFI_ADDR_WIDTH,
+      PORT_AFI_BA_WIDTH => PORT_AFI_BA_WIDTH,
+      PORT_AFI_BG_WIDTH => PORT_AFI_BG_WIDTH,
+      PORT_AFI_C_WIDTH => PORT_AFI_C_WIDTH,
+      PORT_AFI_CKE_WIDTH => PORT_AFI_CKE_WIDTH,
+      PORT_AFI_CS_N_WIDTH => PORT_AFI_CS_N_WIDTH,
+      PORT_AFI_RM_WIDTH => PORT_AFI_RM_WIDTH,
+      PORT_AFI_ODT_WIDTH => PORT_AFI_ODT_WIDTH,
+      PORT_AFI_RAS_N_WIDTH => PORT_AFI_RAS_N_WIDTH,
+      PORT_AFI_CAS_N_WIDTH => PORT_AFI_CAS_N_WIDTH,
+      PORT_AFI_WE_N_WIDTH => PORT_AFI_WE_N_WIDTH,
+      PORT_AFI_RST_N_WIDTH => PORT_AFI_RST_N_WIDTH,
+      PORT_AFI_ACT_N_WIDTH => PORT_AFI_ACT_N_WIDTH,
+      PORT_AFI_PAR_WIDTH => PORT_AFI_PAR_WIDTH,
+      PORT_AFI_CA_WIDTH => PORT_AFI_CA_WIDTH,
+      PORT_AFI_REF_N_WIDTH => PORT_AFI_REF_N_WIDTH,
+      PORT_AFI_WPS_N_WIDTH => PORT_AFI_WPS_N_WIDTH,
+      PORT_AFI_RPS_N_WIDTH => PORT_AFI_RPS_N_WIDTH,
+      PORT_AFI_DOFF_N_WIDTH => PORT_AFI_DOFF_N_WIDTH,
+      PORT_AFI_LD_N_WIDTH => PORT_AFI_LD_N_WIDTH,
+      PORT_AFI_RW_N_WIDTH => PORT_AFI_RW_N_WIDTH,
+      PORT_AFI_LBK0_N_WIDTH => PORT_AFI_LBK0_N_WIDTH,
+      PORT_AFI_LBK1_N_WIDTH => PORT_AFI_LBK1_N_WIDTH,
+      PORT_AFI_CFG_N_WIDTH => PORT_AFI_CFG_N_WIDTH,
+      PORT_AFI_AP_WIDTH => PORT_AFI_AP_WIDTH,
+      PORT_AFI_AINV_WIDTH => PORT_AFI_AINV_WIDTH,
+      PORT_AFI_DM_WIDTH => PORT_AFI_DM_WIDTH,
+      PORT_AFI_DM_N_WIDTH => PORT_AFI_DM_N_WIDTH,
+      PORT_AFI_BWS_N_WIDTH => PORT_AFI_BWS_N_WIDTH,
+      PORT_AFI_RDATA_DBI_N_WIDTH => PORT_AFI_RDATA_DBI_N_WIDTH,
+      PORT_AFI_WDATA_DBI_N_WIDTH => PORT_AFI_WDATA_DBI_N_WIDTH,
+      PORT_AFI_RDATA_DINV_WIDTH => PORT_AFI_RDATA_DINV_WIDTH,
+      PORT_AFI_WDATA_DINV_WIDTH => PORT_AFI_WDATA_DINV_WIDTH,
+      PORT_AFI_DQS_BURST_WIDTH => PORT_AFI_DQS_BURST_WIDTH,
+      PORT_AFI_WDATA_VALID_WIDTH => PORT_AFI_WDATA_VALID_WIDTH,
+      PORT_AFI_WDATA_WIDTH => PORT_AFI_WDATA_WIDTH,
+      PORT_AFI_RDATA_EN_FULL_WIDTH => PORT_AFI_RDATA_EN_FULL_WIDTH,
+      PORT_AFI_RDATA_WIDTH => PORT_AFI_RDATA_WIDTH,
+      PORT_AFI_RDATA_VALID_WIDTH => PORT_AFI_RDATA_VALID_WIDTH,
+      PORT_AFI_RRANK_WIDTH => PORT_AFI_RRANK_WIDTH,
+      PORT_AFI_WRANK_WIDTH => PORT_AFI_WRANK_WIDTH,
+      PORT_AFI_ALERT_N_WIDTH => PORT_AFI_ALERT_N_WIDTH,
+      PORT_AFI_PE_N_WIDTH => PORT_AFI_PE_N_WIDTH,
+      PORT_CTRL_AST_CMD_DATA_WIDTH => PORT_CTRL_AST_CMD_DATA_WIDTH,
+      PORT_CTRL_AST_WR_DATA_WIDTH => PORT_CTRL_AST_WR_DATA_WIDTH,
+      PORT_CTRL_AST_RD_DATA_WIDTH => PORT_CTRL_AST_RD_DATA_WIDTH,
+      PORT_CTRL_AMM_ADDRESS_WIDTH => PORT_CTRL_AMM_ADDRESS_WIDTH,
+      PORT_CTRL_AMM_RDATA_WIDTH => PORT_CTRL_AMM_RDATA_WIDTH,
+      PORT_CTRL_AMM_WDATA_WIDTH => PORT_CTRL_AMM_WDATA_WIDTH,
+      PORT_CTRL_AMM_BCOUNT_WIDTH => PORT_CTRL_AMM_BCOUNT_WIDTH,
+      PORT_CTRL_AMM_BYTEEN_WIDTH => PORT_CTRL_AMM_BYTEEN_WIDTH,
+      PORT_CTRL_USER_REFRESH_REQ_WIDTH => PORT_CTRL_USER_REFRESH_REQ_WIDTH,
+      PORT_CTRL_USER_REFRESH_BANK_WIDTH => PORT_CTRL_USER_REFRESH_BANK_WIDTH,
+      PORT_CTRL_SELF_REFRESH_REQ_WIDTH => PORT_CTRL_SELF_REFRESH_REQ_WIDTH,
+      PORT_CTRL_ECC_WRITE_INFO_WIDTH => PORT_CTRL_ECC_WRITE_INFO_WIDTH,
+      PORT_CTRL_ECC_RDATA_ID_WIDTH => PORT_CTRL_ECC_RDATA_ID_WIDTH,
+      PORT_CTRL_ECC_READ_INFO_WIDTH => PORT_CTRL_ECC_READ_INFO_WIDTH,
+      PORT_CTRL_ECC_CMD_INFO_WIDTH => PORT_CTRL_ECC_CMD_INFO_WIDTH,
+      PORT_CTRL_ECC_WB_POINTER_WIDTH => PORT_CTRL_ECC_WB_POINTER_WIDTH,
+      PORT_CTRL_MMR_SLAVE_ADDRESS_WIDTH => PORT_CTRL_MMR_SLAVE_ADDRESS_WIDTH,
+      PORT_CTRL_MMR_SLAVE_RDATA_WIDTH => PORT_CTRL_MMR_SLAVE_RDATA_WIDTH,
+      PORT_CTRL_MMR_SLAVE_WDATA_WIDTH => PORT_CTRL_MMR_SLAVE_WDATA_WIDTH,
+      PORT_CTRL_MMR_SLAVE_BCOUNT_WIDTH => PORT_CTRL_MMR_SLAVE_BCOUNT_WIDTH,
+      PORT_HPS_EMIF_H2E_WIDTH => PORT_HPS_EMIF_H2E_WIDTH,
+      PORT_HPS_EMIF_E2H_WIDTH => PORT_HPS_EMIF_E2H_WIDTH,
+      PORT_HPS_EMIF_H2E_GP_WIDTH => PORT_HPS_EMIF_H2E_GP_WIDTH,
+      PORT_HPS_EMIF_E2H_GP_WIDTH => PORT_HPS_EMIF_E2H_GP_WIDTH,
+      PORT_CAL_DEBUG_ADDRESS_WIDTH => PORT_CAL_DEBUG_ADDRESS_WIDTH,
+      PORT_CAL_DEBUG_RDATA_WIDTH => PORT_CAL_DEBUG_RDATA_WIDTH,
+      PORT_CAL_DEBUG_WDATA_WIDTH => PORT_CAL_DEBUG_WDATA_WIDTH,
+      PORT_CAL_DEBUG_BYTEEN_WIDTH => PORT_CAL_DEBUG_BYTEEN_WIDTH,
+      PORT_CAL_DEBUG_OUT_ADDRESS_WIDTH => PORT_CAL_DEBUG_OUT_ADDRESS_WIDTH,
+      PORT_CAL_DEBUG_OUT_RDATA_WIDTH => PORT_CAL_DEBUG_OUT_RDATA_WIDTH,
+      PORT_CAL_DEBUG_OUT_WDATA_WIDTH => PORT_CAL_DEBUG_OUT_WDATA_WIDTH,
+      PORT_CAL_DEBUG_OUT_BYTEEN_WIDTH => PORT_CAL_DEBUG_OUT_BYTEEN_WIDTH,
+      PORT_CAL_MASTER_ADDRESS_WIDTH => PORT_CAL_MASTER_ADDRESS_WIDTH,
+      PORT_CAL_MASTER_RDATA_WIDTH => PORT_CAL_MASTER_RDATA_WIDTH,
+      PORT_CAL_MASTER_WDATA_WIDTH => PORT_CAL_MASTER_WDATA_WIDTH,
+      PORT_CAL_MASTER_BYTEEN_WIDTH => PORT_CAL_MASTER_BYTEEN_WIDTH,
+      PORT_DFT_NF_IOAUX_PIO_IN_WIDTH => PORT_DFT_NF_IOAUX_PIO_IN_WIDTH,
+      PORT_DFT_NF_IOAUX_PIO_OUT_WIDTH => PORT_DFT_NF_IOAUX_PIO_OUT_WIDTH,
+      PORT_DFT_NF_PA_DPRIO_REG_ADDR_WIDTH => PORT_DFT_NF_PA_DPRIO_REG_ADDR_WIDTH,
+      PORT_DFT_NF_PA_DPRIO_WRITEDATA_WIDTH => PORT_DFT_NF_PA_DPRIO_WRITEDATA_WIDTH,
+      PORT_DFT_NF_PA_DPRIO_READDATA_WIDTH => PORT_DFT_NF_PA_DPRIO_READDATA_WIDTH,
+      PORT_DFT_NF_PLL_CNTSEL_WIDTH => PORT_DFT_NF_PLL_CNTSEL_WIDTH,
+      PORT_DFT_NF_PLL_NUM_SHIFT_WIDTH => PORT_DFT_NF_PLL_NUM_SHIFT_WIDTH,
+      PORT_DFT_NF_CORE_CLK_BUF_OUT_WIDTH => PORT_DFT_NF_CORE_CLK_BUF_OUT_WIDTH,
+      PORT_DFT_NF_CORE_CLK_LOCKED_WIDTH => PORT_DFT_NF_CORE_CLK_LOCKED_WIDTH,
+      PLL_VCO_FREQ_MHZ_INT => PLL_VCO_FREQ_MHZ_INT,
+      PLL_VCO_TO_MEM_CLK_FREQ_RATIO => PLL_VCO_TO_MEM_CLK_FREQ_RATIO,
+      PLL_PHY_CLK_VCO_PHASE => PLL_PHY_CLK_VCO_PHASE,
+      PLL_VCO_FREQ_PS_STR => PLL_VCO_FREQ_PS_STR,
+      PLL_REF_CLK_FREQ_PS_STR => PLL_REF_CLK_FREQ_PS_STR,
+      PLL_REF_CLK_FREQ_PS => PLL_REF_CLK_FREQ_PS,
+      PLL_SIM_VCO_FREQ_PS => PLL_SIM_VCO_FREQ_PS,
+      PLL_SIM_PHYCLK_0_FREQ_PS => PLL_SIM_PHYCLK_0_FREQ_PS,
+      PLL_SIM_PHYCLK_1_FREQ_PS => PLL_SIM_PHYCLK_1_FREQ_PS,
+      PLL_SIM_PHYCLK_FB_FREQ_PS => PLL_SIM_PHYCLK_FB_FREQ_PS,
+      PLL_SIM_PHY_CLK_VCO_PHASE_PS => PLL_SIM_PHY_CLK_VCO_PHASE_PS,
+      PLL_SIM_CAL_SLAVE_CLK_FREQ_PS => PLL_SIM_CAL_SLAVE_CLK_FREQ_PS,
+      PLL_SIM_CAL_MASTER_CLK_FREQ_PS => PLL_SIM_CAL_MASTER_CLK_FREQ_PS,
+      PLL_M_CNT_HIGH => PLL_M_CNT_HIGH,
+      PLL_M_CNT_LOW => PLL_M_CNT_LOW,
+      PLL_N_CNT_HIGH => PLL_N_CNT_HIGH,
+      PLL_N_CNT_LOW => PLL_N_CNT_LOW,
+      PLL_M_CNT_BYPASS_EN => PLL_M_CNT_BYPASS_EN,
+      PLL_N_CNT_BYPASS_EN => PLL_N_CNT_BYPASS_EN,
+      PLL_M_CNT_EVEN_DUTY_EN => PLL_M_CNT_EVEN_DUTY_EN,
+      PLL_N_CNT_EVEN_DUTY_EN => PLL_N_CNT_EVEN_DUTY_EN,
+      PLL_FBCLK_MUX_1 => PLL_FBCLK_MUX_1,
+      PLL_FBCLK_MUX_2 => PLL_FBCLK_MUX_2,
+      PLL_M_CNT_IN_SRC => PLL_M_CNT_IN_SRC,
+      PLL_CP_SETTING => PLL_CP_SETTING,
+      PLL_BW_CTRL => PLL_BW_CTRL,
+      PLL_BW_SEL => PLL_BW_SEL,
+      PLL_C_CNT_HIGH_0 => PLL_C_CNT_HIGH_0,
+      PLL_C_CNT_LOW_0 => PLL_C_CNT_LOW_0,
+      PLL_C_CNT_PRST_0 => PLL_C_CNT_PRST_0,
+      PLL_C_CNT_PH_MUX_PRST_0 => PLL_C_CNT_PH_MUX_PRST_0,
+      PLL_C_CNT_BYPASS_EN_0 => PLL_C_CNT_BYPASS_EN_0,
+      PLL_C_CNT_EVEN_DUTY_EN_0 => PLL_C_CNT_EVEN_DUTY_EN_0,
+      PLL_C_CNT_FREQ_PS_STR_0 => PLL_C_CNT_FREQ_PS_STR_0,
+      PLL_C_CNT_PHASE_PS_STR_0 => PLL_C_CNT_PHASE_PS_STR_0,
+      PLL_C_CNT_DUTY_CYCLE_0 => PLL_C_CNT_DUTY_CYCLE_0,
+      PLL_C_CNT_OUT_EN_0 => PLL_C_CNT_OUT_EN_0,
+      PLL_C_CNT_HIGH_1 => PLL_C_CNT_HIGH_1,
+      PLL_C_CNT_LOW_1 => PLL_C_CNT_LOW_1,
+      PLL_C_CNT_PRST_1 => PLL_C_CNT_PRST_1,
+      PLL_C_CNT_PH_MUX_PRST_1 => PLL_C_CNT_PH_MUX_PRST_1,
+      PLL_C_CNT_BYPASS_EN_1 => PLL_C_CNT_BYPASS_EN_1,
+      PLL_C_CNT_EVEN_DUTY_EN_1 => PLL_C_CNT_EVEN_DUTY_EN_1,
+      PLL_C_CNT_FREQ_PS_STR_1 => PLL_C_CNT_FREQ_PS_STR_1,
+      PLL_C_CNT_PHASE_PS_STR_1 => PLL_C_CNT_PHASE_PS_STR_1,
+      PLL_C_CNT_DUTY_CYCLE_1 => PLL_C_CNT_DUTY_CYCLE_1,
+      PLL_C_CNT_OUT_EN_1 => PLL_C_CNT_OUT_EN_1,
+      PLL_C_CNT_HIGH_2 => PLL_C_CNT_HIGH_2,
+      PLL_C_CNT_LOW_2 => PLL_C_CNT_LOW_2,
+      PLL_C_CNT_PRST_2 => PLL_C_CNT_PRST_2,
+      PLL_C_CNT_PH_MUX_PRST_2 => PLL_C_CNT_PH_MUX_PRST_2,
+      PLL_C_CNT_BYPASS_EN_2 => PLL_C_CNT_BYPASS_EN_2,
+      PLL_C_CNT_EVEN_DUTY_EN_2 => PLL_C_CNT_EVEN_DUTY_EN_2,
+      PLL_C_CNT_FREQ_PS_STR_2 => PLL_C_CNT_FREQ_PS_STR_2,
+      PLL_C_CNT_PHASE_PS_STR_2 => PLL_C_CNT_PHASE_PS_STR_2,
+      PLL_C_CNT_DUTY_CYCLE_2 => PLL_C_CNT_DUTY_CYCLE_2,
+      PLL_C_CNT_OUT_EN_2 => PLL_C_CNT_OUT_EN_2,
+      PLL_C_CNT_HIGH_3 => PLL_C_CNT_HIGH_3,
+      PLL_C_CNT_LOW_3 => PLL_C_CNT_LOW_3,
+      PLL_C_CNT_PRST_3 => PLL_C_CNT_PRST_3,
+      PLL_C_CNT_PH_MUX_PRST_3 => PLL_C_CNT_PH_MUX_PRST_3,
+      PLL_C_CNT_BYPASS_EN_3 => PLL_C_CNT_BYPASS_EN_3,
+      PLL_C_CNT_EVEN_DUTY_EN_3 => PLL_C_CNT_EVEN_DUTY_EN_3,
+      PLL_C_CNT_FREQ_PS_STR_3 => PLL_C_CNT_FREQ_PS_STR_3,
+      PLL_C_CNT_PHASE_PS_STR_3 => PLL_C_CNT_PHASE_PS_STR_3,
+      PLL_C_CNT_DUTY_CYCLE_3 => PLL_C_CNT_DUTY_CYCLE_3,
+      PLL_C_CNT_OUT_EN_3 => PLL_C_CNT_OUT_EN_3,
+      PLL_C_CNT_HIGH_4 => PLL_C_CNT_HIGH_4,
+      PLL_C_CNT_LOW_4 => PLL_C_CNT_LOW_4,
+      PLL_C_CNT_PRST_4 => PLL_C_CNT_PRST_4,
+      PLL_C_CNT_PH_MUX_PRST_4 => PLL_C_CNT_PH_MUX_PRST_4,
+      PLL_C_CNT_BYPASS_EN_4 => PLL_C_CNT_BYPASS_EN_4,
+      PLL_C_CNT_EVEN_DUTY_EN_4 => PLL_C_CNT_EVEN_DUTY_EN_4,
+      PLL_C_CNT_FREQ_PS_STR_4 => PLL_C_CNT_FREQ_PS_STR_4,
+      PLL_C_CNT_PHASE_PS_STR_4 => PLL_C_CNT_PHASE_PS_STR_4,
+      PLL_C_CNT_DUTY_CYCLE_4 => PLL_C_CNT_DUTY_CYCLE_4,
+      PLL_C_CNT_OUT_EN_4 => PLL_C_CNT_OUT_EN_4,
+      PLL_C_CNT_HIGH_5 => PLL_C_CNT_HIGH_5,
+      PLL_C_CNT_LOW_5 => PLL_C_CNT_LOW_5,
+      PLL_C_CNT_PRST_5 => PLL_C_CNT_PRST_5,
+      PLL_C_CNT_PH_MUX_PRST_5 => PLL_C_CNT_PH_MUX_PRST_5,
+      PLL_C_CNT_BYPASS_EN_5 => PLL_C_CNT_BYPASS_EN_5,
+      PLL_C_CNT_EVEN_DUTY_EN_5 => PLL_C_CNT_EVEN_DUTY_EN_5,
+      PLL_C_CNT_FREQ_PS_STR_5 => PLL_C_CNT_FREQ_PS_STR_5,
+      PLL_C_CNT_PHASE_PS_STR_5 => PLL_C_CNT_PHASE_PS_STR_5,
+      PLL_C_CNT_DUTY_CYCLE_5 => PLL_C_CNT_DUTY_CYCLE_5,
+      PLL_C_CNT_OUT_EN_5 => PLL_C_CNT_OUT_EN_5,
+      PLL_C_CNT_HIGH_6 => PLL_C_CNT_HIGH_6,
+      PLL_C_CNT_LOW_6 => PLL_C_CNT_LOW_6,
+      PLL_C_CNT_PRST_6 => PLL_C_CNT_PRST_6,
+      PLL_C_CNT_PH_MUX_PRST_6 => PLL_C_CNT_PH_MUX_PRST_6,
+      PLL_C_CNT_BYPASS_EN_6 => PLL_C_CNT_BYPASS_EN_6,
+      PLL_C_CNT_EVEN_DUTY_EN_6 => PLL_C_CNT_EVEN_DUTY_EN_6,
+      PLL_C_CNT_FREQ_PS_STR_6 => PLL_C_CNT_FREQ_PS_STR_6,
+      PLL_C_CNT_PHASE_PS_STR_6 => PLL_C_CNT_PHASE_PS_STR_6,
+      PLL_C_CNT_DUTY_CYCLE_6 => PLL_C_CNT_DUTY_CYCLE_6,
+      PLL_C_CNT_OUT_EN_6 => PLL_C_CNT_OUT_EN_6,
+      PLL_C_CNT_HIGH_7 => PLL_C_CNT_HIGH_7,
+      PLL_C_CNT_LOW_7 => PLL_C_CNT_LOW_7,
+      PLL_C_CNT_PRST_7 => PLL_C_CNT_PRST_7,
+      PLL_C_CNT_PH_MUX_PRST_7 => PLL_C_CNT_PH_MUX_PRST_7,
+      PLL_C_CNT_BYPASS_EN_7 => PLL_C_CNT_BYPASS_EN_7,
+      PLL_C_CNT_EVEN_DUTY_EN_7 => PLL_C_CNT_EVEN_DUTY_EN_7,
+      PLL_C_CNT_FREQ_PS_STR_7 => PLL_C_CNT_FREQ_PS_STR_7,
+      PLL_C_CNT_PHASE_PS_STR_7 => PLL_C_CNT_PHASE_PS_STR_7,
+      PLL_C_CNT_DUTY_CYCLE_7 => PLL_C_CNT_DUTY_CYCLE_7,
+      PLL_C_CNT_OUT_EN_7 => PLL_C_CNT_OUT_EN_7,
+      PLL_C_CNT_HIGH_8 => PLL_C_CNT_HIGH_8,
+      PLL_C_CNT_LOW_8 => PLL_C_CNT_LOW_8,
+      PLL_C_CNT_PRST_8 => PLL_C_CNT_PRST_8,
+      PLL_C_CNT_PH_MUX_PRST_8 => PLL_C_CNT_PH_MUX_PRST_8,
+      PLL_C_CNT_BYPASS_EN_8 => PLL_C_CNT_BYPASS_EN_8,
+      PLL_C_CNT_EVEN_DUTY_EN_8 => PLL_C_CNT_EVEN_DUTY_EN_8,
+      PLL_C_CNT_FREQ_PS_STR_8 => PLL_C_CNT_FREQ_PS_STR_8,
+      PLL_C_CNT_PHASE_PS_STR_8 => PLL_C_CNT_PHASE_PS_STR_8,
+      PLL_C_CNT_DUTY_CYCLE_8 => PLL_C_CNT_DUTY_CYCLE_8,
+      PLL_C_CNT_OUT_EN_8 => PLL_C_CNT_OUT_EN_8,
+      SEQ_SYNTH_PARAMS_HEX_FILENAME => "ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_seq_params_synth.hex",
+      SEQ_SIM_PARAMS_HEX_FILENAME => "ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_seq_params_sim.hex",
+      SEQ_CODE_HEX_FILENAME => "ip_arria10_e1sg_ddr4_8g_2400_altera_emif_arch_nf_180_e37lt4i_seq_cal.hex"
+    )
+    port map (
+      global_reset_n => global_reset_n,
+      pll_ref_clk => pll_ref_clk,
+      pll_locked => pll_locked,
+      pll_extra_clk_0 => pll_extra_clk_0,
+      pll_extra_clk_1 => pll_extra_clk_1,
+      pll_extra_clk_2 => pll_extra_clk_2,
+      pll_extra_clk_3 => pll_extra_clk_3,
+      oct_rzqin => oct_rzqin,
+      mem_ck => mem_ck,
+      mem_ck_n => mem_ck_n,
+      mem_a => mem_a,
+      mem_act_n => mem_act_n,
+      mem_ba => mem_ba,
+      mem_bg => mem_bg,
+      mem_c => mem_c,
+      mem_cke => mem_cke,
+      mem_cs_n => mem_cs_n,
+      mem_rm => mem_rm,
+      mem_odt => mem_odt,
+      mem_reset_n => mem_reset_n,
+      mem_par => mem_par,
+      mem_alert_n => mem_alert_n,
+      mem_dqs => mem_dqs,
+      mem_dqs_n => mem_dqs_n,
+      mem_dq => mem_dq,
+      mem_dbi_n => mem_dbi_n,
+      mem_dk => mem_dk,
+      mem_dk_n => mem_dk_n,
+      mem_dka => mem_dka,
+      mem_dka_n => mem_dka_n,
+      mem_dkb => mem_dkb,
+      mem_dkb_n => mem_dkb_n,
+      mem_k => mem_k,
+      mem_k_n => mem_k_n,
+      mem_ras_n => mem_ras_n,
+      mem_cas_n => mem_cas_n,
+      mem_we_n => mem_we_n,
+      mem_ca => mem_ca,
+      mem_ref_n => mem_ref_n,
+      mem_wps_n => mem_wps_n,
+      mem_rps_n => mem_rps_n,
+      mem_doff_n => mem_doff_n,
+      mem_lda_n => mem_lda_n,
+      mem_ldb_n => mem_ldb_n,
+      mem_rwa_n => mem_rwa_n,
+      mem_rwb_n => mem_rwb_n,
+      mem_lbk0_n => mem_lbk0_n,
+      mem_lbk1_n => mem_lbk1_n,
+      mem_cfg_n => mem_cfg_n,
+      mem_ap => mem_ap,
+      mem_ainv => mem_ainv,
+      mem_dm => mem_dm,
+      mem_bws_n => mem_bws_n,
+      mem_d => mem_d,
+      mem_dqa => mem_dqa,
+      mem_dqb => mem_dqb,
+      mem_dinva => mem_dinva,
+      mem_dinvb => mem_dinvb,
+      mem_q => mem_q,
+      mem_qk => mem_qk,
+      mem_qk_n => mem_qk_n,
+      mem_qka => mem_qka,
+      mem_qka_n => mem_qka_n,
+      mem_qkb => mem_qkb,
+      mem_qkb_n => mem_qkb_n,
+      mem_cq => mem_cq,
+      mem_cq_n => mem_cq_n,
+      mem_pe_n => mem_pe_n,
+      local_cal_success => local_cal_success,
+      local_cal_fail => local_cal_fail,
+      vid_cal_done_persist => vid_cal_done_persist,
+      afi_reset_n => afi_reset_n,
+      afi_clk => afi_clk,
+      afi_half_clk => afi_half_clk,
+      emif_usr_reset_n => emif_usr_reset_n,
+      emif_usr_clk => emif_usr_clk,
+      emif_usr_half_clk => emif_usr_half_clk,
+      emif_usr_reset_n_sec => emif_usr_reset_n_sec,
+      emif_usr_clk_sec => emif_usr_clk_sec,
+      emif_usr_half_clk_sec => emif_usr_half_clk_sec,
+      cal_master_reset_n => cal_master_reset_n,
+      cal_master_clk => cal_master_clk,
+      cal_slave_reset_n => cal_slave_reset_n,
+      cal_slave_clk => cal_slave_clk,
+      cal_slave_reset_n_in => cal_slave_reset_n_in,
+      cal_slave_clk_in => cal_slave_clk_in,
+      cal_debug_reset_n => cal_debug_reset_n,
+      cal_debug_clk => cal_debug_clk,
+      cal_debug_out_reset_n => cal_debug_out_reset_n,
+      cal_debug_out_clk => cal_debug_out_clk,
+      clks_sharing_master_out => clks_sharing_master_out,
+      clks_sharing_slave_in => clks_sharing_slave_in,
+      clks_sharing_slave_out => clks_sharing_slave_out,
+      afi_cal_success => afi_cal_success,
+      afi_cal_fail => afi_cal_fail,
+      afi_cal_req => afi_cal_req,
+      afi_rlat => afi_rlat,
+      afi_wlat => afi_wlat,
+      afi_seq_busy => afi_seq_busy,
+      afi_ctl_refresh_done => afi_ctl_refresh_done,
+      afi_ctl_long_idle => afi_ctl_long_idle,
+      afi_mps_req => afi_mps_req,
+      afi_mps_ack => afi_mps_ack,
+      afi_addr => afi_addr,
+      afi_ba => afi_ba,
+      afi_bg => afi_bg,
+      afi_c => afi_c,
+      afi_cke => afi_cke,
+      afi_cs_n => afi_cs_n,
+      afi_rm => afi_rm,
+      afi_odt => afi_odt,
+      afi_ras_n => afi_ras_n,
+      afi_cas_n => afi_cas_n,
+      afi_we_n => afi_we_n,
+      afi_rst_n => afi_rst_n,
+      afi_act_n => afi_act_n,
+      afi_par => afi_par,
+      afi_ca => afi_ca,
+      afi_ref_n => afi_ref_n,
+      afi_wps_n => afi_wps_n,
+      afi_rps_n => afi_rps_n,
+      afi_doff_n => afi_doff_n,
+      afi_ld_n => afi_ld_n,
+      afi_rw_n => afi_rw_n,
+      afi_lbk0_n => afi_lbk0_n,
+      afi_lbk1_n => afi_lbk1_n,
+      afi_cfg_n => afi_cfg_n,
+      afi_ap => afi_ap,
+      afi_ainv => afi_ainv,
+      afi_dm => afi_dm,
+      afi_dm_n => afi_dm_n,
+      afi_bws_n => afi_bws_n,
+      afi_rdata_dbi_n => afi_rdata_dbi_n,
+      afi_wdata_dbi_n => afi_wdata_dbi_n,
+      afi_rdata_dinv => afi_rdata_dinv,
+      afi_wdata_dinv => afi_wdata_dinv,
+      afi_dqs_burst => afi_dqs_burst,
+      afi_wdata_valid => afi_wdata_valid,
+      afi_wdata => afi_wdata,
+      afi_rdata_en_full => afi_rdata_en_full,
+      afi_rdata => afi_rdata,
+      afi_rdata_valid => afi_rdata_valid,
+      afi_rrank => afi_rrank,
+      afi_wrank => afi_wrank,
+      afi_alert_n => afi_alert_n,
+      afi_pe_n => afi_pe_n,
+      ast_cmd_data_0 => ast_cmd_data_0,
+      ast_cmd_valid_0 => ast_cmd_valid_0,
+      ast_cmd_ready_0 => ast_cmd_ready_0,
+      ast_cmd_data_1 => ast_cmd_data_1,
+      ast_cmd_valid_1 => ast_cmd_valid_1,
+      ast_cmd_ready_1 => ast_cmd_ready_1,
+      ast_wr_data_0 => ast_wr_data_0,
+      ast_wr_valid_0 => ast_wr_valid_0,
+      ast_wr_ready_0 => ast_wr_ready_0,
+      ast_wr_data_1 => ast_wr_data_1,
+      ast_wr_valid_1 => ast_wr_valid_1,
+      ast_wr_ready_1 => ast_wr_ready_1,
+      ast_rd_data_0 => ast_rd_data_0,
+      ast_rd_valid_0 => ast_rd_valid_0,
+      ast_rd_ready_0 => ast_rd_ready_0,
+      ast_rd_data_1 => ast_rd_data_1,
+      ast_rd_valid_1 => ast_rd_valid_1,
+      ast_rd_ready_1 => ast_rd_ready_1,
+      amm_ready_0 => amm_ready_0,
+      amm_read_0 => amm_read_0,
+      amm_write_0 => amm_write_0,
+      amm_address_0 => amm_address_0,
+      amm_readdata_0 => amm_readdata_0,
+      amm_writedata_0 => amm_writedata_0,
+      amm_burstcount_0 => amm_burstcount_0,
+      amm_byteenable_0 => amm_byteenable_0,
+      amm_beginbursttransfer_0 => amm_beginbursttransfer_0,
+      amm_readdatavalid_0 => amm_readdatavalid_0,
+      amm_ready_1 => amm_ready_1,
+      amm_read_1 => amm_read_1,
+      amm_write_1 => amm_write_1,
+      amm_address_1 => amm_address_1,
+      amm_readdata_1 => amm_readdata_1,
+      amm_writedata_1 => amm_writedata_1,
+      amm_burstcount_1 => amm_burstcount_1,
+      amm_byteenable_1 => amm_byteenable_1,
+      amm_beginbursttransfer_1 => amm_beginbursttransfer_1,
+      amm_readdatavalid_1 => amm_readdatavalid_1,
+      ctrl_user_priority_hi_0 => ctrl_user_priority_hi_0,
+      ctrl_user_priority_hi_1 => ctrl_user_priority_hi_1,
+      ctrl_auto_precharge_req_0 => ctrl_auto_precharge_req_0,
+      ctrl_auto_precharge_req_1 => ctrl_auto_precharge_req_1,
+      ctrl_user_refresh_req => ctrl_user_refresh_req,
+      ctrl_user_refresh_bank => ctrl_user_refresh_bank,
+      ctrl_user_refresh_ack => ctrl_user_refresh_ack,
+      ctrl_self_refresh_req => ctrl_self_refresh_req,
+      ctrl_self_refresh_ack => ctrl_self_refresh_ack,
+      ctrl_will_refresh => ctrl_will_refresh,
+      ctrl_deep_power_down_req => ctrl_deep_power_down_req,
+      ctrl_deep_power_down_ack => ctrl_deep_power_down_ack,
+      ctrl_power_down_ack => ctrl_power_down_ack,
+      ctrl_zq_cal_long_req => ctrl_zq_cal_long_req,
+      ctrl_zq_cal_short_req => ctrl_zq_cal_short_req,
+      ctrl_zq_cal_ack => ctrl_zq_cal_ack,
+      ctrl_ecc_write_info_0 => ctrl_ecc_write_info_0,
+      ctrl_ecc_rdata_id_0 => ctrl_ecc_rdata_id_0,
+      ctrl_ecc_read_info_0 => ctrl_ecc_read_info_0,
+      ctrl_ecc_cmd_info_0 => ctrl_ecc_cmd_info_0,
+      ctrl_ecc_idle_0 => ctrl_ecc_idle_0,
+      ctrl_ecc_wr_pointer_info_0 => ctrl_ecc_wr_pointer_info_0,
+      ctrl_ecc_write_info_1 => ctrl_ecc_write_info_1,
+      ctrl_ecc_rdata_id_1 => ctrl_ecc_rdata_id_1,
+      ctrl_ecc_read_info_1 => ctrl_ecc_read_info_1,
+      ctrl_ecc_cmd_info_1 => ctrl_ecc_cmd_info_1,
+      ctrl_ecc_idle_1 => ctrl_ecc_idle_1,
+      ctrl_ecc_wr_pointer_info_1 => ctrl_ecc_wr_pointer_info_1,
+      mmr_slave_waitrequest_0 => mmr_slave_waitrequest_0,
+      mmr_slave_read_0 => mmr_slave_read_0,
+      mmr_slave_write_0 => mmr_slave_write_0,
+      mmr_slave_address_0 => mmr_slave_address_0,
+      mmr_slave_readdata_0 => mmr_slave_readdata_0,
+      mmr_slave_writedata_0 => mmr_slave_writedata_0,
+      mmr_slave_burstcount_0 => mmr_slave_burstcount_0,
+      mmr_slave_beginbursttransfer_0 => mmr_slave_beginbursttransfer_0,
+      mmr_slave_readdatavalid_0 => mmr_slave_readdatavalid_0,
+      mmr_slave_waitrequest_1 => mmr_slave_waitrequest_1,
+      mmr_slave_read_1 => mmr_slave_read_1,
+      mmr_slave_write_1 => mmr_slave_write_1,
+      mmr_slave_address_1 => mmr_slave_address_1,
+      mmr_slave_readdata_1 => mmr_slave_readdata_1,
+      mmr_slave_writedata_1 => mmr_slave_writedata_1,
+      mmr_slave_burstcount_1 => mmr_slave_burstcount_1,
+      mmr_slave_beginbursttransfer_1 => mmr_slave_beginbursttransfer_1,
+      mmr_slave_readdatavalid_1 => mmr_slave_readdatavalid_1,
+      hps_to_emif => hps_to_emif,
+      emif_to_hps => emif_to_hps,
+      hps_to_emif_gp => hps_to_emif_gp,
+      emif_to_hps_gp => emif_to_hps_gp,
+      cal_debug_waitrequest => cal_debug_waitrequest,
+      cal_debug_read => cal_debug_read,
+      cal_debug_write => cal_debug_write,
+      cal_debug_addr => cal_debug_addr,
+      cal_debug_read_data => cal_debug_read_data,
+      cal_debug_write_data => cal_debug_write_data,
+      cal_debug_byteenable => cal_debug_byteenable,
+      cal_debug_read_data_valid => cal_debug_read_data_valid,
+      cal_debug_out_waitrequest => cal_debug_out_waitrequest,
+      cal_debug_out_read => cal_debug_out_read,
+      cal_debug_out_write => cal_debug_out_write,
+      cal_debug_out_addr => cal_debug_out_addr,
+      cal_debug_out_read_data => cal_debug_out_read_data,
+      cal_debug_out_write_data => cal_debug_out_write_data,
+      cal_debug_out_byteenable => cal_debug_out_byteenable,
+      cal_debug_out_read_data_valid => cal_debug_out_read_data_valid,
+      cal_master_waitrequest => cal_master_waitrequest,
+      cal_master_read => cal_master_read,
+      cal_master_write => cal_master_write,
+      cal_master_addr => cal_master_addr,
+      cal_master_read_data => cal_master_read_data,
+      cal_master_write_data => cal_master_write_data,
+      cal_master_byteenable => cal_master_byteenable,
+      cal_master_read_data_valid => cal_master_read_data_valid,
+      cal_master_burstcount => cal_master_burstcount,
+      cal_master_debugaccess => cal_master_debugaccess,
+      ioaux_pio_in => ioaux_pio_in,
+      ioaux_pio_out => ioaux_pio_out,
+      pa_dprio_clk => pa_dprio_clk,
+      pa_dprio_read => pa_dprio_read,
+      pa_dprio_reg_addr => pa_dprio_reg_addr,
+      pa_dprio_rst_n => pa_dprio_rst_n,
+      pa_dprio_write => pa_dprio_write,
+      pa_dprio_writedata => pa_dprio_writedata,
+      pa_dprio_block_select => pa_dprio_block_select,
+      pa_dprio_readdata => pa_dprio_readdata,
+      pll_phase_en => pll_phase_en,
+      pll_up_dn => pll_up_dn,
+      pll_cnt_sel => pll_cnt_sel,
+      pll_num_phase_shifts => pll_num_phase_shifts,
+      pll_phase_done => pll_phase_done,
+      dft_core_clk_buf_out => dft_core_clk_buf_out,
+      dft_core_clk_locked => dft_core_clk_locked
+    );
 end architecture rtl;
diff --git a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400_inst.vhd b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400_inst.vhd
index 575461e0a8..01acde3e38 100644
--- a/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400_inst.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400/ip_arria10_e1sg_ddr4_8g_2400_inst.vhd
@@ -1,4 +1,4 @@
-	component ip_arria10_e1sg_ddr4_8g_2400 is
+  component ip_arria10_e1sg_ddr4_8g_2400 is
 		port (
 			amm_ready_0         : out   std_logic;  -- waitrequest_n
 			amm_read_0          : in    std_logic                      := 'X';  -- read
diff --git a/libraries/technology/ip_arria10_e1sg/eth_10g/ip_arria10_e1sg_eth_10g.vhd b/libraries/technology/ip_arria10_e1sg/eth_10g/ip_arria10_e1sg_eth_10g.vhd
index 5e1bfb862c..e489fcf285 100644
--- a/libraries/technology/ip_arria10_e1sg/eth_10g/ip_arria10_e1sg_eth_10g.vhd
+++ b/libraries/technology/ip_arria10_e1sg/eth_10g/ip_arria10_e1sg_eth_10g.vhd
@@ -84,13 +84,13 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_10gbase_r_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity ip_arria10_e1sg_eth_10g is
   generic (
@@ -198,70 +198,70 @@ begin
     end process;
 
     u_tech_mac_10g : entity tech_mac_10g_lib.tech_mac_10g
-    generic map (
-      g_technology          => c_tech_arria10_e1sg,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-      csr_mosi          => mac_mosi_arr(I),
-      csr_miso          => mac_miso_arr(I),
-
-      -- ST
-      tx_clk_312        => clk_312,
-      tx_clk_156        => clk_156,
-      tx_rst            => rst_156,
-      tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
-      tx_snk_out        => mac_snk_out_arr(I),
-
-      rx_clk_312        => clk_312,
-      rx_clk_156        => clk_156,
-      rx_rst            => rst_156,
-      rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
-      rx_src_in         => rx_src_in_arr(I),
-
-      -- XGMII
-      xgmii_link_status => xgmii_link_status_arr(I),
-      xgmii_tx_data     => xgmii_tx_dc_arr(I),
-      xgmii_rx_data     => xgmii_internal_dc_arr(I)
-    );
+      generic map (
+        g_technology          => c_tech_arria10_e1sg,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+        csr_mosi          => mac_mosi_arr(I),
+        csr_miso          => mac_miso_arr(I),
+
+        -- ST
+        tx_clk_312        => clk_312,
+        tx_clk_156        => clk_156,
+        tx_rst            => rst_156,
+        tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
+        tx_snk_out        => mac_snk_out_arr(I),
+
+        rx_clk_312        => clk_312,
+        rx_clk_156        => clk_156,
+        rx_rst            => rst_156,
+        rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
+        rx_src_in         => rx_src_in_arr(I),
+
+        -- XGMII
+        xgmii_link_status => xgmii_link_status_arr(I),
+        xgmii_tx_data     => xgmii_tx_dc_arr(I),
+        xgmii_rx_data     => xgmii_internal_dc_arr(I)
+      );
   end generate;
 
   xgmii_internal_dc_arr <= xgmii_tx_dc_arr when g_direction = "TX_ONLY" else xgmii_rx_dc_arr;
 
   u_tech_10gbase_r: entity tech_10gbase_r_lib.tech_10gbase_r
-  generic map (
-    g_technology     => c_tech_arria10_e1sg,
-    g_sim            => g_sim,
-    g_sim_level      => g_sim_level,
-    g_nof_channels   => g_nof_channels
-  )
-  port map (
-    mm_clk              => mm_clk,
-    mm_rst              => mm_rst,
-
-    reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi =>  reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi,
-    reg_ip_arria10_e1sg_phy_10gbase_r_24_miso =>  reg_ip_arria10_e1sg_phy_10gbase_r_24_miso,
+    generic map (
+      g_technology     => c_tech_arria10_e1sg,
+      g_sim            => g_sim,
+      g_sim_level      => g_sim_level,
+      g_nof_channels   => g_nof_channels
+    )
+    port map (
+      mm_clk              => mm_clk,
+      mm_rst              => mm_rst,
 
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644     => tr_ref_clk_644,
+      reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi =>  reg_ip_arria10_e1sg_phy_10gbase_r_24_mosi,
+      reg_ip_arria10_e1sg_phy_10gbase_r_24_miso =>  reg_ip_arria10_e1sg_phy_10gbase_r_24_miso,
 
-    -- XGMII clocks
-    clk_156            => clk_156,
-    rst_156            => rst_156,
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644     => tr_ref_clk_644,
 
-    -- XGMII interface
-    xgmii_tx_ready_arr => xgmii_tx_ready_arr,
-    xgmii_rx_ready_arr => OPEN,
-    xgmii_tx_dc_arr    => xgmii_tx_dc_arr_loopback,
-    xgmii_rx_dc_arr    => xgmii_rx_dc_arr_loopback,
+      -- XGMII clocks
+      clk_156            => clk_156,
+      rst_156            => rst_156,
 
-    -- PHY serial IO
-    tx_serial_arr      => serial_tx_arr,
-    rx_serial_arr      => serial_rx_arr
-  );
+      -- XGMII interface
+      xgmii_tx_ready_arr => xgmii_tx_ready_arr,
+      xgmii_rx_ready_arr => OPEN,
+      xgmii_tx_dc_arr    => xgmii_tx_dc_arr_loopback,
+      xgmii_rx_dc_arr    => xgmii_rx_dc_arr_loopback,
+
+      -- PHY serial IO
+      tx_serial_arr      => serial_tx_arr,
+      rx_serial_arr      => serial_rx_arr
+    );
 
   gen_loopback : if g_use_loopback = true generate
     xgmii_tx_dc_arr_loopback <= xgmii_rx_dc_arr_loopback;
@@ -276,31 +276,31 @@ begin
     mm_reg_eth10g_arr(I) <= RESIZE_UVEC(xgmii_link_status_arr(I) & xgmii_tx_ready_arr(I) & i_tx_snk_out_arr(I).xon, c_mem_reg_eth10g_dat_w);
 
     u_reg_map : entity common_lib.common_reg_r_w_dc
-    generic map (
-      g_cross_clock_domain => true,
-      g_in_new_latency     => 0,
-      g_readback           => false,
-      g_reg                => c_mem_reg_eth10g,
-      g_init_reg           => (others => '0')
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      st_rst      => rst_156,
-      st_clk      => clk_156,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in      => reg_eth10g_mosi_arr(I),
-      sla_out     => reg_eth10g_miso_arr(I),
-
-      -- MM registers in st_clk domain
-      reg_wr_arr  => OPEN,
-      reg_rd_arr  => OPEN,
-      in_new      => '1',
-      in_reg      => mm_reg_eth10g_arr(I),
-      out_reg     => open
-    );
+      generic map (
+        g_cross_clock_domain => true,
+        g_in_new_latency     => 0,
+        g_readback           => false,
+        g_reg                => c_mem_reg_eth10g,
+        g_init_reg           => (others => '0')
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        st_rst      => rst_156,
+        st_clk      => clk_156,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in      => reg_eth10g_mosi_arr(I),
+        sla_out     => reg_eth10g_miso_arr(I),
+
+        -- MM registers in st_clk domain
+        reg_wr_arr  => OPEN,
+        reg_rd_arr  => OPEN,
+        in_new      => '1',
+        in_reg      => mm_reg_eth10g_arr(I),
+        out_reg     => open
+      );
   end generate;
 
 
@@ -308,27 +308,27 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux_mac : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_e1sg)
-  )
-  port map (
-    mosi     => mac_mosi,
-    miso     => mac_miso,
-    mosi_arr => mac_mosi_arr,
-    miso_arr => mac_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_e1sg)
+    )
+    port map (
+      mosi     => mac_mosi,
+      miso     => mac_miso,
+      mosi_arr => mac_mosi_arr,
+      miso_arr => mac_miso_arr
+    );
 
   u_common_mem_mux_eth10g : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => c_mem_reg_eth10g_adr_w
-  )
-  port map (
-    mosi     => reg_eth10g_mosi,
-    miso     => reg_eth10g_miso,
-    mosi_arr => reg_eth10g_mosi_arr,
-    miso_arr => reg_eth10g_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => c_mem_reg_eth10g_adr_w
+    )
+    port map (
+      mosi     => reg_eth10g_mosi,
+      miso     => reg_eth10g_miso,
+      mosi_arr => reg_eth10g_mosi_arr,
+      miso_arr => reg_eth10g_miso_arr
+    );
 
 end str;
diff --git a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd
index b51643da4f..4e37ced869 100644
--- a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e1sg_fifo_dc_fifo_140_c4o7vda.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e1sg_fifo_dc is
   generic (
@@ -56,67 +56,67 @@ end ip_arria10_e1sg_fifo_dc;
 
 architecture SYN of ip_arria10_e1sg_fifo_dc is
 
-    component  dcfifo
+  component  dcfifo
     generic (
-        intended_device_family  : string;
-        lpm_numwords  : natural;
-        lpm_showahead  : string;
-        lpm_type  : string;
-        lpm_width  : natural;
-        lpm_widthu  : natural;
-        overflow_checking  : string;
-        rdsync_delaypipe  : natural;
-        read_aclr_synch  : string;
-        underflow_checking  : string;
-        use_eab  : string;
-        write_aclr_synch  : string;
-        wrsync_delaypipe  : natural
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
     );
     port (
-        aclr   : in std_logic;
-        data   : in std_logic_vector(g_dat_w - 1 downto 0);
-        rdclk   : in std_logic;
-        rdreq   : in std_logic;
-        wrclk   : in std_logic;
-        wrreq   : in std_logic;
-        q   : out std_logic_vector(g_dat_w - 1 downto 0);
-        rdempty   : out std_logic;
-        rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-        wrfull   : out std_logic;
-        wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+      aclr   : in std_logic;
+      data   : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
     );
-    end component;
+  end component;
 
 begin
 
   u_dcfifo : dcfifo
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab,
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab,
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd
index c616af4aad..ff8e7066bc 100644
--- a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e1sg_fifo_dc_mixed_widths_fifo_140_5csdcfa.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e1sg_fifo_dc_mixed_widths is
   generic (
@@ -57,70 +57,70 @@ end ip_arria10_e1sg_fifo_dc_mixed_widths;
 architecture SYN of ip_arria10_e1sg_fifo_dc_mixed_widths is
 
   component  dcfifo_mixed_widths
-  generic (
-    intended_device_family  : string;
-    lpm_numwords  : natural;
-    lpm_showahead  : string;
-    lpm_type  : string;
-    lpm_width  : natural;
-    lpm_widthu  : natural;
-    lpm_widthu_r  : natural;
-    lpm_width_r  : natural;
-    overflow_checking  : string;
-    rdsync_delaypipe  : natural;
-    read_aclr_synch  : string;
-    underflow_checking  : string;
-    use_eab  : string;
-    write_aclr_synch  : string;
-    wrsync_delaypipe  : natural
-  );
-  port (
-    aclr   : in std_logic;
-    data   : in std_logic_vector(data'range);
-    rdclk   : in std_logic;
-    rdreq   : in std_logic;
-    wrclk   : in std_logic;
-    wrreq   : in std_logic;
-    q   : out std_logic_vector(q'range);
-    rdempty   : out std_logic;
-    rdusedw   : out std_logic_vector(rdusedw'range);
-    wrfull   : out std_logic;
-    wrusedw   : out std_logic_vector(wrusedw'range)
-  );
+    generic (
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      lpm_widthu_r  : natural;
+      lpm_width_r  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
+    );
+    port (
+      aclr   : in std_logic;
+      data   : in std_logic_vector(data'range);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(q'range);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(rdusedw'range);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(wrusedw'range)
+    );
   end component;
 
 begin
 
   dcfifo_mixed_widths_component : dcfifo_mixed_widths
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo_mixed_widths",
-    lpm_width  => g_wrdat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
-    lpm_width_r  => g_rddat_w,
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => "ON",
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo_mixed_widths",
+      lpm_width  => g_wrdat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
+      lpm_width_r  => g_rddat_w,
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => "ON",
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd
index 2b9f9562c7..4af35a1b76 100644
--- a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e1sg_fifo_sc_fifo_140_pkqwcbi.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e1sg_fifo_sc is
   generic (
@@ -55,7 +55,7 @@ end ip_arria10_e1sg_fifo_sc;
 architecture SYN of ip_arria10_e1sg_fifo_sc is
 
   component  scfifo
-  generic (
+    generic (
       add_ram_output_register  : string;
       intended_device_family  : string;
       lpm_numwords  : natural;
@@ -66,8 +66,8 @@ architecture SYN of ip_arria10_e1sg_fifo_sc is
       overflow_checking  : string;
       underflow_checking  : string;
       use_eab  : string
-  );
-  port (
+    );
+    port (
       aclr   : in std_logic;
       clock   : in std_logic;
       data   : in std_logic_vector(g_dat_w - 1 downto 0);
@@ -77,34 +77,34 @@ architecture SYN of ip_arria10_e1sg_fifo_sc is
       full   : out std_logic;
       q   : out std_logic_vector(g_dat_w - 1 downto 0);
       usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   u_scfifo : scfifo
-  generic map (
-    add_ram_output_register  => "ON",
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "scfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab
-  )
-  port map (
-    aclr => aclr,
-    clock => clock,
-    data => data,
-    rdreq => rdreq,
-    wrreq => wrreq,
-    empty => empty,
-    full => full,
-    q => q,
-    usedw => usedw
-  );
+    generic map (
+      add_ram_output_register  => "ON",
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "scfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab
+    )
+    port map (
+      aclr => aclr,
+      clock => clock,
+      data => data,
+      rdreq => rdreq,
+      wrreq => wrreq,
+      empty => empty,
+      full => full,
+      q => q,
+      usedw => usedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd
index 68580136f7..733e935a9f 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd
@@ -29,12 +29,12 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, ip_arria10_e1sg_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ip_arria10_e1sg_jesd204b_lib.ip_arria10_e1sg_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ip_arria10_e1sg_jesd204b_lib.ip_arria10_e1sg_jesd204b_component_pkg.all;
 
 entity ip_arria10_e1sg_jesd204b is
   generic (
@@ -258,54 +258,54 @@ begin
 
       gen_jesd204b_rx_freqsel : if g_jesd_freq = "200MHz" generate
         u_ip_arria10_e1sg_jesd204b_rx_200MHz : ip_arria10_e1sg_jesd204b_rx_200MHz
-        port map (
-          alldev_lane_aligned        => dev_lane_aligned_arr(i),
-          csr_cf                     => OPEN,
-          csr_cs                     => OPEN,
-          csr_f                      => OPEN,
-          csr_hd                     => OPEN,
-          csr_k                      => OPEN,
-          csr_l                      => OPEN,
-          csr_lane_powerdown         => rx_csr_lane_powerdown_arr(i downto i),
-          csr_m                      => OPEN,
-          csr_n                      => OPEN,
-          csr_np                     => OPEN,
-          csr_rx_testmode            => OPEN,
-          csr_s                      => OPEN,
-          dev_lane_aligned           => dev_lane_aligned_arr(i),
-          dev_sync_n                 => jesd204b_sync_n_internal_arr(i),
-          jesd204_rx_avs_chipselect         => '1',
-          jesd204_rx_avs_address            => jesd204b_mosi_arr(i).address(c_jesd204b_mm_addr_w - 1 downto 0),
-          jesd204_rx_avs_read               => jesd204b_mosi_arr(i).rd,
-          jesd204_rx_avs_readdata           => jesd204b_miso_arr(i).rddata(31 downto 0),
-          jesd204_rx_avs_waitrequest        => jesd204b_miso_arr(i).waitrequest,
-          jesd204_rx_avs_write              => jesd204b_mosi_arr(i).wr,
-          jesd204_rx_avs_writedata          => jesd204b_mosi_arr(i).wrdata(31 downto 0),
-          jesd204_rx_avs_clk                => jesd204b_avs_clk,
-          jesd204_rx_avs_rst_n              => rx_avs_rst_n_arr(i),
-          jesd204_rx_dlb_data               => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_data_valid  => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_disperr     => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_errdetect   => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_kchar_data  => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_frame_error     => '0',  -- jesd204_rx_frame_error.export
-          jesd204_rx_int             => OPEN,  -- Connected to status IO in example design
-          jesd204_rx_link_data              => jesd204b_rx_link_data_arr(i * c_jesd204b_rx_data_w + c_jesd204b_rx_data_w - 1 downto i * c_jesd204b_rx_data_w),
-          jesd204_rx_link_valid             => jesd204b_rx_link_valid_arr(i),
-          jesd204_rx_link_ready             => '1',
-          pll_ref_clk                => jesd204b_refclk,  -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63)
-          rx_analogreset             => rx_analogreset_arr(I downto I),
-          rx_cal_busy                => rx_cal_busy_arr(I downto I),
-          rx_digitalreset            => rx_digitalreset_arr(I downto I),
-          rx_islockedtodata          => rx_islockedtodata_arr(I downto I),
-          rx_serial_data             => serial_rx_arr(i downto i),
-          rxlink_clk                 => rxlink_clk,
-          rxlink_rst_n_reset_n       => rxlink_rst_n_arr(i),  -- Assoc with rxlink_clk (Intel JESD204B-UG p69)
-          rxphy_clk                  => OPEN,  -- Not used in Subclass 0 (Intel JESD204B-UG p63)
-          sof                        => OPEN,
-          somf                       => jesd204b_rx_somf_arr(c_jesd204b_rx_somf_w * i + c_jesd204b_rx_somf_w - 1 downto c_jesd204b_rx_somf_w * i),
-          sysref                     => jesd204b_sysref_2
-        );
+          port map (
+            alldev_lane_aligned        => dev_lane_aligned_arr(i),
+            csr_cf                     => OPEN,
+            csr_cs                     => OPEN,
+            csr_f                      => OPEN,
+            csr_hd                     => OPEN,
+            csr_k                      => OPEN,
+            csr_l                      => OPEN,
+            csr_lane_powerdown         => rx_csr_lane_powerdown_arr(i downto i),
+            csr_m                      => OPEN,
+            csr_n                      => OPEN,
+            csr_np                     => OPEN,
+            csr_rx_testmode            => OPEN,
+            csr_s                      => OPEN,
+            dev_lane_aligned           => dev_lane_aligned_arr(i),
+            dev_sync_n                 => jesd204b_sync_n_internal_arr(i),
+            jesd204_rx_avs_chipselect         => '1',
+            jesd204_rx_avs_address            => jesd204b_mosi_arr(i).address(c_jesd204b_mm_addr_w - 1 downto 0),
+            jesd204_rx_avs_read               => jesd204b_mosi_arr(i).rd,
+            jesd204_rx_avs_readdata           => jesd204b_miso_arr(i).rddata(31 downto 0),
+            jesd204_rx_avs_waitrequest        => jesd204b_miso_arr(i).waitrequest,
+            jesd204_rx_avs_write              => jesd204b_mosi_arr(i).wr,
+            jesd204_rx_avs_writedata          => jesd204b_mosi_arr(i).wrdata(31 downto 0),
+            jesd204_rx_avs_clk                => jesd204b_avs_clk,
+            jesd204_rx_avs_rst_n              => rx_avs_rst_n_arr(i),
+            jesd204_rx_dlb_data               => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_data_valid  => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_disperr     => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_errdetect   => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_kchar_data  => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_frame_error     => '0',  -- jesd204_rx_frame_error.export
+            jesd204_rx_int             => OPEN,  -- Connected to status IO in example design
+            jesd204_rx_link_data              => jesd204b_rx_link_data_arr(i * c_jesd204b_rx_data_w + c_jesd204b_rx_data_w - 1 downto i * c_jesd204b_rx_data_w),
+            jesd204_rx_link_valid             => jesd204b_rx_link_valid_arr(i),
+            jesd204_rx_link_ready             => '1',
+            pll_ref_clk                => jesd204b_refclk,  -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63)
+            rx_analogreset             => rx_analogreset_arr(I downto I),
+            rx_cal_busy                => rx_cal_busy_arr(I downto I),
+            rx_digitalreset            => rx_digitalreset_arr(I downto I),
+            rx_islockedtodata          => rx_islockedtodata_arr(I downto I),
+            rx_serial_data             => serial_rx_arr(i downto i),
+            rxlink_clk                 => rxlink_clk,
+            rxlink_rst_n_reset_n       => rxlink_rst_n_arr(i),  -- Assoc with rxlink_clk (Intel JESD204B-UG p69)
+            rxphy_clk                  => OPEN,  -- Not used in Subclass 0 (Intel JESD204B-UG p63)
+            sof                        => OPEN,
+            somf                       => jesd204b_rx_somf_arr(c_jesd204b_rx_somf_w * i + c_jesd204b_rx_somf_w - 1 downto c_jesd204b_rx_somf_w * i),
+            sysref                     => jesd204b_sysref_2
+          );
 
         -- One cycle rd-rdval latency, waitrequest = '0' fixed
         jesd204b_miso_arr(i).rdval <= jesd204b_mosi_arr(i).rd when rising_edge(jesd204b_avs_clk);
@@ -315,66 +315,66 @@ begin
       -- Reset sequencer for each channel
       -----------------------------------------------------------------------------
       u_ip_arria10_e1sg_jesd204b_rx_reset_seq : ip_arria10_e1sg_jesd204b_rx_reset_seq
-      port map (
-        av_address                 => reset_seq_mosi_arr(i).address(7 downto 0),
-        av_readdata                => reset_seq_miso_arr(i).rddata(31 downto 0),
-        av_read                    => reset_seq_mosi_arr(i).rd,
-        av_writedata               => reset_seq_mosi_arr(i).wrdata(31 downto 0),
-        av_write                   => reset_seq_mosi_arr(i).wr,
-        irq                        => open,
-        clk                        => mm_clk,  -- use clk = mm_clk for av_* port
-        csr_reset                  => mm_rst,
-        reset1_dsrt_qual           => mm_core_pll_locked_reg,  -- core pll_locked synchronised to clk = mm_clk domain
-        reset2_dsrt_qual           => '1',  -- Tied to '1' in example design. Tx xcvr is not used.
-        reset5_dsrt_qual           => mm_rx_xcvr_ready_in_arr(i),
-        reset_in0                  => mm_rst,
-        -- reset_out* signals are in mm_clk domain
-        reset_out0                 => pll_reset_async_arr(i),  -- Use channel 0 to reset the core pll
-        reset_out1                 => xcvr_rst_arr(i),  -- Use channel 1 to reset the transceiver reset controller
-        reset_out2                 => open,
-        reset_out3                 => open,
-        reset_out4                 => open,
-        reset_out5                 => rx_avs_rst_arr(i),
-        reset_out6                 => rxlink_rst_async_arr(i),
-        reset_out7                 => rxframe_rst_async_arr(i)
-      );
+        port map (
+          av_address                 => reset_seq_mosi_arr(i).address(7 downto 0),
+          av_readdata                => reset_seq_miso_arr(i).rddata(31 downto 0),
+          av_read                    => reset_seq_mosi_arr(i).rd,
+          av_writedata               => reset_seq_mosi_arr(i).wrdata(31 downto 0),
+          av_write                   => reset_seq_mosi_arr(i).wr,
+          irq                        => open,
+          clk                        => mm_clk,  -- use clk = mm_clk for av_* port
+          csr_reset                  => mm_rst,
+          reset1_dsrt_qual           => mm_core_pll_locked_reg,  -- core pll_locked synchronised to clk = mm_clk domain
+          reset2_dsrt_qual           => '1',  -- Tied to '1' in example design. Tx xcvr is not used.
+          reset5_dsrt_qual           => mm_rx_xcvr_ready_in_arr(i),
+          reset_in0                  => mm_rst,
+          -- reset_out* signals are in mm_clk domain
+          reset_out0                 => pll_reset_async_arr(i),  -- Use channel 0 to reset the core pll
+          reset_out1                 => xcvr_rst_arr(i),  -- Use channel 1 to reset the transceiver reset controller
+          reset_out2                 => open,
+          reset_out3                 => open,
+          reset_out4                 => open,
+          reset_out5                 => rx_avs_rst_arr(i),
+          reset_out6                 => rxlink_rst_async_arr(i),
+          reset_out7                 => rxframe_rst_async_arr(i)
+        );
 
       -- synchronize pll_reset
       u_common_areset_pll : entity common_lib.common_areset
-      port map (
-        in_rst  => pll_reset_async_arr(i),
-        clk     => jesd204b_refclk,
-        out_rst => pll_reset_arr(i)
-      );
+        port map (
+          in_rst  => pll_reset_async_arr(i),
+          clk     => jesd204b_refclk,
+          out_rst => pll_reset_arr(i)
+        );
 
       -- synchronize rxlink reset
       u_common_areset_rxlink : entity common_lib.common_areset
-      port map (
-        in_rst  => rxlink_rst_async_arr(i),
-        clk     => rxlink_clk,
-        out_rst => rxlink_rst_arr(i)
-      );
+        port map (
+          in_rst  => rxlink_rst_async_arr(i),
+          clk     => rxlink_clk,
+          out_rst => rxlink_rst_arr(i)
+        );
 
       -- synchronize rxframe reset
       u_common_areset_rxframe : entity common_lib.common_areset
-      port map (
-        in_rst  => rxframe_rst_async_arr(i),
-        clk     => rxframe_clk,
-        out_rst => rxframe_rst_arr(i)
-      );
+        port map (
+          in_rst  => rxframe_rst_async_arr(i),
+          clk     => rxframe_clk,
+          out_rst => rxframe_rst_arr(i)
+        );
 
       rx_xcvr_ready_in_arr(i) <= '1' when  rx_csr_lane_powerdown_arr(i) = '1' or xcvr_rst_ctrl_rx_ready_arr(i) = '1' else '0';
       -- synchronize rx_xcvr_ready_in_arr to mm_clk
       u_common_async_rx_xcvr_ready : entity common_lib.common_async
-      generic map (
-        g_rst_level => '0'  -- When in_rst is asserted, dout = '0'
-      )
-      port map (
-        rst  => mm_rst,
-        clk  => mm_clk,
-        din  => rx_xcvr_ready_in_arr(i),
-        dout => mm_rx_xcvr_ready_in_arr(i)
-      );
+        generic map (
+          g_rst_level => '0'  -- When in_rst is asserted, dout = '0'
+        )
+        port map (
+          rst  => mm_rst,
+          clk  => mm_clk,
+          din  => rx_xcvr_ready_in_arr(i),
+          dout => mm_rx_xcvr_ready_in_arr(i)
+        );
 
       -- Invert thr active-low resets
       rx_avs_rst_n_arr(i) <= not rx_avs_rst_arr(i);
@@ -458,39 +458,39 @@ begin
     -- IOPLL in source synchronous or normal mode. (Intel JESD204B-UG p66)
     gen_jesd204b_rx_corepll_freqsel : if g_jesd_freq = "200MHz" generate
       u_ip_arria10_e1sg_jesd204b_rx_corepll_200MHz : ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz
-      port map (
-        locked                      => core_pll_locked,
-        outclk_0                    => rxlink_clk,  -- out 100 MHz
-        outclk_1                    => rxframe_clk,  -- out 200 MHz
-        refclk                      => jesd204b_refclk,  -- in 200 MHz
-        rst                         => pll_reset_arr(0)
-      );
+        port map (
+          locked                      => core_pll_locked,
+          outclk_0                    => rxlink_clk,  -- out 100 MHz
+          outclk_1                    => rxframe_clk,  -- out 200 MHz
+          refclk                      => jesd204b_refclk,  -- in 200 MHz
+          rst                         => pll_reset_arr(0)
+        );
     end generate;
 
     u_common_areset_pll_locked : entity common_lib.common_areset
-    generic map (
-      g_in_rst_level => '0',  -- synchronises the rising edge of input in_rst.
-      g_rst_level    => '0'
-    )
-    port map (
-      in_rst  => core_pll_locked,
-      clk     => mm_clk,
-      out_rst => mm_core_pll_locked_reg
-    );
+      generic map (
+        g_in_rst_level => '0',  -- synchronises the rising edge of input in_rst.
+        g_rst_level    => '0'
+      )
+      port map (
+        in_rst  => core_pll_locked,
+        clk     => mm_clk,
+        out_rst => mm_core_pll_locked_reg
+      );
 
     -- Transceiver reset controller. Use g_nof_streams out of 12 channels. Receive only
     -- Clock set to 100MHz (use rxlink_clk)
 
     u_ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control : ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12
-    port map (
-      clock                        => rxlink_clk,
-      reset                        => xcvr_rst_arr(0),  -- From Reset Sequencer output1 as per example design, the reset input is synchronised internally.
-      rx_analogreset               => rx_analogreset_arr,  -- output to reset RX PMA. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
-      rx_cal_busy                  => rx_cal_busy_arr,  -- input from PHY
-      rx_digitalreset              => rx_digitalreset_arr,  -- output to reset RX PCS. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
-      rx_is_lockedtodata           => rx_islockedtodata_arr,  -- input from PHY
-      rx_ready                     => xcvr_rst_ctrl_rx_ready_arr  -- From example design: gate with rx_csr_lane_powerdown to reset transceiver
-    );
+      port map (
+        clock                        => rxlink_clk,
+        reset                        => xcvr_rst_arr(0),  -- From Reset Sequencer output1 as per example design, the reset input is synchronised internally.
+        rx_analogreset               => rx_analogreset_arr,  -- output to reset RX PMA. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
+        rx_cal_busy                  => rx_cal_busy_arr,  -- input from PHY
+        rx_digitalreset              => rx_digitalreset_arr,  -- output to reset RX PCS. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
+        rx_is_lockedtodata           => rx_islockedtodata_arr,  -- input from PHY
+        rx_ready                     => xcvr_rst_ctrl_rx_ready_arr  -- From example design: gate with rx_csr_lane_powerdown to reset transceiver
+      );
 
   end generate;  -- gen_jesd204b_rx : IF g_direction = "RX_ONLY" GENERATE
 
@@ -515,16 +515,16 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux_mac : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_jesd204b_mm_addr_w
-  )
-  port map (
-    mosi     => jesd204b_mosi,
-    miso     => jesd204b_miso,
-    mosi_arr => jesd204b_mosi_arr,
-    miso_arr => jesd204b_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_jesd204b_mm_addr_w
+    )
+    port map (
+      mosi     => jesd204b_mosi,
+      miso     => jesd204b_miso,
+      mosi_arr => jesd204b_mosi_arr,
+      miso_arr => jesd204b_miso_arr
+    );
 
 end str;
 
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_component_pkg.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_component_pkg.vhd
index 841080d30f..4764b807b2 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_component_pkg.vhd
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_component_pkg.vhd
@@ -24,11 +24,11 @@
 -- Purpose:  Component declarations for jesd204b ip blocks
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package ip_arria10_e1sg_jesd204b_component_pkg is
 
@@ -36,7 +36,7 @@ package ip_arria10_e1sg_jesd204b_component_pkg is
   -- Main IP, TX ONLY, 1 channel
   ------------------------------------------------------------------------------
 
-    component ip_arria10_e1sg_jesd204b_tx is
+  component ip_arria10_e1sg_jesd204b_tx is
     port (
       csr_cf                     : out std_logic_vector(4 downto 0);  -- export
       csr_cs                     : out std_logic_vector(1 downto 0);  -- export
@@ -86,7 +86,7 @@ package ip_arria10_e1sg_jesd204b_component_pkg is
       txlink_rst_n_reset_n       : in  std_logic                     := 'X';  -- reset_n
       txphy_clk                  : out std_logic_vector(0 downto 0)  -- export
     );
-    end component ip_arria10_e1sg_jesd204b_tx;
+  end component ip_arria10_e1sg_jesd204b_tx;
 
 
 
diff --git a/libraries/technology/ip_arria10_e1sg/mult_add2/ip_arria10_e1sg_mult_add2_rtl.vhd b/libraries/technology/ip_arria10_e1sg/mult_add2/ip_arria10_e1sg_mult_add2_rtl.vhd
index 5484808364..fdf563ad33 100644
--- a/libraries/technology/ip_arria10_e1sg/mult_add2/ip_arria10_e1sg_mult_add2_rtl.vhd
+++ b/libraries/technology/ip_arria10_e1sg/mult_add2/ip_arria10_e1sg_mult_add2_rtl.vhd
@@ -22,9 +22,9 @@
 -- Based on ip_stratixiv_mult_add2_rtl
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 ------------------------------------------------------------------------------
diff --git a/libraries/technology/ip_arria10_e1sg/mult_add4/ip_arria10_e1sg_mult_add4_rtl.vhd b/libraries/technology/ip_arria10_e1sg/mult_add4/ip_arria10_e1sg_mult_add4_rtl.vhd
index 04a0950450..8bc0e51b01 100644
--- a/libraries/technology/ip_arria10_e1sg/mult_add4/ip_arria10_e1sg_mult_add4_rtl.vhd
+++ b/libraries/technology/ip_arria10_e1sg/mult_add4/ip_arria10_e1sg_mult_add4_rtl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Function:
 -- . res = (a0 * b0 +- a1 * b1) +- (a2 * b2 +- a3 * b3)
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd
index 7ebebc0d6c..1cae9db93c 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e1sg_ram_cr_cw is
   generic (
@@ -56,28 +56,28 @@ architecture SYN of ip_arria10_e1sg_ram_cr_cw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -85,7 +85,7 @@ architecture SYN of ip_arria10_e1sg_ram_cr_cw is
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -101,28 +101,28 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e1sg_ram_cr_cw/ram_2port_140/sim/ip_arria10_e1sg_ram_cr_cw_ram_2port_140_72tpmcy.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => wrclk,
@@ -130,7 +130,7 @@ begin
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -138,19 +138,19 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_e1sg_simple_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      rclk  => rdclk,
-      wclk  => wrclk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        rclk  => rdclk,
+        wclk  => wrclk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(rdclk);
 
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd
index ffcb8cfb22..72d7bb1215 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e1sg_ram_crw_crw is
   generic (
@@ -60,34 +60,34 @@ architecture SYN of ip_arria10_e1sg_ram_crw_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -98,7 +98,7 @@ architecture SYN of ip_arria10_e1sg_ram_crw_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal addr_a : natural range 0 to g_nof_words - 1;
@@ -117,34 +117,34 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e1sg_ram_crw_crw/ram_2port_140/sim/ip_arria10_e1sg_ram_crw_crw_ram_2port_140_ehaf5aa.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_a  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            indata_reg_b  => "CLOCK1",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "BIDIR_DUAL_PORT",
-            outdata_aclr_a  => "NONE",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_a  => c_outdata_reg_a,
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1,
-            width_byteena_b  => 1
-    )
-    port map (
+      generic map (
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_a  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        indata_reg_b  => "CLOCK1",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "BIDIR_DUAL_PORT",
+        outdata_aclr_a  => "NONE",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_a  => c_outdata_reg_a,
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+        read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1,
+        width_byteena_b  => 1
+      )
+      port map (
         address_a => address_a,
         address_b => address_b,
         clock0 => clk_a,
@@ -155,7 +155,7 @@ begin
         wren_b => wren_b,
         q_a => q_a,
         q_b => q_b
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -163,22 +163,22 @@ begin
     addr_b <= to_integer(unsigned(address_b));
 
     u_mem : entity work.ip_arria10_e1sg_true_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk_a  => clk_a,
-      clk_b  => clk_b,
-      addr_a => addr_a,
-      addr_b => addr_b,
-      data_a => data_a,
-      data_b => data_b,
-      we_a   => wren_a,
-      we_b   => wren_b,
-      q_a    => out_a,
-      q_b    => out_b
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk_a  => clk_a,
+        clk_b  => clk_b,
+        addr_a => addr_a,
+        addr_b => addr_b,
+        data_a => data_a,
+        data_b => data_b,
+        we_a   => wren_a,
+        we_b   => wren_b,
+        q_a    => out_a,
+        q_b    => out_b
+      );
 
     reg_a <= out_a when rising_edge(clk_a);
     reg_b <= out_b when rising_edge(clk_b);
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd
index 56da39e2ee..318ac4a888 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd
@@ -12,11 +12,11 @@
 
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e1sg_ram_crwk_crw is
   generic (
@@ -51,35 +51,35 @@ architecture SYN of ip_arria10_e1sg_ram_crwk_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          init_file_layout  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      init_file_layout  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
       clock0 : in std_logic;
@@ -90,42 +90,42 @@ architecture SYN of ip_arria10_e1sg_ram_crwk_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_a_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   -- Copied from ip_arria10_e1sg_ram_crwk_crw/ram_2port_140/sim/ip_arria10_e1sg_ram_crwk_crw_ram_2port_140_iyfl3wi.vhd
   u_altera_syncram : altera_syncram
-  generic map (
-          address_reg_b  => "CLOCK1",
-          clock_enable_input_a  => "BYPASS",
-          clock_enable_input_b  => "BYPASS",
-          clock_enable_output_a  => "BYPASS",
-          clock_enable_output_b  => "BYPASS",
-          indata_reg_b  => "CLOCK1",
-          init_file  => g_init_file,
-          init_file_layout  => "PORT_B",
-          intended_device_family  => "Arria 10",
-          lpm_type  => "altera_syncram",
-          numwords_a  => g_nof_words_a,
-          numwords_b  => g_nof_words_b,
-          operation_mode  => "BIDIR_DUAL_PORT",
-          outdata_aclr_a  => "NONE",
-          outdata_aclr_b  => "NONE",
-          outdata_reg_a  => c_outdata_reg_a,
-          outdata_reg_b  => c_outdata_reg_b,
-          power_up_uninitialized  => "FALSE",
-          read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-          read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-          widthad_a  => g_adr_a_w,
-          widthad_b  => g_adr_b_w,
-          width_a  => g_dat_a_w,
-          width_b  => g_dat_b_w,
-          width_byteena_a  => 1,
-          width_byteena_b  => 1
-  )
-  port map (
+    generic map (
+      address_reg_b  => "CLOCK1",
+      clock_enable_input_a  => "BYPASS",
+      clock_enable_input_b  => "BYPASS",
+      clock_enable_output_a  => "BYPASS",
+      clock_enable_output_b  => "BYPASS",
+      indata_reg_b  => "CLOCK1",
+      init_file  => g_init_file,
+      init_file_layout  => "PORT_B",
+      intended_device_family  => "Arria 10",
+      lpm_type  => "altera_syncram",
+      numwords_a  => g_nof_words_a,
+      numwords_b  => g_nof_words_b,
+      operation_mode  => "BIDIR_DUAL_PORT",
+      outdata_aclr_a  => "NONE",
+      outdata_aclr_b  => "NONE",
+      outdata_reg_a  => c_outdata_reg_a,
+      outdata_reg_b  => c_outdata_reg_b,
+      power_up_uninitialized  => "FALSE",
+      read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+      read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+      widthad_a  => g_adr_a_w,
+      widthad_b  => g_adr_b_w,
+      width_a  => g_dat_a_w,
+      width_b  => g_dat_b_w,
+      width_byteena_a  => 1,
+      width_byteena_b  => 1
+    )
+    port map (
       address_a => address_a,
       address_b => address_b,
       clock0 => clk_a,
@@ -136,7 +136,7 @@ begin
       wren_b => wren_b,
       q_a => q_a,
       q_b => q_b
-  );
+    );
 
 end SYN;
 
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ip_arria10_e1sg_ram_crwk_crw_inst.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ip_arria10_e1sg_ram_crwk_crw_inst.vhd
index 9fc7face07..765abafd1b 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ip_arria10_e1sg_ram_crwk_crw_inst.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ip_arria10_e1sg_ram_crwk_crw_inst.vhd
@@ -1,4 +1,4 @@
-	component ip_arria10_e1sg_ram_crwk_crw is
+  component ip_arria10_e1sg_ram_crwk_crw is
 		port (
 			data_a    : in  std_logic_vector(31 downto 0) := (others => 'X');  -- datain_a
 			data_b    : in  std_logic_vector(7 downto 0)  := (others => 'X');  -- datain_b
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ram_2port_170/sim/ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ram_2port_170/sim/ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri.vhd
index 91b2fc14c3..53c224514a 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ram_2port_170/sim/ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw/ram_2port_170/sim/ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri.vhd
@@ -14,83 +14,83 @@
 
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri is
-    port
+  port
     (
-        address_a       : in std_logic_vector(7 downto 0);
-        address_b       : in std_logic_vector(9 downto 0);
-        clock_a       : in std_logic  := '1';
-        clock_b       : in std_logic;
-        data_a       : in std_logic_vector(31 downto 0);
-        data_b       : in std_logic_vector(7 downto 0);
-        rden_a       : in std_logic  := '1';
-        rden_b       : in std_logic  := '1';
-        wren_a       : in std_logic  := '0';
-        wren_b       : in std_logic  := '0';
-        q_a       : out std_logic_vector(31 downto 0);
-        q_b       : out std_logic_vector(7 downto 0)
-    );
+    address_a       : in std_logic_vector(7 downto 0);
+    address_b       : in std_logic_vector(9 downto 0);
+    clock_a       : in std_logic  := '1';
+    clock_b       : in std_logic;
+    data_a       : in std_logic_vector(31 downto 0);
+    data_b       : in std_logic_vector(7 downto 0);
+    rden_a       : in std_logic  := '1';
+    rden_b       : in std_logic  := '1';
+    wren_a       : in std_logic  := '0';
+    wren_b       : in std_logic  := '0';
+    q_a       : out std_logic_vector(31 downto 0);
+    q_b       : out std_logic_vector(7 downto 0)
+  );
 end ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri;
 
 
 architecture SYN of ip_arria10_e1sg_ram_crwk_crw_ram_2port_170_qaianri is
 
-    signal sub_wire0    : std_logic_vector(31 downto 0);
-    signal sub_wire1    : std_logic_vector(7 downto 0);
+  signal sub_wire0    : std_logic_vector(31 downto 0);
+  signal sub_wire1    : std_logic_vector(7 downto 0);
 
 begin
-    q_a     <= sub_wire0 (31 downto 0);
-    q_b     <= sub_wire1 (7 downto 0);
+  q_a     <= sub_wire0 (31 downto 0);
+  q_b     <= sub_wire1 (7 downto 0);
 
-    altera_syncram_component : altera_syncram
+  altera_syncram_component : altera_syncram
     generic map (
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_a  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            indata_reg_b  => "CLOCK1",
-            init_file  => "./ram_1024.hex",
-            init_file_layout  => "PORT_B",
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => 256,
-            numwords_b  => 1024,
-            operation_mode  => "BIDIR_DUAL_PORT",
-            outdata_aclr_a  => "NONE",
-            outdata_sclr_a  => "NONE",
-            outdata_aclr_b  => "NONE",
-            outdata_sclr_b  => "NONE",
-            outdata_reg_a  => "CLOCK0",
-            outdata_reg_b  => "CLOCK1",
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-            widthad_a  => 8,
-            widthad_b  => 10,
-            width_a  => 32,
-            width_b  => 8,
-            width_byteena_a  => 1,
-            width_byteena_b  => 1
+      address_reg_b  => "CLOCK1",
+      clock_enable_input_a  => "BYPASS",
+      clock_enable_input_b  => "BYPASS",
+      clock_enable_output_a  => "BYPASS",
+      clock_enable_output_b  => "BYPASS",
+      indata_reg_b  => "CLOCK1",
+      init_file  => "./ram_1024.hex",
+      init_file_layout  => "PORT_B",
+      intended_device_family  => "Arria 10",
+      lpm_type  => "altera_syncram",
+      numwords_a  => 256,
+      numwords_b  => 1024,
+      operation_mode  => "BIDIR_DUAL_PORT",
+      outdata_aclr_a  => "NONE",
+      outdata_sclr_a  => "NONE",
+      outdata_aclr_b  => "NONE",
+      outdata_sclr_b  => "NONE",
+      outdata_reg_a  => "CLOCK0",
+      outdata_reg_b  => "CLOCK1",
+      power_up_uninitialized  => "FALSE",
+      read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+      read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+      widthad_a  => 8,
+      widthad_b  => 10,
+      width_a  => 32,
+      width_b  => 8,
+      width_byteena_a  => 1,
+      width_byteena_b  => 1
     )
     port map (
-        address_a => address_a,
-        address_b => address_b,
-        clock0 => clock_a,
-        clock1 => clock_b,
-        data_a => data_a,
-        data_b => data_b,
-        rden_a => rden_a,
-        rden_b => rden_b,
-        wren_a => wren_a,
-        wren_b => wren_b,
-        q_a => sub_wire0,
-        q_b => sub_wire1
+      address_a => address_a,
+      address_b => address_b,
+      clock0 => clock_a,
+      clock1 => clock_b,
+      data_a => data_a,
+      data_b => data_b,
+      rden_a => rden_a,
+      rden_b => rden_b,
+      wren_a => wren_a,
+      wren_b => wren_b,
+      q_a => sub_wire0,
+      q_b => sub_wire1
     );
 
 
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_r_w.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_r_w.vhd
index 630c226802..1d6565cc43 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_r_w.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_r_w.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e1sg_ram_r_w is
   generic (
@@ -54,35 +54,35 @@ architecture SYN of ip_arria10_e1sg_ram_r_w is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -98,35 +98,35 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e1sg_ram_r_w/ram_2port_140/sim/ip_arria10_e1sg_ram_r_w_ram_2port_140_hukd7xi.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK0",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK0",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => clk,
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -134,18 +134,18 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_e1sg_simple_dual_port_ram_single_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk   => clk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk   => clk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(clk);
 
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_dual_clock.vhd
index 2892d46f23..1f73941b8e 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_dual_clock.vhd
@@ -27,7 +27,7 @@
 -- different read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e1sg_simple_dual_port_ram_dual_clock is
 
@@ -63,18 +63,18 @@ begin
 
   process(wclk)
   begin
-  if(rising_edge(wclk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
+    if(rising_edge(wclk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
     end if;
-  end if;
   end process;
 
   process(rclk)
   begin
-  if(rising_edge(rclk)) then
-    q <= ram(raddr);
-  end if;
+    if(rising_edge(rclk)) then
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_single_clock.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_single_clock.vhd
index c3d96b8374..94b6ba9cb8 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_single_clock.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_simple_dual_port_ram_single_clock.vhd
@@ -26,7 +26,7 @@
 -- single read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e1sg_simple_dual_port_ram_single_clock is
 
@@ -61,15 +61,15 @@ begin
 
   process(clk)
   begin
-  if(rising_edge(clk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
-    end if;
+    if(rising_edge(clk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
 
-    -- On a read during a write to the same address, the read will
-    -- return the OLD data at the address
-    q <= ram(raddr);
-  end if;
+      -- On a read during a write to the same address, the read will
+      -- return the OLD data at the address
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_true_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_true_dual_port_ram_dual_clock.vhd
index 1ab7f7db0f..858cd33380 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_true_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_true_dual_port_ram_dual_clock.vhd
@@ -30,7 +30,7 @@
 -- Read-during-write on port A and B returns unknown data.
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e1sg_true_dual_port_ram_dual_clock is
 
@@ -70,23 +70,23 @@ begin
   -- Port A
   process(clk_a)
   begin
-  if(rising_edge(clk_a)) then
-    if(we_a = '1') then
-      ram(addr_a) := data_a;
+    if(rising_edge(clk_a)) then
+      if(we_a = '1') then
+        ram(addr_a) := data_a;
+      end if;
+      q_a <= ram(addr_a);
     end if;
-    q_a <= ram(addr_a);
-  end if;
   end process;
 
   -- Port B
   process(clk_b)
   begin
-  if(rising_edge(clk_b)) then
-    if(we_b = '1') then
-      ram(addr_b) := data_b;
+    if(rising_edge(clk_b)) then
+      if(we_b = '1') then
+        ram(addr_b) := data_b;
+      end if;
+      q_b <= ram(addr_b);
     end if;
-    q_b <= ram(addr_b);
-  end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e1sg/transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3_inst.vhd b/libraries/technology/ip_arria10_e1sg/transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3_inst.vhd
index 3bb76e2262..0ddde5fd4b 100644
--- a/libraries/technology/ip_arria10_e1sg/transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3_inst.vhd
+++ b/libraries/technology/ip_arria10_e1sg/transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3/ip_arria10_e1sg_transceiver_reset_controller_3_inst.vhd
@@ -1,4 +1,4 @@
-	component ip_arria10_e1sg_transceiver_reset_controller_3 is
+  component ip_arria10_e1sg_transceiver_reset_controller_3 is
 		port (
 			clock              : in  std_logic                    := 'X';  -- clk
 			pll_locked         : in  std_logic_vector(0 downto 0) := (others => 'X');  -- pll_locked
diff --git a/libraries/technology/ip_arria10_e1sg/tse_sgmii_gx/tb_ip_arria10_e1sg_tse_sgmii_gx.vhd b/libraries/technology/ip_arria10_e1sg/tse_sgmii_gx/tb_ip_arria10_e1sg_tse_sgmii_gx.vhd
index d40b3b2234..7b7c3e3460 100644
--- a/libraries/technology/ip_arria10_e1sg/tse_sgmii_gx/tb_ip_arria10_e1sg_tse_sgmii_gx.vhd
+++ b/libraries/technology/ip_arria10_e1sg/tse_sgmii_gx/tb_ip_arria10_e1sg_tse_sgmii_gx.vhd
@@ -28,9 +28,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_e1sg_tse_sgmii_gx is
@@ -90,9 +90,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -103,9 +104,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -116,22 +118,24 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -139,11 +143,12 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -151,11 +156,12 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -174,9 +180,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -187,9 +194,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -204,15 +212,16 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -241,13 +250,14 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -300,9 +310,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -310,8 +321,9 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -325,12 +337,13 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -398,7 +411,7 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_gx is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -500,37 +513,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -594,21 +607,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 4 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -640,81 +653,81 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-
-    tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-    rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-    tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-    tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-    rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-    rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-    tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-    rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-    rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-    rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+
+      tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+      rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+    );
 
   -- To be corrected
   tx_serial_clk(0) <= not tx_serial_clk(0) after serial_clk_period / 2;  -- ????
diff --git a/libraries/technology/ip_arria10_e1sg/tse_sgmii_lvds/tb_ip_arria10_e1sg_tse_sgmii_lvds.vhd b/libraries/technology/ip_arria10_e1sg/tse_sgmii_lvds/tb_ip_arria10_e1sg_tse_sgmii_lvds.vhd
index b5c9baa950..5d80b8bc76 100644
--- a/libraries/technology/ip_arria10_e1sg/tse_sgmii_lvds/tb_ip_arria10_e1sg_tse_sgmii_lvds.vhd
+++ b/libraries/technology/ip_arria10_e1sg/tse_sgmii_lvds/tb_ip_arria10_e1sg_tse_sgmii_lvds.vhd
@@ -33,9 +33,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_e1sg_tse_sgmii_lvds is
@@ -93,9 +93,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -106,9 +107,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -119,22 +121,24 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -142,11 +146,12 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -154,11 +159,12 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -177,9 +183,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -190,9 +197,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -207,15 +215,16 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -244,13 +253,14 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -303,9 +313,10 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -313,8 +324,9 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -328,12 +340,13 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -401,7 +414,7 @@ architecture tb of tb_ip_arria10_e1sg_tse_sgmii_lvds is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -499,37 +512,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -593,21 +606,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 2 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -639,68 +652,68 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+    );
 
   -- Loopback
   eth_rxp <= eth_txp;
diff --git a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in.vhd b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in.vhd
index 2de8bcf036..a8729c8a90 100644
--- a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_e2sg_ddio_in_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e2sg_ddio_in is
   generic (
@@ -42,13 +42,13 @@ end ip_arria10_e2sg_ddio_in;
 architecture str of ip_arria10_e2sg_ddio_in is
 
   component ip_arria10_e2sg_ddio_in_1 is
-        port (
-                datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
-                ck        : in  std_logic                    := '0';  -- ck.export
-                aclr      : in  std_logic                    := '0';  -- aclr.export
-                dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
-                dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
-        );
+    port (
+      datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
+      ck        : in  std_logic                    := '0';  -- ck.export
+      aclr      : in  std_logic                    := '0';  -- aclr.export
+      dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
+      dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
+    );
   end component;
 
 begin
@@ -56,13 +56,13 @@ begin
   gen_w : for I in g_width - 1 downto 0 generate
 
     u_ip_arria10_e2sg_ddio_in_1 : ip_arria10_e2sg_ddio_in_1
-    port map (
-      datain    => in_dat(I downto I),
-      ck        => in_clk,
-      aclr      => rst,
-      dataout_h => out_dat_hi(I downto I),
-      dataout_l => out_dat_lo(I downto I)
-    );
+      port map (
+        datain    => in_dat(I downto I),
+        ck        => in_clk,
+        aclr      => rst,
+        dataout_h => out_dat_hi(I downto I),
+        dataout_l => out_dat_lo(I downto I)
+      );
 
   end generate;
 
diff --git a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out.vhd b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out.vhd
index 98d2e24af5..a36d78313f 100644
--- a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_e2sg_ddio_out_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e2sg_ddio_out is
   generic(
@@ -42,26 +42,26 @@ end ip_arria10_e2sg_ddio_out;
 architecture str of ip_arria10_e2sg_ddio_out is
 
   component ip_arria10_e2sg_ddio_out_1 is
-        port (
-                dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
-                outclock : in  std_logic                    := '0';  -- ck.export
-                aclr     : in  std_logic                    := '0';  -- aclr.export
-                datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
-                datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
-        );
+    port (
+      dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
+      outclock : in  std_logic                    := '0';  -- ck.export
+      aclr     : in  std_logic                    := '0';  -- aclr.export
+      datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
+      datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
+    );
   end component;
 
 begin
 
   gen_w : for I in g_width - 1 downto 0 generate
     u_ip_arria10_e2sg_ddio_out_1 : ip_arria10_e2sg_ddio_out_1
-    port map (
-      dataout  => out_dat(I downto I),
-      outclock => in_clk,
-      aclr     => rst,
-      datain_h => in_dat_hi(I downto I),
-      datain_l => in_dat_lo(I downto I)
-    );
+      port map (
+        dataout  => out_dat(I downto I),
+        outclock => in_clk,
+        aclr     => rst,
+        datain_h => in_dat_hi(I downto I),
+        datain_l => in_dat_lo(I downto I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_in_1.vhd b/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_in_1.vhd
index 6292230bba..2276e34760 100644
--- a/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_in_1.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_in_1.vhd
@@ -36,16 +36,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_e2sg_ddio_in_1 is
-	port (
-		datain    : in  std_logic_vector(0 downto 0) := (others => '0');
-		ck        : in  std_logic                    := '0';
-		aclr      : in  std_logic                    := '0';
-		dataout_h : out std_logic_vector(0 downto 0);
-		dataout_l : out std_logic_vector(0 downto 0)
-	);
+  port (
+    datain    : in  std_logic_vector(0 downto 0) := (others => '0');
+    ck        : in  std_logic                    := '0';
+    aclr      : in  std_logic                    := '0';
+    dataout_h : out std_logic_vector(0 downto 0);
+    dataout_l : out std_logic_vector(0 downto 0)
+  );
 end ip_arria10_e2sg_ddio_in_1;
 
 
diff --git a/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_out_1.vhd b/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_out_1.vhd
index c31b293968..62f7b3abbc 100644
--- a/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_out_1.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ddio/sim/ip_arria10_e2sg_ddio_out_1.vhd
@@ -33,16 +33,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_e2sg_ddio_out_1 is
-	port (
-		dataout  : out std_logic_vector(0 downto 0);
-		outclock : in  std_logic                    := '0';
-		aclr     : in  std_logic                    := '0';
-		datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
-		datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
-	);
+  port (
+    dataout  : out std_logic_vector(0 downto 0);
+    outclock : in  std_logic                    := '0';
+    aclr     : in  std_logic                    := '0';
+    datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
+    datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
+  );
 end ip_arria10_e2sg_ddio_out_1;
 
 
diff --git a/libraries/technology/ip_arria10_e2sg/ddio/sim/tb_ip_arria10_e2sg_ddio_1.vhd b/libraries/technology/ip_arria10_e2sg/ddio/sim/tb_ip_arria10_e2sg_ddio_1.vhd
index 9d90c65ae0..34c34363b3 100644
--- a/libraries/technology/ip_arria10_e2sg/ddio/sim/tb_ip_arria10_e2sg_ddio_1.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ddio/sim/tb_ip_arria10_e2sg_ddio_1.vhd
@@ -36,7 +36,7 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_ip_arria10_e2sg_ddio_1 is
 end tb_ip_arria10_e2sg_ddio_1;
@@ -94,33 +94,33 @@ begin
   in_data(0) <= in_dat;
 
   u_ddio_in : entity work.ip_arria10_e2sg_ddio_in_1
-	port map (
-		datain    => in_data,
-		ck   => clk,
-		dataout_h => data_h,
-		dataout_l => data_l
-	);
+    port map (
+      datain    => in_data,
+      ck   => clk,
+      dataout_h => data_h,
+      dataout_l => data_l
+    );
 
   u_ddio_out : entity work.ip_arria10_e2sg_ddio_out_1
-	port map (
-		dataout  => out_data,
-		outclock => clk,
-		datain_h => data_h,
-		datain_l => data_l
-	);
-
-	out_dat <= out_data(0);
-
-	out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
-
-	p_verify : process(clk)
-	begin
-	  if falling_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_e2sg_ddio_1: Error, unexpeced data at falling edge";
-	  end if;
-	  if rising_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_e2sg_ddio_1: Error, unexpeced data at rising edge";
-	  end if;
-	end process;
+    port map (
+      dataout  => out_data,
+      outclock => clk,
+      datain_h => data_h,
+      datain_l => data_l
+    );
+
+  out_dat <= out_data(0);
+
+  out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
+
+  p_verify : process(clk)
+  begin
+    if falling_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_e2sg_ddio_1: Error, unexpeced data at falling edge";
+    end if;
+    if rising_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_e2sg_ddio_1: Error, unexpeced data at rising edge";
+    end if;
+  end process;
 
 end tb;
diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600_inst.vhd b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600_inst.vhd
index 07b01b5028..bced1339bb 100644
--- a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600_inst.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600_inst.vhd
@@ -1,4 +1,4 @@
-	component ip_arria10_e2sg_ddr4_8g_1600 is
+  component ip_arria10_e2sg_ddr4_8g_1600 is
 		port (
 			global_reset_n                 : in    std_logic                      := 'X';  -- reset_n
 			pll_ref_clk                    : in    std_logic                      := 'X';  -- clk
diff --git a/libraries/technology/ip_arria10_e2sg/eth_10g/ip_arria10_e2sg_eth_10g.vhd b/libraries/technology/ip_arria10_e2sg/eth_10g/ip_arria10_e2sg_eth_10g.vhd
index 78f3cc42a9..741391085a 100644
--- a/libraries/technology/ip_arria10_e2sg/eth_10g/ip_arria10_e2sg_eth_10g.vhd
+++ b/libraries/technology/ip_arria10_e2sg/eth_10g/ip_arria10_e2sg_eth_10g.vhd
@@ -84,13 +84,13 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_10gbase_r_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity ip_arria10_e2sg_eth_10g is
   generic (
@@ -198,70 +198,70 @@ begin
     end process;
 
     u_tech_mac_10g : entity tech_mac_10g_lib.tech_mac_10g
-    generic map (
-      g_technology          => c_tech_arria10_e2sg,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-      csr_mosi          => mac_mosi_arr(I),
-      csr_miso          => mac_miso_arr(I),
-
-      -- ST
-      tx_clk_312        => clk_312,
-      tx_clk_156        => clk_156,
-      tx_rst            => rst_156,
-      tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
-      tx_snk_out        => mac_snk_out_arr(I),
-
-      rx_clk_312        => clk_312,
-      rx_clk_156        => clk_156,
-      rx_rst            => rst_156,
-      rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
-      rx_src_in         => rx_src_in_arr(I),
-
-      -- XGMII
-      xgmii_link_status => xgmii_link_status_arr(I),
-      xgmii_tx_data     => xgmii_tx_dc_arr(I),
-      xgmii_rx_data     => xgmii_internal_dc_arr(I)
-    );
+      generic map (
+        g_technology          => c_tech_arria10_e2sg,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+        csr_mosi          => mac_mosi_arr(I),
+        csr_miso          => mac_miso_arr(I),
+
+        -- ST
+        tx_clk_312        => clk_312,
+        tx_clk_156        => clk_156,
+        tx_rst            => rst_156,
+        tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
+        tx_snk_out        => mac_snk_out_arr(I),
+
+        rx_clk_312        => clk_312,
+        rx_clk_156        => clk_156,
+        rx_rst            => rst_156,
+        rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
+        rx_src_in         => rx_src_in_arr(I),
+
+        -- XGMII
+        xgmii_link_status => xgmii_link_status_arr(I),
+        xgmii_tx_data     => xgmii_tx_dc_arr(I),
+        xgmii_rx_data     => xgmii_internal_dc_arr(I)
+      );
   end generate;
 
   xgmii_internal_dc_arr <= xgmii_tx_dc_arr when g_direction = "TX_ONLY" else xgmii_rx_dc_arr;
 
   u_tech_10gbase_r: entity tech_10gbase_r_lib.tech_10gbase_r
-  generic map (
-    g_technology     => c_tech_arria10_e2sg,
-    g_sim            => g_sim,
-    g_sim_level      => g_sim_level,
-    g_nof_channels   => g_nof_channels
-  )
-  port map (
-    mm_clk              => mm_clk,
-    mm_rst              => mm_rst,
-
-    reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi =>  reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi,
-    reg_ip_arria10_e2sg_phy_10gbase_r_24_miso =>  reg_ip_arria10_e2sg_phy_10gbase_r_24_miso,
+    generic map (
+      g_technology     => c_tech_arria10_e2sg,
+      g_sim            => g_sim,
+      g_sim_level      => g_sim_level,
+      g_nof_channels   => g_nof_channels
+    )
+    port map (
+      mm_clk              => mm_clk,
+      mm_rst              => mm_rst,
 
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644     => tr_ref_clk_644,
+      reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi =>  reg_ip_arria10_e2sg_phy_10gbase_r_24_mosi,
+      reg_ip_arria10_e2sg_phy_10gbase_r_24_miso =>  reg_ip_arria10_e2sg_phy_10gbase_r_24_miso,
 
-    -- XGMII clocks
-    clk_156            => clk_156,
-    rst_156            => rst_156,
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644     => tr_ref_clk_644,
 
-    -- XGMII interface
-    xgmii_tx_ready_arr => xgmii_tx_ready_arr,
-    xgmii_rx_ready_arr => OPEN,
-    xgmii_tx_dc_arr    => xgmii_tx_dc_arr_loopback,
-    xgmii_rx_dc_arr    => xgmii_rx_dc_arr_loopback,
+      -- XGMII clocks
+      clk_156            => clk_156,
+      rst_156            => rst_156,
 
-    -- PHY serial IO
-    tx_serial_arr      => serial_tx_arr,
-    rx_serial_arr      => serial_rx_arr
-  );
+      -- XGMII interface
+      xgmii_tx_ready_arr => xgmii_tx_ready_arr,
+      xgmii_rx_ready_arr => OPEN,
+      xgmii_tx_dc_arr    => xgmii_tx_dc_arr_loopback,
+      xgmii_rx_dc_arr    => xgmii_rx_dc_arr_loopback,
+
+      -- PHY serial IO
+      tx_serial_arr      => serial_tx_arr,
+      rx_serial_arr      => serial_rx_arr
+    );
 
   gen_loopback : if g_use_loopback = true generate
     xgmii_tx_dc_arr_loopback <= xgmii_rx_dc_arr_loopback;
@@ -276,31 +276,31 @@ begin
     mm_reg_eth10g_arr(I) <= RESIZE_UVEC(xgmii_link_status_arr(I) & xgmii_tx_ready_arr(I) & i_tx_snk_out_arr(I).xon, c_mem_reg_eth10g_dat_w);
 
     u_reg_map : entity common_lib.common_reg_r_w_dc
-    generic map (
-      g_cross_clock_domain => true,
-      g_in_new_latency     => 0,
-      g_readback           => false,
-      g_reg                => c_mem_reg_eth10g,
-      g_init_reg           => (others => '0')
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      st_rst      => rst_156,
-      st_clk      => clk_156,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in      => reg_eth10g_mosi_arr(I),
-      sla_out     => reg_eth10g_miso_arr(I),
-
-      -- MM registers in st_clk domain
-      reg_wr_arr  => OPEN,
-      reg_rd_arr  => OPEN,
-      in_new      => '1',
-      in_reg      => mm_reg_eth10g_arr(I),
-      out_reg     => open
-    );
+      generic map (
+        g_cross_clock_domain => true,
+        g_in_new_latency     => 0,
+        g_readback           => false,
+        g_reg                => c_mem_reg_eth10g,
+        g_init_reg           => (others => '0')
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        st_rst      => rst_156,
+        st_clk      => clk_156,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in      => reg_eth10g_mosi_arr(I),
+        sla_out     => reg_eth10g_miso_arr(I),
+
+        -- MM registers in st_clk domain
+        reg_wr_arr  => OPEN,
+        reg_rd_arr  => OPEN,
+        in_new      => '1',
+        in_reg      => mm_reg_eth10g_arr(I),
+        out_reg     => open
+      );
   end generate;
 
 
@@ -308,27 +308,27 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux_mac : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_e2sg)
-  )
-  port map (
-    mosi     => mac_mosi,
-    miso     => mac_miso,
-    mosi_arr => mac_mosi_arr,
-    miso_arr => mac_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_e2sg)
+    )
+    port map (
+      mosi     => mac_mosi,
+      miso     => mac_miso,
+      mosi_arr => mac_mosi_arr,
+      miso_arr => mac_miso_arr
+    );
 
   u_common_mem_mux_eth10g : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => c_mem_reg_eth10g_adr_w
-  )
-  port map (
-    mosi     => reg_eth10g_mosi,
-    miso     => reg_eth10g_miso,
-    mosi_arr => reg_eth10g_mosi_arr,
-    miso_arr => reg_eth10g_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => c_mem_reg_eth10g_adr_w
+    )
+    port map (
+      mosi     => reg_eth10g_mosi,
+      miso     => reg_eth10g_miso,
+      mosi_arr => reg_eth10g_mosi_arr,
+      miso_arr => reg_eth10g_miso_arr
+    );
 
 end str;
diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd
index 3fbe2d25a8..90aa48d781 100644
--- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e2sg_fifo_dc_fifo_140_c4o7vda.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e2sg_fifo_dc is
   generic (
@@ -56,67 +56,67 @@ end ip_arria10_e2sg_fifo_dc;
 
 architecture SYN of ip_arria10_e2sg_fifo_dc is
 
-    component  dcfifo
+  component  dcfifo
     generic (
-        intended_device_family  : string;
-        lpm_numwords  : natural;
-        lpm_showahead  : string;
-        lpm_type  : string;
-        lpm_width  : natural;
-        lpm_widthu  : natural;
-        overflow_checking  : string;
-        rdsync_delaypipe  : natural;
-        read_aclr_synch  : string;
-        underflow_checking  : string;
-        use_eab  : string;
-        write_aclr_synch  : string;
-        wrsync_delaypipe  : natural
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
     );
     port (
-        aclr   : in std_logic;
-        data   : in std_logic_vector(g_dat_w - 1 downto 0);
-        rdclk   : in std_logic;
-        rdreq   : in std_logic;
-        wrclk   : in std_logic;
-        wrreq   : in std_logic;
-        q   : out std_logic_vector(g_dat_w - 1 downto 0);
-        rdempty   : out std_logic;
-        rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-        wrfull   : out std_logic;
-        wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+      aclr   : in std_logic;
+      data   : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
     );
-    end component;
+  end component;
 
 begin
 
   u_dcfifo : dcfifo
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab,
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab,
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd
index 0f22e32d2a..e5909286f0 100644
--- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e2sg_fifo_dc_mixed_widths_fifo_140_5csdcfa.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e2sg_fifo_dc_mixed_widths is
   generic (
@@ -57,70 +57,70 @@ end ip_arria10_e2sg_fifo_dc_mixed_widths;
 architecture SYN of ip_arria10_e2sg_fifo_dc_mixed_widths is
 
   component  dcfifo_mixed_widths
-  generic (
-    intended_device_family  : string;
-    lpm_numwords  : natural;
-    lpm_showahead  : string;
-    lpm_type  : string;
-    lpm_width  : natural;
-    lpm_widthu  : natural;
-    lpm_widthu_r  : natural;
-    lpm_width_r  : natural;
-    overflow_checking  : string;
-    rdsync_delaypipe  : natural;
-    read_aclr_synch  : string;
-    underflow_checking  : string;
-    use_eab  : string;
-    write_aclr_synch  : string;
-    wrsync_delaypipe  : natural
-  );
-  port (
-    aclr   : in std_logic;
-    data   : in std_logic_vector(data'range);
-    rdclk   : in std_logic;
-    rdreq   : in std_logic;
-    wrclk   : in std_logic;
-    wrreq   : in std_logic;
-    q   : out std_logic_vector(q'range);
-    rdempty   : out std_logic;
-    rdusedw   : out std_logic_vector(rdusedw'range);
-    wrfull   : out std_logic;
-    wrusedw   : out std_logic_vector(wrusedw'range)
-  );
+    generic (
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      lpm_widthu_r  : natural;
+      lpm_width_r  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
+    );
+    port (
+      aclr   : in std_logic;
+      data   : in std_logic_vector(data'range);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(q'range);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(rdusedw'range);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(wrusedw'range)
+    );
   end component;
 
 begin
 
   dcfifo_mixed_widths_component : dcfifo_mixed_widths
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo_mixed_widths",
-    lpm_width  => g_wrdat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
-    lpm_width_r  => g_rddat_w,
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => "ON",
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo_mixed_widths",
+      lpm_width  => g_wrdat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
+      lpm_width_r  => g_rddat_w,
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => "ON",
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd
index 078217dd5f..a7d279abbd 100644
--- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e2sg_fifo_sc_fifo_140_pkqwcbi.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e2sg_fifo_sc is
   generic (
@@ -55,7 +55,7 @@ end ip_arria10_e2sg_fifo_sc;
 architecture SYN of ip_arria10_e2sg_fifo_sc is
 
   component  scfifo
-  generic (
+    generic (
       add_ram_output_register  : string;
       intended_device_family  : string;
       lpm_numwords  : natural;
@@ -66,8 +66,8 @@ architecture SYN of ip_arria10_e2sg_fifo_sc is
       overflow_checking  : string;
       underflow_checking  : string;
       use_eab  : string
-  );
-  port (
+    );
+    port (
       aclr   : in std_logic;
       clock   : in std_logic;
       data   : in std_logic_vector(g_dat_w - 1 downto 0);
@@ -77,34 +77,34 @@ architecture SYN of ip_arria10_e2sg_fifo_sc is
       full   : out std_logic;
       q   : out std_logic_vector(g_dat_w - 1 downto 0);
       usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   u_scfifo : scfifo
-  generic map (
-    add_ram_output_register  => "ON",
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "scfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab
-  )
-  port map (
-    aclr => aclr,
-    clock => clock,
-    data => data,
-    rdreq => rdreq,
-    wrreq => wrreq,
-    empty => empty,
-    full => full,
-    q => q,
-    usedw => usedw
-  );
+    generic map (
+      add_ram_output_register  => "ON",
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "scfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab
+    )
+    port map (
+      aclr => aclr,
+      clock => clock,
+      data => data,
+      rdreq => rdreq,
+      wrreq => wrreq,
+      empty => empty,
+      full => full,
+      q => q,
+      usedw => usedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd
index f6fc3853df..426a992ff9 100644
--- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd
+++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd
@@ -29,12 +29,12 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, ip_arria10_e2sg_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use ip_arria10_e2sg_jesd204b_lib.ip_arria10_e2sg_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use ip_arria10_e2sg_jesd204b_lib.ip_arria10_e2sg_jesd204b_component_pkg.all;
 
 entity ip_arria10_e2sg_jesd204b is
   generic (
@@ -258,54 +258,54 @@ begin
 
       gen_jesd204b_rx_freqsel : if g_jesd_freq = "200MHz" generate
         u_ip_arria10_e2sg_jesd204b_rx_200MHz : ip_arria10_e2sg_jesd204b_rx_200MHz
-        port map (
-          alldev_lane_aligned        => dev_lane_aligned_arr(i),
-          csr_cf                     => OPEN,
-          csr_cs                     => OPEN,
-          csr_f                      => OPEN,
-          csr_hd                     => OPEN,
-          csr_k                      => OPEN,
-          csr_l                      => OPEN,
-          csr_lane_powerdown         => rx_csr_lane_powerdown_arr(i downto i),
-          csr_m                      => OPEN,
-          csr_n                      => OPEN,
-          csr_np                     => OPEN,
-          csr_rx_testmode            => OPEN,
-          csr_s                      => OPEN,
-          dev_lane_aligned           => dev_lane_aligned_arr(i),
-          dev_sync_n                 => jesd204b_sync_n_internal_arr(i),
-          jesd204_rx_avs_chipselect         => '1',
-          jesd204_rx_avs_address            => jesd204b_mosi_arr(i).address(c_jesd204b_mm_addr_w - 1 downto 0),
-          jesd204_rx_avs_read               => jesd204b_mosi_arr(i).rd,
-          jesd204_rx_avs_readdata           => jesd204b_miso_arr(i).rddata(31 downto 0),
-          jesd204_rx_avs_waitrequest        => jesd204b_miso_arr(i).waitrequest,
-          jesd204_rx_avs_write              => jesd204b_mosi_arr(i).wr,
-          jesd204_rx_avs_writedata          => jesd204b_mosi_arr(i).wrdata(31 downto 0),
-          jesd204_rx_avs_clk                => jesd204b_avs_clk,
-          jesd204_rx_avs_rst_n              => rx_avs_rst_n_arr(i),
-          jesd204_rx_dlb_data               => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_data_valid  => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_disperr     => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_errdetect   => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_dlb_kchar_data  => (others => '0'),  -- debug/loopback testing
-          jesd204_rx_frame_error     => '0',  -- jesd204_rx_frame_error.export
-          jesd204_rx_int             => OPEN,  -- Connected to status IO in example design
-          jesd204_rx_link_data              => jesd204b_rx_link_data_arr(i * c_jesd204b_rx_data_w + c_jesd204b_rx_data_w - 1 downto i * c_jesd204b_rx_data_w),
-          jesd204_rx_link_valid             => jesd204b_rx_link_valid_arr(i),
-          jesd204_rx_link_ready             => '1',
-          pll_ref_clk                => jesd204b_refclk,  -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63)
-          rx_analogreset             => rx_analogreset_arr(I downto I),
-          rx_cal_busy                => rx_cal_busy_arr(I downto I),
-          rx_digitalreset            => rx_digitalreset_arr(I downto I),
-          rx_islockedtodata          => rx_islockedtodata_arr(I downto I),
-          rx_serial_data             => serial_rx_arr(i downto i),
-          rxlink_clk                 => rxlink_clk,
-          rxlink_rst_n_reset_n       => rxlink_rst_n_arr(i),  -- Assoc with rxlink_clk (Intel JESD204B-UG p69)
-          rxphy_clk                  => OPEN,  -- Not used in Subclass 0 (Intel JESD204B-UG p63)
-          sof                        => OPEN,
-          somf                       => jesd204b_rx_somf_arr(c_jesd204b_rx_somf_w * i + c_jesd204b_rx_somf_w - 1 downto c_jesd204b_rx_somf_w * i),
-          sysref                     => jesd204b_sysref_2
-        );
+          port map (
+            alldev_lane_aligned        => dev_lane_aligned_arr(i),
+            csr_cf                     => OPEN,
+            csr_cs                     => OPEN,
+            csr_f                      => OPEN,
+            csr_hd                     => OPEN,
+            csr_k                      => OPEN,
+            csr_l                      => OPEN,
+            csr_lane_powerdown         => rx_csr_lane_powerdown_arr(i downto i),
+            csr_m                      => OPEN,
+            csr_n                      => OPEN,
+            csr_np                     => OPEN,
+            csr_rx_testmode            => OPEN,
+            csr_s                      => OPEN,
+            dev_lane_aligned           => dev_lane_aligned_arr(i),
+            dev_sync_n                 => jesd204b_sync_n_internal_arr(i),
+            jesd204_rx_avs_chipselect         => '1',
+            jesd204_rx_avs_address            => jesd204b_mosi_arr(i).address(c_jesd204b_mm_addr_w - 1 downto 0),
+            jesd204_rx_avs_read               => jesd204b_mosi_arr(i).rd,
+            jesd204_rx_avs_readdata           => jesd204b_miso_arr(i).rddata(31 downto 0),
+            jesd204_rx_avs_waitrequest        => jesd204b_miso_arr(i).waitrequest,
+            jesd204_rx_avs_write              => jesd204b_mosi_arr(i).wr,
+            jesd204_rx_avs_writedata          => jesd204b_mosi_arr(i).wrdata(31 downto 0),
+            jesd204_rx_avs_clk                => jesd204b_avs_clk,
+            jesd204_rx_avs_rst_n              => rx_avs_rst_n_arr(i),
+            jesd204_rx_dlb_data               => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_data_valid  => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_disperr     => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_errdetect   => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_dlb_kchar_data  => (others => '0'),  -- debug/loopback testing
+            jesd204_rx_frame_error     => '0',  -- jesd204_rx_frame_error.export
+            jesd204_rx_int             => OPEN,  -- Connected to status IO in example design
+            jesd204_rx_link_data              => jesd204b_rx_link_data_arr(i * c_jesd204b_rx_data_w + c_jesd204b_rx_data_w - 1 downto i * c_jesd204b_rx_data_w),
+            jesd204_rx_link_valid             => jesd204b_rx_link_valid_arr(i),
+            jesd204_rx_link_ready             => '1',
+            pll_ref_clk                => jesd204b_refclk,  -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63)
+            rx_analogreset             => rx_analogreset_arr(I downto I),
+            rx_cal_busy                => rx_cal_busy_arr(I downto I),
+            rx_digitalreset            => rx_digitalreset_arr(I downto I),
+            rx_islockedtodata          => rx_islockedtodata_arr(I downto I),
+            rx_serial_data             => serial_rx_arr(i downto i),
+            rxlink_clk                 => rxlink_clk,
+            rxlink_rst_n_reset_n       => rxlink_rst_n_arr(i),  -- Assoc with rxlink_clk (Intel JESD204B-UG p69)
+            rxphy_clk                  => OPEN,  -- Not used in Subclass 0 (Intel JESD204B-UG p63)
+            sof                        => OPEN,
+            somf                       => jesd204b_rx_somf_arr(c_jesd204b_rx_somf_w * i + c_jesd204b_rx_somf_w - 1 downto c_jesd204b_rx_somf_w * i),
+            sysref                     => jesd204b_sysref_2
+          );
 
         -- One cycle rd-rdval latency, waitrequest = '0' fixed
         jesd204b_miso_arr(i).rdval <= jesd204b_mosi_arr(i).rd when rising_edge(jesd204b_avs_clk);
@@ -315,66 +315,66 @@ begin
       -- Reset sequencer for each channel
       -----------------------------------------------------------------------------
       u_ip_arria10_e2sg_jesd204b_rx_reset_seq : ip_arria10_e2sg_jesd204b_rx_reset_seq
-      port map (
-        av_address                 => reset_seq_mosi_arr(i).address(7 downto 0),
-        av_readdata                => reset_seq_miso_arr(i).rddata(31 downto 0),
-        av_read                    => reset_seq_mosi_arr(i).rd,
-        av_writedata               => reset_seq_mosi_arr(i).wrdata(31 downto 0),
-        av_write                   => reset_seq_mosi_arr(i).wr,
-        irq                        => open,
-        clk                        => mm_clk,  -- use clk = mm_clk for av_* port
-        csr_reset                  => mm_rst,
-        reset1_dsrt_qual           => mm_core_pll_locked_reg,  -- core pll_locked synchronised to clk = mm_clk domain
-        reset2_dsrt_qual           => '1',  -- Tied to '1' in example design. Tx xcvr is not used.
-        reset5_dsrt_qual           => mm_rx_xcvr_ready_in_arr(i),
-        reset_in0                  => mm_rst,
-        -- reset_out* signals are in mm_clk domain
-        reset_out0                 => pll_reset_async_arr(i),  -- Use channel 0 to reset the core pll
-        reset_out1                 => xcvr_rst_arr(i),  -- Use channel 1 to reset the transceiver reset controller
-        reset_out2                 => open,
-        reset_out3                 => open,
-        reset_out4                 => open,
-        reset_out5                 => rx_avs_rst_arr(i),
-        reset_out6                 => rxlink_rst_async_arr(i),
-        reset_out7                 => rxframe_rst_async_arr(i)
-      );
+        port map (
+          av_address                 => reset_seq_mosi_arr(i).address(7 downto 0),
+          av_readdata                => reset_seq_miso_arr(i).rddata(31 downto 0),
+          av_read                    => reset_seq_mosi_arr(i).rd,
+          av_writedata               => reset_seq_mosi_arr(i).wrdata(31 downto 0),
+          av_write                   => reset_seq_mosi_arr(i).wr,
+          irq                        => open,
+          clk                        => mm_clk,  -- use clk = mm_clk for av_* port
+          csr_reset                  => mm_rst,
+          reset1_dsrt_qual           => mm_core_pll_locked_reg,  -- core pll_locked synchronised to clk = mm_clk domain
+          reset2_dsrt_qual           => '1',  -- Tied to '1' in example design. Tx xcvr is not used.
+          reset5_dsrt_qual           => mm_rx_xcvr_ready_in_arr(i),
+          reset_in0                  => mm_rst,
+          -- reset_out* signals are in mm_clk domain
+          reset_out0                 => pll_reset_async_arr(i),  -- Use channel 0 to reset the core pll
+          reset_out1                 => xcvr_rst_arr(i),  -- Use channel 1 to reset the transceiver reset controller
+          reset_out2                 => open,
+          reset_out3                 => open,
+          reset_out4                 => open,
+          reset_out5                 => rx_avs_rst_arr(i),
+          reset_out6                 => rxlink_rst_async_arr(i),
+          reset_out7                 => rxframe_rst_async_arr(i)
+        );
 
       -- synchronize pll_reset
       u_common_areset_pll : entity common_lib.common_areset
-      port map (
-        in_rst  => pll_reset_async_arr(i),
-        clk     => jesd204b_refclk,
-        out_rst => pll_reset_arr(i)
-      );
+        port map (
+          in_rst  => pll_reset_async_arr(i),
+          clk     => jesd204b_refclk,
+          out_rst => pll_reset_arr(i)
+        );
 
       -- synchronize rxlink reset
       u_common_areset_rxlink : entity common_lib.common_areset
-      port map (
-        in_rst  => rxlink_rst_async_arr(i),
-        clk     => rxlink_clk,
-        out_rst => rxlink_rst_arr(i)
-      );
+        port map (
+          in_rst  => rxlink_rst_async_arr(i),
+          clk     => rxlink_clk,
+          out_rst => rxlink_rst_arr(i)
+        );
 
       -- synchronize rxframe reset
       u_common_areset_rxframe : entity common_lib.common_areset
-      port map (
-        in_rst  => rxframe_rst_async_arr(i),
-        clk     => rxframe_clk,
-        out_rst => rxframe_rst_arr(i)
-      );
+        port map (
+          in_rst  => rxframe_rst_async_arr(i),
+          clk     => rxframe_clk,
+          out_rst => rxframe_rst_arr(i)
+        );
 
       rx_xcvr_ready_in_arr(i) <= '1' when  rx_csr_lane_powerdown_arr(i) = '1' or xcvr_rst_ctrl_rx_ready_arr(i) = '1' else '0';
       -- synchronize rx_xcvr_ready_in_arr to mm_clk
       u_common_async_rx_xcvr_ready : entity common_lib.common_async
-      generic map (
-        g_rst_level => '0'  -- When in_rst is asserted, dout = '0'
-      )
-      port map (
-        rst  => mm_rst,
-        clk  => mm_clk,
-        din  => rx_xcvr_ready_in_arr(i),
-        dout => mm_rx_xcvr_ready_in_arr(i)
-      );
+        generic map (
+          g_rst_level => '0'  -- When in_rst is asserted, dout = '0'
+        )
+        port map (
+          rst  => mm_rst,
+          clk  => mm_clk,
+          din  => rx_xcvr_ready_in_arr(i),
+          dout => mm_rx_xcvr_ready_in_arr(i)
+        );
 
       -- Invert thr active-low resets
       rx_avs_rst_n_arr(i) <= not rx_avs_rst_arr(i);
@@ -458,39 +458,39 @@ begin
     -- IOPLL in source synchronous or normal mode. (Intel JESD204B-UG p66)
     gen_jesd204b_rx_corepll_freqsel : if g_jesd_freq = "200MHz" generate
       u_ip_arria10_e2sg_jesd204b_rx_corepll_200MHz : ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz
-      port map (
-        locked                      => core_pll_locked,
-        outclk_0                    => rxlink_clk,  -- out 100 MHz
-        outclk_1                    => rxframe_clk,  -- out 200 MHz
-        refclk                      => jesd204b_refclk,  -- in 200 MHz
-        rst                         => pll_reset_arr(0)
-      );
+        port map (
+          locked                      => core_pll_locked,
+          outclk_0                    => rxlink_clk,  -- out 100 MHz
+          outclk_1                    => rxframe_clk,  -- out 200 MHz
+          refclk                      => jesd204b_refclk,  -- in 200 MHz
+          rst                         => pll_reset_arr(0)
+        );
     end generate;
 
     u_common_areset_pll_locked : entity common_lib.common_areset
-    generic map (
-      g_in_rst_level => '0',  -- synchronises the rising edge of input in_rst.
-      g_rst_level    => '0'
-    )
-    port map (
-      in_rst  => core_pll_locked,
-      clk     => mm_clk,
-      out_rst => mm_core_pll_locked_reg
-    );
+      generic map (
+        g_in_rst_level => '0',  -- synchronises the rising edge of input in_rst.
+        g_rst_level    => '0'
+      )
+      port map (
+        in_rst  => core_pll_locked,
+        clk     => mm_clk,
+        out_rst => mm_core_pll_locked_reg
+      );
 
     -- Transceiver reset controller. Use g_nof_streams out of 12 channels. Receive only
     -- Clock set to 100MHz (use rxlink_clk)
 
     u_ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control : ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12
-    port map (
-      clock                        => rxlink_clk,
-      reset                        => xcvr_rst_arr(0),  -- From Reset Sequencer output1 as per example design, the reset input is synchronised internally.
-      rx_analogreset               => rx_analogreset_arr,  -- output to reset RX PMA. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
-      rx_cal_busy                  => rx_cal_busy_arr,  -- input from PHY
-      rx_digitalreset              => rx_digitalreset_arr,  -- output to reset RX PCS. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
-      rx_is_lockedtodata           => rx_islockedtodata_arr,  -- input from PHY
-      rx_ready                     => xcvr_rst_ctrl_rx_ready_arr  -- From example design: gate with rx_csr_lane_powerdown to reset transceiver
-    );
+      port map (
+        clock                        => rxlink_clk,
+        reset                        => xcvr_rst_arr(0),  -- From Reset Sequencer output1 as per example design, the reset input is synchronised internally.
+        rx_analogreset               => rx_analogreset_arr,  -- output to reset RX PMA. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
+        rx_cal_busy                  => rx_cal_busy_arr,  -- input from PHY
+        rx_digitalreset              => rx_digitalreset_arr,  -- output to reset RX PCS. Release before deasserting link and avs resets (Intel JESD204B-UG p70)
+        rx_is_lockedtodata           => rx_islockedtodata_arr,  -- input from PHY
+        rx_ready                     => xcvr_rst_ctrl_rx_ready_arr  -- From example design: gate with rx_csr_lane_powerdown to reset transceiver
+      );
 
   end generate;  -- gen_jesd204b_rx : IF g_direction = "RX_ONLY" GENERATE
 
@@ -515,16 +515,16 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux_mac : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_streams,
-    g_mult_addr_w => c_jesd204b_mm_addr_w
-  )
-  port map (
-    mosi     => jesd204b_mosi,
-    miso     => jesd204b_miso,
-    mosi_arr => jesd204b_mosi_arr,
-    miso_arr => jesd204b_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_streams,
+      g_mult_addr_w => c_jesd204b_mm_addr_w
+    )
+    port map (
+      mosi     => jesd204b_mosi,
+      miso     => jesd204b_miso,
+      mosi_arr => jesd204b_mosi_arr,
+      miso_arr => jesd204b_miso_arr
+    );
 
 end str;
 
diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd
index cd83730b5a..18412df716 100644
--- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd
+++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd
@@ -24,11 +24,11 @@
 -- Purpose:  Component declarations for jesd204b ip blocks
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package ip_arria10_e2sg_jesd204b_component_pkg is
 
@@ -36,7 +36,7 @@ package ip_arria10_e2sg_jesd204b_component_pkg is
   -- Main IP, TX ONLY, 1 channel
   ------------------------------------------------------------------------------
 
-    component ip_arria10_e2sg_jesd204b_tx is
+  component ip_arria10_e2sg_jesd204b_tx is
     port (
       csr_cf                     : out std_logic_vector(4 downto 0);  -- export
       csr_cs                     : out std_logic_vector(1 downto 0);  -- export
@@ -86,7 +86,7 @@ package ip_arria10_e2sg_jesd204b_component_pkg is
       txlink_rst_n_reset_n       : in  std_logic                     := 'X';  -- reset_n
       txphy_clk                  : out std_logic_vector(0 downto 0)  -- export
     );
-    end component ip_arria10_e2sg_jesd204b_tx;
+  end component ip_arria10_e2sg_jesd204b_tx;
 
 
 
diff --git a/libraries/technology/ip_arria10_e2sg/mult_add2/ip_arria10_e2sg_mult_add2_rtl.vhd b/libraries/technology/ip_arria10_e2sg/mult_add2/ip_arria10_e2sg_mult_add2_rtl.vhd
index bfc7e19a94..44706f0d01 100644
--- a/libraries/technology/ip_arria10_e2sg/mult_add2/ip_arria10_e2sg_mult_add2_rtl.vhd
+++ b/libraries/technology/ip_arria10_e2sg/mult_add2/ip_arria10_e2sg_mult_add2_rtl.vhd
@@ -22,9 +22,9 @@
 -- Based on ip_stratixiv_mult_add2_rtl
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 ------------------------------------------------------------------------------
diff --git a/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4_rtl.vhd b/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4_rtl.vhd
index f1dd51e590..9a32e3e4a2 100644
--- a/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4_rtl.vhd
+++ b/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4_rtl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Function:
 -- . res = (a0 * b0 +- a1 * b1) +- (a2 * b2 +- a3 * b3)
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd
index c59b73a969..9fafb0ee91 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e2sg_ram_cr_cw is
   generic (
@@ -56,29 +56,29 @@ architecture SYN of ip_arria10_e2sg_ram_cr_cw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_mixed_ports : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_mixed_ports : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -86,7 +86,7 @@ architecture SYN of ip_arria10_e2sg_ram_cr_cw is
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -102,29 +102,29 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e2sg_ram_cr_cw/ram_2port_140/sim/ip_arria10_e2sg_ram_cr_cw_ram_2port_140_72tpmcy.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_mixed_ports => "OLD_DATA",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        read_during_write_mode_mixed_ports => "OLD_DATA",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => wrclk,
@@ -132,7 +132,7 @@ begin
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -140,19 +140,19 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_e2sg_simple_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      rclk  => rdclk,
-      wclk  => wrclk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        rclk  => rdclk,
+        wclk  => wrclk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(rdclk);
 
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd
index 36d9eebd4f..53b549f6a1 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e2sg_ram_crw_crw is
   generic (
@@ -60,35 +60,35 @@ architecture SYN of ip_arria10_e2sg_ram_crw_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          read_during_write_mode_mixed_ports : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      read_during_write_mode_mixed_ports : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -99,7 +99,7 @@ architecture SYN of ip_arria10_e2sg_ram_crw_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal addr_a : natural range 0 to g_nof_words - 1;
@@ -118,35 +118,35 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e2sg_ram_crw_crw/ram_2port_2000/sim/ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_a  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            indata_reg_b  => "CLOCK1",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "BIDIR_DUAL_PORT",
-            outdata_aclr_a  => "NONE",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_a  => c_outdata_reg_a,
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_mixed_ports => "OLD_DATA",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1,
-            width_byteena_b  => 1
-    )
-    port map (
+      generic map (
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_a  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        indata_reg_b  => "CLOCK1",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "BIDIR_DUAL_PORT",
+        outdata_aclr_a  => "NONE",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_a  => c_outdata_reg_a,
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+        read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+        read_during_write_mode_mixed_ports => "OLD_DATA",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1,
+        width_byteena_b  => 1
+      )
+      port map (
         address_a => address_a,
         address_b => address_b,
         clock0 => clk_a,
@@ -157,7 +157,7 @@ begin
         wren_b => wren_b,
         q_a => q_a,
         q_b => q_b
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -165,22 +165,22 @@ begin
     addr_b <= to_integer(unsigned(address_b));
 
     u_mem : entity work.ip_arria10_e2sg_true_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk_a  => clk_a,
-      clk_b  => clk_b,
-      addr_a => addr_a,
-      addr_b => addr_b,
-      data_a => data_a,
-      data_b => data_b,
-      we_a   => wren_a,
-      we_b   => wren_b,
-      q_a    => out_a,
-      q_b    => out_b
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk_a  => clk_a,
+        clk_b  => clk_b,
+        addr_a => addr_a,
+        addr_b => addr_b,
+        data_a => data_a,
+        data_b => data_b,
+        we_a   => wren_a,
+        we_b   => wren_b,
+        q_a    => out_a,
+        q_b    => out_b
+      );
 
     reg_a <= out_a when rising_edge(clk_a);
     reg_b <= out_b when rising_edge(clk_b);
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ip_arria10_e2sg_ram_crw_crw_inst.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ip_arria10_e2sg_ram_crw_crw_inst.vhd
index 22d39efa26..fafe4539e9 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ip_arria10_e2sg_ram_crw_crw_inst.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ip_arria10_e2sg_ram_crw_crw_inst.vhd
@@ -1,4 +1,4 @@
-	component ip_arria10_e2sg_ram_crw_crw is
+  component ip_arria10_e2sg_ram_crw_crw is
 		port (
 			data_a    : in  std_logic_vector(7 downto 0) := (others => 'X');  -- datain_a
 			q_a       : out std_logic_vector(7 downto 0);  -- dataout_a
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ram_2port_2000/sim/ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ram_2port_2000/sim/ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey.vhd
index ce0317d19c..ba3519e8f8 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ram_2port_2000/sim/ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw/ram_2port_2000/sim/ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey.vhd
@@ -14,78 +14,78 @@
 
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey is
-    port
+  port
     (
-        address_a       : in std_logic_vector(4 downto 0);
-        address_b       : in std_logic_vector(4 downto 0);
-        clock_a       : in std_logic  := '1';
-        clock_b       : in std_logic;
-        data_a       : in std_logic_vector(7 downto 0);
-        data_b       : in std_logic_vector(7 downto 0);
-        wren_a       : in std_logic  := '0';
-        wren_b       : in std_logic  := '0';
-        q_a       : out std_logic_vector(7 downto 0);
-        q_b       : out std_logic_vector(7 downto 0)
-    );
+    address_a       : in std_logic_vector(4 downto 0);
+    address_b       : in std_logic_vector(4 downto 0);
+    clock_a       : in std_logic  := '1';
+    clock_b       : in std_logic;
+    data_a       : in std_logic_vector(7 downto 0);
+    data_b       : in std_logic_vector(7 downto 0);
+    wren_a       : in std_logic  := '0';
+    wren_b       : in std_logic  := '0';
+    q_a       : out std_logic_vector(7 downto 0);
+    q_b       : out std_logic_vector(7 downto 0)
+  );
 end ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey;
 
 
 architecture SYN of ip_arria10_e2sg_ram_crw_crw_ram_2port_2000_zxnv4ey is
 
-    signal sub_wire0    : std_logic_vector(7 downto 0);
-    signal sub_wire1    : std_logic_vector(7 downto 0);
+  signal sub_wire0    : std_logic_vector(7 downto 0);
+  signal sub_wire1    : std_logic_vector(7 downto 0);
 
 begin
-    q_a     <= sub_wire0 (7 downto 0);
-    q_b     <= sub_wire1 (7 downto 0);
+  q_a     <= sub_wire0 (7 downto 0);
+  q_b     <= sub_wire1 (7 downto 0);
 
-    altera_syncram_component : altera_syncram
+  altera_syncram_component : altera_syncram
     generic map (
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_a  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            indata_reg_b  => "CLOCK1",
-            init_file  => "./ram_1024.hex",
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => 32,
-            numwords_b  => 32,
-            operation_mode  => "BIDIR_DUAL_PORT",
-            outdata_aclr_a  => "NONE",
-            outdata_sclr_a  => "NONE",
-            outdata_aclr_b  => "NONE",
-            outdata_sclr_b  => "NONE",
-            outdata_reg_a  => "CLOCK0",
-            outdata_reg_b  => "CLOCK1",
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-            widthad_a  => 5,
-            widthad_b  => 5,
-            width_a  => 8,
-            width_b  => 8,
-            width_byteena_a  => 1,
-            width_byteena_b  => 1
+      address_reg_b  => "CLOCK1",
+      clock_enable_input_a  => "BYPASS",
+      clock_enable_input_b  => "BYPASS",
+      clock_enable_output_a  => "BYPASS",
+      clock_enable_output_b  => "BYPASS",
+      indata_reg_b  => "CLOCK1",
+      init_file  => "./ram_1024.hex",
+      intended_device_family  => "Arria 10",
+      lpm_type  => "altera_syncram",
+      numwords_a  => 32,
+      numwords_b  => 32,
+      operation_mode  => "BIDIR_DUAL_PORT",
+      outdata_aclr_a  => "NONE",
+      outdata_sclr_a  => "NONE",
+      outdata_aclr_b  => "NONE",
+      outdata_sclr_b  => "NONE",
+      outdata_reg_a  => "CLOCK0",
+      outdata_reg_b  => "CLOCK1",
+      power_up_uninitialized  => "FALSE",
+      read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+      read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+      widthad_a  => 5,
+      widthad_b  => 5,
+      width_a  => 8,
+      width_b  => 8,
+      width_byteena_a  => 1,
+      width_byteena_b  => 1
     )
     port map (
-        address_a => address_a,
-        address_b => address_b,
-        clock0 => clock_a,
-        clock1 => clock_b,
-        data_a => data_a,
-        data_b => data_b,
-        wren_a => wren_a,
-        wren_b => wren_b,
-        q_a => sub_wire0,
-        q_b => sub_wire1
+      address_a => address_a,
+      address_b => address_b,
+      clock0 => clock_a,
+      clock1 => clock_b,
+      data_a => data_a,
+      data_b => data_b,
+      wren_a => wren_a,
+      wren_b => wren_b,
+      q_a => sub_wire0,
+      q_b => sub_wire1
     );
 
 
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd
index ad121c31b4..8afd679a3a 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd
@@ -12,11 +12,11 @@
 
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e2sg_ram_crwk_crw is
   generic (
@@ -51,35 +51,35 @@ architecture SYN of ip_arria10_e2sg_ram_crwk_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          init_file_layout  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      init_file_layout  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
       clock0 : in std_logic;
@@ -90,42 +90,42 @@ architecture SYN of ip_arria10_e2sg_ram_crwk_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_a_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   -- Copied from ip_arria10_e2sg_ram_crwk_crw/ram_2port_140/sim/ip_arria10_e2sg_ram_crwk_crw_ram_2port_140_iyfl3wi.vhd
   u_altera_syncram : altera_syncram
-  generic map (
-          address_reg_b  => "CLOCK1",
-          clock_enable_input_a  => "BYPASS",
-          clock_enable_input_b  => "BYPASS",
-          clock_enable_output_a  => "BYPASS",
-          clock_enable_output_b  => "BYPASS",
-          indata_reg_b  => "CLOCK1",
-          init_file  => g_init_file,
-          init_file_layout  => "PORT_B",
-          intended_device_family  => "Arria 10",
-          lpm_type  => "altera_syncram",
-          numwords_a  => g_nof_words_a,
-          numwords_b  => g_nof_words_b,
-          operation_mode  => "BIDIR_DUAL_PORT",
-          outdata_aclr_a  => "NONE",
-          outdata_aclr_b  => "NONE",
-          outdata_reg_a  => c_outdata_reg_a,
-          outdata_reg_b  => c_outdata_reg_b,
-          power_up_uninitialized  => "FALSE",
-          read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-          read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-          widthad_a  => g_adr_a_w,
-          widthad_b  => g_adr_b_w,
-          width_a  => g_dat_a_w,
-          width_b  => g_dat_b_w,
-          width_byteena_a  => 1,
-          width_byteena_b  => 1
-  )
-  port map (
+    generic map (
+      address_reg_b  => "CLOCK1",
+      clock_enable_input_a  => "BYPASS",
+      clock_enable_input_b  => "BYPASS",
+      clock_enable_output_a  => "BYPASS",
+      clock_enable_output_b  => "BYPASS",
+      indata_reg_b  => "CLOCK1",
+      init_file  => g_init_file,
+      init_file_layout  => "PORT_B",
+      intended_device_family  => "Arria 10",
+      lpm_type  => "altera_syncram",
+      numwords_a  => g_nof_words_a,
+      numwords_b  => g_nof_words_b,
+      operation_mode  => "BIDIR_DUAL_PORT",
+      outdata_aclr_a  => "NONE",
+      outdata_aclr_b  => "NONE",
+      outdata_reg_a  => c_outdata_reg_a,
+      outdata_reg_b  => c_outdata_reg_b,
+      power_up_uninitialized  => "FALSE",
+      read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+      read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+      widthad_a  => g_adr_a_w,
+      widthad_b  => g_adr_b_w,
+      width_a  => g_dat_a_w,
+      width_b  => g_dat_b_w,
+      width_byteena_a  => 1,
+      width_byteena_b  => 1
+    )
+    port map (
       address_a => address_a,
       address_b => address_b,
       clock0 => clk_a,
@@ -136,7 +136,7 @@ begin
       wren_b => wren_b,
       q_a => q_a,
       q_b => q_b
-  );
+    );
 
 end SYN;
 
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.vhd
index e050e0acc0..e935f94a71 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e2sg_ram_r_w is
   generic (
@@ -54,35 +54,35 @@ architecture SYN of ip_arria10_e2sg_ram_r_w is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -98,35 +98,35 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e2sg_ram_r_w/ram_2port_140/sim/ip_arria10_e2sg_ram_r_w_ram_2port_140_hukd7xi.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK0",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK0",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => clk,
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -134,18 +134,18 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_e2sg_simple_dual_port_ram_single_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk   => clk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk   => clk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(clk);
 
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_dual_clock.vhd
index c531ec3a44..256930e198 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_dual_clock.vhd
@@ -27,7 +27,7 @@
 -- different read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e2sg_simple_dual_port_ram_dual_clock is
 
@@ -63,18 +63,18 @@ begin
 
   process(wclk)
   begin
-  if(rising_edge(wclk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
+    if(rising_edge(wclk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
     end if;
-  end if;
   end process;
 
   process(rclk)
   begin
-  if(rising_edge(rclk)) then
-    q <= ram(raddr);
-  end if;
+    if(rising_edge(rclk)) then
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_single_clock.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_single_clock.vhd
index 66c69a401e..6f985688ec 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_single_clock.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_simple_dual_port_ram_single_clock.vhd
@@ -26,7 +26,7 @@
 -- single read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e2sg_simple_dual_port_ram_single_clock is
 
@@ -61,15 +61,15 @@ begin
 
   process(clk)
   begin
-  if(rising_edge(clk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
-    end if;
+    if(rising_edge(clk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
 
-    -- On a read during a write to the same address, the read will
-    -- return the OLD data at the address
-    q <= ram(raddr);
-  end if;
+      -- On a read during a write to the same address, the read will
+      -- return the OLD data at the address
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_true_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_true_dual_port_ram_dual_clock.vhd
index d8d11b395f..b0fe295c00 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_true_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_true_dual_port_ram_dual_clock.vhd
@@ -30,7 +30,7 @@
 -- Read-during-write on port A and B returns unknown data.
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e2sg_true_dual_port_ram_dual_clock is
 
@@ -70,23 +70,23 @@ begin
   -- Port A
   process(clk_a)
   begin
-  if(rising_edge(clk_a)) then
-    if(we_a = '1') then
-      ram(addr_a) := data_a;
+    if(rising_edge(clk_a)) then
+      if(we_a = '1') then
+        ram(addr_a) := data_a;
+      end if;
+      q_a <= ram(addr_a);
     end if;
-    q_a <= ram(addr_a);
-  end if;
   end process;
 
   -- Port B
   process(clk_b)
   begin
-  if(rising_edge(clk_b)) then
-    if(we_b = '1') then
-      ram(addr_b) := data_b;
+    if(rising_edge(clk_b)) then
+      if(we_b = '1') then
+        ram(addr_b) := data_b;
+      end if;
+      q_b <= ram(addr_b);
     end if;
-    q_b <= ram(addr_b);
-  end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/tb_ip_arria10_e2sg_tse_sgmii_gx.vhd b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/tb_ip_arria10_e2sg_tse_sgmii_gx.vhd
index fc66ededbf..3f97b46f00 100644
--- a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/tb_ip_arria10_e2sg_tse_sgmii_gx.vhd
+++ b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/tb_ip_arria10_e2sg_tse_sgmii_gx.vhd
@@ -28,9 +28,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_e2sg_tse_sgmii_gx is
@@ -90,9 +90,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -103,9 +104,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -116,22 +118,24 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -139,11 +143,12 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -151,11 +156,12 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -174,9 +180,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -187,9 +194,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -204,15 +212,16 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -241,13 +250,14 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -300,9 +310,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -310,8 +321,9 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -325,12 +337,13 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -398,7 +411,7 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_gx is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -500,37 +513,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -594,21 +607,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 4 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -640,81 +653,81 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-
-    tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-    rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-    tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-    tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-    rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-    rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-    tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-    rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-    rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-    rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+
+      tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+      rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+    );
 
   -- To be corrected
   tx_serial_clk(0) <= not tx_serial_clk(0) after serial_clk_period / 2;  -- ????
diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/tb_ip_arria10_e2sg_tse_sgmii_lvds.vhd b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/tb_ip_arria10_e2sg_tse_sgmii_lvds.vhd
index 6b5bcc45c3..2a218f1e17 100644
--- a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/tb_ip_arria10_e2sg_tse_sgmii_lvds.vhd
+++ b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/tb_ip_arria10_e2sg_tse_sgmii_lvds.vhd
@@ -33,9 +33,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_e2sg_tse_sgmii_lvds is
@@ -93,9 +93,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -106,9 +107,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -119,22 +121,24 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -142,11 +146,12 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -154,11 +159,12 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -177,9 +183,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -190,9 +197,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -207,15 +215,16 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -244,13 +253,14 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -303,9 +313,10 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -313,8 +324,9 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -328,12 +340,13 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -401,7 +414,7 @@ architecture tb of tb_ip_arria10_e2sg_tse_sgmii_lvds is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -499,37 +512,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -593,21 +606,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 2 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -639,68 +652,68 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+    );
 
   -- Loopback
   eth_rxp <= eth_txp;
diff --git a/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_in.vhd b/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_in.vhd
index 38c7962560..66f00b9089 100644
--- a/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_in.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_in.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_e3sge3_ddio_in_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_ddio_in is
   generic (
@@ -42,13 +42,13 @@ end ip_arria10_e3sge3_ddio_in;
 architecture str of ip_arria10_e3sge3_ddio_in is
 
   component ip_arria10_e3sge3_ddio_in_1 is
-        port (
-                datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
-                inclock   : in  std_logic                    := '0';  -- ck.export
-                aclr      : in  std_logic                    := '0';  -- aclr.export
-                dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
-                dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
-        );
+    port (
+      datain    : in  std_logic_vector(0 downto 0) := (others => '0');  -- pad_in.export
+      inclock   : in  std_logic                    := '0';  -- ck.export
+      aclr      : in  std_logic                    := '0';  -- aclr.export
+      dataout_h : out std_logic_vector(0 downto 0);  -- dataout_h.fragment
+      dataout_l : out std_logic_vector(0 downto 0)  -- dataout_l.fragment
+    );
   end component;
 
 begin
@@ -56,13 +56,13 @@ begin
   gen_w : for I in g_width - 1 downto 0 generate
 
     u_ip_arria10_e3sge3_ddio_in_1 : ip_arria10_e3sge3_ddio_in_1
-    port map (
-      datain    => in_dat(I downto I),
-      inclock   => in_clk,
-      aclr      => rst,
-      dataout_h => out_dat_hi(I downto I),
-      dataout_l => out_dat_lo(I downto I)
-    );
+      port map (
+        datain    => in_dat(I downto I),
+        inclock   => in_clk,
+        aclr      => rst,
+        dataout_h => out_dat_hi(I downto I),
+        dataout_l => out_dat_lo(I downto I)
+      );
 
   end generate;
 
diff --git a/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_out.vhd b/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_out.vhd
index a881d0eee1..65eda2b78c 100644
--- a/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_out.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ddio/ip_arria10_e3sge3_ddio_out.vhd
@@ -22,7 +22,7 @@
 -- Purpose: Wrapper for ip_arria10_e3sge3_ddio_out_1 to support g_width >= 1
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_ddio_out is
   generic(
@@ -42,26 +42,26 @@ end ip_arria10_e3sge3_ddio_out;
 architecture str of ip_arria10_e3sge3_ddio_out is
 
   component ip_arria10_e3sge3_ddio_out_1 is
-        port (
-                dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
-                outclock : in  std_logic                    := '0';  -- ck.export
-                aclr     : in  std_logic                    := '0';  -- aclr.export
-                datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
-                datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
-        );
+    port (
+      dataout  : out std_logic_vector(0 downto 0);  -- pad_out.export
+      outclock : in  std_logic                    := '0';  -- ck.export
+      aclr     : in  std_logic                    := '0';  -- aclr.export
+      datain_h : in  std_logic_vector(0 downto 0) := (others => '0');  -- datain_h.fragment
+      datain_l : in  std_logic_vector(0 downto 0) := (others => '0')  -- datain_l.fragment
+    );
   end component;
 
 begin
 
   gen_w : for I in g_width - 1 downto 0 generate
     u_ip_arria10_e3sge3_ddio_out_1 : ip_arria10_e3sge3_ddio_out_1
-    port map (
-      dataout  => out_dat(I downto I),
-      outclock => in_clk,
-      aclr     => rst,
-      datain_h => in_dat_hi(I downto I),
-      datain_l => in_dat_lo(I downto I)
-    );
+      port map (
+        dataout  => out_dat(I downto I),
+        outclock => in_clk,
+        aclr     => rst,
+        datain_h => in_dat_hi(I downto I),
+        datain_l => in_dat_lo(I downto I)
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_in_1.vhd b/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_in_1.vhd
index 1dbd875bf4..ce62f233fa 100644
--- a/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_in_1.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_in_1.vhd
@@ -36,16 +36,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_ddio_in_1 is
-	port (
-		datain    : in  std_logic_vector(0 downto 0) := (others => '0');
-		inclock   : in  std_logic                    := '0';
-		aclr      : in  std_logic                    := '0';
-		dataout_h : out std_logic_vector(0 downto 0);
-		dataout_l : out std_logic_vector(0 downto 0)
-	);
+  port (
+    datain    : in  std_logic_vector(0 downto 0) := (others => '0');
+    inclock   : in  std_logic                    := '0';
+    aclr      : in  std_logic                    := '0';
+    dataout_h : out std_logic_vector(0 downto 0);
+    dataout_l : out std_logic_vector(0 downto 0)
+  );
 end ip_arria10_e3sge3_ddio_in_1;
 
 
diff --git a/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_out_1.vhd b/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_out_1.vhd
index 985a341c55..3b19721bb8 100644
--- a/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_out_1.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ddio/sim/ip_arria10_e3sge3_ddio_out_1.vhd
@@ -33,16 +33,16 @@
 --
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_ddio_out_1 is
-	port (
-		dataout  : out std_logic_vector(0 downto 0);
-		outclock : in  std_logic                    := '0';
-		aclr     : in  std_logic                    := '0';
-		datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
-		datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
-	);
+  port (
+    dataout  : out std_logic_vector(0 downto 0);
+    outclock : in  std_logic                    := '0';
+    aclr     : in  std_logic                    := '0';
+    datain_h : in  std_logic_vector(0 downto 0) := (others => '0');
+    datain_l : in  std_logic_vector(0 downto 0) := (others => '0')
+  );
 end ip_arria10_e3sge3_ddio_out_1;
 
 
diff --git a/libraries/technology/ip_arria10_e3sge3/ddio/sim/tb_ip_arria10_e3sge3_ddio_1.vhd b/libraries/technology/ip_arria10_e3sge3/ddio/sim/tb_ip_arria10_e3sge3_ddio_1.vhd
index d95dccc22d..dfa556662f 100644
--- a/libraries/technology/ip_arria10_e3sge3/ddio/sim/tb_ip_arria10_e3sge3_ddio_1.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ddio/sim/tb_ip_arria10_e3sge3_ddio_1.vhd
@@ -36,7 +36,7 @@
 --   > run -a
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 entity tb_ip_arria10_e3sge3_ddio_1 is
 end tb_ip_arria10_e3sge3_ddio_1;
@@ -94,33 +94,33 @@ begin
   in_data(0) <= in_dat;
 
   u_ddio_in : entity work.ip_arria10_e3sge3_ddio_in_1
-	port map (
-		datain    => in_data,
-		inclock   => clk,
-		dataout_h => data_h,
-		dataout_l => data_l
-	);
+    port map (
+      datain    => in_data,
+      inclock   => clk,
+      dataout_h => data_h,
+      dataout_l => data_l
+    );
 
   u_ddio_out : entity work.ip_arria10_e3sge3_ddio_out_1
-	port map (
-		dataout  => out_data,
-		outclock => clk,
-		datain_h => data_h,
-		datain_l => data_l
-	);
-
-	out_dat <= out_data(0);
-
-	out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
-
-	p_verify : process(clk)
-	begin
-	  if falling_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_e3sge3_ddio_1: Error, unexpeced data at falling edge";
-	  end if;
-	  if rising_edge(clk) then
-	    assert out_dat = out_dat_exp report "tb_ip_arria10_e3sge3_ddio_1: Error, unexpeced data at rising edge";
-	  end if;
-	end process;
+    port map (
+      dataout  => out_data,
+      outclock => clk,
+      datain_h => data_h,
+      datain_l => data_l
+    );
+
+  out_dat <= out_data(0);
+
+  out_dat_exp <= transport in_dat after c_clk_period * 1.5 + 1 ps;
+
+  p_verify : process(clk)
+  begin
+    if falling_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_e3sge3_ddio_1: Error, unexpeced data at falling edge";
+    end if;
+    if rising_edge(clk) then
+      assert out_dat = out_dat_exp report "tb_ip_arria10_e3sge3_ddio_1: Error, unexpeced data at rising edge";
+    end if;
+  end process;
 
 end tb;
diff --git a/libraries/technology/ip_arria10_e3sge3/eth_10g/ip_arria10_e3sge3_eth_10g.vhd b/libraries/technology/ip_arria10_e3sge3/eth_10g/ip_arria10_e3sge3_eth_10g.vhd
index 4826d2d46a..ad1412ed11 100644
--- a/libraries/technology/ip_arria10_e3sge3/eth_10g/ip_arria10_e3sge3_eth_10g.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/eth_10g/ip_arria10_e3sge3_eth_10g.vhd
@@ -84,13 +84,13 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_10gbase_r_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 
 entity ip_arria10_e3sge3_eth_10g is
@@ -196,101 +196,101 @@ begin
     end process;
 
     u_tech_mac_10g : entity tech_mac_10g_lib.tech_mac_10g
-    generic map (
-      g_technology          => c_tech_arria10_e3sge3,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-      csr_mosi          => mac_mosi_arr(I),
-      csr_miso          => mac_miso_arr(I),
-
-      -- ST
-      tx_clk_312        => clk_312,
-      tx_clk_156        => clk_156,
-      tx_rst            => rst_156,
-      tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
-      tx_snk_out        => mac_snk_out_arr(I),
-
-      rx_clk_312        => clk_312,
-      rx_clk_156        => clk_156,
-      rx_rst            => rst_156,
-      rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
-      rx_src_in         => rx_src_in_arr(I),
-
-      -- XGMII
-      xgmii_link_status => xgmii_link_status_arr(I),
-      xgmii_tx_data     => xgmii_tx_dc_arr(I),
-      xgmii_rx_data     => xgmii_internal_dc_arr(I)
-    );
+      generic map (
+        g_technology          => c_tech_arria10_e3sge3,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+        csr_mosi          => mac_mosi_arr(I),
+        csr_miso          => mac_miso_arr(I),
+
+        -- ST
+        tx_clk_312        => clk_312,
+        tx_clk_156        => clk_156,
+        tx_rst            => rst_156,
+        tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
+        tx_snk_out        => mac_snk_out_arr(I),
+
+        rx_clk_312        => clk_312,
+        rx_clk_156        => clk_156,
+        rx_rst            => rst_156,
+        rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
+        rx_src_in         => rx_src_in_arr(I),
+
+        -- XGMII
+        xgmii_link_status => xgmii_link_status_arr(I),
+        xgmii_tx_data     => xgmii_tx_dc_arr(I),
+        xgmii_rx_data     => xgmii_internal_dc_arr(I)
+      );
   end generate;
 
   xgmii_internal_dc_arr <= xgmii_tx_dc_arr when g_direction = "TX_ONLY" else xgmii_rx_dc_arr;
 
   u_tech_10gbase_r: entity tech_10gbase_r_lib.tech_10gbase_r
-  generic map (
-    g_technology     => c_tech_arria10_e3sge3,
-    g_sim            => g_sim,
-    g_sim_level      => g_sim_level,
-    g_nof_channels   => g_nof_channels
-  )
-  port map (
-    mm_clk              => mm_clk,
-    mm_rst              => mm_rst,
-
-    reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi =>  reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi,
-    reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso =>  reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso,
+    generic map (
+      g_technology     => c_tech_arria10_e3sge3,
+      g_sim            => g_sim,
+      g_sim_level      => g_sim_level,
+      g_nof_channels   => g_nof_channels
+    )
+    port map (
+      mm_clk              => mm_clk,
+      mm_rst              => mm_rst,
 
-    -- Transceiver PLL reference clock
-    tr_ref_clk_644     => tr_ref_clk_644,
+      reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi =>  reg_ip_arria10_e3sge3_phy_10gbase_r_24_mosi,
+      reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso =>  reg_ip_arria10_e3sge3_phy_10gbase_r_24_miso,
 
-    -- XGMII clocks
-    clk_156            => clk_156,
-    rst_156            => rst_156,
+      -- Transceiver PLL reference clock
+      tr_ref_clk_644     => tr_ref_clk_644,
 
-    -- XGMII interface
-    xgmii_tx_ready_arr => xgmii_tx_ready_arr,
-    xgmii_rx_ready_arr => OPEN,
-    xgmii_tx_dc_arr    => xgmii_tx_dc_arr,
-    xgmii_rx_dc_arr    => xgmii_rx_dc_arr,
+      -- XGMII clocks
+      clk_156            => clk_156,
+      rst_156            => rst_156,
 
-    -- PHY serial IO
-    tx_serial_arr      => serial_tx_arr,
-    rx_serial_arr      => serial_rx_arr
-  );
+      -- XGMII interface
+      xgmii_tx_ready_arr => xgmii_tx_ready_arr,
+      xgmii_rx_ready_arr => OPEN,
+      xgmii_tx_dc_arr    => xgmii_tx_dc_arr,
+      xgmii_rx_dc_arr    => xgmii_rx_dc_arr,
+
+      -- PHY serial IO
+      tx_serial_arr      => serial_tx_arr,
+      rx_serial_arr      => serial_rx_arr
+    );
 
 
   gen_reg_eth10g : for I in 0 to g_nof_channels - 1 generate
     mm_reg_eth10g_arr(I) <= RESIZE_UVEC(xgmii_link_status_arr(I) & xgmii_tx_ready_arr(I) & i_tx_snk_out_arr(I).xon, c_mem_reg_eth10g_dat_w);
 
     u_reg_map : entity common_lib.common_reg_r_w_dc
-    generic map (
-      g_cross_clock_domain => true,
-      g_in_new_latency     => 0,
-      g_readback           => false,
-      g_reg                => c_mem_reg_eth10g,
-      g_init_reg           => (others => '0')
-    )
-    port map (
-      -- Clocks and reset
-      mm_rst      => mm_rst,
-      mm_clk      => mm_clk,
-      st_rst      => rst_156,
-      st_clk      => clk_156,
-
-      -- Memory Mapped Slave in mm_clk domain
-      sla_in      => reg_eth10g_mosi_arr(I),
-      sla_out     => reg_eth10g_miso_arr(I),
-
-      -- MM registers in st_clk domain
-      reg_wr_arr  => OPEN,
-      reg_rd_arr  => OPEN,
-      in_new      => '1',
-      in_reg      => mm_reg_eth10g_arr(I),
-      out_reg     => open
-    );
+      generic map (
+        g_cross_clock_domain => true,
+        g_in_new_latency     => 0,
+        g_readback           => false,
+        g_reg                => c_mem_reg_eth10g,
+        g_init_reg           => (others => '0')
+      )
+      port map (
+        -- Clocks and reset
+        mm_rst      => mm_rst,
+        mm_clk      => mm_clk,
+        st_rst      => rst_156,
+        st_clk      => clk_156,
+
+        -- Memory Mapped Slave in mm_clk domain
+        sla_in      => reg_eth10g_mosi_arr(I),
+        sla_out     => reg_eth10g_miso_arr(I),
+
+        -- MM registers in st_clk domain
+        reg_wr_arr  => OPEN,
+        reg_rd_arr  => OPEN,
+        in_new      => '1',
+        in_reg      => mm_reg_eth10g_arr(I),
+        out_reg     => open
+      );
   end generate;
 
 
@@ -298,27 +298,27 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux_mac : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_e3sge3)
-  )
-  port map (
-    mosi     => mac_mosi,
-    miso     => mac_miso,
-    mosi_arr => mac_mosi_arr,
-    miso_arr => mac_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_arria10_e3sge3)
+    )
+    port map (
+      mosi     => mac_mosi,
+      miso     => mac_miso,
+      mosi_arr => mac_mosi_arr,
+      miso_arr => mac_miso_arr
+    );
 
   u_common_mem_mux_eth10g : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => c_mem_reg_eth10g_adr_w
-  )
-  port map (
-    mosi     => reg_eth10g_mosi,
-    miso     => reg_eth10g_miso,
-    mosi_arr => reg_eth10g_mosi_arr,
-    miso_arr => reg_eth10g_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => c_mem_reg_eth10g_adr_w
+    )
+    port map (
+      mosi     => reg_eth10g_mosi,
+      miso     => reg_eth10g_miso,
+      mosi_arr => reg_eth10g_mosi_arr,
+      miso_arr => reg_eth10g_miso_arr
+    );
 
 end str;
diff --git a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd
index 4a4710ad4a..1cd4389052 100644
--- a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e3sge3_fifo_dc_fifo_140_c4o7vda.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e3sge3_fifo_dc is
   generic (
@@ -56,67 +56,67 @@ end ip_arria10_e3sge3_fifo_dc;
 
 architecture SYN of ip_arria10_e3sge3_fifo_dc is
 
-    component  dcfifo
+  component  dcfifo
     generic (
-        intended_device_family  : string;
-        lpm_numwords  : natural;
-        lpm_showahead  : string;
-        lpm_type  : string;
-        lpm_width  : natural;
-        lpm_widthu  : natural;
-        overflow_checking  : string;
-        rdsync_delaypipe  : natural;
-        read_aclr_synch  : string;
-        underflow_checking  : string;
-        use_eab  : string;
-        write_aclr_synch  : string;
-        wrsync_delaypipe  : natural
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
     );
     port (
-        aclr   : in std_logic;
-        data   : in std_logic_vector(g_dat_w - 1 downto 0);
-        rdclk   : in std_logic;
-        rdreq   : in std_logic;
-        wrclk   : in std_logic;
-        wrreq   : in std_logic;
-        q   : out std_logic_vector(g_dat_w - 1 downto 0);
-        rdempty   : out std_logic;
-        rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-        wrfull   : out std_logic;
-        wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
+      aclr   : in std_logic;
+      data   : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(g_dat_w - 1 downto 0);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
     );
-    end component;
+  end component;
 
 begin
 
   u_dcfifo : dcfifo
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab,
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab,
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd
index 4e30a8c81a..9e4ffc01ef 100644
--- a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e3sge3_fifo_dc_mixed_widths_fifo_140_5csdcfa.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e3sge3_fifo_dc_mixed_widths is
   generic (
@@ -57,70 +57,70 @@ end ip_arria10_e3sge3_fifo_dc_mixed_widths;
 architecture SYN of ip_arria10_e3sge3_fifo_dc_mixed_widths is
 
   component  dcfifo_mixed_widths
-  generic (
-    intended_device_family  : string;
-    lpm_numwords  : natural;
-    lpm_showahead  : string;
-    lpm_type  : string;
-    lpm_width  : natural;
-    lpm_widthu  : natural;
-    lpm_widthu_r  : natural;
-    lpm_width_r  : natural;
-    overflow_checking  : string;
-    rdsync_delaypipe  : natural;
-    read_aclr_synch  : string;
-    underflow_checking  : string;
-    use_eab  : string;
-    write_aclr_synch  : string;
-    wrsync_delaypipe  : natural
-  );
-  port (
-    aclr   : in std_logic;
-    data   : in std_logic_vector(data'range);
-    rdclk   : in std_logic;
-    rdreq   : in std_logic;
-    wrclk   : in std_logic;
-    wrreq   : in std_logic;
-    q   : out std_logic_vector(q'range);
-    rdempty   : out std_logic;
-    rdusedw   : out std_logic_vector(rdusedw'range);
-    wrfull   : out std_logic;
-    wrusedw   : out std_logic_vector(wrusedw'range)
-  );
+    generic (
+      intended_device_family  : string;
+      lpm_numwords  : natural;
+      lpm_showahead  : string;
+      lpm_type  : string;
+      lpm_width  : natural;
+      lpm_widthu  : natural;
+      lpm_widthu_r  : natural;
+      lpm_width_r  : natural;
+      overflow_checking  : string;
+      rdsync_delaypipe  : natural;
+      read_aclr_synch  : string;
+      underflow_checking  : string;
+      use_eab  : string;
+      write_aclr_synch  : string;
+      wrsync_delaypipe  : natural
+    );
+    port (
+      aclr   : in std_logic;
+      data   : in std_logic_vector(data'range);
+      rdclk   : in std_logic;
+      rdreq   : in std_logic;
+      wrclk   : in std_logic;
+      wrreq   : in std_logic;
+      q   : out std_logic_vector(q'range);
+      rdempty   : out std_logic;
+      rdusedw   : out std_logic_vector(rdusedw'range);
+      wrfull   : out std_logic;
+      wrusedw   : out std_logic_vector(wrusedw'range)
+    );
   end component;
 
 begin
 
   dcfifo_mixed_widths_component : dcfifo_mixed_widths
-  generic map (
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "dcfifo_mixed_widths",
-    lpm_width  => g_wrdat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
-    lpm_width_r  => g_rddat_w,
-    overflow_checking  => "ON",
-    rdsync_delaypipe  => 5,
-    read_aclr_synch  => "OFF",
-    underflow_checking  => "ON",
-    use_eab  => "ON",
-    write_aclr_synch  => "ON",
-    wrsync_delaypipe  => 5
-  )
-  port map (
-    aclr => aclr,
-    data => data,
-    rdclk => rdclk,
-    rdreq => rdreq,
-    wrclk => wrclk,
-    wrreq => wrreq,
-    q => q,
-    rdempty => rdempty,
-    rdusedw => rdusedw,
-    wrfull => wrfull,
-    wrusedw => wrusedw
-  );
+    generic map (
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "dcfifo_mixed_widths",
+      lpm_width  => g_wrdat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      lpm_widthu_r  => tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w),
+      lpm_width_r  => g_rddat_w,
+      overflow_checking  => "ON",
+      rdsync_delaypipe  => 5,
+      read_aclr_synch  => "OFF",
+      underflow_checking  => "ON",
+      use_eab  => "ON",
+      write_aclr_synch  => "ON",
+      wrsync_delaypipe  => 5
+    )
+    port map (
+      aclr => aclr,
+      data => data,
+      rdclk => rdclk,
+      rdreq => rdreq,
+      wrclk => wrclk,
+      wrreq => wrreq,
+      q => q,
+      rdempty => rdempty,
+      rdusedw => rdusedw,
+      wrfull => wrfull,
+      wrusedw => wrusedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd
index 69173e5b42..a833e6c62b 100644
--- a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd
@@ -24,13 +24,13 @@
 --   Copied component declaration and instance example from generated/fifo_140/sim/ip_arria10_e3sge3_fifo_sc_fifo_140_pkqwcbi.vhd
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_mf;
-use altera_mf.all;
+  use altera_mf.all;
 
 entity ip_arria10_e3sge3_fifo_sc is
   generic (
@@ -55,7 +55,7 @@ end ip_arria10_e3sge3_fifo_sc;
 architecture SYN of ip_arria10_e3sge3_fifo_sc is
 
   component  scfifo
-  generic (
+    generic (
       add_ram_output_register  : string;
       intended_device_family  : string;
       lpm_numwords  : natural;
@@ -66,8 +66,8 @@ architecture SYN of ip_arria10_e3sge3_fifo_sc is
       overflow_checking  : string;
       underflow_checking  : string;
       use_eab  : string
-  );
-  port (
+    );
+    port (
       aclr   : in std_logic;
       clock   : in std_logic;
       data   : in std_logic_vector(g_dat_w - 1 downto 0);
@@ -77,34 +77,34 @@ architecture SYN of ip_arria10_e3sge3_fifo_sc is
       full   : out std_logic;
       q   : out std_logic_vector(g_dat_w - 1 downto 0);
       usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   u_scfifo : scfifo
-  generic map (
-    add_ram_output_register  => "ON",
-    intended_device_family  => "Arria 10",
-    lpm_numwords  => g_nof_words,
-    lpm_showahead  => "OFF",
-    lpm_type  => "scfifo",
-    lpm_width  => g_dat_w,
-    lpm_widthu  => tech_ceil_log2(g_nof_words),
-    overflow_checking  => "ON",
-    underflow_checking  => "ON",
-    use_eab  => g_use_eab
-  )
-  port map (
-    aclr => aclr,
-    clock => clock,
-    data => data,
-    rdreq => rdreq,
-    wrreq => wrreq,
-    empty => empty,
-    full => full,
-    q => q,
-    usedw => usedw
-  );
+    generic map (
+      add_ram_output_register  => "ON",
+      intended_device_family  => "Arria 10",
+      lpm_numwords  => g_nof_words,
+      lpm_showahead  => "OFF",
+      lpm_type  => "scfifo",
+      lpm_width  => g_dat_w,
+      lpm_widthu  => tech_ceil_log2(g_nof_words),
+      overflow_checking  => "ON",
+      underflow_checking  => "ON",
+      use_eab  => g_use_eab
+    )
+    port map (
+      aclr => aclr,
+      clock => clock,
+      data => data,
+      rdreq => rdreq,
+      wrreq => wrreq,
+      empty => empty,
+      full => full,
+      q => q,
+      usedw => usedw
+    );
 
 end SYN;
diff --git a/libraries/technology/ip_arria10_e3sge3/mult_add4/ip_arria10_e3sge3_mult_add4_rtl.vhd b/libraries/technology/ip_arria10_e3sge3/mult_add4/ip_arria10_e3sge3_mult_add4_rtl.vhd
index bfdb7d5163..499b3ebf2d 100644
--- a/libraries/technology/ip_arria10_e3sge3/mult_add4/ip_arria10_e3sge3_mult_add4_rtl.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/mult_add4/ip_arria10_e3sge3_mult_add4_rtl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Function:
 -- . res = (a0 * b0 +- a1 * b1) +- (a2 * b2 +- a3 * b3)
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd
index b688b46615..ab17973902 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e3sge3_ram_cr_cw is
   generic (
@@ -56,28 +56,28 @@ architecture SYN of ip_arria10_e3sge3_ram_cr_cw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -85,7 +85,7 @@ architecture SYN of ip_arria10_e3sge3_ram_cr_cw is
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -101,28 +101,28 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e3sge3_ram_cr_cw/ram_2port_140/sim/ip_arria10_e3sge3_ram_cr_cw_ram_2port_140_72tpmcy.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => wrclk,
@@ -130,7 +130,7 @@ begin
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -138,19 +138,19 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_e3sge3_simple_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      rclk  => rdclk,
-      wclk  => wrclk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        rclk  => rdclk,
+        wclk  => wrclk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(rdclk);
 
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd
index bcd5864593..96017409c5 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e3sge3_ram_crw_crw is
   generic (
@@ -60,34 +60,34 @@ architecture SYN of ip_arria10_e3sge3_ram_crw_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
@@ -98,7 +98,7 @@ architecture SYN of ip_arria10_e3sge3_ram_crw_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal addr_a : natural range 0 to g_nof_words - 1;
@@ -117,34 +117,34 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e3sge3_ram_crw_crw/ram_2port_140/sim/ip_arria10_e3sge3_ram_crw_crw_ram_2port_140_ehaf5aa.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_reg_b  => "CLOCK1",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_a  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            indata_reg_b  => "CLOCK1",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "BIDIR_DUAL_PORT",
-            outdata_aclr_a  => "NONE",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_a  => c_outdata_reg_a,
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-            read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1,
-            width_byteena_b  => 1
-    )
-    port map (
+      generic map (
+        address_reg_b  => "CLOCK1",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_a  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        indata_reg_b  => "CLOCK1",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "BIDIR_DUAL_PORT",
+        outdata_aclr_a  => "NONE",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_a  => c_outdata_reg_a,
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+        read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1,
+        width_byteena_b  => 1
+      )
+      port map (
         address_a => address_a,
         address_b => address_b,
         clock0 => clk_a,
@@ -155,7 +155,7 @@ begin
         wren_b => wren_b,
         q_a => q_a,
         q_b => q_b
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -163,22 +163,22 @@ begin
     addr_b <= to_integer(unsigned(address_b));
 
     u_mem : entity work.ip_arria10_e3sge3_true_dual_port_ram_dual_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk_a  => clk_a,
-      clk_b  => clk_b,
-      addr_a => addr_a,
-      addr_b => addr_b,
-      data_a => data_a,
-      data_b => data_b,
-      we_a   => wren_a,
-      we_b   => wren_b,
-      q_a    => out_a,
-      q_b    => out_b
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk_a  => clk_a,
+        clk_b  => clk_b,
+        addr_a => addr_a,
+        addr_b => addr_b,
+        data_a => data_a,
+        data_b => data_b,
+        we_a   => wren_a,
+        we_b   => wren_b,
+        q_a    => out_a,
+        q_b    => out_b
+      );
 
     reg_a <= out_a when rising_edge(clk_a);
     reg_b <= out_b when rising_edge(clk_b);
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd
index 184c263210..574747db25 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd
@@ -12,11 +12,11 @@
 
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e3sge3_ram_crwk_crw is
   generic (
@@ -51,35 +51,35 @@ architecture SYN of ip_arria10_e3sge3_ram_crwk_crw is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_a  : string;
-          clock_enable_output_b  : string;
-          indata_reg_b  : string;
-          init_file  : string;
-          init_file_layout  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_a  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_a  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          read_during_write_mode_port_a  : string;
-          read_during_write_mode_port_b  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer;
-          width_byteena_b  : integer
-  );
-  port (
+    generic (
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_a  : string;
+      clock_enable_output_b  : string;
+      indata_reg_b  : string;
+      init_file  : string;
+      init_file_layout  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_a  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_a  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      read_during_write_mode_port_a  : string;
+      read_during_write_mode_port_b  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer;
+      width_byteena_b  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
       clock0 : in std_logic;
@@ -90,42 +90,42 @@ architecture SYN of ip_arria10_e3sge3_ram_crwk_crw is
       wren_b : in std_logic;
       q_a : out std_logic_vector(g_dat_a_w - 1 downto 0);
       q_b : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+    );
   end component;
 
 begin
 
   -- Copied from ip_arria10_e3sge3_ram_crwk_crw/ram_2port_140/sim/ip_arria10_e3sge3_ram_crwk_crw_ram_2port_140_iyfl3wi.vhd
   u_altera_syncram : altera_syncram
-  generic map (
-          address_reg_b  => "CLOCK1",
-          clock_enable_input_a  => "BYPASS",
-          clock_enable_input_b  => "BYPASS",
-          clock_enable_output_a  => "BYPASS",
-          clock_enable_output_b  => "BYPASS",
-          indata_reg_b  => "CLOCK1",
-          init_file  => g_init_file,
-          init_file_layout  => "PORT_B",
-          intended_device_family  => "Arria 10",
-          lpm_type  => "altera_syncram",
-          numwords_a  => g_nof_words_a,
-          numwords_b  => g_nof_words_b,
-          operation_mode  => "BIDIR_DUAL_PORT",
-          outdata_aclr_a  => "NONE",
-          outdata_aclr_b  => "NONE",
-          outdata_reg_a  => c_outdata_reg_a,
-          outdata_reg_b  => c_outdata_reg_b,
-          power_up_uninitialized  => "FALSE",
-          read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
-          read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
-          widthad_a  => g_adr_a_w,
-          widthad_b  => g_adr_b_w,
-          width_a  => g_dat_a_w,
-          width_b  => g_dat_b_w,
-          width_byteena_a  => 1,
-          width_byteena_b  => 1
-  )
-  port map (
+    generic map (
+      address_reg_b  => "CLOCK1",
+      clock_enable_input_a  => "BYPASS",
+      clock_enable_input_b  => "BYPASS",
+      clock_enable_output_a  => "BYPASS",
+      clock_enable_output_b  => "BYPASS",
+      indata_reg_b  => "CLOCK1",
+      init_file  => g_init_file,
+      init_file_layout  => "PORT_B",
+      intended_device_family  => "Arria 10",
+      lpm_type  => "altera_syncram",
+      numwords_a  => g_nof_words_a,
+      numwords_b  => g_nof_words_b,
+      operation_mode  => "BIDIR_DUAL_PORT",
+      outdata_aclr_a  => "NONE",
+      outdata_aclr_b  => "NONE",
+      outdata_reg_a  => c_outdata_reg_a,
+      outdata_reg_b  => c_outdata_reg_b,
+      power_up_uninitialized  => "FALSE",
+      read_during_write_mode_port_a  => "NEW_DATA_NO_NBE_READ",
+      read_during_write_mode_port_b  => "NEW_DATA_NO_NBE_READ",
+      widthad_a  => g_adr_a_w,
+      widthad_b  => g_adr_b_w,
+      width_a  => g_dat_a_w,
+      width_b  => g_dat_b_w,
+      width_byteena_a  => 1,
+      width_byteena_b  => 1
+    )
+    port map (
       address_a => address_a,
       address_b => address_b,
       clock0 => clk_a,
@@ -136,7 +136,7 @@ begin
       wren_b => wren_b,
       q_a => q_a,
       q_b => q_b
-  );
+    );
 
 end SYN;
 
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_r_w.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_r_w.vhd
index 370948437c..0d686e1dca 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_r_w.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_r_w.vhd
@@ -22,12 +22,12 @@
 -- RadioHDL wrapper
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
+  use technology_lib.technology_pkg.all;
 
 library altera_lnsim;
-use altera_lnsim.altera_lnsim_components.all;
+  use altera_lnsim.altera_lnsim_components.all;
 
 entity ip_arria10_e3sge3_ram_r_w is
   generic (
@@ -54,35 +54,35 @@ architecture SYN of ip_arria10_e3sge3_ram_r_w is
   constant c_outdata_reg_b : string := tech_sel_a_b(g_rd_latency = 1, "UNREGISTERED", "CLOCK1");
 
   component altera_syncram
-  generic (
-          address_aclr_b  : string;
-          address_reg_b  : string;
-          clock_enable_input_a  : string;
-          clock_enable_input_b  : string;
-          clock_enable_output_b  : string;
-          init_file  : string;
-          intended_device_family  : string;
-          lpm_type  : string;
-          numwords_a  : integer;
-          numwords_b  : integer;
-          operation_mode  : string;
-          outdata_aclr_b  : string;
-          outdata_reg_b  : string;
-          power_up_uninitialized  : string;
-          widthad_a  : integer;
-          widthad_b  : integer;
-          width_a  : integer;
-          width_b  : integer;
-          width_byteena_a  : integer
-  );
-  port (
+    generic (
+      address_aclr_b  : string;
+      address_reg_b  : string;
+      clock_enable_input_a  : string;
+      clock_enable_input_b  : string;
+      clock_enable_output_b  : string;
+      init_file  : string;
+      intended_device_family  : string;
+      lpm_type  : string;
+      numwords_a  : integer;
+      numwords_b  : integer;
+      operation_mode  : string;
+      outdata_aclr_b  : string;
+      outdata_reg_b  : string;
+      power_up_uninitialized  : string;
+      widthad_a  : integer;
+      widthad_b  : integer;
+      width_a  : integer;
+      width_b  : integer;
+      width_byteena_a  : integer
+    );
+    port (
       address_a : in std_logic_vector(g_adr_w - 1 downto 0);
       address_b : in std_logic_vector(g_adr_w - 1 downto 0);
       clock0 : in std_logic;
       data_a : in std_logic_vector(g_dat_w - 1 downto 0);
       wren_a : in std_logic;
       q_b : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    );
   end component;
 
   signal rdaddr : natural range 0 to g_nof_words - 1;
@@ -98,35 +98,35 @@ begin
   gen_ip : if g_inferred = false generate
     -- Copied from ip_arria10_e3sge3_ram_r_w/ram_2port_140/sim/ip_arria10_e3sge3_ram_r_w_ram_2port_140_hukd7xi.vhd
     u_altera_syncram : altera_syncram
-    generic map (
-            address_aclr_b  => "NONE",
-            address_reg_b  => "CLOCK0",
-            clock_enable_input_a  => "BYPASS",
-            clock_enable_input_b  => "BYPASS",
-            clock_enable_output_b  => "BYPASS",
-            init_file  => g_init_file,
-            intended_device_family  => "Arria 10",
-            lpm_type  => "altera_syncram",
-            numwords_a  => g_nof_words,
-            numwords_b  => g_nof_words,
-            operation_mode  => "DUAL_PORT",
-            outdata_aclr_b  => "NONE",
-            outdata_reg_b  => c_outdata_reg_b,
-            power_up_uninitialized  => "FALSE",
-            widthad_a  => g_adr_w,
-            widthad_b  => g_adr_w,
-            width_a  => g_dat_w,
-            width_b  => g_dat_w,
-            width_byteena_a  => 1
-    )
-    port map (
+      generic map (
+        address_aclr_b  => "NONE",
+        address_reg_b  => "CLOCK0",
+        clock_enable_input_a  => "BYPASS",
+        clock_enable_input_b  => "BYPASS",
+        clock_enable_output_b  => "BYPASS",
+        init_file  => g_init_file,
+        intended_device_family  => "Arria 10",
+        lpm_type  => "altera_syncram",
+        numwords_a  => g_nof_words,
+        numwords_b  => g_nof_words,
+        operation_mode  => "DUAL_PORT",
+        outdata_aclr_b  => "NONE",
+        outdata_reg_b  => c_outdata_reg_b,
+        power_up_uninitialized  => "FALSE",
+        widthad_a  => g_adr_w,
+        widthad_b  => g_adr_w,
+        width_a  => g_dat_w,
+        width_b  => g_dat_w,
+        width_byteena_a  => 1
+      )
+      port map (
         address_a => wraddress,
         address_b => rdaddress,
         clock0 => clk,
         data_a => data,
         wren_a => wren,
         q_b => q
-    );
+      );
   end generate;
 
   gen_inferred : if g_inferred = true generate
@@ -134,18 +134,18 @@ begin
     wraddr <= to_integer(unsigned(wraddress));
 
     u_mem : entity work.ip_arria10_e3sge3_simple_dual_port_ram_single_clock
-    generic map (
-      DATA_WIDTH => g_dat_w,
-      ADDR_WIDTH => g_adr_w
-    )
-    port map (
-      clk   => clk,
-      raddr => rdaddr,
-      waddr => wraddr,
-      data  => data,
-      we    => wren,
-      q     => out_q
-    );
+      generic map (
+        DATA_WIDTH => g_dat_w,
+        ADDR_WIDTH => g_adr_w
+      )
+      port map (
+        clk   => clk,
+        raddr => rdaddr,
+        waddr => wraddr,
+        data  => data,
+        we    => wren,
+        q     => out_q
+      );
 
     reg_q <= out_q when rising_edge(clk);
 
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_dual_clock.vhd
index 2fa000bb95..2c33bb2779 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_dual_clock.vhd
@@ -27,7 +27,7 @@
 -- different read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_simple_dual_port_ram_dual_clock is
 
@@ -63,18 +63,18 @@ begin
 
   process(wclk)
   begin
-  if(rising_edge(wclk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
+    if(rising_edge(wclk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
     end if;
-  end if;
   end process;
 
   process(rclk)
   begin
-  if(rising_edge(rclk)) then
-    q <= ram(raddr);
-  end if;
+    if(rising_edge(rclk)) then
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_single_clock.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_single_clock.vhd
index 0edf5e2d7a..bf13eb3b4a 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_single_clock.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_simple_dual_port_ram_single_clock.vhd
@@ -26,7 +26,7 @@
 -- single read/write clock
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_simple_dual_port_ram_single_clock is
 
@@ -61,15 +61,15 @@ begin
 
   process(clk)
   begin
-  if(rising_edge(clk)) then
-    if(we = '1') then
-      ram(waddr) <= data;
-    end if;
+    if(rising_edge(clk)) then
+      if(we = '1') then
+        ram(waddr) <= data;
+      end if;
 
-    -- On a read during a write to the same address, the read will
-    -- return the OLD data at the address
-    q <= ram(raddr);
-  end if;
+      -- On a read during a write to the same address, the read will
+      -- return the OLD data at the address
+      q <= ram(raddr);
+    end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_true_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_true_dual_port_ram_dual_clock.vhd
index bbb68a7fe3..9e379ec509 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_true_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_true_dual_port_ram_dual_clock.vhd
@@ -30,7 +30,7 @@
 -- Read-during-write on port A and B returns unknown data.
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_arria10_e3sge3_true_dual_port_ram_dual_clock is
 
@@ -70,23 +70,23 @@ begin
   -- Port A
   process(clk_a)
   begin
-  if(rising_edge(clk_a)) then
-    if(we_a = '1') then
-      ram(addr_a) := data_a;
+    if(rising_edge(clk_a)) then
+      if(we_a = '1') then
+        ram(addr_a) := data_a;
+      end if;
+      q_a <= ram(addr_a);
     end if;
-    q_a <= ram(addr_a);
-  end if;
   end process;
 
   -- Port B
   process(clk_b)
   begin
-  if(rising_edge(clk_b)) then
-    if(we_b = '1') then
-      ram(addr_b) := data_b;
+    if(rising_edge(clk_b)) then
+      if(we_b = '1') then
+        ram(addr_b) := data_b;
+      end if;
+      q_b <= ram(addr_b);
     end if;
-    q_b <= ram(addr_b);
-  end if;
   end process;
 
 end rtl;
diff --git a/libraries/technology/ip_arria10_e3sge3/tse_sgmii_gx/tb_ip_arria10_e3sge3_tse_sgmii_gx.vhd b/libraries/technology/ip_arria10_e3sge3/tse_sgmii_gx/tb_ip_arria10_e3sge3_tse_sgmii_gx.vhd
index 9bbf206d02..51e1be3939 100644
--- a/libraries/technology/ip_arria10_e3sge3/tse_sgmii_gx/tb_ip_arria10_e3sge3_tse_sgmii_gx.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/tse_sgmii_gx/tb_ip_arria10_e3sge3_tse_sgmii_gx.vhd
@@ -28,9 +28,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_e3sge3_tse_sgmii_gx is
@@ -90,9 +90,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -103,9 +104,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -116,22 +118,24 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -139,11 +143,12 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -151,11 +156,12 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -174,9 +180,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -187,9 +194,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -204,15 +212,16 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -241,13 +250,14 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -300,9 +310,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -310,8 +321,9 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -325,12 +337,13 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -398,7 +411,7 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_gx is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -500,37 +513,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -594,21 +607,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 4 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -640,81 +653,81 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-
-    tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-    rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-    tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-    tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-    rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-    rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-    tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-    rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-    rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-    rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp,  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+
+      tx_serial_clk      => tx_serial_clk,  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+      rx_cdr_refclk      => rx_cdr_refclk,  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+    );
 
   -- To be corrected
   tx_serial_clk(0) <= not tx_serial_clk(0) after serial_clk_period / 2;  -- ????
diff --git a/libraries/technology/ip_arria10_e3sge3/tse_sgmii_lvds/tb_ip_arria10_e3sge3_tse_sgmii_lvds.vhd b/libraries/technology/ip_arria10_e3sge3/tse_sgmii_lvds/tb_ip_arria10_e3sge3_tse_sgmii_lvds.vhd
index bdd20b686a..12ca17850a 100644
--- a/libraries/technology/ip_arria10_e3sge3/tse_sgmii_lvds/tb_ip_arria10_e3sge3_tse_sgmii_lvds.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/tse_sgmii_lvds/tb_ip_arria10_e3sge3_tse_sgmii_lvds.vhd
@@ -33,9 +33,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_arria10_e3sge3_tse_sgmii_lvds is
@@ -93,9 +93,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -106,9 +107,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -119,22 +121,24 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -142,11 +146,12 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -154,11 +159,12 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -177,9 +183,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -190,9 +197,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -207,15 +215,16 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -244,13 +253,14 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -303,9 +313,10 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -313,8 +324,9 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -328,12 +340,13 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -401,7 +414,7 @@ architecture tb of tb_ip_arria10_e3sge3_tse_sgmii_lvds is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -499,37 +512,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -593,21 +606,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 2 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -639,68 +652,68 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
-    ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
-    ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
-    ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
-    ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
-    ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
-    ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
-    ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
-    -- . MAC specific
-    ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
-    ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
-    ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
-    ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
-    ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
-    ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
-    ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
-    rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
-                                            -- [5] collision error (can only occur in half duplex mode)
-                                            -- [4] PHY error on GMII
-                                            -- [3] receive frame truncated due to FIFO overflow
-                                            -- [2] CRC-32 error
-                                            -- [1] invalid length
-                                            -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
-    reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
-    reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
-    reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
-    reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
-    reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
-    reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
-    -- Status LEDs
-    led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
-    led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
-    led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
-    led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
-    led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
-    led_col        => OPEN,  -- : out std_logic;                                        --                              .col
-    -- Serial 1.25 Gbps
-    rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
-    ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
-    txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
-    rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --     transmit_clock_connection.clk
+      ff_tx_rdy      => ff_tx_src_in.ready,  -- : out std_logic;                                        --                              .ready
+      ff_tx_data     => ff_tx_src_out.data,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                      transmit.data
+      ff_tx_wren     => ff_tx_src_out.valid,  -- : in  std_logic                     := '0';             --                              .valid
+      ff_tx_sop      => ff_tx_src_out.sop,  -- : in  std_logic                     := '0';             --                              .startofpacket
+      ff_tx_eop      => ff_tx_src_out.eop,  -- : in  std_logic                     := '0';             --                              .endofpacket
+      ff_tx_mod      => ff_tx_src_out.empty,  -- : in  std_logic_vector(1 downto 0)  := (others => '0'); --                              .empty
+      ff_tx_err      => ff_tx_src_out.err(0),  -- : in  std_logic                     := '0';             --                              .error
+      -- . MAC specific
+      ff_tx_crc_fwd  => ff_tx_crc_fwd,  -- : in  std_logic                     := '0';             --           mac_misc_connection.ff_tx_crc_fwd     -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy    => ff_tx_septy,  -- : out std_logic;                                        --                              .ff_tx_septy       -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full   => ff_tx_a_full,  -- : out std_logic;                                        --                              .ff_tx_a_full      -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty  => ff_tx_a_empty,  -- : out std_logic;                                        --                              .ff_tx_a_empty     -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow    => ff_tx_uflow,  -- : out std_logic;                                        --                              .tx_ff_uflow       -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk      => dp_clk,  -- : in  std_logic                     := '0';             --      receive_clock_connection.clk
+      ff_rx_rdy      => ff_rx_snk_out.ready,  -- : in  std_logic                     := '0';             --                              .ready
+      ff_rx_data     => ff_rx_snk_in.data,  -- : out std_logic_vector(31 downto 0);                    --                       receive.data
+      ff_rx_dval     => ff_rx_snk_in.valid,  -- : out std_logic;                                        --                              .valid
+      ff_rx_sop      => ff_rx_snk_in.sop,  -- : out std_logic;                                        --                              .startofpacket
+      ff_rx_eop      => ff_rx_snk_in.eop,  -- : out std_logic;                                        --                              .endofpacket
+      ff_rx_mod      => ff_rx_snk_in.empty,  -- : out std_logic_vector(1 downto 0);                     --                              .empty
+      rx_err         => ff_rx_snk_in.err,  -- : out std_logic_vector(5 downto 0);                     --                              .error
+      -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat    => ff_rx_ethertype,  -- : out std_logic_vector(17 downto 0);                    --                              .rx_err_stat      -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type    => ff_rx_frm_type,  -- : out std_logic_vector(3 downto 0);                     --                              .rx_frm_type      -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav     => ff_rx_dsav,  -- : out std_logic;                                        --                              .ff_rx_dsav       -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full   => ff_rx_a_full,  -- : out std_logic;                                        --                              .ff_rx_a_full     -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty  => ff_rx_a_empty,  -- : out std_logic;                                        --                              .ff_rx_a_empty    -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset          => mm_rst,  -- : in  std_logic                     := '0';             --              reset_connection.reset            -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk            => mm_clk,  -- : in  std_logic                     := '0';             -- control_port_clock_connection.clk
+      reg_addr       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),  -- : in  std_logic_vector(7 downto 0)  := (others => '0'); --                              .address
+      reg_data_out   => mm_miso.rddata,  -- : out std_logic_vector(31 downto 0);                    --                  control_port.readdata
+      reg_rd         => mm_mosi.rd,  -- : in  std_logic                     := '0';             --                              .read
+      reg_data_in    => mm_mosi.wrdata,  -- : in  std_logic_vector(31 downto 0) := (others => '0'); --                              .writedata
+      reg_wr         => mm_mosi.wr,  -- : in  std_logic                     := '0';             --                              .write
+      reg_busy       => mm_miso.waitreq,  -- : out std_logic;                                        --                              .waitrequest
+      -- Status LEDs
+      led_an         => tse_led_an,  -- : out std_logic;                                        --                              .an        -- '1' = autonegation completed
+      led_link       => tse_led_link,  -- : out std_logic;                                        --                              .link      -- '1' = successful link synchronisation
+      led_disp_err   => OPEN,  -- : out std_logic;                                        --                              .disp_err  -- TBI character error
+      led_char_err   => OPEN,  -- : out std_logic;                                        --                              .char_err  -- TBI disparity errorreceived
+      led_crs        => OPEN,  -- : out std_logic;                                        --         status_led_connection.crs
+      led_col        => OPEN,  -- : out std_logic;                                        --                              .col
+      -- Serial 1.25 Gbps
+      rx_recovclkout => OPEN,  -- : out std_logic;                                        --     serdes_control_connection.export
+      ref_clk        => eth_clk,  -- : in  std_logic                     := '0';             --  pcs_ref_clk_clock_connection.clk
+      txp            => eth_txp,  -- : out std_logic                                         --                              .txp_0
+      rxp            => eth_rxp  -- : in  std_logic                     := '0';             --             serial_connection.rxp_0
+    );
 
   -- Loopback
   eth_rxp <= eth_txp;
diff --git a/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_in.vhd b/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_in.vhd
index 9440b884ae..fe3ba74b94 100644
--- a/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_in.vhd
+++ b/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_in.vhd
@@ -50,10 +50,10 @@
 --   end component;
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 entity ip_stratixiv_ddio_in is
   generic(
@@ -76,21 +76,21 @@ architecture str of ip_stratixiv_ddio_in is
 begin
 
   ddio: altddio_in
-  generic map (
-    intended_device_family => g_device_family,
-    invert_input_clocks => "OFF",
-    lpm_hint => "UNUSED",
-    lpm_type => "altddio_in",
-    power_up_high => "OFF",
-    width => g_width
-  )
-  port map (
-    datain      => in_dat,
-    inclock     => in_clk,
-    inclocken   => in_clk_en,
-    aclr        => rst,
-    dataout_h   => out_dat_hi,
-    dataout_l   => out_dat_lo
-  );
+    generic map (
+      intended_device_family => g_device_family,
+      invert_input_clocks => "OFF",
+      lpm_hint => "UNUSED",
+      lpm_type => "altddio_in",
+      power_up_high => "OFF",
+      width => g_width
+    )
+    port map (
+      datain      => in_dat,
+      inclock     => in_clk,
+      inclocken   => in_clk_en,
+      aclr        => rst,
+      dataout_h   => out_dat_hi,
+      dataout_l   => out_dat_lo
+    );
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_out.vhd b/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_out.vhd
index bc7ac67cdb..6660a2d268 100644
--- a/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_out.vhd
+++ b/libraries/technology/ip_stratixiv/ddio/ip_stratixiv_ddio_out.vhd
@@ -54,10 +54,10 @@
 --   end component;
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 library altera_mf;
-use altera_mf.altera_mf_components.all;
+  use altera_mf.altera_mf_components.all;
 
 entity ip_stratixiv_ddio_out is
   generic(
@@ -78,24 +78,24 @@ architecture str of ip_stratixiv_ddio_out is
 
 begin
 
-	ddio : ALTDDIO_OUT
-	generic map (
-		extend_oe_disable => "OFF",
-		intended_device_family => g_device_family,
-		invert_output => "OFF",
-		lpm_hint => "UNUSED",
-		lpm_type => "altddio_out",
-		oe_reg => "UNREGISTERED",
-		power_up_high => "OFF",
-		width => g_width
-	)
-	port map (
-		aclr => rst,
-		datain_h => in_dat_hi,
-		datain_l => in_dat_lo,
-		outclock => in_clk,
-		outclocken => in_clk_en,
-		dataout => out_dat
-	);
+  ddio : ALTDDIO_OUT
+    generic map (
+      extend_oe_disable => "OFF",
+      intended_device_family => g_device_family,
+      invert_output => "OFF",
+      lpm_hint => "UNUSED",
+      lpm_type => "altddio_out",
+      oe_reg => "UNREGISTERED",
+      power_up_high => "OFF",
+      width => g_width
+    )
+    port map (
+      aclr => rst,
+      datain_h => in_dat_hi,
+      datain_l => in_dat_lo,
+      outclock => in_clk,
+      outclocken => in_clk_en,
+      dataout => out_dat
+    );
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/eth_10g/ip_stratixiv_eth_10g.vhd b/libraries/technology/ip_stratixiv/eth_10g/ip_stratixiv_eth_10g.vhd
index 5681a2e7c1..d560ba91b8 100644
--- a/libraries/technology/ip_stratixiv/eth_10g/ip_stratixiv_eth_10g.vhd
+++ b/libraries/technology/ip_stratixiv/eth_10g/ip_stratixiv_eth_10g.vhd
@@ -76,13 +76,13 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, tech_xaui_lib, tech_mac_10g_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use tech_mac_10g_lib.tech_mac_10g_component_pkg.all;
 
 entity ip_stratixiv_eth_10g is
   generic (
@@ -150,7 +150,7 @@ architecture str of ip_stratixiv_eth_10g is
   signal rxc_rx_ready_arr          : std_logic_vector(g_nof_channels - 1 downto 0);  -- rx_ready in rx_clk_arr domain, typically leave not connected
 
   signal txc_rx_channelaligned_arr : std_logic_vector(g_nof_channels - 1 downto 0);  -- rx_channelaligned in tx_clk_arr_in domain, from PHY XAUI, indicates
-                                                                                   -- that all 4 RX channels are aligned when asserted
+  -- that all 4 RX channels are aligned when asserted
 
   -- XON control
   signal mac_snk_out_arr           : t_dp_siso_arr(g_nof_channels - 1 downto 0);
@@ -198,90 +198,90 @@ begin
     end process;
 
     u_tech_mac_10g : entity tech_mac_10g_lib.tech_mac_10g
-    generic map (
-      g_technology          => c_tech_stratixiv,
-      g_pre_header_padding  => g_pre_header_padding
-    )
-    port map (
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-      csr_mosi          => mac_mosi_arr(I),
-      csr_miso          => mac_miso_arr(I),
-
-      -- ST
-      tx_clk_156        => tx_clk_arr_in(I),
-      tx_rst            => i_tx_rst_arr_out(I),
-      tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
-      tx_snk_out        => mac_snk_out_arr(I),
-
-      rx_clk_156        => rx_clk_arr_in(I),
-      rx_rst            => i_rx_rst_arr_out(I),
-      rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
-      rx_src_in         => rx_src_in_arr(I),
-
-      -- XGMII
-      xgmii_link_status => xgmii_link_status_arr(I),
-      xgmii_tx_data     => xgmii_tx_dc_arr(I),
-      xgmii_rx_data     => xgmii_internal_dc_arr(I)
-    );
+      generic map (
+        g_technology          => c_tech_stratixiv,
+        g_pre_header_padding  => g_pre_header_padding
+      )
+      port map (
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+        csr_mosi          => mac_mosi_arr(I),
+        csr_miso          => mac_miso_arr(I),
+
+        -- ST
+        tx_clk_156        => tx_clk_arr_in(I),
+        tx_rst            => i_tx_rst_arr_out(I),
+        tx_snk_in         => tx_snk_in_arr(I),  -- 64 bit data
+        tx_snk_out        => mac_snk_out_arr(I),
+
+        rx_clk_156        => rx_clk_arr_in(I),
+        rx_rst            => i_rx_rst_arr_out(I),
+        rx_src_out        => rx_src_out_arr(I),  -- 64 bit data
+        rx_src_in         => rx_src_in_arr(I),
+
+        -- XGMII
+        xgmii_link_status => xgmii_link_status_arr(I),
+        xgmii_tx_data     => xgmii_tx_dc_arr(I),
+        xgmii_rx_data     => xgmii_internal_dc_arr(I)
+      );
   end generate;
 
   xgmii_internal_dc_arr <= xgmii_tx_dc_arr when g_direction = "TX_ONLY" else xgmii_rx_dc_arr;
 
   u_tech_xaui: entity tech_xaui_lib.tech_xaui
-  generic map (
-    g_technology      => c_tech_stratixiv,
-    g_sim             => g_sim,
-    g_sim_level       => g_sim_level,
-    g_nof_xaui        => g_nof_channels  -- Up to 3 (hard XAUI only) supported
-  )
-  port map (
-    -- Transceiver PLL reference clock
-    tr_clk                    => tr_ref_clk_156,
-    tr_rst                    => tr_ref_rst_156,
+    generic map (
+      g_technology      => c_tech_stratixiv,
+      g_sim             => g_sim,
+      g_sim_level       => g_sim_level,
+      g_nof_xaui        => g_nof_channels  -- Up to 3 (hard XAUI only) supported
+    )
+    port map (
+      -- Transceiver PLL reference clock
+      tr_clk                    => tr_ref_clk_156,
+      tr_rst                    => tr_ref_rst_156,
 
-    -- Calibration & reconfig clock
-    cal_rec_clk               => cal_rec_clk,
+      -- Calibration & reconfig clock
+      cal_rec_clk               => cal_rec_clk,
 
-    -- MM interface
-    mm_clk                    => mm_clk,
-    mm_rst                    => mm_rst,
+      -- MM interface
+      mm_clk                    => mm_clk,
+      mm_rst                    => mm_rst,
 
-    xaui_mosi                 => xaui_mosi,
-    xaui_miso                 => xaui_miso,
+      xaui_mosi                 => xaui_mosi,
+      xaui_miso                 => xaui_miso,
 
-    -- XGMII interface
-    tx_clk_arr                => tx_clk_arr_in,
-    rx_clk_arr_out            => rx_clk_arr_out,
-    rx_clk_arr_in             => rx_clk_arr_in,
+      -- XGMII interface
+      tx_clk_arr                => tx_clk_arr_in,
+      rx_clk_arr_out            => rx_clk_arr_out,
+      rx_clk_arr_in             => rx_clk_arr_in,
 
-    txc_tx_ready_arr          => txc_tx_ready_arr,  -- tx_ready in tx_clk_arr_in domain, can be used for xon flow control
-    rxc_rx_ready_arr          => rxc_rx_ready_arr,  -- rx_ready in rx_clk_arr domain, typically leave not connected
+      txc_tx_ready_arr          => txc_tx_ready_arr,  -- tx_ready in tx_clk_arr_in domain, can be used for xon flow control
+      rxc_rx_ready_arr          => rxc_rx_ready_arr,  -- rx_ready in rx_clk_arr domain, typically leave not connected
 
-    txc_rx_channelaligned_arr => txc_rx_channelaligned_arr,  -- rx_channelaligned in tx_clk_arr_in domain, indicates that all 4 RX channels are aligned when asserted
+      txc_rx_channelaligned_arr => txc_rx_channelaligned_arr,  -- rx_channelaligned in tx_clk_arr_in domain, indicates that all 4 RX channels are aligned when asserted
 
-    xgmii_tx_dc_arr           => xgmii_tx_dc_arr,
-    xgmii_rx_dc_arr           => xgmii_rx_dc_arr,
+      xgmii_tx_dc_arr           => xgmii_tx_dc_arr,
+      xgmii_rx_dc_arr           => xgmii_rx_dc_arr,
 
-    -- XAUI serial IO
-    xaui_tx_arr               => xaui_tx_arr,
-    xaui_rx_arr               => xaui_rx_arr
-  );
+      -- XAUI serial IO
+      xaui_tx_arr               => xaui_tx_arr,
+      xaui_rx_arr               => xaui_rx_arr
+    );
 
   -----------------------------------------------------------------------------
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_channels,
-    g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_stratixiv)
-  )
-  port map (
-    mosi     => mac_mosi,
-    miso     => mac_miso,
-    mosi_arr => mac_mosi_arr,
-    miso_arr => mac_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_channels,
+      g_mult_addr_w => func_tech_mac_10g_csr_addr_w(c_tech_stratixiv)
+    )
+    port map (
+      mosi     => mac_mosi,
+      miso     => mac_miso,
+      mosi_arr => mac_mosi_arr,
+      miso_arr => mac_miso_arr
+    );
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_complex_mult_rtl.vhd b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_complex_mult_rtl.vhd
index 61095b3caf..fe6d13f214 100644
--- a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_complex_mult_rtl.vhd
+++ b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_complex_mult_rtl.vhd
@@ -20,8 +20,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 --
 -- Function: Signed complex multiply
@@ -69,7 +69,7 @@ end ip_stratixiv_complex_mult_rtl;
 
 architecture str of ip_stratixiv_complex_mult_rtl is
 
-  function RESIZE_NUM(s : signed; w : natural) return signed is
+  function RESIZE_NUM (s : signed; w : natural) return signed is
   begin
     -- extend sign bit or keep LS part
     if w > s'length then
@@ -82,8 +82,8 @@ architecture str of ip_stratixiv_complex_mult_rtl is
   constant c_prod_w     : natural := g_in_a_w + g_in_b_w;
   constant c_sum_w      : natural := c_prod_w + 1;
 
---  CONSTANT c_re_add_sub : STRING := sel_a_b(g_conjugate_b, "ADD", "SUB");
---  CONSTANT c_im_add_sub : STRING := sel_a_b(g_conjugate_b, "SUB", "ADD");
+  --  CONSTANT c_re_add_sub : STRING := sel_a_b(g_conjugate_b, "ADD", "SUB");
+  --  CONSTANT c_im_add_sub : STRING := sel_a_b(g_conjugate_b, "SUB", "ADD");
 
   -- registers
   signal reg_ar         : signed(g_in_a_w - 1 downto 0);
diff --git a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult.vhd b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult.vhd
index d2ec41bf28..4c1e5dbf18 100644
--- a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult.vhd
+++ b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult.vhd
@@ -1,15 +1,15 @@
 library IEEE;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
 
 library lpm;
-use lpm.lpm_components.all;
+  use lpm.lpm_components.all;
 
 -- Comments:
 -- . Directly instantiate LPM component, because MegaWizard does so too, see dsp_mult.vhd.
 -- . Use MegaWizard to learn more about the generics.
 -- . Strangely the MegaWizard does not support setting the rounding and saturation mode
- entity  ip_stratixiv_mult is
+entity  ip_stratixiv_mult is
   generic (
     g_in_a_w           : positive := 18;
     g_in_b_w           : positive := 18;
@@ -27,7 +27,7 @@ use lpm.lpm_components.all;
     in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
     out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
   );
- end ip_stratixiv_mult;
+end ip_stratixiv_mult;
 
 
 architecture str of ip_stratixiv_mult is
@@ -44,28 +44,28 @@ begin
 
   gen_mult : for I in 0 to g_nof_mult - 1 generate
     m : lpm_mult
-    generic map (
-      lpm_hint => "MAXIMIZE_SPEED=5",  -- default "UNUSED"
-      lpm_pipeline => c_pipeline,
-      lpm_representation => g_representation,
-      lpm_type => "LPM_MULT",
-      lpm_widtha => g_in_a_w,
-      lpm_widthb => g_in_b_w,
-      lpm_widthp => c_prod_w
-    )
-    port map (
-      clock => clk,
-      datab => in_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w),
-      clken => clken,
-      dataa => in_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w),
-      result => prod((I + 1) * c_prod_w - 1 downto I * c_prod_w)
-    );
+      generic map (
+        lpm_hint => "MAXIMIZE_SPEED=5",  -- default "UNUSED"
+        lpm_pipeline => c_pipeline,
+        lpm_representation => g_representation,
+        lpm_type => "LPM_MULT",
+        lpm_widtha => g_in_a_w,
+        lpm_widthb => g_in_b_w,
+        lpm_widthp => c_prod_w
+      )
+      port map (
+        clock => clk,
+        datab => in_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w),
+        clken => clken,
+        dataa => in_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w),
+        result => prod((I + 1) * c_prod_w - 1 downto I * c_prod_w)
+      );
 
 
     out_p <= prod;
----- Truncate MSbits, also for signed (common_pkg.vhd for explanation of RESIZE_SVEC)
---    out_p((I+1)*g_out_p_w-1 DOWNTO I*g_out_p_w) <= RESIZE_SVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w) WHEN g_representation="SIGNED" ELSE
---                                                   RESIZE_UVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w);
+  ---- Truncate MSbits, also for signed (common_pkg.vhd for explanation of RESIZE_SVEC)
+  --    out_p((I+1)*g_out_p_w-1 DOWNTO I*g_out_p_w) <= RESIZE_SVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w) WHEN g_representation="SIGNED" ELSE
+  --                                                   RESIZE_UVEC(prod((I+1)*c_prod_w-1 DOWNTO I*c_prod_w), g_out_p_w);
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add2_rtl.vhd b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add2_rtl.vhd
index e9dd4892ed..94129a2669 100644
--- a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add2_rtl.vhd
+++ b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add2_rtl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 ------------------------------------------------------------------------------
diff --git a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add4_rtl.vhd b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add4_rtl.vhd
index 969613737d..14f21503df 100644
--- a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add4_rtl.vhd
+++ b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_add4_rtl.vhd
@@ -20,9 +20,9 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 -- Function:
 -- . res = (a0 * b0 +- a1 * b1) +- (a2 * b2 +- a3 * b3)
diff --git a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_rtl.vhd b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_rtl.vhd
index 42a4acbaf0..3a93bdd55e 100644
--- a/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_rtl.vhd
+++ b/libraries/technology/ip_stratixiv/mult/ip_stratixiv_mult_rtl.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
 
 -- no support for rounding in this RTL architecture
- entity  ip_stratixiv_mult_rtl is
+entity  ip_stratixiv_mult_rtl is
   generic (
     g_in_a_w           : positive := 18;
     g_in_b_w           : positive := 18;
@@ -43,7 +43,7 @@ use IEEE.numeric_std.all;
     in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
     out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
   );
- end ip_stratixiv_mult_rtl;
+end ip_stratixiv_mult_rtl;
 
 
 architecture str of ip_stratixiv_mult_rtl is
@@ -116,8 +116,8 @@ begin
 
   gen_mult : for I in 0 to g_nof_mult - 1 generate
     nxt_prod((I + 1) * c_prod_w - 1 downto I * c_prod_w) <=
-      std_logic_vector(  signed(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) *   signed(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w))) when g_representation = "SIGNED" else
-      std_logic_vector(unsigned(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) * unsigned(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w)));
+                                                            std_logic_vector(  signed(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) *   signed(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w))) when g_representation = "SIGNED" else
+                                                            std_logic_vector(unsigned(inp_a((I + 1) * g_in_a_w - 1 downto I * g_in_a_w)) * unsigned(inp_b((I + 1) * g_in_b_w - 1 downto I * g_in_b_w)));
   end generate;
 
   no_product_reg : if g_pipeline_product = 0 generate  -- wired
@@ -139,6 +139,6 @@ begin
     result <= reg_result;
   end generate;
 
-out_p <= result;
+  out_p <= result;
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui.vhd b/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui.vhd
index e13bd77574..add79553cd 100644
--- a/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui.vhd
+++ b/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui.vhd
@@ -64,9 +64,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity tb_ip_stratixiv_phy_xaui is
   generic (
@@ -126,7 +126,7 @@ begin
   p_mm_rst : process
   begin
     mm_rst <= '1';
---    WAIT FOR 4*c_mm_clk_period; -- Releasing reset here instead of after 80ns will cause the 64bit RX boundary to be 'correct'.
+    --    WAIT FOR 4*c_mm_clk_period; -- Releasing reset here instead of after 80ns will cause the 64bit RX boundary to be 'correct'.
     wait for 6 * c_mm_clk_period;  -- We don't want to base rest of development on lucky alignment. This 80ns delay gives us a normal, 32-bit RX boundary.
     wait until rising_edge(mm_clk);
     mm_rst <= '0';
@@ -169,11 +169,11 @@ begin
       wait for 2 us;
       assert xgmii_rx_d = c_xgmii_d_test or
              xgmii_rx_d = c_xgmii_d_test(31 downto 0) & c_xgmii_d_test(63 downto 32)
-             report "tb_ip_stratixiv_phy_xaui : Wrong xgmii_rx_d result value" severity ERROR;
+        report "tb_ip_stratixiv_phy_xaui : Wrong xgmii_rx_d result value" severity ERROR;
       wait for g_sim_time - 2 us;
       assert xgmii_rx_d = c_xgmii_d_test or
              xgmii_rx_d = c_xgmii_d_test(31 downto 0) & c_xgmii_d_test(63 downto 32)
-             report "tb_ip_stratixiv_phy_xaui : Wrong xgmii_rx_d result value" severity ERROR;
+        report "tb_ip_stratixiv_phy_xaui : Wrong xgmii_rx_d result value" severity ERROR;
     end if;
     assert false report "Simulation finished." severity FAILURE;
     wait;
@@ -188,27 +188,27 @@ begin
 
   -- DUT:
   u_ip_phy_xaui : entity work.ip_stratixiv_phy_xaui_0
-  port map (
-  	pll_ref_clk          => tr_clk,
-  	xgmii_tx_clk         => tx_clk,  -- rx_clk
-  	xgmii_rx_clk         => rx_clk,
-  	xgmii_rx_dc          => xgmii_rx_dc,
-  	xgmii_tx_dc          => xgmii_tx_dc,
-  	xaui_rx_serial_data  => xaui_rx_serial,
-  	xaui_tx_serial_data  => xaui_tx_serial,
-  	rx_ready             => OPEN,
-  	tx_ready             => OPEN,
-  	phy_mgmt_clk         => mm_clk,
-  	phy_mgmt_clk_reset   => mm_rst,
-  	phy_mgmt_address     => (others => '0'),
-  	phy_mgmt_read        => '0',
-  	phy_mgmt_readdata    => OPEN,
-  	phy_mgmt_write       => '0',
-  	phy_mgmt_writedata   => (others => '0'),
-  	phy_mgmt_waitrequest => OPEN,
-
-    rx_channelaligned    => rx_channelaligned
-  );
+    port map (
+      pll_ref_clk          => tr_clk,
+      xgmii_tx_clk         => tx_clk,  -- rx_clk
+      xgmii_rx_clk         => rx_clk,
+      xgmii_rx_dc          => xgmii_rx_dc,
+      xgmii_tx_dc          => xgmii_tx_dc,
+      xaui_rx_serial_data  => xaui_rx_serial,
+      xaui_tx_serial_data  => xaui_tx_serial,
+      rx_ready             => OPEN,
+      tx_ready             => OPEN,
+      phy_mgmt_clk         => mm_clk,
+      phy_mgmt_clk_reset   => mm_rst,
+      phy_mgmt_address     => (others => '0'),
+      phy_mgmt_read        => '0',
+      phy_mgmt_readdata    => OPEN,
+      phy_mgmt_write       => '0',
+      phy_mgmt_writedata   => (others => '0'),
+      phy_mgmt_waitrequest => OPEN,
+
+      rx_channelaligned    => rx_channelaligned
+    );
 
   xaui_tx_serial_dly <= transport xaui_tx_serial after 100 ps;
 
diff --git a/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui_ppm.vhd b/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui_ppm.vhd
index 20abdd622d..f015b8cfc8 100644
--- a/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui_ppm.vhd
+++ b/libraries/technology/ip_stratixiv/phy_xaui/tb_ip_stratixiv_phy_xaui_ppm.vhd
@@ -35,9 +35,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity tb_ip_stratixiv_phy_xaui_ppm is
   generic (
@@ -57,27 +57,27 @@ architecture tb of tb_ip_stratixiv_phy_xaui_ppm is
 begin
 
   u_tb_ip_stratixiv_phy_xaui_0 : entity work.tb_ip_stratixiv_phy_xaui
-  generic map (
-    g_sim_time                => g_sim_time,
-    g_tr_clk_156_period       => tr_clk_156_period,
-    g_verify_link_recovery    => false,
-    g_use_xaui_rx_serial_in   => true
-  )
-  port map (
-    xaui_tx_serial_out  => xaui_tx_serial_0,
-    xaui_rx_serial_in   => xaui_tx_serial_1
-  );
+    generic map (
+      g_sim_time                => g_sim_time,
+      g_tr_clk_156_period       => tr_clk_156_period,
+      g_verify_link_recovery    => false,
+      g_use_xaui_rx_serial_in   => true
+    )
+    port map (
+      xaui_tx_serial_out  => xaui_tx_serial_0,
+      xaui_rx_serial_in   => xaui_tx_serial_1
+    );
 
   u_tb_ip_stratixiv_phy_xaui_1 : entity work.tb_ip_stratixiv_phy_xaui
-  generic map (
-    g_sim_time                => g_sim_time,
-    g_tr_clk_156_period       => tr_clk_156_period + tr_clk_156_10ppm * g_nof_10ppm,
-    g_verify_link_recovery    => false,
-    g_use_xaui_rx_serial_in   => true
-  )
-  port map (
-    xaui_tx_serial_out  => xaui_tx_serial_1,
-    xaui_rx_serial_in   => xaui_tx_serial_0
-  );
+    generic map (
+      g_sim_time                => g_sim_time,
+      g_tr_clk_156_period       => tr_clk_156_period + tr_clk_156_10ppm * g_nof_10ppm,
+      g_verify_link_recovery    => false,
+      g_use_xaui_rx_serial_in   => true
+    )
+    port map (
+      xaui_tx_serial_out  => xaui_tx_serial_1,
+      xaui_rx_serial_in   => xaui_tx_serial_0
+    );
 
 end tb;
diff --git a/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v101.vhd b/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v101.vhd
index 718480a243..a30f8531b7 100644
--- a/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v101.vhd
+++ b/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v101.vhd
@@ -24,8 +24,8 @@
 
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 entity ip_stratixiv_gxb_reconfig_v101 is
   generic (
@@ -47,32 +47,32 @@ begin
 
   gen_gx_reconfig_4 : if g_nof_gx <= 4 generate
     u_gx_reconfig_4 : entity work.ip_stratixiv_gxb_reconfig_v101_4
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
   gen_gx_reconfig_8 : if g_nof_gx > 4 and g_nof_gx <= 8 generate
     u_gx_reconfig_8 : entity work.ip_stratixiv_gxb_reconfig_v101_8
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
   gen_gx_reconfig_12 : if g_nof_gx > 8 and g_nof_gx <= 12 generate
     u_gx_reconfig_12 : entity work.ip_stratixiv_gxb_reconfig_v101_12
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v111.vhd b/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v111.vhd
index 984a09a5bd..b02e809eee 100644
--- a/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v111.vhd
+++ b/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v111.vhd
@@ -24,8 +24,8 @@
 
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use ieee.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 entity ip_stratixiv_gxb_reconfig_v111 is
   generic (
@@ -47,22 +47,22 @@ begin
 
   gen_gxb_reconfig_4 : if g_nof_gx <= 4 generate
     u_gxb_reconfig_4 : entity work.ip_stratixiv_gxb_reconfig_v111_4
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
   gen_gxb_reconfig_16 : if g_nof_gx > 12 and g_nof_gx <= 16 generate
     u_gxb_reconfig_16 : entity work.ip_stratixiv_gxb_reconfig_v111_16
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v91.vhd b/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v91.vhd
index 8452807c1c..eb1857bb5d 100644
--- a/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v91.vhd
+++ b/libraries/technology/ip_stratixiv/transceiver/ip_stratixiv_gxb_reconfig_v91.vhd
@@ -23,7 +23,7 @@
 -- Purpose : Create one gxb_reconfig module for all ALTGX instances.
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 entity ip_stratixiv_gxb_reconfig_v91 is
   generic (
@@ -45,42 +45,42 @@ begin
 
   gen_gxb_reconfig_2 : if g_nof_gx = 2 generate
     u_gxb_reconfig_2 : entity work.ip_stratixiv_gxb_reconfig_v91_2
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
   gen_gxb_reconfig_4 : if g_nof_gx = 4 generate
     u_gxb_reconfig_4 : entity work.ip_stratixiv_gxb_reconfig_v91_4
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
   gen_gxb_reconfig_8 : if g_nof_gx = 8 generate
     u_gxb_reconfig_8 : entity work.ip_stratixiv_gxb_reconfig_v91_8
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
   gen_gxb_reconfig_12 : if g_nof_gx = 12 generate
     u_gxb_reconfig_12 : entity work.ip_stratixiv_gxb_reconfig_v91_12
-    port map (
-      reconfig_clk        => reconfig_clk,
-      reconfig_fromgxb    => reconfig_fromgxb,
-      busy                => busy,
-      reconfig_togxb      => reconfig_togxb
-    );
+      port map (
+        reconfig_clk        => reconfig_clk,
+        reconfig_fromgxb    => reconfig_fromgxb,
+        busy                => busy,
+        reconfig_togxb      => reconfig_togxb
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/tb_ip_stratixiv_tse_sgmii_lvds.vhd b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/tb_ip_stratixiv_tse_sgmii_lvds.vhd
index d5ee6f496d..bdd4d475cb 100644
--- a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/tb_ip_stratixiv_tse_sgmii_lvds.vhd
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/tb_ip_stratixiv_tse_sgmii_lvds.vhd
@@ -33,9 +33,9 @@
 --   > run -all
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 
 entity tb_ip_stratixiv_tse_sgmii_lvds is
@@ -93,9 +93,10 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
     rd        : std_logic;
   end record;
 
-  procedure proc_dbg_mm_bus(signal mm_miso  : in  t_mm_bus;
-                            signal mm_mosi  : in  t_mm_bus;
-                            signal dbg_mm   : out t_mm_bus) is
+  procedure proc_dbg_mm_bus (
+    signal mm_miso  : in  t_mm_bus;
+    signal mm_mosi  : in  t_mm_bus;
+    signal dbg_mm   : out t_mm_bus) is
   begin
     dbg_mm.waitreq <= mm_miso.waitreq;
     dbg_mm.rddata  <= mm_miso.rddata;
@@ -106,9 +107,10 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
   end proc_dbg_mm_bus;
 
   -- Wait for MM access (either read or write) finished
-  procedure proc_mm_access(signal mm_clk      : in  std_logic;
-                           signal mm_waitreq  : in  std_logic;
-                           signal mm_access   : out std_logic) is
+  procedure proc_mm_access (
+    signal mm_clk      : in  std_logic;
+    signal mm_waitreq  : in  std_logic;
+    signal mm_access   : out std_logic) is
   begin
     mm_access <= '1';
     wait until rising_edge(mm_clk);
@@ -119,22 +121,24 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
   end proc_mm_access;
 
   -- Use word addressing for MAC registers according to table 4.8, 4.9
-  procedure proc_wr_mac(constant mac_addr : in  natural;
-                        constant mac_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_mac (
+    constant mac_addr : in  natural;
+    constant mac_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     mm_mosi.wrdata  <= std_logic_vector(to_unsigned(mac_data, c_tse_data_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_mac;
 
-  procedure proc_rd_mac(constant mac_addr : in  natural;
-                        signal   mac_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_mac (
+    constant mac_addr : in  natural;
+    signal   mac_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(mac_addr, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -142,11 +146,12 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
   end proc_rd_mac;
 
   -- Use halfword addressing for PCS register to match table 4.17
-  procedure proc_wr_pcs(constant pcs_addr : in  natural;
-                        constant pcs_data : in  natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_wr_pcs (
+    constant pcs_addr : in  natural;
+    constant pcs_data : in  natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address                             <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     mm_mosi.wrdata                              <= (others => '0');
@@ -154,11 +159,12 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.wr);
   end proc_wr_pcs;
 
-  procedure proc_rd_pcs(constant pcs_addr : in  natural;
-                        signal   pcs_data : out natural;
-                        signal   mm_clk   : in  std_logic;
-                        signal   mm_miso  : in  t_mm_bus;
-                        signal   mm_mosi  : out t_mm_bus) is
+  procedure proc_rd_pcs (
+    constant pcs_addr : in  natural;
+    signal   pcs_data : out natural;
+    signal   mm_clk   : in  std_logic;
+    signal   mm_miso  : in  t_mm_bus;
+    signal   mm_mosi  : out t_mm_bus) is
   begin
     mm_mosi.address <= std_logic_vector(to_unsigned(pcs_addr * 2 + c_tse_byte_addr_pcs_offset, c_tse_byte_addr_w));
     proc_mm_access(mm_clk, mm_miso.waitreq, mm_mosi.rd);
@@ -177,9 +183,10 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
     err      : std_logic_vector(c_tse_error_w - 1 downto 0);
   end record;
 
-  procedure proc_dbg_tse_stream_src(signal src_in  : in  t_tse_stream;
-                                    signal src_out : in  t_tse_stream;
-                                    signal dbg_src : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_src (
+    signal src_in  : in  t_tse_stream;
+    signal src_out : in  t_tse_stream;
+    signal dbg_src : out t_tse_stream) is
   begin
     dbg_src.ready <= src_in.ready;
     dbg_src.data  <= src_out.data;
@@ -190,9 +197,10 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
     dbg_src.err   <= src_out.err;
   end proc_dbg_tse_stream_src;
 
-  procedure proc_dbg_tse_stream_snk(signal snk_in  : in  t_tse_stream;
-                                    signal snk_out : in  t_tse_stream;
-                                    signal dbg_snk : out t_tse_stream) is
+  procedure proc_dbg_tse_stream_snk (
+    signal snk_in  : in  t_tse_stream;
+    signal snk_out : in  t_tse_stream;
+    signal dbg_snk : out t_tse_stream) is
   begin
     dbg_snk.ready <= snk_out.ready;
     dbg_snk.data  <= snk_in.data;
@@ -207,15 +215,16 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
   -- Only support tx_ready_latency=0 or 1, corresponding to TX_ALMOST_FULL=3 or 4
   -- Support for tx_ready_latency>1 requires keeping previous ready information
   -- in a STD_LOGIC_VECTOR(tx_ready_latency-1 DOWNTO 0).
-  procedure proc_ready_latency(constant c_latency : in  natural;
-                               signal   clk       : in  std_logic;
-                               signal   ready     : in  std_logic;
-                               constant c_valid   : in  std_logic;
-                               constant c_sop     : in  std_logic;
-                               constant c_eop     : in  std_logic;
-                               signal   out_valid : out std_logic;
-                               signal   out_sop   : out std_logic;
-                               signal   out_eop   : out std_logic) is
+  procedure proc_ready_latency (
+    constant c_latency : in  natural;
+    signal   clk       : in  std_logic;
+    signal   ready     : in  std_logic;
+    constant c_valid   : in  std_logic;
+    constant c_sop     : in  std_logic;
+    constant c_eop     : in  std_logic;
+    signal   out_valid : out std_logic;
+    signal   out_sop   : out std_logic;
+    signal   out_eop   : out std_logic) is
   begin
     if c_latency = 0 then
       out_valid <= c_valid;
@@ -244,13 +253,14 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           constant data_len     : in  natural;  -- in symbols = octets = bytes
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_src_in    : in  t_tse_stream;
-                           signal   dp_src_out   : out t_tse_stream) is
+  procedure proc_tx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    constant data_len     : in  natural;  -- in symbols = octets = bytes
+    signal   dp_clk       : in  std_logic;
+    signal   dp_src_in    : in  t_tse_stream;
+    signal   dp_src_out   : out t_tse_stream) is
     constant c_mod            : natural := data_len mod c_tse_symbols_per_beat;
     constant c_nof_data_beats : natural := data_len   / c_tse_symbols_per_beat + sel_a_b(c_mod, 1, 0);
     constant c_empty          : natural := sel_a_b(c_mod, c_tse_symbols_per_beat - c_mod, 0);
@@ -303,9 +313,10 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
     dp_src_out.empty <= std_logic_vector(to_unsigned(0, c_tse_empty_w));
   end proc_tx_packet;
 
-  procedure proc_valid_sop(signal   clk       : in  std_logic;
-                           signal   in_valid  : in  std_logic;
-                           signal   in_sop    : in  std_logic) is
+  procedure proc_valid_sop (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic;
+    signal   in_sop    : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' and in_sop /= '1' loop
@@ -313,8 +324,9 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
     end loop;
   end proc_valid_sop;
 
-  procedure proc_valid(signal   clk       : in  std_logic;
-                       signal   in_valid  : in  std_logic) is
+  procedure proc_valid (
+    signal   clk       : in  std_logic;
+    signal   in_valid  : in  std_logic) is
   begin
     wait until rising_edge(clk);
     while in_valid /= '1' loop
@@ -328,12 +340,13 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_rx_packet(constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
-                           constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
-                           constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
-                           signal   dp_clk       : in  std_logic;
-                           signal   dp_snk_in    : in  t_tse_stream;
-                           signal   dp_snk_out   : out t_tse_stream) is
+  procedure proc_rx_packet (
+    constant dst_mac_addr : in  std_logic_vector(c_eth_dst_mac'range);
+    constant src_mac_addr : in  std_logic_vector(c_eth_src_mac'range);
+    constant ethertype    : in  std_logic_vector(c_eth_ethertype'range);
+    signal   dp_clk       : in  std_logic;
+    signal   dp_snk_in    : in  t_tse_stream;
+    signal   dp_snk_out   : out t_tse_stream) is
     variable v_sym            : unsigned(c_tse_symbol_w - 1 downto 0) := (others => '0');
     variable v_num            : unsigned(c_tse_data_w - 1 downto 0) := (others => '0');
     variable v_empty          : natural;
@@ -401,7 +414,7 @@ architecture tb of tb_ip_stratixiv_tse_sgmii_lvds is
         assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
       end if;
     else
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_rx_packet;
 
@@ -499,37 +512,37 @@ begin
     else
       proc_wr_mac(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_wr_mac(16#00C#, 16#56789ABC#, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_wr_mac(16#010#, 16#00001234#, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_wr_mac(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -590,21 +603,21 @@ begin
     end loop;
     for I in 0 to 9 loop wait until rising_edge(dp_clk); end loop;
 
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac, "0000000000010000",   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype,   16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 16, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1499, dp_clk, ff_tx_src_in, ff_tx_src_out);  -- verify st empty
     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
---     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
+    --     proc_tx_packet(c_eth_src_mac, c_eth_src_mac,    c_eth_ethertype, 1500, dp_clk, ff_tx_src_in, ff_tx_src_out);
 
     for I in 0 to 1500 * 2 loop wait until rising_edge(dp_clk); end loop;
     tb_end <= '1';
@@ -636,64 +649,64 @@ begin
     -- . STAT_CNT_ENA    = 0   : PHY statistics counts are useful for monitoring, but not realy needed
     -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
     -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
-  port map (
-    -- MAC transmit interface
-    -- . Avalon ST
-    ff_tx_clk     => dp_clk,
-    ff_tx_rdy     => ff_tx_src_in.ready,
-    ff_tx_data    => ff_tx_src_out.data,
-    ff_tx_wren    => ff_tx_src_out.valid,
-    ff_tx_sop     => ff_tx_src_out.sop,
-    ff_tx_eop     => ff_tx_src_out.eop,
-    ff_tx_mod     => ff_tx_src_out.empty,
-    ff_tx_err     => ff_tx_src_out.err(0),
-    -- . MAC specific
-    ff_tx_crc_fwd => ff_tx_crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-    ff_tx_septy   => ff_tx_septy,  -- when '0' then tx FIFO goes above section-empty threshold
-    ff_tx_a_full  => ff_tx_a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-    ff_tx_a_empty => ff_tx_a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-    tx_ff_uflow   => ff_tx_uflow,  -- when '1' then tx FIFO underflow
-    -- MAC receive interface
-    -- . Avalon STs
-    ff_rx_clk     => dp_clk,
-    ff_rx_rdy     => ff_rx_snk_out.ready,
-    ff_rx_data    => ff_rx_snk_in.data,
-    ff_rx_dval    => ff_rx_snk_in.valid,
-    ff_rx_sop     => ff_rx_snk_in.sop,
-    ff_rx_eop     => ff_rx_snk_in.eop,
-    ff_rx_mod     => ff_rx_snk_in.empty,
-    rx_err        => ff_rx_snk_in.err,  -- [5] collision error (can only occur in half duplex mode)
-                                       -- [4] PHY error on GMII
-                                       -- [3] receive frame truncated due to FIFO overflow
-                                       -- [2] CRC-32 error
-                                       -- [1] invalid length
-                                       -- [0] = OR of [1:5]
-    -- . MAC specific
-    rx_err_stat   => ff_rx_ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-    rx_frm_type   => ff_rx_frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-    ff_rx_dsav    => ff_rx_dsav,  -- rx frame available, but not necessarily a complete frame
-    ff_rx_a_full  => ff_rx_a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-    ff_rx_a_empty => ff_rx_a_empty,  -- when '1' sthen rx FIFO goes below almost-empty threshold
-    -- Reset
-    reset         => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-    -- MM control interface
-    clk           => mm_clk,
-    address       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),
-    readdata      => mm_miso.rddata,
-    read          => mm_mosi.rd,
-    writedata     => mm_mosi.wrdata,
-    write         => mm_mosi.wr,
-    waitrequest   => mm_miso.waitreq,
-    -- Status LEDs
-    led_an        => tse_led_an,  -- '1' = autonegation completed
-    led_link      => tse_led_link,  -- '1' = successful link synchronisation
-    led_disp_err  => OPEN,  -- TBI character error
-    led_char_err  => OPEN,  -- TBI disparity errorreceived
-    -- Serial 1.25 Gbps
-    ref_clk       => eth_clk,
-    txp           => eth_txp,
-    rxp           => eth_rxp
-  );
+    port map (
+      -- MAC transmit interface
+      -- . Avalon ST
+      ff_tx_clk     => dp_clk,
+      ff_tx_rdy     => ff_tx_src_in.ready,
+      ff_tx_data    => ff_tx_src_out.data,
+      ff_tx_wren    => ff_tx_src_out.valid,
+      ff_tx_sop     => ff_tx_src_out.sop,
+      ff_tx_eop     => ff_tx_src_out.eop,
+      ff_tx_mod     => ff_tx_src_out.empty,
+      ff_tx_err     => ff_tx_src_out.err(0),
+      -- . MAC specific
+      ff_tx_crc_fwd => ff_tx_crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+      ff_tx_septy   => ff_tx_septy,  -- when '0' then tx FIFO goes above section-empty threshold
+      ff_tx_a_full  => ff_tx_a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+      ff_tx_a_empty => ff_tx_a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+      tx_ff_uflow   => ff_tx_uflow,  -- when '1' then tx FIFO underflow
+      -- MAC receive interface
+      -- . Avalon STs
+      ff_rx_clk     => dp_clk,
+      ff_rx_rdy     => ff_rx_snk_out.ready,
+      ff_rx_data    => ff_rx_snk_in.data,
+      ff_rx_dval    => ff_rx_snk_in.valid,
+      ff_rx_sop     => ff_rx_snk_in.sop,
+      ff_rx_eop     => ff_rx_snk_in.eop,
+      ff_rx_mod     => ff_rx_snk_in.empty,
+      rx_err        => ff_rx_snk_in.err,  -- [5] collision error (can only occur in half duplex mode)
+      -- [4] PHY error on GMII
+      -- [3] receive frame truncated due to FIFO overflow
+      -- [2] CRC-32 error
+      -- [1] invalid length
+      -- [0] = OR of [1:5]
+      -- . MAC specific
+      rx_err_stat   => ff_rx_ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+      rx_frm_type   => ff_rx_frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+      ff_rx_dsav    => ff_rx_dsav,  -- rx frame available, but not necessarily a complete frame
+      ff_rx_a_full  => ff_rx_a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+      ff_rx_a_empty => ff_rx_a_empty,  -- when '1' sthen rx FIFO goes below almost-empty threshold
+      -- Reset
+      reset         => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+      -- MM control interface
+      clk           => mm_clk,
+      address       => mm_mosi.address(c_tse_byte_addr_w - 1 downto 2),
+      readdata      => mm_miso.rddata,
+      read          => mm_mosi.rd,
+      writedata     => mm_mosi.wrdata,
+      write         => mm_mosi.wr,
+      waitrequest   => mm_miso.waitreq,
+      -- Status LEDs
+      led_an        => tse_led_an,  -- '1' = autonegation completed
+      led_link      => tse_led_link,  -- '1' = successful link synchronisation
+      led_disp_err  => OPEN,  -- TBI character error
+      led_char_err  => OPEN,  -- TBI disparity errorreceived
+      -- Serial 1.25 Gbps
+      ref_clk       => eth_clk,
+      txp           => eth_txp,
+      rxp           => eth_rxp
+    );
 
   -- Loopback
   eth_rxp <= eth_txp;
diff --git a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd
index bf1f8336e2..e3da622d0a 100644
--- a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd
+++ b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd
@@ -22,13 +22,13 @@
 --   Copied component instantiation from Vivado XPM template
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library xpm;
-use xpm.vcomponents.all;
+  use xpm.vcomponents.all;
 
 entity ip_ultrascale_fifo_dc is
   generic (
@@ -53,11 +53,11 @@ end ip_ultrascale_fifo_dc;
 architecture SYN of ip_ultrascale_fifo_dc is
 
 begin
-   -- xpm_fifo_async: Asynchronous FIFO
-   -- Xilinx Parameterized Macro, version 2022.1
+  -- xpm_fifo_async: Asynchronous FIFO
+  -- Xilinx Parameterized Macro, version 2022.1
 
-   xpm_fifo_async_inst : xpm_fifo_async
-   generic map (
+  xpm_fifo_async_inst : xpm_fifo_async
+    generic map (
       CASCADE_HEIGHT => 0,  -- DECIMAL
       CDC_SYNC_STAGES => 3,  -- DECIMAL
       DOUT_RESET_VALUE => "0",  -- String
@@ -77,100 +77,100 @@ begin
       WAKEUP_TIME => 0,  -- DECIMAL
       WRITE_DATA_WIDTH => g_dat_w,  -- DECIMAL
       WR_DATA_COUNT_WIDTH => tech_ceil_log2(g_nof_words)  -- DECIMAL
-   )
-   port map (
+    )
+    port map (
       almost_empty => OPEN,  -- 1-bit output: Almost Empty : When asserted, this signal indicates that
-                                      -- only one more read can be performed before the FIFO goes to empty.
+      -- only one more read can be performed before the FIFO goes to empty.
 
       almost_full => OPEN,  -- 1-bit output: Almost Full: When asserted, this signal indicates that
-                                      -- only one more write can be performed before the FIFO is full.
+      -- only one more write can be performed before the FIFO is full.
 
       data_valid => OPEN,  -- 1-bit output: Read Data Valid: When asserted, this signal indicates
-                                      -- that valid data is available on the output bus (dout).
+      -- that valid data is available on the output bus (dout).
 
       dbiterr => OPEN,  -- 1-bit output: Double Bit Error: Indicates that the ECC decoder
-                                      -- detected a double-bit error and data in the FIFO core is corrupted.
+      -- detected a double-bit error and data in the FIFO core is corrupted.
 
       dout => q,  -- READ_DATA_WIDTH-bit output: Read Data: The output data bus is driven
-                                      -- when reading the FIFO.
+      -- when reading the FIFO.
 
       empty => rdempty,  -- 1-bit output: Empty Flag: When asserted, this signal indicates that
-                                      -- the FIFO is empty. Read requests are ignored when the FIFO is empty,
-                                      -- initiating a read while empty is not destructive to the FIFO.
+      -- the FIFO is empty. Read requests are ignored when the FIFO is empty,
+      -- initiating a read while empty is not destructive to the FIFO.
 
       full => wrfull,  -- 1-bit output: Full Flag: When asserted, this signal indicates that the
-                                      -- FIFO is full. Write requests are ignored when the FIFO is full,
-                                      -- initiating a write when the FIFO is full is not destructive to the
-                                      -- contents of the FIFO.
+      -- FIFO is full. Write requests are ignored when the FIFO is full,
+      -- initiating a write when the FIFO is full is not destructive to the
+      -- contents of the FIFO.
 
       overflow => OPEN,  -- 1-bit output: Overflow: This signal indicates that a write request
-                                      -- (wren) during the prior clock cycle was rejected, because the FIFO is
-                                      -- full. Overflowing the FIFO is not destructive to the contents of the
-                                      -- FIFO.
+      -- (wren) during the prior clock cycle was rejected, because the FIFO is
+      -- full. Overflowing the FIFO is not destructive to the contents of the
+      -- FIFO.
 
       prog_empty => OPEN,  -- 1-bit output: Programmable Empty: This signal is asserted when the
-                                      -- number of words in the FIFO is less than or equal to the programmable
-                                      -- empty threshold value. It is de-asserted when the number of words in
-                                      -- the FIFO exceeds the programmable empty threshold value.
+      -- number of words in the FIFO is less than or equal to the programmable
+      -- empty threshold value. It is de-asserted when the number of words in
+      -- the FIFO exceeds the programmable empty threshold value.
 
       prog_full => OPEN,  -- 1-bit output: Programmable Full: This signal is asserted when the
-                                      -- number of words in the FIFO is greater than or equal to the
-                                      -- programmable full threshold value. It is de-asserted when the number
-                                      -- of words in the FIFO is less than the programmable full threshold
-                                      -- value.
+      -- number of words in the FIFO is greater than or equal to the
+      -- programmable full threshold value. It is de-asserted when the number
+      -- of words in the FIFO is less than the programmable full threshold
+      -- value.
 
       rd_data_count => rdusedw,  -- RD_DATA_COUNT_WIDTH-bit output: Read Data Count: This bus indicates
-                                      -- the number of words read from the FIFO.
+      -- the number of words read from the FIFO.
 
       rd_rst_busy => OPEN,  -- 1-bit output: Read Reset Busy: Active-High indicator that the FIFO
-                                      -- read domain is currently in a reset state.
+      -- read domain is currently in a reset state.
 
       sbiterr => OPEN,  -- 1-bit output: Single Bit Error: Indicates that the ECC decoder
-                                      -- detected and fixed a single-bit error.
+      -- detected and fixed a single-bit error.
 
       underflow => OPEN,  -- 1-bit output: Underflow: Indicates that the read request (rd_en)
-                                      -- during the previous clock cycle was rejected because the FIFO is
-                                      -- empty. Under flowing the FIFO is not destructive to the FIFO.
+      -- during the previous clock cycle was rejected because the FIFO is
+      -- empty. Under flowing the FIFO is not destructive to the FIFO.
 
       wr_ack => OPEN,  -- 1-bit output: Write Acknowledge: This signal indicates that a write
-                                      -- request (wr_en) during the prior clock cycle is succeeded.
+      -- request (wr_en) during the prior clock cycle is succeeded.
 
       wr_data_count => wrusedw,  -- WR_DATA_COUNT_WIDTH-bit output: Write Data Count: This bus indicates
-                                      -- the number of words written into the FIFO.
+      -- the number of words written into the FIFO.
 
       wr_rst_busy => OPEN,  -- 1-bit output: Write Reset Busy: Active-High indicator that the FIFO
-                                      -- write domain is currently in a reset state.
+      -- write domain is currently in a reset state.
 
       din => data,  -- WRITE_DATA_WIDTH-bit input: Write Data: The input data bus used when
-                                      -- writing the FIFO.
+      -- writing the FIFO.
 
       injectdbiterr => '0',  -- 1-bit input: Double Bit Error Injection: Injects a double bit error if
-                                      -- the ECC feature is used on block RAMs or UltraRAM macros.
+      -- the ECC feature is used on block RAMs or UltraRAM macros.
 
       injectsbiterr => '0',  -- 1-bit input: Single Bit Error Injection: Injects a single bit error if
-                                      -- the ECC feature is used on block RAMs or UltraRAM macros.
+      -- the ECC feature is used on block RAMs or UltraRAM macros.
 
       rd_clk => rdclk,  -- 1-bit input: Read clock: Used for read operation. rd_clk must be a
-                                      -- free running clock.
+      -- free running clock.
 
       rd_en => rdreq,  -- 1-bit input: Read Enable: If the FIFO is not empty, asserting this
-                                      -- signal causes data (on dout) to be read from the FIFO. Must be held
-                                      -- active-low when rd_rst_busy is active high.
+      -- signal causes data (on dout) to be read from the FIFO. Must be held
+      -- active-low when rd_rst_busy is active high.
 
       rst => aclr,  -- 1-bit input: Reset: Must be synchronous to wr_clk. The clock(s) can be
-                                      -- unstable at the time of applying reset, but reset must be released
-                                      -- only after the clock(s) is/are stable.
+      -- unstable at the time of applying reset, but reset must be released
+      -- only after the clock(s) is/are stable.
 
       sleep => '0',  -- 1-bit input: Dynamic power saving: If sleep is High, the memory/fifo
-                                      -- block is in power saving mode.
+      -- block is in power saving mode.
 
       wr_clk => wrclk,  -- 1-bit input: Write clock: Used for write operation. wr_clk must be a
-                                      -- free running clock.
+      -- free running clock.
 
       wr_en => wrreq  -- 1-bit input: Write Enable: If the FIFO is not full, asserting this
-                                      -- signal causes data (on din) to be written to the FIFO. Must be held
-                                      -- active-low when rst or wr_rst_busy is active high.
-   );
+    -- signal causes data (on din) to be written to the FIFO. Must be held
+    -- active-low when rst or wr_rst_busy is active high.
+    );
 
-   -- End of xpm_fifo_async_inst instantiation
+-- End of xpm_fifo_async_inst instantiation
 end SYN;
diff --git a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd
index aeceea9c34..462eae4c04 100644
--- a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd
@@ -22,13 +22,13 @@
 --   Copied component instantiation from Vivado XPM template
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library xpm;
-use xpm.vcomponents.all;
+  use xpm.vcomponents.all;
 
 entity ip_ultrascale_fifo_dc_mixed_widths is
   generic (
@@ -54,11 +54,11 @@ end ip_ultrascale_fifo_dc_mixed_widths;
 architecture SYN of ip_ultrascale_fifo_dc_mixed_widths is
 
 begin
-   -- xpm_fifo_async: Asynchronous FIFO
-   -- Xilinx Parameterized Macro, version 2022.1
+  -- xpm_fifo_async: Asynchronous FIFO
+  -- Xilinx Parameterized Macro, version 2022.1
 
-   xpm_fifo_async_inst : xpm_fifo_async
-   generic map (
+  xpm_fifo_async_inst : xpm_fifo_async
+    generic map (
       CASCADE_HEIGHT => 0,  -- DECIMAL
       CDC_SYNC_STAGES => 3,  -- DECIMAL
       DOUT_RESET_VALUE => "0",  -- String
@@ -78,101 +78,101 @@ begin
       WAKEUP_TIME => 0,  -- DECIMAL
       WRITE_DATA_WIDTH => g_wrdat_w,  -- DECIMAL
       WR_DATA_COUNT_WIDTH => tech_ceil_log2(g_nof_words)  -- DECIMAL
-   )
-   port map (
+    )
+    port map (
       almost_empty => OPEN,  -- 1-bit output: Almost Empty : When asserted, this signal indicates that
-                                      -- only one more read can be performed before the FIFO goes to empty.
+      -- only one more read can be performed before the FIFO goes to empty.
 
       almost_full => OPEN,  -- 1-bit output: Almost Full: When asserted, this signal indicates that
-                                      -- only one more write can be performed before the FIFO is full.
+      -- only one more write can be performed before the FIFO is full.
 
       data_valid => OPEN,  -- 1-bit output: Read Data Valid: When asserted, this signal indicates
-                                      -- that valid data is available on the output bus (dout).
+      -- that valid data is available on the output bus (dout).
 
       dbiterr => OPEN,  -- 1-bit output: Double Bit Error: Indicates that the ECC decoder
-                                      -- detected a double-bit error and data in the FIFO core is corrupted.
+      -- detected a double-bit error and data in the FIFO core is corrupted.
 
       dout => q,  -- READ_DATA_WIDTH-bit output: Read Data: The output data bus is driven
-                                      -- when reading the FIFO.
+      -- when reading the FIFO.
 
       empty => rdempty,  -- 1-bit output: Empty Flag: When asserted, this signal indicates that
-                                      -- the FIFO is empty. Read requests are ignored when the FIFO is empty,
-                                      -- initiating a read while empty is not destructive to the FIFO.
+      -- the FIFO is empty. Read requests are ignored when the FIFO is empty,
+      -- initiating a read while empty is not destructive to the FIFO.
 
       full => wrfull,  -- 1-bit output: Full Flag: When asserted, this signal indicates that the
-                                      -- FIFO is full. Write requests are ignored when the FIFO is full,
-                                      -- initiating a write when the FIFO is full is not destructive to the
-                                      -- contents of the FIFO.
+      -- FIFO is full. Write requests are ignored when the FIFO is full,
+      -- initiating a write when the FIFO is full is not destructive to the
+      -- contents of the FIFO.
 
       overflow => OPEN,  -- 1-bit output: Overflow: This signal indicates that a write request
-                                      -- (wren) during the prior clock cycle was rejected, because the FIFO is
-                                      -- full. Overflowing the FIFO is not destructive to the contents of the
-                                      -- FIFO.
+      -- (wren) during the prior clock cycle was rejected, because the FIFO is
+      -- full. Overflowing the FIFO is not destructive to the contents of the
+      -- FIFO.
 
       prog_empty => OPEN,  -- 1-bit output: Programmable Empty: This signal is asserted when the
-                                      -- number of words in the FIFO is less than or equal to the programmable
-                                      -- empty threshold value. It is de-asserted when the number of words in
-                                      -- the FIFO exceeds the programmable empty threshold value.
+      -- number of words in the FIFO is less than or equal to the programmable
+      -- empty threshold value. It is de-asserted when the number of words in
+      -- the FIFO exceeds the programmable empty threshold value.
 
       prog_full => OPEN,  -- 1-bit output: Programmable Full: This signal is asserted when the
-                                      -- number of words in the FIFO is greater than or equal to the
-                                      -- programmable full threshold value. It is de-asserted when the number
-                                      -- of words in the FIFO is less than the programmable full threshold
-                                      -- value.
+      -- number of words in the FIFO is greater than or equal to the
+      -- programmable full threshold value. It is de-asserted when the number
+      -- of words in the FIFO is less than the programmable full threshold
+      -- value.
 
       rd_data_count => rdusedw,  -- RD_DATA_COUNT_WIDTH-bit output: Read Data Count: This bus indicates
-                                      -- the number of words read from the FIFO.
+      -- the number of words read from the FIFO.
 
       rd_rst_busy => OPEN,  -- 1-bit output: Read Reset Busy: Active-High indicator that the FIFO
-                                      -- read domain is currently in a reset state.
+      -- read domain is currently in a reset state.
 
       sbiterr => OPEN,  -- 1-bit output: Single Bit Error: Indicates that the ECC decoder
-                                      -- detected and fixed a single-bit error.
+      -- detected and fixed a single-bit error.
 
       underflow => OPEN,  -- 1-bit output: Underflow: Indicates that the read request (rd_en)
-                                      -- during the previous clock cycle was rejected because the FIFO is
-                                      -- empty. Under flowing the FIFO is not destructive to the FIFO.
+      -- during the previous clock cycle was rejected because the FIFO is
+      -- empty. Under flowing the FIFO is not destructive to the FIFO.
 
       wr_ack => OPEN,  -- 1-bit output: Write Acknowledge: This signal indicates that a write
-                                      -- request (wr_en) during the prior clock cycle is succeeded.
+      -- request (wr_en) during the prior clock cycle is succeeded.
 
       wr_data_count => wrusedw,  -- WR_DATA_COUNT_WIDTH-bit output: Write Data Count: This bus indicates
-                                      -- the number of words written into the FIFO.
+      -- the number of words written into the FIFO.
 
       wr_rst_busy => OPEN,  -- 1-bit output: Write Reset Busy: Active-High indicator that the FIFO
-                                      -- write domain is currently in a reset state.
+      -- write domain is currently in a reset state.
 
       din => data,  -- WRITE_DATA_WIDTH-bit input: Write Data: The input data bus used when
-                                      -- writing the FIFO.
+      -- writing the FIFO.
 
       injectdbiterr => '0',  -- 1-bit input: Double Bit Error Injection: Injects a double bit error if
-                                      -- the ECC feature is used on block RAMs or UltraRAM macros.
+      -- the ECC feature is used on block RAMs or UltraRAM macros.
 
       injectsbiterr => '0',  -- 1-bit input: Single Bit Error Injection: Injects a single bit error if
-                                      -- the ECC feature is used on block RAMs or UltraRAM macros.
+      -- the ECC feature is used on block RAMs or UltraRAM macros.
 
       rd_clk => rdclk,  -- 1-bit input: Read clock: Used for read operation. rd_clk must be a
-                                      -- free running clock.
+      -- free running clock.
 
       rd_en => rdreq,  -- 1-bit input: Read Enable: If the FIFO is not empty, asserting this
-                                      -- signal causes data (on dout) to be read from the FIFO. Must be held
-                                      -- active-low when rd_rst_busy is active high.
+      -- signal causes data (on dout) to be read from the FIFO. Must be held
+      -- active-low when rd_rst_busy is active high.
 
       rst => aclr,  -- 1-bit input: Reset: Must be synchronous to wr_clk. The clock(s) can be
-                                      -- unstable at the time of applying reset, but reset must be released
-                                      -- only after the clock(s) is/are stable.
+      -- unstable at the time of applying reset, but reset must be released
+      -- only after the clock(s) is/are stable.
 
       sleep => '0',  -- 1-bit input: Dynamic power saving: If sleep is High, the memory/fifo
-                                      -- block is in power saving mode.
+      -- block is in power saving mode.
 
       wr_clk => wrclk,  -- 1-bit input: Write clock: Used for write operation. wr_clk must be a
-                                      -- free running clock.
+      -- free running clock.
 
       wr_en => wrreq  -- 1-bit input: Write Enable: If the FIFO is not full, asserting this
-                                      -- signal causes data (on din) to be written to the FIFO. Must be held
-                                      -- active-low when rst or wr_rst_busy is active high.
-   );
+    -- signal causes data (on din) to be written to the FIFO. Must be held
+    -- active-low when rst or wr_rst_busy is active high.
+    );
 
-   -- End of xpm_fifo_async_inst instantiation
+-- End of xpm_fifo_async_inst instantiation
 
 end SYN;
diff --git a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd
index 5480175cca..6125244f8e 100644
--- a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd
+++ b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd
@@ -22,13 +22,13 @@
 --   Copied component instantiation from Vivado XPM template
 
 library ieee;
-use ieee.std_logic_1164.all;
+  use ieee.std_logic_1164.all;
 
 library technology_lib;
-use technology_lib.technology_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 library xpm;
-use xpm.vcomponents.all;
+  use xpm.vcomponents.all;
 
 entity ip_ultrascale_fifo_sc is
   generic (
@@ -51,11 +51,11 @@ end ip_ultrascale_fifo_sc;
 architecture SYN of ip_ultrascale_fifo_sc is
 
 begin
-   -- xpm_fifo_sync: Synchronous FIFO
-   -- Xilinx Parameterized Macro, version 2022.1
+  -- xpm_fifo_sync: Synchronous FIFO
+  -- Xilinx Parameterized Macro, version 2022.1
 
-   xpm_fifo_sync_inst : xpm_fifo_sync
-   generic map (
+  xpm_fifo_sync_inst : xpm_fifo_sync
+    generic map (
       CASCADE_HEIGHT => 0,  -- DECIMAL
       DOUT_RESET_VALUE => "0",  -- String
       ECC_MODE => "no_ecc",  -- String
@@ -74,98 +74,98 @@ begin
       WRITE_DATA_WIDTH => g_dat_w,  -- DECIMAL
       WR_DATA_COUNT_WIDTH => tech_ceil_log2(g_nof_words)  -- DECIMAL
 
-   )
-   port map (
+    )
+    port map (
       almost_empty => OPEN,  -- 1-bit output: Almost Empty : When asserted, this signal indicates that
-                                      -- only one more read can be performed before the FIFO goes to empty.
+      -- only one more read can be performed before the FIFO goes to empty.
 
       almost_full => OPEN,  -- 1-bit output: Almost Full: When asserted, this signal indicates that
-                                      -- only one more write can be performed before the FIFO is full.
+      -- only one more write can be performed before the FIFO is full.
 
       data_valid => OPEN,  -- 1-bit output: Read Data Valid: When asserted, this signal indicates
-                                      -- that valid data is available on the output bus (dout).
+      -- that valid data is available on the output bus (dout).
 
       dbiterr => OPEN,  -- 1-bit output: Double Bit Error: Indicates that the ECC decoder
-                                      -- detected a double-bit error and data in the FIFO core is corrupted.
+      -- detected a double-bit error and data in the FIFO core is corrupted.
 
       dout => q,  -- READ_DATA_WIDTH-bit output: Read Data: The output data bus is driven
-                                      -- when reading the FIFO.
+      -- when reading the FIFO.
 
       empty => empty,  -- 1-bit output: Empty Flag: When asserted, this signal indicates that
-                                      -- the FIFO is empty. Read requests are ignored when the FIFO is empty,
-                                      -- initiating a read while empty is not destructive to the FIFO.
+      -- the FIFO is empty. Read requests are ignored when the FIFO is empty,
+      -- initiating a read while empty is not destructive to the FIFO.
 
       full => full,  -- 1-bit output: Full Flag: When asserted, this signal indicates that the
-                                      -- FIFO is full. Write requests are ignored when the FIFO is full,
-                                      -- initiating a write when the FIFO is full is not destructive to the
-                                      -- contents of the FIFO.
+      -- FIFO is full. Write requests are ignored when the FIFO is full,
+      -- initiating a write when the FIFO is full is not destructive to the
+      -- contents of the FIFO.
 
       overflow => OPEN,  -- 1-bit output: Overflow: This signal indicates that a write request
-                                      -- (wren) during the prior clock cycle was rejected, because the FIFO is
-                                      -- full. Overflowing the FIFO is not destructive to the contents of the
-                                      -- FIFO.
+      -- (wren) during the prior clock cycle was rejected, because the FIFO is
+      -- full. Overflowing the FIFO is not destructive to the contents of the
+      -- FIFO.
 
       prog_empty => OPEN,  -- 1-bit output: Programmable Empty: This signal is asserted when the
-                                      -- number of words in the FIFO is less than or equal to the programmable
-                                      -- empty threshold value. It is de-asserted when the number of words in
-                                      -- the FIFO exceeds the programmable empty threshold value.
+      -- number of words in the FIFO is less than or equal to the programmable
+      -- empty threshold value. It is de-asserted when the number of words in
+      -- the FIFO exceeds the programmable empty threshold value.
 
       prog_full => OPEN,  -- 1-bit output: Programmable Full: This signal is asserted when the
-                                      -- number of words in the FIFO is greater than or equal to the
-                                      -- programmable full threshold value. It is de-asserted when the number
-                                      -- of words in the FIFO is less than the programmable full threshold
-                                      -- value.
+      -- number of words in the FIFO is greater than or equal to the
+      -- programmable full threshold value. It is de-asserted when the number
+      -- of words in the FIFO is less than the programmable full threshold
+      -- value.
 
       rd_data_count => OPEN,  -- RD_DATA_COUNT_WIDTH-bit output: Read Data Count: This bus indicates
-                                      -- the number of words read from the FIFO.
+      -- the number of words read from the FIFO.
 
       rd_rst_busy => OPEN,  -- 1-bit output: Read Reset Busy: Active-High indicator that the FIFO
-                                      -- read domain is currently in a reset state.
+      -- read domain is currently in a reset state.
 
       sbiterr => OPEN,  -- 1-bit output: Single Bit Error: Indicates that the ECC decoder
-                                      -- detected and fixed a single-bit error.
+      -- detected and fixed a single-bit error.
 
       underflow => OPEN,  -- 1-bit output: Underflow: Indicates that the read request (rd_en)
-                                      -- during the previous clock cycle was rejected because the FIFO is
-                                      -- empty. Under flowing the FIFO is not destructive to the FIFO.
+      -- during the previous clock cycle was rejected because the FIFO is
+      -- empty. Under flowing the FIFO is not destructive to the FIFO.
 
       wr_ack => OPEN,  -- 1-bit output: Write Acknowledge: This signal indicates that a write
-                                      -- request (wr_en) during the prior clock cycle is succeeded.
+      -- request (wr_en) during the prior clock cycle is succeeded.
 
       wr_data_count => usedw,  -- WR_DATA_COUNT_WIDTH-bit output: Write Data Count: This bus indicates
-                                      -- the number of words written into the FIFO.
+      -- the number of words written into the FIFO.
 
       wr_rst_busy => OPEN,  -- 1-bit output: Write Reset Busy: Active-High indicator that the FIFO
-                                      -- write domain is currently in a reset state.
+      -- write domain is currently in a reset state.
 
       din => data,  -- WRITE_DATA_WIDTH-bit input: Write Data: The input data bus used when
-                                      -- writing the FIFO.
+      -- writing the FIFO.
 
       injectdbiterr => '0',  -- 1-bit input: Double Bit Error Injection: Injects a double bit error if
-                                      -- the ECC feature is used on block RAMs or UltraRAM macros.
+      -- the ECC feature is used on block RAMs or UltraRAM macros.
 
       injectsbiterr => '0',  -- 1-bit input: Single Bit Error Injection: Injects a single bit error if
-                                      -- the ECC feature is used on block RAMs or UltraRAM macros.
+      -- the ECC feature is used on block RAMs or UltraRAM macros.
 
       rd_en => rdreq,  -- 1-bit input: Read Enable: If the FIFO is not empty, asserting this
-                                      -- signal causes data (on dout) to be read from the FIFO. Must be held
-                                      -- active-low when rd_rst_busy is active high.
+      -- signal causes data (on dout) to be read from the FIFO. Must be held
+      -- active-low when rd_rst_busy is active high.
 
       rst => aclr,  -- 1-bit input: Reset: Must be synchronous to wr_clk. The clock(s) can be
-                                      -- unstable at the time of applying reset, but reset must be released
-                                      -- only after the clock(s) is/are stable.
+      -- unstable at the time of applying reset, but reset must be released
+      -- only after the clock(s) is/are stable.
 
       sleep => '0',  -- 1-bit input: Dynamic power saving: If sleep is High, the memory/fifo
-                                      -- block is in power saving mode.
+      -- block is in power saving mode.
 
       wr_clk => clock,  -- 1-bit input: Write clock: Used for write operation. wr_clk must be a
-                                      -- free running clock.
+      -- free running clock.
 
       wr_en => wrreq  -- 1-bit input: Write Enable: If the FIFO is not full, asserting this
-                                      -- signal causes data (on din) to be written to the FIFO. Must be held
-                                      -- active-low when rst or wr_rst_busy is active high.
-   );
+    -- signal causes data (on din) to be written to the FIFO. Must be held
+    -- active-low when rst or wr_rst_busy is active high.
+    );
 
-   -- End of xpm_fifo_async_inst instantiation
+-- End of xpm_fifo_async_inst instantiation
 
 end SYN;
diff --git a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd
index 10697d372c..6117c12766 100644
--- a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd
+++ b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd
@@ -22,11 +22,11 @@
 --   Copied component instantiation from Vivado XPM template
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
 
 library xpm;
-use xpm.vcomponents.all;
+  use xpm.vcomponents.all;
 
 entity ip_ultrascale_ram_cr_cw is
   generic (
@@ -58,10 +58,10 @@ begin
 
   assert g_inferred = false report "ip_ultrascale_ram_crw_crw : cannot infer RAM" severity FAILURE;
 
-   -- xpm_memory_sdpram: Simple Dual Port RAM
-   -- Xilinx Parameterized Macro, version 2022.1
-   xpm_memory_sdpram_inst : xpm_memory_sdpram
-   generic map (
+  -- xpm_memory_sdpram: Simple Dual Port RAM
+  -- Xilinx Parameterized Macro, version 2022.1
+  xpm_memory_sdpram_inst : xpm_memory_sdpram
+    generic map (
       ADDR_WIDTH_A => g_adr_w,  -- DECIMAL
       ADDR_WIDTH_B => g_adr_w,  -- DECIMAL
       AUTO_SLEEP_TIME => 0,  -- DECIMAL
@@ -88,62 +88,62 @@ begin
       WRITE_DATA_WIDTH_A => g_dat_w,  -- DECIMAL
       WRITE_MODE_B => "no_change",  -- String
       WRITE_PROTECT => 1  -- DECIMAL
-   )
-   port map (
+    )
+    port map (
 
       dbiterrb => OPEN,  -- 1-bit output: Status signal to indicate double bit error occurrence
-                                        -- on the data output of port A.
+      -- on the data output of port A.
 
       doutb => q,  -- READ_DATA_WIDTH_B-bit output: Data output for port B read operations.
 
       sbiterrb => OPEN,  -- 1-bit output: Status signal to indicate single bit error occurrence
-                                        -- on the data output of port B.
+      -- on the data output of port B.
 
       addra => wraddress,  -- ADDR_WIDTH_A-bit input: Address for port A write and read operations.
       addrb => rdaddress,  -- ADDR_WIDTH_B-bit input: Address for port B write and read operations.
       clka => wrclk,  -- 1-bit input: Clock signal for port A. Also clocks port B when
-                                        -- parameter CLOCKING_MODE is "common_clock".
+      -- parameter CLOCKING_MODE is "common_clock".
 
       clkb => rdclk,  -- 1-bit input: Clock signal for port B when parameter CLOCKING_MODE is
-                                        -- "independent_clock". Unused when parameter CLOCKING_MODE is
-                                        -- "common_clock".
+      -- "independent_clock". Unused when parameter CLOCKING_MODE is
+      -- "common_clock".
 
       dina => data,  -- WRITE_DATA_WIDTH_A-bit input: Data input for port A write operations.
       ena => '1',  -- 1-bit input: Memory enable signal for port A. Must be high on clock
-                                        -- cycles when read or write operations are initiated. Pipelined
-                                        -- internally.
+      -- cycles when read or write operations are initiated. Pipelined
+      -- internally.
 
       enb => '1',  -- 1-bit input: Memory enable signal for port B. Must be high on clock
-                                        -- cycles when read or write operations are initiated. Pipelined
-                                        -- internally.
+      -- cycles when read or write operations are initiated. Pipelined
+      -- internally.
 
       injectdbiterra => '0',  -- 1-bit input: Controls double bit error injection on input data when
-                                        -- ECC enabled (Error injection capability is not available in
-                                        -- "decode_only" mode).
+      -- ECC enabled (Error injection capability is not available in
+      -- "decode_only" mode).
 
       injectsbiterra => '0',  -- 1-bit input: Controls single bit error injection on input data when
-                                        -- ECC enabled (Error injection capability is not available in
-                                        -- "decode_only" mode).
+      -- ECC enabled (Error injection capability is not available in
+      -- "decode_only" mode).
 
       regceb => '1',  -- 1-bit input: Clock Enable for the last register stage on the output
-                                        -- data path.
+      -- data path.
 
       rstb => '0',  -- 1-bit input: Reset signal for the final port B output register
-                                        -- stage. Synchronously resets output port doutb to the value specified
-                                        -- by parameter READ_RESET_VALUE_B.
+      -- stage. Synchronously resets output port doutb to the value specified
+      -- by parameter READ_RESET_VALUE_B.
 
       sleep => '0',  -- 1-bit input: sleep signal to enable the dynamic power saving feature.
 
       wea(0) => wren  -- WRITE_DATA_WIDTH_A/BYTE_WRITE_WIDTH_A-bit input: Write enable vector
-                                        -- for port A input data port dina. 1 bit wide when word-wide writes
-                                        -- are used. In byte-wide write configurations, each bit controls the
-                                        -- writing one byte of dina to address addra. For example, to
-                                        -- synchronously write only bits [15-8] of dina when WRITE_DATA_WIDTH_A
-                                        -- is 32, wea would be 4'b0010.
+    -- for port A input data port dina. 1 bit wide when word-wide writes
+    -- are used. In byte-wide write configurations, each bit controls the
+    -- writing one byte of dina to address addra. For example, to
+    -- synchronously write only bits [15-8] of dina when WRITE_DATA_WIDTH_A
+    -- is 32, wea would be 4'b0010.
 
-   );
+    );
 
-   -- End of xpm_memory_sdpram_inst instantiation
+-- End of xpm_memory_sdpram_inst instantiation
 
 
 end SYN;
diff --git a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd
index f80e90e2e6..d36cc9eb36 100644
--- a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd
+++ b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd
@@ -22,11 +22,11 @@
 --   Copied component instantiation from Vivado XPM template
 
 library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
+  use ieee.std_logic_1164.all;
+  use ieee.numeric_std.all;
 
 library xpm;
-use xpm.vcomponents.all;
+  use xpm.vcomponents.all;
 
 entity ip_ultrascale_ram_crw_crw is
   generic (
@@ -60,11 +60,11 @@ begin
   assert g_rd_latency = 1 or g_rd_latency = 2  report "ip_ultrascale_ram_crw_crw : read latency must be 1 (default) or 2" severity FAILURE;
   assert g_inferred = false report "ip_ultrascale_ram_crw_crw : cannot infer RAM" severity FAILURE;
 
-   -- xpm_memory_tdpram: True Dual Port RAM
-   -- Xilinx Parameterized Macro, version 2022.1
+  -- xpm_memory_tdpram: True Dual Port RAM
+  -- Xilinx Parameterized Macro, version 2022.1
 
-   xpm_memory_tdpram_inst : xpm_memory_tdpram
-   generic map (
+  xpm_memory_tdpram_inst : xpm_memory_tdpram
+    generic map (
       ADDR_WIDTH_A => g_adr_w,  -- DECIMAL
       ADDR_WIDTH_B => g_adr_w,  -- DECIMAL
       AUTO_SLEEP_TIME => 0,  -- DECIMAL
@@ -97,88 +97,88 @@ begin
       WRITE_MODE_A => "no_change",  -- String
       WRITE_MODE_B => "no_change",  -- String
       WRITE_PROTECT => 1  -- DECIMAL
-   )
-   port map (
+    )
+    port map (
       dbiterra => OPEN,  -- 1-bit output: Status signal to indicate double bit error occurrence
-                                        -- on the data output of port A.
+      -- on the data output of port A.
 
       dbiterrb => OPEN,  -- 1-bit output: Status signal to indicate double bit error occurrence
-                                        -- on the data output of port A.
+      -- on the data output of port A.
 
       douta => q_a,  -- READ_DATA_WIDTH_A-bit output: Data output for port A read operations.
       doutb => q_b,  -- READ_DATA_WIDTH_B-bit output: Data output for port B read operations.
       sbiterra => OPEN,  -- 1-bit output: Status signal to indicate single bit error occurrence
-                                        -- on the data output of port A.
+      -- on the data output of port A.
 
       sbiterrb => OPEN,  -- 1-bit output: Status signal to indicate single bit error occurrence
-                                        -- on the data output of port B.
+      -- on the data output of port B.
 
       addra => address_a,  -- ADDR_WIDTH_A-bit input: Address for port A write and read operations.
       addrb => address_b,  -- ADDR_WIDTH_B-bit input: Address for port B write and read operations.
       clka => clk_a,  -- 1-bit input: Clock signal for port A. Also clocks port B when
-                                        -- parameter CLOCKING_MODE is "common_clock".
+      -- parameter CLOCKING_MODE is "common_clock".
 
       clkb => clk_b,  -- 1-bit input: Clock signal for port B when parameter CLOCKING_MODE is
-                                        -- "independent_clock". Unused when parameter CLOCKING_MODE is
-                                        -- "common_clock".
+      -- "independent_clock". Unused when parameter CLOCKING_MODE is
+      -- "common_clock".
 
       dina => data_a,  -- WRITE_DATA_WIDTH_A-bit input: Data input for port A write operations.
       dinb => data_b,  -- WRITE_DATA_WIDTH_B-bit input: Data input for port B write operations.
       ena => '1',  -- 1-bit input: Memory enable signal for port A. Must be high on clock
-                                        -- cycles when read or write operations are initiated. Pipelined
-                                        -- internally.
+      -- cycles when read or write operations are initiated. Pipelined
+      -- internally.
 
       enb => '1',  -- 1-bit input: Memory enable signal for port B. Must be high on clock
-                                        -- cycles when read or write operations are initiated. Pipelined
-                                        -- internally.
+      -- cycles when read or write operations are initiated. Pipelined
+      -- internally.
 
       injectdbiterra => '0',  -- 1-bit input: Controls double bit error injection on input data when
-                                        -- ECC enabled (Error injection capability is not available in
-                                        -- "decode_only" mode).
+      -- ECC enabled (Error injection capability is not available in
+      -- "decode_only" mode).
 
       injectdbiterrb => '0',  -- 1-bit input: Controls double bit error injection on input data when
-                                        -- ECC enabled (Error injection capability is not available in
-                                        -- "decode_only" mode).
+      -- ECC enabled (Error injection capability is not available in
+      -- "decode_only" mode).
 
       injectsbiterra => '0',  -- 1-bit input: Controls single bit error injection on input data when
-                                        -- ECC enabled (Error injection capability is not available in
-                                        -- "decode_only" mode).
+      -- ECC enabled (Error injection capability is not available in
+      -- "decode_only" mode).
 
       injectsbiterrb => '0',  -- 1-bit input: Controls single bit error injection on input data when
-                                        -- ECC enabled (Error injection capability is not available in
-                                        -- "decode_only" mode).
+      -- ECC enabled (Error injection capability is not available in
+      -- "decode_only" mode).
 
       regcea => '1',  -- 1-bit input: Clock Enable for the last register stage on the output
-                                        -- data path.
+      -- data path.
 
       regceb => '1',  -- 1-bit input: Clock Enable for the last register stage on the output
-                                        -- data path.
+      -- data path.
 
       rsta => '0',  -- 1-bit input: Reset signal for the final port A output register
-                                        -- stage. Synchronously resets output port douta to the value specified
-                                        -- by parameter READ_RESET_VALUE_A.
+      -- stage. Synchronously resets output port douta to the value specified
+      -- by parameter READ_RESET_VALUE_A.
 
       rstb => '0',  -- 1-bit input: Reset signal for the final port B output register
-                                        -- stage. Synchronously resets output port doutb to the value specified
-                                        -- by parameter READ_RESET_VALUE_B.
+      -- stage. Synchronously resets output port doutb to the value specified
+      -- by parameter READ_RESET_VALUE_B.
 
       sleep => '0',  -- 1-bit input: sleep signal to enable the dynamic power saving feature.
       wea(0) => wren_a,  -- WRITE_DATA_WIDTH_A/BYTE_WRITE_WIDTH_A-bit input: Write enable vector
-                                        -- for port A input data port dina. 1 bit wide when word-wide writes
-                                        -- are used. In byte-wide write configurations, each bit controls the
-                                        -- writing one byte of dina to address addra. For example, to
-                                        -- synchronously write only bits [15-8] of dina when WRITE_DATA_WIDTH_A
-                                        -- is 32, wea would be 4'b0010.
+      -- for port A input data port dina. 1 bit wide when word-wide writes
+      -- are used. In byte-wide write configurations, each bit controls the
+      -- writing one byte of dina to address addra. For example, to
+      -- synchronously write only bits [15-8] of dina when WRITE_DATA_WIDTH_A
+      -- is 32, wea would be 4'b0010.
 
       web(0) => wren_a  -- WRITE_DATA_WIDTH_B/BYTE_WRITE_WIDTH_B-bit input: Write enable vector
-                                        -- for port B input data port dinb. 1 bit wide when word-wide writes
-                                        -- are used. In byte-wide write configurations, each bit controls the
-                                        -- writing one byte of dinb to address addrb. For example, to
-                                        -- synchronously write only bits [15-8] of dinb when WRITE_DATA_WIDTH_B
-                                        -- is 32, web would be 4'b0010.
+    -- for port B input data port dinb. 1 bit wide when word-wide writes
+    -- are used. In byte-wide write configurations, each bit controls the
+    -- writing one byte of dinb to address addrb. For example, to
+    -- synchronously write only bits [15-8] of dinb when WRITE_DATA_WIDTH_B
+    -- is 32, web would be 4'b0010.
 
-   );
+    );
 
-   -- End of xpm_memory_tdpram_inst instantiation
+-- End of xpm_memory_tdpram_inst instantiation
 
 end SYN;
diff --git a/libraries/technology/jesd204b/tb_tech_jesd204b.vhd b/libraries/technology/jesd204b/tb_tech_jesd204b.vhd
index 10698a5902..6de95b0a0a 100644
--- a/libraries/technology/jesd204b/tb_tech_jesd204b.vhd
+++ b/libraries/technology/jesd204b/tb_tech_jesd204b.vhd
@@ -34,15 +34,15 @@
 --   > run -a    # enough time to reset and syncronize the JESD IP
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_jesd204b_component_pkg.all;
-use work.tech_jesd204b_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_jesd204b_component_pkg.all;
+  use work.tech_jesd204b_pkg.all;
 
 entity tb_tech_jesd204b is
 end tb_tech_jesd204b;
@@ -67,30 +67,34 @@ architecture tb of tb_tech_jesd204b is
 
   -- Transport delays
   type t_time_arr            is array (0 to c_nof_streams_jesd204b - 1) of time;
-  constant c_delay_data_arr     : t_time_arr := (4000 ps,
-                                                 5000 ps,
-                                                 6000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps,
-                                                 5000 ps) ;  -- transport delays tx to rx data
-  constant c_delay_sysreftoadc_arr : t_time_arr := (4000 ps,
-                                                 5000 ps,
-                                                 6000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps,
-                                                 1000 ps) ;  -- transport delays clock source to adc(tx)
+  constant c_delay_data_arr     : t_time_arr := (
+    4000 ps,
+    5000 ps,
+    6000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps,
+    5000 ps
+  ) ;  -- transport delays tx to rx data
+  constant c_delay_sysreftoadc_arr : t_time_arr := (
+    4000 ps,
+    5000 ps,
+    6000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps,
+    1000 ps
+  ) ;  -- transport delays clock source to adc(tx)
   constant c_delay_sysreftofpga : time := 10200 ps;
 
   -- clocks and resets for the jesd204b tx
@@ -185,34 +189,34 @@ begin
   -- DUT
   ------------------------------------------------------------------------------
   u_jesd204b : entity work.tech_jesd204b
-  generic map(
-    g_sim                => c_sim,
-    g_nof_streams        => c_nof_streams_jesd204b,
-    g_nof_sync_n         => c_nof_streams_jesd204b
-  )
-  port map(
-    jesd204b_refclk      => jesd204b_sampclk_fpga,
-    jesd204b_sysref      => jesd204b_sysref_fpga,
-    jesd204b_sync_n_arr  => jesd204b_sync_n_fpga,
-
-    jesd204b_disable_arr => jesd204b_disable_arr,
-
-    rx_sosi_arr          => rx_sosi_arr,
-    rx_clk               => rx_clk,
-    rx_rst               => rx_rst,
-    rx_sysref            => rx_sysref,
-
-    -- MM
-    mm_clk               => mm_clk,
-    mm_rst               => mm_rst,
-
-    jesd204b_mosi        => jesd204b_mosi,
-    jesd204b_miso        => jesd204b_miso,
-
-     -- Serial
-    serial_tx_arr        => open,
-    serial_rx_arr        => bck_rx(c_nof_streams_jesd204b - 1 downto 0)
-  );
+    generic map(
+      g_sim                => c_sim,
+      g_nof_streams        => c_nof_streams_jesd204b,
+      g_nof_sync_n         => c_nof_streams_jesd204b
+    )
+    port map(
+      jesd204b_refclk      => jesd204b_sampclk_fpga,
+      jesd204b_sysref      => jesd204b_sysref_fpga,
+      jesd204b_sync_n_arr  => jesd204b_sync_n_fpga,
+
+      jesd204b_disable_arr => jesd204b_disable_arr,
+
+      rx_sosi_arr          => rx_sosi_arr,
+      rx_clk               => rx_clk,
+      rx_rst               => rx_rst,
+      rx_sysref            => rx_sysref,
+
+      -- MM
+      mm_clk               => mm_clk,
+      mm_rst               => mm_rst,
+
+      jesd204b_mosi        => jesd204b_mosi,
+      jesd204b_miso        => jesd204b_miso,
+
+      -- Serial
+      serial_tx_arr        => open,
+      serial_rx_arr        => bck_rx(c_nof_streams_jesd204b - 1 downto 0)
+    );
 
   p_monitor_jesd204b : process
   begin
@@ -223,12 +227,12 @@ begin
     proc_mem_mm_bus_rd(tech_jesd204b_field_rx_err_enable_adr, mm_clk, jesd204b_miso, jesd204b_mosi);
     proc_common_wait_some_cycles(mm_clk, 1);
     assert unsigned(jesd204b_rddata) = tech_jesd204b_field_rx_err_enable_reset
-        report "Wrong rx_err_enable_reset: " & integer'image(TO_SINT(jesd204b_rddata)) & " /= " & integer'image(tech_jesd204b_field_rx_err_enable_reset) severity ERROR;
+      report "Wrong rx_err_enable_reset: " & integer'image(TO_SINT(jesd204b_rddata)) & " /= " & integer'image(tech_jesd204b_field_rx_err_enable_reset) severity ERROR;
 
     proc_mem_mm_bus_rd(tech_jesd204b_field_rx_err_link_reinit_adr, mm_clk, jesd204b_miso, jesd204b_mosi);
     proc_common_wait_some_cycles(mm_clk, 1);
     assert unsigned(jesd204b_rddata) = tech_jesd204b_field_rx_err_link_reinit_reset
-        report "Wrong rx_err_link_reinit_reset: " & integer'image(TO_SINT(jesd204b_rddata)) & " /= " & integer'image(tech_jesd204b_field_rx_err_link_reinit_reset) severity ERROR;
+      report "Wrong rx_err_link_reinit_reset: " & integer'image(TO_SINT(jesd204b_rddata)) & " /= " & integer'image(tech_jesd204b_field_rx_err_link_reinit_reset) severity ERROR;
 
     proc_mem_mm_bus_rd(tech_jesd204b_field_rx_syncn_sysref_ctrl_adr, mm_clk, jesd204b_miso, jesd204b_mosi);
 
@@ -248,7 +252,7 @@ begin
   gen_transport : for i in 0 to c_nof_jesd204b_tx - 1 generate
     jesd204b_sampclk_adc(i) <= transport jesd204b_sampclk after c_delay_sysreftoadc_arr(i);
     jesd204b_sysref_adc(i)  <= transport jesd204b_sysref after c_delay_sysreftoadc_arr(i);
---    txlink_clk(i) <= jesd204b_sampclk_div2 after c_delay_sysreftoadc_arr(i);
+    --    txlink_clk(i) <= jesd204b_sampclk_div2 after c_delay_sysreftoadc_arr(i);
     bck_rx(i) <= transport serial_tx(i) after c_delay_data_arr(i);
     jesd204b_sync_n_adc(i) <= transport jesd204b_sync_n_fpga(i) after c_delay_data_arr(i);
   end generate;
@@ -263,55 +267,55 @@ begin
   gen_jesd204b_tx : for i in 0 to c_nof_jesd204b_tx - 1 generate
     -- Tb DAC
     u_tech_jesd204b_tx : entity work.tech_jesd204b_tx
-    port map (
-      csr_cf                     => OPEN,
-      csr_cs                     => OPEN,
-      csr_f                      => OPEN,
-      csr_hd                     => OPEN,
-      csr_k                      => OPEN,
-      csr_l                      => OPEN,
-      csr_lane_powerdown         => open,  -- out
-      csr_m                      => OPEN,
-      csr_n                      => OPEN,
-      csr_np                     => OPEN,
-      csr_tx_testmode            => OPEN,
-      csr_tx_testpattern_a       => OPEN,
-      csr_tx_testpattern_b       => OPEN,
-      csr_tx_testpattern_c       => OPEN,
-      csr_tx_testpattern_d       => OPEN,
-      csr_s                      => OPEN,
-      dev_sync_n                 => dev_sync_n(i),  -- out
-      jesd204_tx_avs_chipselect  => avs_chipselect(i),  -- jesd204b_mosi_arr(i).chipselect,
-      jesd204_tx_avs_address     => avs_address(i),
-      jesd204_tx_avs_read        => avs_read(i),
-      jesd204_tx_avs_readdata    => avs_readdata(i),
-      jesd204_tx_avs_waitrequest => open,
-      jesd204_tx_avs_write       => '0',
-      jesd204_tx_avs_writedata   => (others => '0'),
-      jesd204_tx_avs_clk         => mm_clk,
-      jesd204_tx_avs_rst_n       => avs_rst_n,
-      jesd204_tx_dlb_data        => open,  -- debug/loopback testing
-      jesd204_tx_dlb_kchar_data  => open,  -- debug/loopback testing
-      jesd204_tx_frame_ready     => jesd204b_tx_frame_ready(i),
-      jesd204_tx_frame_error     => '0',
-      jesd204_tx_int             => OPEN,  -- Connected to status IO in example design
-      jesd204_tx_link_data       => jesd204b_tx_link_data_arr(i),  -- in
-      jesd204_tx_link_valid      => jesd204b_tx_link_valid(i),  -- in
-      jesd204_tx_link_ready      => jesd204b_tx_link_ready(i),  -- out
-      mdev_sync_n                => dev_sync_n(i),  -- in
-      pll_locked                 => pll_locked,  -- in
-      sync_n                     => jesd204b_sync_n_adc(i),  -- in
-      tx_analogreset             => tx_analogreset,
-      tx_bonding_clocks          => tx_bonding_clocks,  -- : in  std_logic_vector(5 downto 0)  := (others => 'X'); -- clk
-      tx_cal_busy                => open,
-      tx_digitalreset            => tx_digitalreset,
-      tx_serial_data             => serial_tx(i downto i),
-      txlink_clk                 => txlink_clk(i),
-      txlink_rst_n_reset_n       => txlink_rst_n,
-      txphy_clk                  => txphy_clk(i downto i),
-      somf                       => OPEN,
-      sysref                     => jesd204b_sysref_adc(i)
-    );
+      port map (
+        csr_cf                     => OPEN,
+        csr_cs                     => OPEN,
+        csr_f                      => OPEN,
+        csr_hd                     => OPEN,
+        csr_k                      => OPEN,
+        csr_l                      => OPEN,
+        csr_lane_powerdown         => open,  -- out
+        csr_m                      => OPEN,
+        csr_n                      => OPEN,
+        csr_np                     => OPEN,
+        csr_tx_testmode            => OPEN,
+        csr_tx_testpattern_a       => OPEN,
+        csr_tx_testpattern_b       => OPEN,
+        csr_tx_testpattern_c       => OPEN,
+        csr_tx_testpattern_d       => OPEN,
+        csr_s                      => OPEN,
+        dev_sync_n                 => dev_sync_n(i),  -- out
+        jesd204_tx_avs_chipselect  => avs_chipselect(i),  -- jesd204b_mosi_arr(i).chipselect,
+        jesd204_tx_avs_address     => avs_address(i),
+        jesd204_tx_avs_read        => avs_read(i),
+        jesd204_tx_avs_readdata    => avs_readdata(i),
+        jesd204_tx_avs_waitrequest => open,
+        jesd204_tx_avs_write       => '0',
+        jesd204_tx_avs_writedata   => (others => '0'),
+        jesd204_tx_avs_clk         => mm_clk,
+        jesd204_tx_avs_rst_n       => avs_rst_n,
+        jesd204_tx_dlb_data        => open,  -- debug/loopback testing
+        jesd204_tx_dlb_kchar_data  => open,  -- debug/loopback testing
+        jesd204_tx_frame_ready     => jesd204b_tx_frame_ready(i),
+        jesd204_tx_frame_error     => '0',
+        jesd204_tx_int             => OPEN,  -- Connected to status IO in example design
+        jesd204_tx_link_data       => jesd204b_tx_link_data_arr(i),  -- in
+        jesd204_tx_link_valid      => jesd204b_tx_link_valid(i),  -- in
+        jesd204_tx_link_ready      => jesd204b_tx_link_ready(i),  -- out
+        mdev_sync_n                => dev_sync_n(i),  -- in
+        pll_locked                 => pll_locked,  -- in
+        sync_n                     => jesd204b_sync_n_adc(i),  -- in
+        tx_analogreset             => tx_analogreset,
+        tx_bonding_clocks          => tx_bonding_clocks,  -- : in  std_logic_vector(5 downto 0)  := (others => 'X'); -- clk
+        tx_cal_busy                => open,
+        tx_digitalreset            => tx_digitalreset,
+        tx_serial_data             => serial_tx(i downto i),
+        txlink_clk                 => txlink_clk(i),
+        txlink_rst_n_reset_n       => txlink_rst_n,
+        txphy_clk                  => txphy_clk(i downto i),
+        somf                       => OPEN,
+        sysref                     => jesd204b_sysref_adc(i)
+      );
 
     -- Generate test pattern at each ADC
     proc_data : process (jesd204b_sampclk_adc(i), mm_rst)
@@ -319,39 +323,39 @@ begin
       variable v_even_sample : boolean := true;
     begin
       if mm_rst = '1' then
-         jesd204b_tx_link_data_arr(i) <= (others => '0');
-         jesd204b_tx_link_valid(i) <= '0';
-         txlink_clk(i) <= '0';
-         v_data := 0;
-         v_even_sample := true;
-       elsif rising_edge(jesd204b_sampclk_adc(i)) then
-         txlink_clk(i) <= not txlink_clk(i);
-         jesd204b_sysref_adc_1(i) <= jesd204b_sysref_adc(i);
-         jesd204b_sysref_adc_2(i) <= jesd204b_sysref_adc_1(i);
-
-         --generate a positive and negative going pulse after the rising edge of SYSREF
-         if (jesd204b_sysref_adc(i) = '1' and jesd204b_sysref_adc_1(i) = '0') then
-           v_data := 1000;
-         elsif (jesd204b_sysref_adc_1(i) = '1' and jesd204b_sysref_adc_2(i) = '0') then
-           v_data := -1000;
-         else
-           v_data := 0;
-         end if;
-
-         -- Frame the data to 32 bits at half the rate
-         if(jesd204b_tx_link_ready(i) = '0') then
-           v_even_sample := true;
-         else
-           v_even_sample := not v_even_sample;
-         end if;
-         if (v_even_sample = true) then
-           jesd204b_tx_link_data_arr(i)(15 downto 0) <= TO_SVEC(v_data, 16);
-           jesd204b_tx_link_valid(i) <= '0';
-         else
-           jesd204b_tx_link_data_arr(i)(31 downto 16) <= TO_SVEC(v_data, 16);
-           jesd204b_tx_link_valid(i) <= '1';
-         end if;
-       end if;
+        jesd204b_tx_link_data_arr(i) <= (others => '0');
+        jesd204b_tx_link_valid(i) <= '0';
+        txlink_clk(i) <= '0';
+        v_data := 0;
+        v_even_sample := true;
+      elsif rising_edge(jesd204b_sampclk_adc(i)) then
+        txlink_clk(i) <= not txlink_clk(i);
+        jesd204b_sysref_adc_1(i) <= jesd204b_sysref_adc(i);
+        jesd204b_sysref_adc_2(i) <= jesd204b_sysref_adc_1(i);
+
+        --generate a positive and negative going pulse after the rising edge of SYSREF
+        if (jesd204b_sysref_adc(i) = '1' and jesd204b_sysref_adc_1(i) = '0') then
+          v_data := 1000;
+        elsif (jesd204b_sysref_adc_1(i) = '1' and jesd204b_sysref_adc_2(i) = '0') then
+          v_data := -1000;
+        else
+          v_data := 0;
+        end if;
+
+        -- Frame the data to 32 bits at half the rate
+        if(jesd204b_tx_link_ready(i) = '0') then
+          v_even_sample := true;
+        else
+          v_even_sample := not v_even_sample;
+        end if;
+        if (v_even_sample = true) then
+          jesd204b_tx_link_data_arr(i)(15 downto 0) <= TO_SVEC(v_data, 16);
+          jesd204b_tx_link_valid(i) <= '0';
+        else
+          jesd204b_tx_link_data_arr(i)(31 downto 16) <= TO_SVEC(v_data, 16);
+          jesd204b_tx_link_valid(i) <= '1';
+        end if;
+      end if;
     end process;
 
   end generate;
@@ -402,23 +406,23 @@ begin
     variable v_count : natural := 0;
   begin
     if mm_rst = '1' then
-       jesd204b_sysref <= '0';
-       v_count := 0;
-     else
-       if rising_edge(jesd204b_sampclk) then
+      jesd204b_sysref <= '0';
+      v_count := 0;
+    else
+      if rising_edge(jesd204b_sampclk) then
         if (v_count = c_sysref_period - 1) then
-           v_count := 0;
-         else
-           v_count := v_count + 1;
-         end if;
-
-         if v_count > c_sysref_period - 1 - c_sysref_pulselength then
-           jesd204b_sysref <= '1';
-         else
-           jesd204b_sysref <= '0';
-         end if;
-       end if;
-     end if;
+          v_count := 0;
+        else
+          v_count := v_count + 1;
+        end if;
+
+        if v_count > c_sysref_period - 1 - c_sysref_pulselength then
+          jesd204b_sysref <= '1';
+        else
+          jesd204b_sysref <= '0';
+        end if;
+      end if;
+    end if;
   end process;
 
   ------------------------------------------------------------------------------
diff --git a/libraries/technology/jesd204b/tech_jesd204b.vhd b/libraries/technology/jesd204b/tech_jesd204b.vhd
index f65d127172..2c58d1e119 100644
--- a/libraries/technology/jesd204b/tech_jesd204b.vhd
+++ b/libraries/technology/jesd204b/tech_jesd204b.vhd
@@ -47,13 +47,13 @@
 -- ToDo: Change g_nof_channels to g_nof_streams in IP
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_jesd204b_component_pkg.all;
 
 entity tech_jesd204b is
   generic (
@@ -97,70 +97,70 @@ begin
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : entity work.tech_jesd204b_arria10_e1sg
-    generic map(
-      g_sim                => g_sim,
-      g_nof_streams        => g_nof_streams,
-      g_nof_sync_n         => g_nof_sync_n,
-      g_direction          => g_direction,
-      g_jesd_freq          => g_jesd_freq
-    )
-    port map(
-      jesd204b_refclk      => jesd204b_refclk,
-      jesd204b_sysref      => jesd204b_sysref,
-      jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
-
-      jesd204b_disable_arr => jesd204b_disable_arr,
-
-      rx_src_out_arr       => rx_sosi_arr,
-      rx_clk               => rx_clk,
-      rx_rst               => rx_rst,
-      rx_sysref            => rx_sysref,
-
-      -- MM
-      mm_clk               => mm_clk,
-      mm_rst               => mm_rst,
-
-      jesd204b_mosi        => jesd204b_mosi,
-      jesd204b_miso        => jesd204b_miso,
-
-       -- Serial
-      serial_tx_arr        => serial_tx_arr,
-      serial_rx_arr        => serial_rx_arr
-    );
+      generic map(
+        g_sim                => g_sim,
+        g_nof_streams        => g_nof_streams,
+        g_nof_sync_n         => g_nof_sync_n,
+        g_direction          => g_direction,
+        g_jesd_freq          => g_jesd_freq
+      )
+      port map(
+        jesd204b_refclk      => jesd204b_refclk,
+        jesd204b_sysref      => jesd204b_sysref,
+        jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
+
+        jesd204b_disable_arr => jesd204b_disable_arr,
+
+        rx_src_out_arr       => rx_sosi_arr,
+        rx_clk               => rx_clk,
+        rx_rst               => rx_rst,
+        rx_sysref            => rx_sysref,
+
+        -- MM
+        mm_clk               => mm_clk,
+        mm_rst               => mm_rst,
+
+        jesd204b_mosi        => jesd204b_mosi,
+        jesd204b_miso        => jesd204b_miso,
+
+        -- Serial
+        serial_tx_arr        => serial_tx_arr,
+        serial_rx_arr        => serial_rx_arr
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : entity work.tech_jesd204b_arria10_e2sg
-    generic map(
-      g_sim                => g_sim,
-      g_nof_streams        => g_nof_streams,
-      g_nof_sync_n         => g_nof_sync_n,
-      g_direction          => g_direction,
-      g_jesd_freq          => g_jesd_freq
-    )
-    port map(
-      jesd204b_refclk      => jesd204b_refclk,
-      jesd204b_sysref      => jesd204b_sysref,
-      jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
-
-      jesd204b_disable_arr => jesd204b_disable_arr,
-
-      rx_src_out_arr       => rx_sosi_arr,
-      rx_clk               => rx_clk,
-      rx_rst               => rx_rst,
-      rx_sysref            => rx_sysref,
-
-      -- MM
-      mm_clk               => mm_clk,
-      mm_rst               => mm_rst,
-
-      jesd204b_mosi        => jesd204b_mosi,
-      jesd204b_miso        => jesd204b_miso,
-
-       -- Serial
-      serial_tx_arr        => serial_tx_arr,
-      serial_rx_arr        => serial_rx_arr
-    );
+      generic map(
+        g_sim                => g_sim,
+        g_nof_streams        => g_nof_streams,
+        g_nof_sync_n         => g_nof_sync_n,
+        g_direction          => g_direction,
+        g_jesd_freq          => g_jesd_freq
+      )
+      port map(
+        jesd204b_refclk      => jesd204b_refclk,
+        jesd204b_sysref      => jesd204b_sysref,
+        jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
+
+        jesd204b_disable_arr => jesd204b_disable_arr,
+
+        rx_src_out_arr       => rx_sosi_arr,
+        rx_clk               => rx_clk,
+        rx_rst               => rx_rst,
+        rx_sysref            => rx_sysref,
+
+        -- MM
+        mm_clk               => mm_clk,
+        mm_rst               => mm_rst,
+
+        jesd204b_mosi        => jesd204b_mosi,
+        jesd204b_miso        => jesd204b_miso,
+
+        -- Serial
+        serial_tx_arr        => serial_tx_arr,
+        serial_rx_arr        => serial_rx_arr
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/jesd204b/tech_jesd204b_arria10_e1sg.vhd b/libraries/technology/jesd204b/tech_jesd204b_arria10_e1sg.vhd
index 5d69fa1152..e043ee3fb4 100644
--- a/libraries/technology/jesd204b/tech_jesd204b_arria10_e1sg.vhd
+++ b/libraries/technology/jesd204b/tech_jesd204b_arria10_e1sg.vhd
@@ -27,12 +27,12 @@
 --   Current configuration supports 12 channels receive only
 
 library IEEE, common_lib, dp_lib, technology_lib, ip_arria10_e1sg_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_jesd204b_component_pkg.all;
 
 entity tech_jesd204b_arria10_e1sg is
   generic (
@@ -74,35 +74,35 @@ architecture str of tech_jesd204b_arria10_e1sg is
 
 begin
   u_ip_arria10_e1sg_jesd204b : ip_arria10_e1sg_jesd204b
-  generic map(
-    g_sim                => g_sim,
-    g_nof_streams        => g_nof_streams,
-    g_nof_sync_n         => g_nof_sync_n,
-    g_direction          => g_direction,
-    g_jesd_freq          => g_jesd_freq
-  )
-  port map(
-    jesd204b_refclk      => jesd204b_refclk,
-    jesd204b_sysref      => jesd204b_sysref,
-    jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
-
-    jesd204b_disable_arr => jesd204b_disable_arr,
-
-    rx_src_out_arr       => rx_src_out_arr,
-    rx_clk               => rx_clk,
-    rx_rst               => rx_rst,
-    rx_sysref            => rx_sysref,
-
-    -- MM
-    mm_clk               => mm_clk,
-    mm_rst               => mm_rst,
-
-    jesd204b_mosi        => jesd204b_mosi,
-    jesd204b_miso        => jesd204b_miso,
-
-     -- Serial
-    serial_tx_arr        => serial_tx_arr,
-    serial_rx_arr        => serial_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_nof_streams        => g_nof_streams,
+      g_nof_sync_n         => g_nof_sync_n,
+      g_direction          => g_direction,
+      g_jesd_freq          => g_jesd_freq
+    )
+    port map(
+      jesd204b_refclk      => jesd204b_refclk,
+      jesd204b_sysref      => jesd204b_sysref,
+      jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
+
+      jesd204b_disable_arr => jesd204b_disable_arr,
+
+      rx_src_out_arr       => rx_src_out_arr,
+      rx_clk               => rx_clk,
+      rx_rst               => rx_rst,
+      rx_sysref            => rx_sysref,
+
+      -- MM
+      mm_clk               => mm_clk,
+      mm_rst               => mm_rst,
+
+      jesd204b_mosi        => jesd204b_mosi,
+      jesd204b_miso        => jesd204b_miso,
+
+      -- Serial
+      serial_tx_arr        => serial_tx_arr,
+      serial_rx_arr        => serial_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/jesd204b/tech_jesd204b_arria10_e2sg.vhd b/libraries/technology/jesd204b/tech_jesd204b_arria10_e2sg.vhd
index cea8bc8428..f206387fea 100644
--- a/libraries/technology/jesd204b/tech_jesd204b_arria10_e2sg.vhd
+++ b/libraries/technology/jesd204b/tech_jesd204b_arria10_e2sg.vhd
@@ -28,12 +28,12 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib, ip_arria10_e2sg_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_jesd204b_component_pkg.all;
 
 entity tech_jesd204b_arria10_e2sg is
   generic (
@@ -75,35 +75,35 @@ architecture str of tech_jesd204b_arria10_e2sg is
 
 begin
   u_ip_arria10_e2sg_jesd204b : ip_arria10_e2sg_jesd204b
-  generic map(
-    g_sim                => g_sim,
-    g_nof_streams        => g_nof_streams,
-    g_nof_sync_n         => g_nof_sync_n,
-    g_direction          => g_direction,
-    g_jesd_freq          => g_jesd_freq
-  )
-  port map(
-    jesd204b_refclk      => jesd204b_refclk,
-    jesd204b_sysref      => jesd204b_sysref,
-    jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
-
-    jesd204b_disable_arr => jesd204b_disable_arr,
-
-    rx_src_out_arr       => rx_src_out_arr,
-    rx_clk               => rx_clk,
-    rx_rst               => rx_rst,
-    rx_sysref            => rx_sysref,
-
-    -- MM
-    mm_clk               => mm_clk,
-    mm_rst               => mm_rst,
-
-    jesd204b_mosi        => jesd204b_mosi,
-    jesd204b_miso        => jesd204b_miso,
-
-     -- Serial
-    serial_tx_arr        => serial_tx_arr,
-    serial_rx_arr        => serial_rx_arr
-  );
+    generic map(
+      g_sim                => g_sim,
+      g_nof_streams        => g_nof_streams,
+      g_nof_sync_n         => g_nof_sync_n,
+      g_direction          => g_direction,
+      g_jesd_freq          => g_jesd_freq
+    )
+    port map(
+      jesd204b_refclk      => jesd204b_refclk,
+      jesd204b_sysref      => jesd204b_sysref,
+      jesd204b_sync_n_arr  => jesd204b_sync_n_arr,
+
+      jesd204b_disable_arr => jesd204b_disable_arr,
+
+      rx_src_out_arr       => rx_src_out_arr,
+      rx_clk               => rx_clk,
+      rx_rst               => rx_rst,
+      rx_sysref            => rx_sysref,
+
+      -- MM
+      mm_clk               => mm_clk,
+      mm_rst               => mm_rst,
+
+      jesd204b_mosi        => jesd204b_mosi,
+      jesd204b_miso        => jesd204b_miso,
+
+      -- Serial
+      serial_tx_arr        => serial_tx_arr,
+      serial_rx_arr        => serial_rx_arr
+    );
 
 end str;
diff --git a/libraries/technology/jesd204b/tech_jesd204b_component_pkg.vhd b/libraries/technology/jesd204b/tech_jesd204b_component_pkg.vhd
index 7259f51fe6..475ad1d9ad 100644
--- a/libraries/technology/jesd204b/tech_jesd204b_component_pkg.vhd
+++ b/libraries/technology/jesd204b/tech_jesd204b_component_pkg.vhd
@@ -23,11 +23,11 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use technology_lib.technology_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 package tech_jesd204b_component_pkg is
 
@@ -39,93 +39,93 @@ package tech_jesd204b_component_pkg is
   -- RX ONLY
   ------------------------------------------------------------------------------
   component ip_arria10_e1sg_jesd204b is
-  generic (
-    g_sim                 : boolean := false;
-    g_nof_streams         : natural := 1;
-    g_nof_sync_n          : natural := 1;
-    g_direction           : string  := "RX_ONLY";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_jesd_freq           : string  := "200MHz"
-  );
-  port (
-    -- JESD204B external signals
-    jesd204b_refclk       : in std_logic := '0';  -- Reference clock. For AD9683 use 200MHz direct from clock reference pin
-    jesd204b_sysref       : in std_logic := '0';  -- SYSREF should drive ADC and FPGA with correct phase wrt jesd204b_device_clk
-    jesd204b_sync_n_arr   : out std_logic_vector(g_nof_sync_n - 1 downto 0);  -- output to control ADC initialization/syncronization phase
-
-    -- Data to fabric
-    rx_src_out_arr        : out t_dp_sosi_arr(g_nof_streams - 1 downto 0);  -- Parallel data out to fabric
-    rx_clk                : out  std_logic := '0';  -- Exported data clock (frame clock) to fabric
-    rx_rst                : out  std_logic := '0';  -- Exported reset on rx_clk domain
-    rx_sysref             : out  std_logic := '0';  -- Exported copy of sysref
-
-    -- MM Control
-    mm_clk                : in  std_logic;
-    mm_rst                : in  std_logic;
-
-    jesd204b_disable_arr  : in  std_logic_vector(g_nof_streams - 1 downto 0);
-
-    jesd204b_mosi         : in  t_mem_mosi;  -- mm control
-    jesd204b_miso         : out t_mem_miso;
-
-    -- Serial connections to transceiver pins
-    serial_tx_arr         : out std_logic_vector(g_nof_streams - 1 downto 0);  -- Not used for ADC
-    serial_rx_arr         : in  std_logic_vector(g_nof_streams - 1 downto 0)
-  );
+    generic (
+      g_sim                 : boolean := false;
+      g_nof_streams         : natural := 1;
+      g_nof_sync_n          : natural := 1;
+      g_direction           : string  := "RX_ONLY";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_jesd_freq           : string  := "200MHz"
+    );
+    port (
+      -- JESD204B external signals
+      jesd204b_refclk       : in std_logic := '0';  -- Reference clock. For AD9683 use 200MHz direct from clock reference pin
+      jesd204b_sysref       : in std_logic := '0';  -- SYSREF should drive ADC and FPGA with correct phase wrt jesd204b_device_clk
+      jesd204b_sync_n_arr   : out std_logic_vector(g_nof_sync_n - 1 downto 0);  -- output to control ADC initialization/syncronization phase
+
+      -- Data to fabric
+      rx_src_out_arr        : out t_dp_sosi_arr(g_nof_streams - 1 downto 0);  -- Parallel data out to fabric
+      rx_clk                : out  std_logic := '0';  -- Exported data clock (frame clock) to fabric
+      rx_rst                : out  std_logic := '0';  -- Exported reset on rx_clk domain
+      rx_sysref             : out  std_logic := '0';  -- Exported copy of sysref
+
+      -- MM Control
+      mm_clk                : in  std_logic;
+      mm_rst                : in  std_logic;
+
+      jesd204b_disable_arr  : in  std_logic_vector(g_nof_streams - 1 downto 0);
+
+      jesd204b_mosi         : in  t_mem_mosi;  -- mm control
+      jesd204b_miso         : out t_mem_miso;
+
+      -- Serial connections to transceiver pins
+      serial_tx_arr         : out std_logic_vector(g_nof_streams - 1 downto 0);  -- Not used for ADC
+      serial_rx_arr         : in  std_logic_vector(g_nof_streams - 1 downto 0)
+    );
   end component;
 
   ------------------------------------------------------------------------------
   -- TX ONLY, 1 channel
   ------------------------------------------------------------------------------
   component ip_arria10_e1sg_jesd204b_tx is
-  port (
-    csr_cf                     : out std_logic_vector(4 downto 0);  -- export
-    csr_cs                     : out std_logic_vector(1 downto 0);  -- export
-    csr_f                      : out std_logic_vector(7 downto 0);  -- export
-    csr_hd                     : out std_logic;  -- export
-    csr_k                      : out std_logic_vector(4 downto 0);  -- export
-    csr_l                      : out std_logic_vector(4 downto 0);  -- export
-    csr_lane_powerdown         : out std_logic_vector(0 downto 0);  -- export
-    csr_m                      : out std_logic_vector(7 downto 0);  -- export
-    csr_n                      : out std_logic_vector(4 downto 0);  -- export
-    csr_np                     : out std_logic_vector(4 downto 0);  -- export
-    csr_s                      : out std_logic_vector(4 downto 0);  -- export
-    csr_tx_testmode            : out std_logic_vector(3 downto 0);  -- export
-    csr_tx_testpattern_a       : out std_logic_vector(31 downto 0);  -- export
-    csr_tx_testpattern_b       : out std_logic_vector(31 downto 0);  -- export
-    csr_tx_testpattern_c       : out std_logic_vector(31 downto 0);  -- export
-    csr_tx_testpattern_d       : out std_logic_vector(31 downto 0);  -- export
-    dev_sync_n                 : out std_logic;  -- export
-    jesd204_tx_avs_chipselect  : in  std_logic                     := 'X';  -- chipselect
-    jesd204_tx_avs_address     : in  std_logic_vector(7 downto 0)  := (others => 'X');  -- address
-    jesd204_tx_avs_read        : in  std_logic                     := 'X';  -- read
-    jesd204_tx_avs_readdata    : out std_logic_vector(31 downto 0);  -- readdata
-    jesd204_tx_avs_waitrequest : out std_logic;  -- waitrequest
-    jesd204_tx_avs_write       : in  std_logic                     := 'X';  -- write
-    jesd204_tx_avs_writedata   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- writedata
-    jesd204_tx_avs_clk         : in  std_logic                     := 'X';  -- clk
-    jesd204_tx_avs_rst_n       : in  std_logic                     := 'X';  -- reset_n
-    jesd204_tx_dlb_data        : out std_logic_vector(31 downto 0);  -- export
-    jesd204_tx_dlb_kchar_data  : out std_logic_vector(3 downto 0);  -- export
-    jesd204_tx_frame_error     : in  std_logic                     := 'X';  -- export
-    jesd204_tx_frame_ready     : out std_logic;  -- export
-    jesd204_tx_int             : out std_logic;  -- irq
-    jesd204_tx_link_data       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- data
-    jesd204_tx_link_valid      : in  std_logic                     := 'X';  -- valid
-    jesd204_tx_link_ready      : out std_logic;  -- ready
-    mdev_sync_n                : in  std_logic                     := 'X';  -- export
-    pll_locked                 : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_locked
-    somf                       : out std_logic_vector(3 downto 0);  -- export
-    sync_n                     : in  std_logic                     := 'X';  -- export
-    sysref                     : in  std_logic                     := 'X';  -- export
-    tx_analogreset             : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_analogreset
-    tx_bonding_clocks          : in  std_logic_vector(5 downto 0)  := (others => 'X');  -- clk
-    tx_cal_busy                : out std_logic_vector(0 downto 0);  -- tx_cal_busy
-    tx_digitalreset            : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_digitalreset
-    tx_serial_data             : out std_logic_vector(0 downto 0);  -- tx_serial_data
-    txlink_clk                 : in  std_logic                     := 'X';  -- clk
-    txlink_rst_n_reset_n       : in  std_logic                     := 'X';  -- reset_n
-    txphy_clk                  : out std_logic_vector(0 downto 0)  -- export
-  );
+    port (
+      csr_cf                     : out std_logic_vector(4 downto 0);  -- export
+      csr_cs                     : out std_logic_vector(1 downto 0);  -- export
+      csr_f                      : out std_logic_vector(7 downto 0);  -- export
+      csr_hd                     : out std_logic;  -- export
+      csr_k                      : out std_logic_vector(4 downto 0);  -- export
+      csr_l                      : out std_logic_vector(4 downto 0);  -- export
+      csr_lane_powerdown         : out std_logic_vector(0 downto 0);  -- export
+      csr_m                      : out std_logic_vector(7 downto 0);  -- export
+      csr_n                      : out std_logic_vector(4 downto 0);  -- export
+      csr_np                     : out std_logic_vector(4 downto 0);  -- export
+      csr_s                      : out std_logic_vector(4 downto 0);  -- export
+      csr_tx_testmode            : out std_logic_vector(3 downto 0);  -- export
+      csr_tx_testpattern_a       : out std_logic_vector(31 downto 0);  -- export
+      csr_tx_testpattern_b       : out std_logic_vector(31 downto 0);  -- export
+      csr_tx_testpattern_c       : out std_logic_vector(31 downto 0);  -- export
+      csr_tx_testpattern_d       : out std_logic_vector(31 downto 0);  -- export
+      dev_sync_n                 : out std_logic;  -- export
+      jesd204_tx_avs_chipselect  : in  std_logic                     := 'X';  -- chipselect
+      jesd204_tx_avs_address     : in  std_logic_vector(7 downto 0)  := (others => 'X');  -- address
+      jesd204_tx_avs_read        : in  std_logic                     := 'X';  -- read
+      jesd204_tx_avs_readdata    : out std_logic_vector(31 downto 0);  -- readdata
+      jesd204_tx_avs_waitrequest : out std_logic;  -- waitrequest
+      jesd204_tx_avs_write       : in  std_logic                     := 'X';  -- write
+      jesd204_tx_avs_writedata   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- writedata
+      jesd204_tx_avs_clk         : in  std_logic                     := 'X';  -- clk
+      jesd204_tx_avs_rst_n       : in  std_logic                     := 'X';  -- reset_n
+      jesd204_tx_dlb_data        : out std_logic_vector(31 downto 0);  -- export
+      jesd204_tx_dlb_kchar_data  : out std_logic_vector(3 downto 0);  -- export
+      jesd204_tx_frame_error     : in  std_logic                     := 'X';  -- export
+      jesd204_tx_frame_ready     : out std_logic;  -- export
+      jesd204_tx_int             : out std_logic;  -- irq
+      jesd204_tx_link_data       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- data
+      jesd204_tx_link_valid      : in  std_logic                     := 'X';  -- valid
+      jesd204_tx_link_ready      : out std_logic;  -- ready
+      mdev_sync_n                : in  std_logic                     := 'X';  -- export
+      pll_locked                 : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_locked
+      somf                       : out std_logic_vector(3 downto 0);  -- export
+      sync_n                     : in  std_logic                     := 'X';  -- export
+      sysref                     : in  std_logic                     := 'X';  -- export
+      tx_analogreset             : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_analogreset
+      tx_bonding_clocks          : in  std_logic_vector(5 downto 0)  := (others => 'X');  -- clk
+      tx_cal_busy                : out std_logic_vector(0 downto 0);  -- tx_cal_busy
+      tx_digitalreset            : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_digitalreset
+      tx_serial_data             : out std_logic_vector(0 downto 0);  -- tx_serial_data
+      txlink_clk                 : in  std_logic                     := 'X';  -- clk
+      txlink_rst_n_reset_n       : in  std_logic                     := 'X';  -- reset_n
+      txphy_clk                  : out std_logic_vector(0 downto 0)  -- export
+    );
   end component;
 
 
@@ -135,91 +135,91 @@ package tech_jesd204b_component_pkg is
 
   -- RX ONLY
   component ip_arria10_e2sg_jesd204b is
-  generic (
-    g_sim                 : boolean := false;
-    g_nof_streams         : natural := 1;
-    g_nof_sync_n          : natural := 1;
-    g_direction           : string  := "RX_ONLY";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
-    g_jesd_freq           : string  := "200MHz"
-  );
-  port (
-    -- JESD204B external signals
-    jesd204b_refclk       : in std_logic := '0';  -- Reference clock. For AD9683 use 200MHz direct from clock reference pin
-    jesd204b_sysref       : in std_logic := '0';  -- SYSREF should drive ADC and FPGA with correct phase wrt jesd204b_device_clk
-    jesd204b_sync_n_arr   : out std_logic_vector(g_nof_sync_n - 1 downto 0);  -- output to control ADC initialization/syncronization phase
-
-    -- Data to fabric
-    rx_src_out_arr        : out t_dp_sosi_arr(g_nof_streams - 1 downto 0);  -- Parallel data out to fabric
-    rx_clk                : out  std_logic := '0';  -- Exported data clock (frame clock) to fabric
-    rx_rst                : out  std_logic := '0';  -- Exported reset on rx_clk domain
-    rx_sysref             : out  std_logic := '0';  -- Exported copy of sysref
-
-    -- MM Control
-    mm_clk                : in  std_logic;
-    mm_rst                : in  std_logic;
-
-    jesd204b_disable_arr  : in  std_logic_vector(g_nof_streams - 1 downto 0);
-
-    jesd204b_mosi         : in  t_mem_mosi;  -- mm control
-    jesd204b_miso         : out t_mem_miso;
-
-    -- Serial connections to transceiver pins
-    serial_tx_arr         : out std_logic_vector(g_nof_streams - 1 downto 0);  -- Not used for ADC
-    serial_rx_arr         : in  std_logic_vector(g_nof_streams - 1 downto 0)
-  );
+    generic (
+      g_sim                 : boolean := false;
+      g_nof_streams         : natural := 1;
+      g_nof_sync_n          : natural := 1;
+      g_direction           : string  := "RX_ONLY";  -- "TX_RX", "TX_ONLY", "RX_ONLY"
+      g_jesd_freq           : string  := "200MHz"
+    );
+    port (
+      -- JESD204B external signals
+      jesd204b_refclk       : in std_logic := '0';  -- Reference clock. For AD9683 use 200MHz direct from clock reference pin
+      jesd204b_sysref       : in std_logic := '0';  -- SYSREF should drive ADC and FPGA with correct phase wrt jesd204b_device_clk
+      jesd204b_sync_n_arr   : out std_logic_vector(g_nof_sync_n - 1 downto 0);  -- output to control ADC initialization/syncronization phase
+
+      -- Data to fabric
+      rx_src_out_arr        : out t_dp_sosi_arr(g_nof_streams - 1 downto 0);  -- Parallel data out to fabric
+      rx_clk                : out  std_logic := '0';  -- Exported data clock (frame clock) to fabric
+      rx_rst                : out  std_logic := '0';  -- Exported reset on rx_clk domain
+      rx_sysref             : out  std_logic := '0';  -- Exported copy of sysref
+
+      -- MM Control
+      mm_clk                : in  std_logic;
+      mm_rst                : in  std_logic;
+
+      jesd204b_disable_arr  : in  std_logic_vector(g_nof_streams - 1 downto 0);
+
+      jesd204b_mosi         : in  t_mem_mosi;  -- mm control
+      jesd204b_miso         : out t_mem_miso;
+
+      -- Serial connections to transceiver pins
+      serial_tx_arr         : out std_logic_vector(g_nof_streams - 1 downto 0);  -- Not used for ADC
+      serial_rx_arr         : in  std_logic_vector(g_nof_streams - 1 downto 0)
+    );
   end component;
 
   -- TX ONLY, 1 channel
   component ip_arria10_e2sg_jesd204b_tx is
-  port (
-    csr_cf                     : out std_logic_vector(4 downto 0);  -- export
-    csr_cs                     : out std_logic_vector(1 downto 0);  -- export
-    csr_f                      : out std_logic_vector(7 downto 0);  -- export
-    csr_hd                     : out std_logic;  -- export
-    csr_k                      : out std_logic_vector(4 downto 0);  -- export
-    csr_l                      : out std_logic_vector(4 downto 0);  -- export
-    csr_lane_powerdown         : out std_logic_vector(0 downto 0);  -- export
-    csr_m                      : out std_logic_vector(7 downto 0);  -- export
-    csr_n                      : out std_logic_vector(4 downto 0);  -- export
-    csr_np                     : out std_logic_vector(4 downto 0);  -- export
-    csr_s                      : out std_logic_vector(4 downto 0);  -- export
-    csr_tx_testmode            : out std_logic_vector(3 downto 0);  -- export
-    csr_tx_testpattern_a       : out std_logic_vector(31 downto 0);  -- export
-    csr_tx_testpattern_b       : out std_logic_vector(31 downto 0);  -- export
-    csr_tx_testpattern_c       : out std_logic_vector(31 downto 0);  -- export
-    csr_tx_testpattern_d       : out std_logic_vector(31 downto 0);  -- export
-    dev_sync_n                 : out std_logic;  -- export
-    jesd204_tx_avs_chipselect  : in  std_logic                     := 'X';  -- chipselect
-    jesd204_tx_avs_address     : in  std_logic_vector(7 downto 0)  := (others => 'X');  -- address
-    jesd204_tx_avs_read        : in  std_logic                     := 'X';  -- read
-    jesd204_tx_avs_readdata    : out std_logic_vector(31 downto 0);  -- readdata
-    jesd204_tx_avs_waitrequest : out std_logic;  -- waitrequest
-    jesd204_tx_avs_write       : in  std_logic                     := 'X';  -- write
-    jesd204_tx_avs_writedata   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- writedata
-    jesd204_tx_avs_clk         : in  std_logic                     := 'X';  -- clk
-    jesd204_tx_avs_rst_n       : in  std_logic                     := 'X';  -- reset_n
-    jesd204_tx_dlb_data        : out std_logic_vector(31 downto 0);  -- export
-    jesd204_tx_dlb_kchar_data  : out std_logic_vector(3 downto 0);  -- export
-    jesd204_tx_frame_error     : in  std_logic                     := 'X';  -- export
-    jesd204_tx_frame_ready     : out std_logic;  -- export
-    jesd204_tx_int             : out std_logic;  -- irq
-    jesd204_tx_link_data       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- data
-    jesd204_tx_link_valid      : in  std_logic                     := 'X';  -- valid
-    jesd204_tx_link_ready      : out std_logic;  -- ready
-    mdev_sync_n                : in  std_logic                     := 'X';  -- export
-    pll_locked                 : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_locked
-    somf                       : out std_logic_vector(3 downto 0);  -- export
-    sync_n                     : in  std_logic                     := 'X';  -- export
-    sysref                     : in  std_logic                     := 'X';  -- export
-    tx_analogreset             : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_analogreset
-    tx_bonding_clocks          : in  std_logic_vector(5 downto 0)  := (others => 'X');  -- clk
-    tx_cal_busy                : out std_logic_vector(0 downto 0);  -- tx_cal_busy
-    tx_digitalreset            : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_digitalreset
-    tx_serial_data             : out std_logic_vector(0 downto 0);  -- tx_serial_data
-    txlink_clk                 : in  std_logic                     := 'X';  -- clk
-    txlink_rst_n_reset_n       : in  std_logic                     := 'X';  -- reset_n
-    txphy_clk                  : out std_logic_vector(0 downto 0)  -- export
-  );
+    port (
+      csr_cf                     : out std_logic_vector(4 downto 0);  -- export
+      csr_cs                     : out std_logic_vector(1 downto 0);  -- export
+      csr_f                      : out std_logic_vector(7 downto 0);  -- export
+      csr_hd                     : out std_logic;  -- export
+      csr_k                      : out std_logic_vector(4 downto 0);  -- export
+      csr_l                      : out std_logic_vector(4 downto 0);  -- export
+      csr_lane_powerdown         : out std_logic_vector(0 downto 0);  -- export
+      csr_m                      : out std_logic_vector(7 downto 0);  -- export
+      csr_n                      : out std_logic_vector(4 downto 0);  -- export
+      csr_np                     : out std_logic_vector(4 downto 0);  -- export
+      csr_s                      : out std_logic_vector(4 downto 0);  -- export
+      csr_tx_testmode            : out std_logic_vector(3 downto 0);  -- export
+      csr_tx_testpattern_a       : out std_logic_vector(31 downto 0);  -- export
+      csr_tx_testpattern_b       : out std_logic_vector(31 downto 0);  -- export
+      csr_tx_testpattern_c       : out std_logic_vector(31 downto 0);  -- export
+      csr_tx_testpattern_d       : out std_logic_vector(31 downto 0);  -- export
+      dev_sync_n                 : out std_logic;  -- export
+      jesd204_tx_avs_chipselect  : in  std_logic                     := 'X';  -- chipselect
+      jesd204_tx_avs_address     : in  std_logic_vector(7 downto 0)  := (others => 'X');  -- address
+      jesd204_tx_avs_read        : in  std_logic                     := 'X';  -- read
+      jesd204_tx_avs_readdata    : out std_logic_vector(31 downto 0);  -- readdata
+      jesd204_tx_avs_waitrequest : out std_logic;  -- waitrequest
+      jesd204_tx_avs_write       : in  std_logic                     := 'X';  -- write
+      jesd204_tx_avs_writedata   : in  std_logic_vector(31 downto 0) := (others => 'X');  -- writedata
+      jesd204_tx_avs_clk         : in  std_logic                     := 'X';  -- clk
+      jesd204_tx_avs_rst_n       : in  std_logic                     := 'X';  -- reset_n
+      jesd204_tx_dlb_data        : out std_logic_vector(31 downto 0);  -- export
+      jesd204_tx_dlb_kchar_data  : out std_logic_vector(3 downto 0);  -- export
+      jesd204_tx_frame_error     : in  std_logic                     := 'X';  -- export
+      jesd204_tx_frame_ready     : out std_logic;  -- export
+      jesd204_tx_int             : out std_logic;  -- irq
+      jesd204_tx_link_data       : in  std_logic_vector(31 downto 0) := (others => 'X');  -- data
+      jesd204_tx_link_valid      : in  std_logic                     := 'X';  -- valid
+      jesd204_tx_link_ready      : out std_logic;  -- ready
+      mdev_sync_n                : in  std_logic                     := 'X';  -- export
+      pll_locked                 : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- pll_locked
+      somf                       : out std_logic_vector(3 downto 0);  -- export
+      sync_n                     : in  std_logic                     := 'X';  -- export
+      sysref                     : in  std_logic                     := 'X';  -- export
+      tx_analogreset             : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_analogreset
+      tx_bonding_clocks          : in  std_logic_vector(5 downto 0)  := (others => 'X');  -- clk
+      tx_cal_busy                : out std_logic_vector(0 downto 0);  -- tx_cal_busy
+      tx_digitalreset            : in  std_logic_vector(0 downto 0)  := (others => 'X');  -- tx_digitalreset
+      tx_serial_data             : out std_logic_vector(0 downto 0);  -- tx_serial_data
+      txlink_clk                 : in  std_logic                     := 'X';  -- clk
+      txlink_rst_n_reset_n       : in  std_logic                     := 'X';  -- reset_n
+      txphy_clk                  : out std_logic_vector(0 downto 0)  -- export
+    );
   end component;
 
 end tech_jesd204b_component_pkg;
diff --git a/libraries/technology/jesd204b/tech_jesd204b_pkg.vhd b/libraries/technology/jesd204b/tech_jesd204b_pkg.vhd
index 12f1856b5b..1123002f92 100644
--- a/libraries/technology/jesd204b/tech_jesd204b_pkg.vhd
+++ b/libraries/technology/jesd204b/tech_jesd204b_pkg.vhd
@@ -29,7 +29,7 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_jesd204b_pkg is
 
diff --git a/libraries/technology/jesd204b/tech_jesd204b_tx.vhd b/libraries/technology/jesd204b/tech_jesd204b_tx.vhd
index 2f124953b1..05c5f53c6f 100644
--- a/libraries/technology/jesd204b/tech_jesd204b_tx.vhd
+++ b/libraries/technology/jesd204b/tech_jesd204b_tx.vhd
@@ -37,10 +37,10 @@
 --   clauses provide the IP binding.
 
 library IEEE, technology_lib, ip_arria10_e1sg_jesd204b_lib, ip_arria10_e2sg_jesd204b_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_jesd204b_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_jesd204b_component_pkg.all;
 
 entity tech_jesd204b_tx is
   generic (
@@ -103,108 +103,108 @@ begin
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_jesd204b_tx
-    port map(
-      csr_cf                     => csr_cf                    ,
-      csr_cs                     => csr_cs                    ,
-      csr_f                      => csr_f                     ,
-      csr_hd                     => csr_hd                    ,
-      csr_k                      => csr_k                     ,
-      csr_l                      => csr_l                     ,
-      csr_lane_powerdown         => csr_lane_powerdown        ,
-      csr_m                      => csr_m                     ,
-      csr_n                      => csr_n                     ,
-      csr_np                     => csr_np                    ,
-      csr_s                      => csr_s                     ,
-      csr_tx_testmode            => csr_tx_testmode           ,
-      csr_tx_testpattern_a       => csr_tx_testpattern_a      ,
-      csr_tx_testpattern_b       => csr_tx_testpattern_b      ,
-      csr_tx_testpattern_c       => csr_tx_testpattern_c      ,
-      csr_tx_testpattern_d       => csr_tx_testpattern_d      ,
-      dev_sync_n                 => dev_sync_n                ,
-      jesd204_tx_avs_chipselect  => jesd204_tx_avs_chipselect ,
-      jesd204_tx_avs_address     => jesd204_tx_avs_address    ,
-      jesd204_tx_avs_read        => jesd204_tx_avs_read       ,
-      jesd204_tx_avs_readdata    => jesd204_tx_avs_readdata   ,
-      jesd204_tx_avs_waitrequest => jesd204_tx_avs_waitrequest,
-      jesd204_tx_avs_write       => jesd204_tx_avs_write      ,
-      jesd204_tx_avs_writedata   => jesd204_tx_avs_writedata  ,
-      jesd204_tx_avs_clk         => jesd204_tx_avs_clk        ,
-      jesd204_tx_avs_rst_n       => jesd204_tx_avs_rst_n      ,
-      jesd204_tx_dlb_data        => jesd204_tx_dlb_data       ,
-      jesd204_tx_dlb_kchar_data  => jesd204_tx_dlb_kchar_data ,
-      jesd204_tx_frame_error     => jesd204_tx_frame_error    ,
-      jesd204_tx_frame_ready     => jesd204_tx_frame_ready    ,
-      jesd204_tx_int             => jesd204_tx_int            ,
-      jesd204_tx_link_data       => jesd204_tx_link_data      ,
-      jesd204_tx_link_valid      => jesd204_tx_link_valid     ,
-      jesd204_tx_link_ready      => jesd204_tx_link_ready     ,
-      mdev_sync_n                => mdev_sync_n               ,
-      pll_locked                 => pll_locked                ,
-      somf                       => somf                      ,
-      sync_n                     => sync_n                    ,
-      sysref                     => sysref                    ,
-      tx_analogreset             => tx_analogreset            ,
-      tx_bonding_clocks          => tx_bonding_clocks         ,
-      tx_cal_busy                => tx_cal_busy               ,
-      tx_digitalreset            => tx_digitalreset           ,
-      tx_serial_data             => tx_serial_data            ,
-      txlink_clk                 => txlink_clk                ,
-      txlink_rst_n_reset_n       => txlink_rst_n_reset_n      ,
-      txphy_clk                  => txphy_clk
-    );
+      port map(
+        csr_cf                     => csr_cf                    ,
+        csr_cs                     => csr_cs                    ,
+        csr_f                      => csr_f                     ,
+        csr_hd                     => csr_hd                    ,
+        csr_k                      => csr_k                     ,
+        csr_l                      => csr_l                     ,
+        csr_lane_powerdown         => csr_lane_powerdown        ,
+        csr_m                      => csr_m                     ,
+        csr_n                      => csr_n                     ,
+        csr_np                     => csr_np                    ,
+        csr_s                      => csr_s                     ,
+        csr_tx_testmode            => csr_tx_testmode           ,
+        csr_tx_testpattern_a       => csr_tx_testpattern_a      ,
+        csr_tx_testpattern_b       => csr_tx_testpattern_b      ,
+        csr_tx_testpattern_c       => csr_tx_testpattern_c      ,
+        csr_tx_testpattern_d       => csr_tx_testpattern_d      ,
+        dev_sync_n                 => dev_sync_n                ,
+        jesd204_tx_avs_chipselect  => jesd204_tx_avs_chipselect ,
+        jesd204_tx_avs_address     => jesd204_tx_avs_address    ,
+        jesd204_tx_avs_read        => jesd204_tx_avs_read       ,
+        jesd204_tx_avs_readdata    => jesd204_tx_avs_readdata   ,
+        jesd204_tx_avs_waitrequest => jesd204_tx_avs_waitrequest,
+        jesd204_tx_avs_write       => jesd204_tx_avs_write      ,
+        jesd204_tx_avs_writedata   => jesd204_tx_avs_writedata  ,
+        jesd204_tx_avs_clk         => jesd204_tx_avs_clk        ,
+        jesd204_tx_avs_rst_n       => jesd204_tx_avs_rst_n      ,
+        jesd204_tx_dlb_data        => jesd204_tx_dlb_data       ,
+        jesd204_tx_dlb_kchar_data  => jesd204_tx_dlb_kchar_data ,
+        jesd204_tx_frame_error     => jesd204_tx_frame_error    ,
+        jesd204_tx_frame_ready     => jesd204_tx_frame_ready    ,
+        jesd204_tx_int             => jesd204_tx_int            ,
+        jesd204_tx_link_data       => jesd204_tx_link_data      ,
+        jesd204_tx_link_valid      => jesd204_tx_link_valid     ,
+        jesd204_tx_link_ready      => jesd204_tx_link_ready     ,
+        mdev_sync_n                => mdev_sync_n               ,
+        pll_locked                 => pll_locked                ,
+        somf                       => somf                      ,
+        sync_n                     => sync_n                    ,
+        sysref                     => sysref                    ,
+        tx_analogreset             => tx_analogreset            ,
+        tx_bonding_clocks          => tx_bonding_clocks         ,
+        tx_cal_busy                => tx_cal_busy               ,
+        tx_digitalreset            => tx_digitalreset           ,
+        tx_serial_data             => tx_serial_data            ,
+        txlink_clk                 => txlink_clk                ,
+        txlink_rst_n_reset_n       => txlink_rst_n_reset_n      ,
+        txphy_clk                  => txphy_clk
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_jesd204b_tx
-    port map(
-      csr_cf                     => csr_cf                    ,
-      csr_cs                     => csr_cs                    ,
-      csr_f                      => csr_f                     ,
-      csr_hd                     => csr_hd                    ,
-      csr_k                      => csr_k                     ,
-      csr_l                      => csr_l                     ,
-      csr_lane_powerdown         => csr_lane_powerdown        ,
-      csr_m                      => csr_m                     ,
-      csr_n                      => csr_n                     ,
-      csr_np                     => csr_np                    ,
-      csr_s                      => csr_s                     ,
-      csr_tx_testmode            => csr_tx_testmode           ,
-      csr_tx_testpattern_a       => csr_tx_testpattern_a      ,
-      csr_tx_testpattern_b       => csr_tx_testpattern_b      ,
-      csr_tx_testpattern_c       => csr_tx_testpattern_c      ,
-      csr_tx_testpattern_d       => csr_tx_testpattern_d      ,
-      dev_sync_n                 => dev_sync_n                ,
-      jesd204_tx_avs_chipselect  => jesd204_tx_avs_chipselect ,
-      jesd204_tx_avs_address     => jesd204_tx_avs_address    ,
-      jesd204_tx_avs_read        => jesd204_tx_avs_read       ,
-      jesd204_tx_avs_readdata    => jesd204_tx_avs_readdata   ,
-      jesd204_tx_avs_waitrequest => jesd204_tx_avs_waitrequest,
-      jesd204_tx_avs_write       => jesd204_tx_avs_write      ,
-      jesd204_tx_avs_writedata   => jesd204_tx_avs_writedata  ,
-      jesd204_tx_avs_clk         => jesd204_tx_avs_clk        ,
-      jesd204_tx_avs_rst_n       => jesd204_tx_avs_rst_n      ,
-      jesd204_tx_dlb_data        => jesd204_tx_dlb_data       ,
-      jesd204_tx_dlb_kchar_data  => jesd204_tx_dlb_kchar_data ,
-      jesd204_tx_frame_error     => jesd204_tx_frame_error    ,
-      jesd204_tx_frame_ready     => jesd204_tx_frame_ready    ,
-      jesd204_tx_int             => jesd204_tx_int            ,
-      jesd204_tx_link_data       => jesd204_tx_link_data      ,
-      jesd204_tx_link_valid      => jesd204_tx_link_valid     ,
-      jesd204_tx_link_ready      => jesd204_tx_link_ready     ,
-      mdev_sync_n                => mdev_sync_n               ,
-      pll_locked                 => pll_locked                ,
-      somf                       => somf                      ,
-      sync_n                     => sync_n                    ,
-      sysref                     => sysref                    ,
-      tx_analogreset             => tx_analogreset            ,
-      tx_bonding_clocks          => tx_bonding_clocks         ,
-      tx_cal_busy                => tx_cal_busy               ,
-      tx_digitalreset            => tx_digitalreset           ,
-      tx_serial_data             => tx_serial_data            ,
-      txlink_clk                 => txlink_clk                ,
-      txlink_rst_n_reset_n       => txlink_rst_n_reset_n      ,
-      txphy_clk                  => txphy_clk
-    );
+      port map(
+        csr_cf                     => csr_cf                    ,
+        csr_cs                     => csr_cs                    ,
+        csr_f                      => csr_f                     ,
+        csr_hd                     => csr_hd                    ,
+        csr_k                      => csr_k                     ,
+        csr_l                      => csr_l                     ,
+        csr_lane_powerdown         => csr_lane_powerdown        ,
+        csr_m                      => csr_m                     ,
+        csr_n                      => csr_n                     ,
+        csr_np                     => csr_np                    ,
+        csr_s                      => csr_s                     ,
+        csr_tx_testmode            => csr_tx_testmode           ,
+        csr_tx_testpattern_a       => csr_tx_testpattern_a      ,
+        csr_tx_testpattern_b       => csr_tx_testpattern_b      ,
+        csr_tx_testpattern_c       => csr_tx_testpattern_c      ,
+        csr_tx_testpattern_d       => csr_tx_testpattern_d      ,
+        dev_sync_n                 => dev_sync_n                ,
+        jesd204_tx_avs_chipselect  => jesd204_tx_avs_chipselect ,
+        jesd204_tx_avs_address     => jesd204_tx_avs_address    ,
+        jesd204_tx_avs_read        => jesd204_tx_avs_read       ,
+        jesd204_tx_avs_readdata    => jesd204_tx_avs_readdata   ,
+        jesd204_tx_avs_waitrequest => jesd204_tx_avs_waitrequest,
+        jesd204_tx_avs_write       => jesd204_tx_avs_write      ,
+        jesd204_tx_avs_writedata   => jesd204_tx_avs_writedata  ,
+        jesd204_tx_avs_clk         => jesd204_tx_avs_clk        ,
+        jesd204_tx_avs_rst_n       => jesd204_tx_avs_rst_n      ,
+        jesd204_tx_dlb_data        => jesd204_tx_dlb_data       ,
+        jesd204_tx_dlb_kchar_data  => jesd204_tx_dlb_kchar_data ,
+        jesd204_tx_frame_error     => jesd204_tx_frame_error    ,
+        jesd204_tx_frame_ready     => jesd204_tx_frame_ready    ,
+        jesd204_tx_int             => jesd204_tx_int            ,
+        jesd204_tx_link_data       => jesd204_tx_link_data      ,
+        jesd204_tx_link_valid      => jesd204_tx_link_valid     ,
+        jesd204_tx_link_ready      => jesd204_tx_link_ready     ,
+        mdev_sync_n                => mdev_sync_n               ,
+        pll_locked                 => pll_locked                ,
+        somf                       => somf                      ,
+        sync_n                     => sync_n                    ,
+        sysref                     => sysref                    ,
+        tx_analogreset             => tx_analogreset            ,
+        tx_bonding_clocks          => tx_bonding_clocks         ,
+        tx_cal_busy                => tx_cal_busy               ,
+        tx_digitalreset            => tx_digitalreset           ,
+        tx_serial_data             => tx_serial_data            ,
+        txlink_clk                 => txlink_clk                ,
+        txlink_rst_n_reset_n       => txlink_rst_n_reset_n      ,
+        txphy_clk                  => txphy_clk
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/mac_10g/tb_tb_tech_mac_10g.vhd b/libraries/technology/mac_10g/tb_tb_tech_mac_10g.vhd
index 0c7fc0f189..7bf742d79e 100644
--- a/libraries/technology/mac_10g/tb_tb_tech_mac_10g.vhd
+++ b/libraries/technology/mac_10g/tb_tb_tech_mac_10g.vhd
@@ -26,10 +26,10 @@
 --   > run -all
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tb_tech_mac_10g_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tb_tech_mac_10g_pkg.all;
 
 
 entity tb_tb_tech_mac_10g is
@@ -42,11 +42,11 @@ architecture tb of tb_tb_tech_mac_10g is
   signal   tb_end       : std_logic := '0';
 begin
 
---    g_technology : NATURAL := c_tech_select_default;
---    g_tb_end     : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
---    g_no_dut     : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
---    g_data_type  : NATURAL := c_tb_tech_mac_10g_data_type_symbols
---                              c_tb_tech_mac_10g_data_type_counter
+  --    g_technology : NATURAL := c_tech_select_default;
+  --    g_tb_end     : BOOLEAN := TRUE;   -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  --    g_no_dut     : BOOLEAN := FALSE;  -- default FALSE to verify the DUT, else use TRUE to verify the tb itself without the DUT
+  --    g_data_type  : NATURAL := c_tb_tech_mac_10g_data_type_symbols
+  --                              c_tb_tech_mac_10g_data_type_counter
 
   u_no_dut_counter       : entity work.tb_tech_mac_10g generic map (c_tech_select_default, false,  true, c_tb_tech_mac_10g_data_type_counter) port map (tb_end_vec(0));
   u_no_dut_symbols       : entity work.tb_tech_mac_10g generic map (c_tech_select_default, false,  true, c_tb_tech_mac_10g_data_type_symbols) port map (tb_end_vec(1));
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g.vhd
index 1053b125d9..21a3b6e95d 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g.vhd
@@ -29,19 +29,19 @@
 --   > run -all
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use WORK.tech_mac_10g_component_pkg.all;
-use WORK.tb_tech_mac_10g_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use WORK.tech_mac_10g_component_pkg.all;
+  use WORK.tb_tech_mac_10g_pkg.all;
 
 
 entity tb_tech_mac_10g is
@@ -66,7 +66,7 @@ architecture tb of tb_tech_mac_10g is
   constant phy_delay            : time :=  0 ns;
 
   constant c_pkt_length_arr     : t_nat_natural_arr := array_init(0, 50, 1) & (1472, 1473) & 9000;  -- frame longer than 1518-46 = 1472 is received with rx_sosi.err = 8
-                                                                                                    -- jumbo frame is 9018-46 = 8972
+  -- jumbo frame is 9018-46 = 8972
   constant c_nof_pkt            : natural := c_pkt_length_arr'length;
 
   constant c_dst_mac            : std_logic_vector(c_network_eth_mac_slv'range) := X"10FA01020300";
@@ -150,34 +150,34 @@ begin
   total_header.eth <= c_eth_header_ethertype;
 
   u_mm_setup : entity work.tb_tech_mac_10g_setup
-  generic map (
-    g_technology    => g_technology,
-    g_src_mac       => c_src_mac
-  )
-  port map (
-    tb_end    => rx_end,
-    mm_clk    => mm_clk,
-    mm_rst    => mm_rst,
-    mm_init   => mm_init,
-    mac_mosi  => mac_mosi,
-    mac_miso  => mac_miso
-  );
+    generic map (
+      g_technology    => g_technology,
+      g_src_mac       => c_src_mac
+    )
+    port map (
+      tb_end    => rx_end,
+      mm_clk    => mm_clk,
+      mm_rst    => mm_rst,
+      mm_init   => mm_init,
+      mac_mosi  => mac_mosi,
+      mac_miso  => mac_miso
+    );
 
   -- Packet transmitter
   u_transmitter : entity work.tb_tech_mac_10g_transmitter
-  generic map (
-    g_data_type       => g_data_type,
-    g_pkt_length_arr1 => c_pkt_length_arr
-  )
-  port map (
-    mm_init        => mm_init,
-    total_header   => total_header,
-    tx_clk         => tx_ref_clk_156,
-    tx_siso        => tx_siso,
-    tx_sosi        => tx_sosi,
-    link_fault     => OPEN,
-    tx_end         => tx_end
-  );
+    generic map (
+      g_data_type       => g_data_type,
+      g_pkt_length_arr1 => c_pkt_length_arr
+    )
+    port map (
+      mm_init        => mm_init,
+      total_header   => total_header,
+      tx_clk         => tx_ref_clk_156,
+      tx_siso        => tx_siso,
+      tx_sosi        => tx_sosi,
+      link_fault     => OPEN,
+      tx_end         => tx_end
+    );
 
   no_dut : if g_no_dut = true generate
     -- ST loopback
@@ -187,101 +187,101 @@ begin
 
   gen_dut : if g_no_dut = false generate
     dut : entity work.tech_mac_10g
-    generic map (
-      g_technology          => g_technology,
-      g_pre_header_padding  => true
-    )
-    port map (
-      -- MM
-      mm_clk            => mm_clk,
-      mm_rst            => mm_rst,
-      csr_mosi          => mac_mosi,  -- CSR = control status register
-      csr_miso          => mac_miso,
-
-      -- ST
-      tx_clk_312        => tx_ref_clk_312,
-      tx_clk_156        => tx_ref_clk_156,  -- 156.25 MHz local reference
-      tx_rst            => tx_rst,
-      tx_snk_in         => tx_sosi,  -- 64 bit data
-      tx_snk_out        => tx_siso,
-
-      rx_clk_312        => rx_phy_clk_312,
-      rx_clk_156        => rx_phy_clk_156,  -- 156.25 MHz from local reference or from rx phy (dependent on g_technology)
-      rx_rst            => rx_rst,
-      rx_src_out        => rx_sosi,  -- 64 bit data
-      rx_src_in         => rx_siso,
-
-      -- XGMII
-      xgmii_link_status => xgmii_link_status,
-      xgmii_tx_data     => xgmii_tx_data,  -- 72 bit
-      xgmii_rx_data     => xgmii_rx_data  -- 72 bit
-    );
+      generic map (
+        g_technology          => g_technology,
+        g_pre_header_padding  => true
+      )
+      port map (
+        -- MM
+        mm_clk            => mm_clk,
+        mm_rst            => mm_rst,
+        csr_mosi          => mac_mosi,  -- CSR = control status register
+        csr_miso          => mac_miso,
+
+        -- ST
+        tx_clk_312        => tx_ref_clk_312,
+        tx_clk_156        => tx_ref_clk_156,  -- 156.25 MHz local reference
+        tx_rst            => tx_rst,
+        tx_snk_in         => tx_sosi,  -- 64 bit data
+        tx_snk_out        => tx_siso,
+
+        rx_clk_312        => rx_phy_clk_312,
+        rx_clk_156        => rx_phy_clk_156,  -- 156.25 MHz from local reference or from rx phy (dependent on g_technology)
+        rx_rst            => rx_rst,
+        rx_src_out        => rx_sosi,  -- 64 bit data
+        rx_src_in         => rx_siso,
+
+        -- XGMII
+        xgmii_link_status => xgmii_link_status,
+        xgmii_tx_data     => xgmii_tx_data,  -- 72 bit
+        xgmii_rx_data     => xgmii_rx_data  -- 72 bit
+      );
   end generate;
 
   -- Loopback XGMII
   u_link_connect : entity work.tb_tech_mac_10g_link_connect
-  generic map (
-    g_loopback    => true,
-    g_link_delay  => phy_delay
-  )
-  port map (
-    -- XGMII layer connect
-    xgmii_tx_data => xgmii_tx_data,
-    xgmii_rx_data => xgmii_rx_data
-  );
+    generic map (
+      g_loopback    => true,
+      g_link_delay  => phy_delay
+    )
+    port map (
+      -- XGMII layer connect
+      xgmii_tx_data => xgmii_tx_data,
+      xgmii_rx_data => xgmii_rx_data
+    );
 
   -- Packet receiver
   u_receiver : entity work.tb_tech_mac_10_receiver
-  generic map (
-    g_data_type       => g_data_type
-  )
-  port map (
-    mm_init        => mm_init,
-    total_header   => total_header,
-    rx_clk         => rx_phy_clk_156,
-    rx_sosi        => rx_sosi,
-    rx_siso        => rx_siso,
-    rx_toggle      => rx_toggle
-  );
+    generic map (
+      g_data_type       => g_data_type
+    )
+    port map (
+      mm_init        => mm_init,
+      total_header   => total_header,
+      rx_clk         => rx_phy_clk_156,
+      rx_sosi        => rx_sosi,
+      rx_siso        => rx_siso,
+      rx_toggle      => rx_toggle
+    );
 
   -- Verification
   u_verify_rx_at_eop : entity work.tb_tech_mac_10_verify_rx_at_eop
-  generic map (
-    g_no_padding     => g_no_dut,
-    g_pkt_length_arr => c_pkt_length_arr
-  )
-  port map (
-    tx_clk         => tx_ref_clk_156,
-    tx_sosi        => tx_sosi,
-    rx_clk         => rx_phy_clk_156,
-    rx_sosi        => rx_sosi
-  );
+    generic map (
+      g_no_padding     => g_no_dut,
+      g_pkt_length_arr => c_pkt_length_arr
+    )
+    port map (
+      tx_clk         => tx_ref_clk_156,
+      tx_sosi        => tx_sosi,
+      rx_clk         => rx_phy_clk_156,
+      rx_sosi        => rx_sosi
+    );
 
   u_verify_rx_pkt_cnt : entity work.tb_tech_mac_10g_verify_rx_pkt_cnt
-  generic map (
-    g_nof_pkt     => c_nof_pkt
-  )
-  port map (
-    tx_clk         => tx_ref_clk_156,
-    tx_sosi        => tx_sosi,
-    rx_clk         => rx_phy_clk_156,
-    rx_sosi        => rx_sosi,
-    tx_pkt_cnt     => tx_pkt_cnt,
-    rx_pkt_cnt     => rx_pkt_cnt,
-    rx_end         => rx_end
-  );
+    generic map (
+      g_nof_pkt     => c_nof_pkt
+    )
+    port map (
+      tx_clk         => tx_ref_clk_156,
+      tx_sosi        => tx_sosi,
+      rx_clk         => rx_phy_clk_156,
+      rx_sosi        => rx_sosi,
+      tx_pkt_cnt     => tx_pkt_cnt,
+      rx_pkt_cnt     => rx_pkt_cnt,
+      rx_end         => rx_end
+    );
 
   -- Stop the simulation
   u_simulation_end : entity work.tb_tech_mac_10g_simulation_end
-  generic map (
-    g_tb_end            => g_tb_end,
-    g_nof_clk_to_rx_end => 1000
-  )
-  port map (
-    clk       => clk_156,
-    tx_end    => tx_end,
-    rx_end    => rx_end,
-    tb_end    => tb_end
-  );
+    generic map (
+      g_tb_end            => g_tb_end,
+      g_nof_clk_to_rx_end => 1000
+    )
+    port map (
+      clk       => clk_156,
+      tx_end    => tx_end,
+      rx_end    => rx_end,
+      tb_end    => tb_end
+    );
 
 end tb;
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_link_connect.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_link_connect.vhd
index d1018af855..97903a5218 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_link_connect.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_link_connect.vhd
@@ -26,9 +26,9 @@
 -- . Support link delay
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 
 entity tb_tech_mac_10g_link_connect is
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_pkg.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_pkg.vhd
index 5cea811f83..4fea8ae969 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_pkg.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_pkg.vhd
@@ -21,18 +21,18 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 
 package tb_tech_mac_10g_pkg is
@@ -44,50 +44,56 @@ package tb_tech_mac_10g_pkg is
   constant c_tb_tech_mac_10g_data_type_ping    : natural := 3;  -- over IP/ICMP
   constant c_tb_tech_mac_10g_data_type_udp     : natural := 4;  -- over IP
 
-  function func_tech_mac_10g_header_size(data_type : natural) return natural;  -- raw ethernet: 4 header words, protocol ethernet: 11 header words
+  function func_tech_mac_10g_header_size (data_type : natural) return natural;  -- raw ethernet: 4 header words, protocol ethernet: 11 header words
 
   -- Configure the 10G MAC
-  procedure proc_tech_mac_10g_setup(constant c_technology        : in  natural;
-                                    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                    constant mm_offset           : in  natural;
-                                    signal   mm_clk              : in  std_logic;
-                                    signal   mm_miso             : in  t_mem_miso;
-                                    signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_mac_10g_setup(constant c_technology        : in  natural;
-                                    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                    signal   mm_clk              : in  std_logic;
-                                    signal   mm_miso             : in  t_mem_miso;
-                                    signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_mac_10g_setup_stratixiv(constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                              constant mm_offset           : in  natural;
-                                              signal   mm_clk              : in  std_logic;
-                                              signal   mm_miso             : in  t_mem_miso;
-                                              signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_mac_10g_setup_arria10(constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                            constant mm_offset           : in  natural;
-                                            signal   mm_clk              : in  std_logic;
-                                            signal   mm_miso             : in  t_mem_miso;
-                                            signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_mac_10g_tx_packet(constant total_header    : in  t_network_total_header;
-                                        constant data_len        : in  natural;  -- in symbols = octets = bytes
-                                        constant c_data_type     : in  natural;  -- c_tb_tech_mac_10g_data_type_*
-                                        constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                                        constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
-                                        signal   ff_clk          : in  std_logic;
-                                        signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
-                                        signal   ff_src_in       : in  t_dp_siso;
-                                        signal   ff_src_out      : out t_dp_sosi);
+  procedure proc_tech_mac_10g_setup (
+    constant c_technology        : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    constant mm_offset           : in  natural;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_mac_10g_setup (
+    constant c_technology        : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_mac_10g_setup_stratixiv (
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    constant mm_offset           : in  natural;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_mac_10g_setup_arria10 (
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    constant mm_offset           : in  natural;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_mac_10g_tx_packet (
+    constant total_header    : in  t_network_total_header;
+    constant data_len        : in  natural;  -- in symbols = octets = bytes
+    constant c_data_type     : in  natural;  -- c_tb_tech_mac_10g_data_type_*
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
+    signal   ff_clk          : in  std_logic;
+    signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
+    signal   ff_src_in       : in  t_dp_siso;
+    signal   ff_src_out      : out t_dp_sosi);
 
   -- Receive and verify packet from the 10G MAC
-  procedure proc_tech_mac_10g_rx_packet(constant total_header : in  t_network_total_header;
-                                        constant c_data_type  : in  natural;  -- c_tb_tech_mac_10g_data_type_*
-                                        signal   ff_clk       : in  std_logic;
-                                        signal   ff_snk_in    : in  t_dp_sosi;
-                                        signal   ff_snk_out   : out t_dp_siso);
+  procedure proc_tech_mac_10g_rx_packet (
+    constant total_header : in  t_network_total_header;
+    constant c_data_type  : in  natural;  -- c_tb_tech_mac_10g_data_type_*
+    signal   ff_clk       : in  std_logic;
+    signal   ff_snk_in    : in  t_dp_sosi;
+    signal   ff_snk_out   : out t_dp_siso);
 
 end tb_tech_mac_10g_pkg;
 
@@ -106,7 +112,7 @@ package body tb_tech_mac_10g_pkg is
   -- GLOBAL ITEMS
   ------------------------------------------------------------------------------
 
-  function func_tech_mac_10g_header_size(data_type : natural) return natural is
+  function func_tech_mac_10g_header_size (data_type : natural) return natural is
   begin
     case data_type is
       when c_tb_tech_mac_10g_data_type_symbols => return c_network_total_header_64b_eth_nof_words;
@@ -118,12 +124,13 @@ package body tb_tech_mac_10g_pkg is
 
 
   -- Configure the 10G MAC
-  procedure proc_tech_mac_10g_setup(constant c_technology        : in  natural;
-                                    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                    constant mm_offset           : in  natural;
-                                    signal   mm_clk              : in  std_logic;
-                                    signal   mm_miso             : in  t_mem_miso;
-                                    signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_mac_10g_setup (
+    constant c_technology        : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    constant mm_offset           : in  natural;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
   begin
     case c_technology is
       when c_tech_stratixiv => proc_tech_mac_10g_setup_stratixiv(src_mac, mm_offset, mm_clk, mm_miso, mm_mosi);
@@ -132,22 +139,24 @@ package body tb_tech_mac_10g_pkg is
     end case;
   end proc_tech_mac_10g_setup;
 
-  procedure proc_tech_mac_10g_setup(constant c_technology        : in  natural;
-                                    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                    signal   mm_clk              : in  std_logic;
-                                    signal   mm_miso             : in  t_mem_miso;
-                                    signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_mac_10g_setup (
+    constant c_technology        : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
   begin
     proc_tech_mac_10g_setup(c_technology, src_mac, 0, mm_clk, mm_miso, mm_mosi);
   end proc_tech_mac_10g_setup;
 
 
   -- . The src_mac[47:0] = 0x123456789ABC for MAC address 12-34-56-78-9A-BC
-  procedure proc_tech_mac_10g_setup_stratixiv(constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                              constant mm_offset           : in  natural;
-                                              signal   mm_clk              : in  std_logic;
-                                              signal   mm_miso             : in  t_mem_miso;
-                                              signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_mac_10g_setup_stratixiv (
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    constant mm_offset           : in  natural;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
     --CONSTANT c_mac0       : INTEGER := TO_SINT(hton(src_mac(31 DOWNTO  0), 4));
     --CONSTANT c_mac1       : INTEGER := TO_SINT(hton(src_mac(47 DOWNTO 32), 2));
     constant c_mac0       : integer := TO_SINT(src_mac(31 downto  0));
@@ -158,8 +167,8 @@ package body tb_tech_mac_10g_pkg is
     proc_mem_mm_bus_rd(mm_offset + 16#0040#,               mm_clk, mm_miso, mm_mosi);  -- RW, rx_padcrc_control = 0x1, remove CRC (use 0x3 to also remove padding)
     proc_mem_mm_bus_rd(mm_offset + 16#0080#,               mm_clk, mm_miso, mm_mosi);  -- RW, rx_padcheck_control = 0x2, check CRC
     proc_mem_mm_bus_rd(mm_offset + 16#0800#,               mm_clk, mm_miso, mm_mosi);  -- RW, rx_frame_control = 0x3, for promiscuous (transparent) mode
-                                                                                     --     [0] = 0 only receive SRC_MAC, 1 accept all unicast
-                                                                                     --     [1] = 0 drop multi cast, 1 to accept all multicast
+    --     [0] = 0 only receive SRC_MAC, 1 accept all unicast
+    --     [1] = 0 drop multi cast, 1 to accept all multicast
     proc_mem_mm_bus_rd(mm_offset + 16#0801#,               mm_clk, mm_miso, mm_mosi);  -- RW, rx_frame_maxlength = 1518 = 0x5EE
     proc_mem_mm_bus_rd(mm_offset + 16#0802#,               mm_clk, mm_miso, mm_mosi);  -- RW, rx_frame_addr0 = 0, e.g. 0x56789ABC,
     proc_mem_mm_bus_rd(mm_offset + 16#0803#,               mm_clk, mm_miso, mm_mosi);  -- RW, rx_frame_addr1 = 0, e.g. 0x1234, for primary SRC_MAC = 12-34-56-78-9A-BC
@@ -195,11 +204,12 @@ package body tb_tech_mac_10g_pkg is
     wait until rising_edge(mm_clk);
   end proc_tech_mac_10g_setup_stratixiv;
 
-  procedure proc_tech_mac_10g_setup_arria10(constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                            constant mm_offset           : in  natural;
-                                            signal   mm_clk              : in  std_logic;
-                                            signal   mm_miso             : in  t_mem_miso;
-                                            signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_mac_10g_setup_arria10 (
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    constant mm_offset           : in  natural;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
   begin
     -- The Low Latency Ethernet 10G MAC uses legacy MAC MM interface, so the register offsets of the 10Gbps Ethernet MAC still apply
     proc_tech_mac_10g_setup_stratixiv(src_mac, mm_offset, mm_clk, mm_miso, mm_mosi);
@@ -209,15 +219,16 @@ package body tb_tech_mac_10g_pkg is
   -- . Use word aligned payload data, so with padding inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tech_mac_10g_tx_packet(constant total_header    : in  t_network_total_header;
-                                        constant data_len        : in  natural;  -- in symbols = octets = bytes
-                                        constant c_data_type     : in  natural;  -- c_tb_tech_mac_10g_data_type_*
-                                        constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                                        constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
-                                        signal   ff_clk          : in  std_logic;
-                                        signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
-                                        signal   ff_src_in       : in  t_dp_siso;
-                                        signal   ff_src_out      : out t_dp_sosi) is
+  procedure proc_tech_mac_10g_tx_packet (
+    constant total_header    : in  t_network_total_header;
+    constant data_len        : in  natural;  -- in symbols = octets = bytes
+    constant c_data_type     : in  natural;  -- c_tb_tech_mac_10g_data_type_*
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
+    signal   ff_clk          : in  std_logic;
+    signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
+    signal   ff_src_in       : in  t_dp_siso;
+    signal   ff_src_out      : out t_dp_sosi) is
     constant c_arp_words_arr  : t_network_total_header_64b_arr := func_network_total_header_construct_arp( total_header.eth, total_header.arp);
     constant c_icmp_words_arr : t_network_total_header_64b_arr := func_network_total_header_construct_icmp(total_header.eth, total_header.ip, total_header.icmp);
     constant c_udp_words_arr  : t_network_total_header_64b_arr := func_network_total_header_construct_udp( total_header.eth, total_header.ip, total_header.udp);
@@ -320,11 +331,12 @@ package body tb_tech_mac_10g_pkg is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_tech_mac_10g_rx_packet(constant total_header : in  t_network_total_header;
-                                        constant c_data_type  : in  natural;  -- c_tb_tech_mac_10g_data_type_*
-                                        signal   ff_clk       : in  std_logic;
-                                        signal   ff_snk_in    : in  t_dp_sosi;
-                                        signal   ff_snk_out   : out t_dp_siso) is
+  procedure proc_tech_mac_10g_rx_packet (
+    constant total_header : in  t_network_total_header;
+    constant c_data_type  : in  natural;  -- c_tb_tech_mac_10g_data_type_*
+    signal   ff_clk       : in  std_logic;
+    signal   ff_snk_in    : in  t_dp_sosi;
+    signal   ff_snk_out   : out t_dp_siso) is
     constant c_eth_header     : t_network_eth_header := total_header.eth;
     constant c_arp_words_arr  : t_network_total_header_64b_arr := func_network_total_header_construct_arp( total_header.eth, total_header.arp);
     constant c_icmp_words_arr : t_network_total_header_64b_arr := func_network_total_header_construct_icmp(total_header.eth, total_header.ip, total_header.icmp);
@@ -435,33 +447,33 @@ package body tb_tech_mac_10g_pkg is
         v_data      := ff_snk_in.data(63 downto 0);
         v_empty     := to_integer(unsigned(ff_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0)));
         --IF v_empty < 4 THEN
-          for J in v_empty - 1 downto 0 loop
-            v_prev_data((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w) := (others => '0');
-          end loop;
-          case c_data_type is
-            when c_tb_tech_mac_10g_data_type_counter =>
-              -- data : X"00000000_00000001", X"00000000_00000002", X"00000000_00000003", etc
-              v_num := v_num + 1;
-              for J in v_empty - 1 downto 0 loop
-                v_num((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w) := (others => '0');  -- force CRC32 symbols in last data word to 0
-              end loop;
-              if unsigned(v_prev_data) /= 0 then  -- do not verify zero padding
-                assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
+        for J in v_empty - 1 downto 0 loop
+          v_prev_data((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w) := (others => '0');
+        end loop;
+        case c_data_type is
+          when c_tb_tech_mac_10g_data_type_counter =>
+            -- data : X"00000000_00000001", X"00000000_00000002", X"00000000_00000003", etc
+            v_num := v_num + 1;
+            for J in v_empty - 1 downto 0 loop
+              v_num((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w) := (others => '0');  -- force CRC32 symbols in last data word to 0
+            end loop;
+            if unsigned(v_prev_data) /= 0 then  -- do not verify zero padding
+              assert unsigned(v_prev_data) = v_num report "RX: Wrong empty data word" severity ERROR;
+            end if;
+          when others =>
+            -- data : X"01020304_05060708", X"090A0B0C_0D0E0F10", X"11121314_15161718", etc
+            for J in c_tech_mac_10g_symbols_per_beat - 1 downto v_empty loop  -- ignore CRC32 symbols in last data word
+              v_sym := v_sym + 1;
+              if unsigned(v_prev_data((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w)) /= 0 then  -- do not verify zero padding
+                assert unsigned(v_prev_data((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w)) = v_sym report "RX: Wrong empty data symbol" severity ERROR;
+                v_sym := v_sym + J;
+                exit;
               end if;
-            when others =>
-              -- data : X"01020304_05060708", X"090A0B0C_0D0E0F10", X"11121314_15161718", etc
-              for J in c_tech_mac_10g_symbols_per_beat - 1 downto v_empty loop  -- ignore CRC32 symbols in last data word
-                v_sym := v_sym + 1;
-                if unsigned(v_prev_data((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w)) /= 0 then  -- do not verify zero padding
-                  assert unsigned(v_prev_data((J + 1) * c_tech_mac_10g_symbol_w - 1 downto J * c_tech_mac_10g_symbol_w)) = v_sym report "RX: Wrong empty data symbol" severity ERROR;
-                  v_sym := v_sym + J;
-                  exit;
-                end if;
-              end loop;
-          end case;
-        --END IF;
+            end loop;
+        end case;
+      --END IF;
       end if;
-      -- No verify on CRC32 word
+    -- No verify on CRC32 word
     end if;
   end proc_tech_mac_10g_rx_packet;
 
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_receiver.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_receiver.vhd
index 4ce2b7b3b9..01ccb7d73e 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_receiver.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_receiver.vhd
@@ -23,12 +23,12 @@
 -- Description:
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use WORK.tb_tech_mac_10g_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use WORK.tb_tech_mac_10g_pkg.all;
 
 
 entity tb_tech_mac_10_receiver is
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_setup.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_setup.vhd
index 5767012d23..fbad7226fe 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_setup.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_setup.vhd
@@ -23,15 +23,15 @@
 -- Description:
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.tb_common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tb_tech_mac_10g_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tb_tech_mac_10g_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 
 entity tb_tech_mac_10g_setup is
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_simulation_end.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_simulation_end.vhd
index 6a91554567..7ce012794f 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_simulation_end.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_simulation_end.vhd
@@ -25,9 +25,9 @@
 --    components and verification components.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 
 entity tb_tech_mac_10g_simulation_end is
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_transmitter.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_transmitter.vhd
index f9c51c0fc1..a8f79a7c8c 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_transmitter.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_transmitter.vhd
@@ -35,13 +35,13 @@
 --
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use WORK.tech_mac_10g_component_pkg.all;
-use WORK.tb_tech_mac_10g_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use WORK.tech_mac_10g_component_pkg.all;
+  use WORK.tb_tech_mac_10g_pkg.all;
 
 
 entity tb_tech_mac_10g_transmitter is
@@ -50,7 +50,7 @@ entity tb_tech_mac_10g_transmitter is
     --   g_data_type = c_tb_tech_mac_10g_data_type_counter  = 1
     g_data_type             : natural := c_tb_tech_mac_10g_data_type_counter;
     g_pkt_length_arr1       : t_nat_natural_arr := array_init(0, 50, 1) & (1472, 1473) & 9000;  -- frame longer than 1518-46 = 1472 is received with rx_sosi.err = 8
-                                                                                                -- jumbo frame is 9018-46 = 8972
+    -- jumbo frame is 9018-46 = 8972
     g_pkt_length_arr2       : t_nat_natural_arr := array_init(46, 10, 139) & 1472;
     g_verify_link_recovery  : boolean := false
   );
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_at_eop.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_at_eop.vhd
index a6ef18cc65..8fdcaa6981 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_at_eop.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_at_eop.vhd
@@ -24,12 +24,12 @@
 --   Based on the transmitted data at eop verify the received data at eop.
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use WORK.tb_tech_mac_10g_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use WORK.tb_tech_mac_10g_pkg.all;
 
 
 entity tb_tech_mac_10_verify_rx_at_eop is
diff --git a/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_pkt_cnt.vhd b/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_pkt_cnt.vhd
index 83a647e1d0..242eec5293 100644
--- a/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_pkt_cnt.vhd
+++ b/libraries/technology/mac_10g/tb_tech_mac_10g_verify_rx_pkt_cnt.vhd
@@ -25,8 +25,8 @@
 
 
 library IEEE, dp_lib;
-use IEEE.std_logic_1164.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use dp_lib.dp_stream_pkg.all;
 
 
 entity tb_tech_mac_10g_verify_rx_pkt_cnt is
diff --git a/libraries/technology/mac_10g/tech_mac_10g.vhd b/libraries/technology/mac_10g/tech_mac_10g.vhd
index 39d9bb0db7..0b3f28af67 100644
--- a/libraries/technology/mac_10g/tech_mac_10g.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g.vhd
@@ -72,15 +72,15 @@
 --
 
 library IEEE, common_lib, dp_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 entity tech_mac_10g is
   generic (
@@ -147,7 +147,7 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : entity work.tech_mac_10g_stratixiv
-    port map (mm_clk, mm_rst, csr_mosi, csr_miso,
+      port map (mm_clk, mm_rst, csr_mosi, csr_miso,
               tx_clk_156, tx_rst, tx_mac_snk_in, tx_mac_snk_out,
               rx_clk_156, rx_rst, rx_mac_src_out, rx_mac_src_in,
               xgmii_link_status, xgmii_tx_data, xgmii_rx_data);
@@ -155,7 +155,7 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : entity work.tech_mac_10g_arria10
-    port map (mm_clk, mm_rst, csr_mosi, csr_miso,
+      port map (mm_clk, mm_rst, csr_mosi, csr_miso,
               tx_clk_312, tx_clk_156, tx_rst, tx_mac_snk_in, tx_mac_snk_out,
               rx_clk_312, rx_clk_156, rx_rst, rx_mac_src_out, rx_mac_src_in,
               xgmii_link_status, xgmii_tx_data, xgmii_rx_data);
@@ -163,7 +163,7 @@ begin
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : entity work.tech_mac_10g_arria10_e3sge3
-    port map (mm_clk, mm_rst, csr_mosi, csr_miso,
+      port map (mm_clk, mm_rst, csr_mosi, csr_miso,
               tx_clk_312, tx_clk_156, tx_rst, tx_mac_snk_in, tx_mac_snk_out,
               rx_clk_312, rx_clk_156, rx_rst, rx_mac_src_out, rx_mac_src_in,
               xgmii_link_status, xgmii_tx_data, xgmii_rx_data);
@@ -171,7 +171,7 @@ begin
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : entity work.tech_mac_10g_arria10_e1sg
-    port map (mm_clk, mm_rst, csr_mosi, csr_miso,
+      port map (mm_clk, mm_rst, csr_mosi, csr_miso,
               tx_clk_312, tx_clk_156, tx_rst, tx_mac_snk_in, tx_mac_snk_out,
               rx_clk_312, rx_clk_156, rx_rst, rx_mac_src_out, rx_mac_src_in,
               xgmii_link_status, xgmii_tx_data, xgmii_rx_data);
@@ -179,7 +179,7 @@ begin
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : entity work.tech_mac_10g_arria10_e2sg
-    port map (mm_clk, mm_rst, csr_mosi, csr_miso,
+      port map (mm_clk, mm_rst, csr_mosi, csr_miso,
               tx_clk_312, tx_clk_156, tx_rst, tx_mac_snk_in, tx_mac_snk_out,
               rx_clk_312, rx_clk_156, rx_rst, rx_mac_src_out, rx_mac_src_in,
               xgmii_link_status, xgmii_tx_data, xgmii_rx_data);
@@ -200,19 +200,19 @@ begin
   -- If g_pre_header_padding is TRUE then tx remove pre header padding else bypass
   gen_tx_remove : if g_pre_header_padding = true generate
     u_tx_dp_pad_remove : entity dp_lib.dp_pad_remove
-    generic map (
-      g_data_w          => c_tech_mac_10g_data_w,
-      g_symbol_w        => c_tech_mac_10g_symbol_w,
-      g_nof_padding     => c_network_total_header_64b_align_len
-    )
-    port map (
-      rst     => tx_rst,
-      clk     => tx_clk_156,
-      snk_out => tx_snk_out,
-      snk_in  => tx_snk_in,
-      src_in  => tx_remove_snk_out,
-      src_out => tx_remove_snk_in
-    );
+      generic map (
+        g_data_w          => c_tech_mac_10g_data_w,
+        g_symbol_w        => c_tech_mac_10g_symbol_w,
+        g_nof_padding     => c_network_total_header_64b_align_len
+      )
+      port map (
+        rst     => tx_rst,
+        clk     => tx_clk_156,
+        snk_out => tx_snk_out,
+        snk_in  => tx_snk_in,
+        src_in  => tx_remove_snk_out,
+        src_out => tx_remove_snk_in
+      );
   end generate;
 
   no_tx_remove : if g_pre_header_padding = false generate
@@ -222,18 +222,18 @@ begin
 
 
   u_tx_dp_latency_adapter : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => 1,
-    g_out_latency => c_ip_tx_ready_latency
-  )
-  port map (
-    rst       => tx_rst,
-    clk       => tx_clk_156,
-    snk_out   => tx_remove_snk_out,
-    snk_in    => tx_remove_snk_in,
-    src_in    => tx_mac_snk_out,
-    src_out   => tx_mac_snk_in
-  );
+    generic map (
+      g_in_latency  => 1,
+      g_out_latency => c_ip_tx_ready_latency
+    )
+    port map (
+      rst       => tx_rst,
+      clk       => tx_clk_156,
+      snk_out   => tx_remove_snk_out,
+      snk_in    => tx_remove_snk_in,
+      src_in    => tx_mac_snk_out,
+      src_out   => tx_mac_snk_in
+    );
 
 
   -----------------------------------------------------------------------------
@@ -241,52 +241,52 @@ begin
   -----------------------------------------------------------------------------
 
   u_rx_dp_latency_adapter : entity dp_lib.dp_latency_adapter
-  generic map (
-    g_in_latency  => c_ip_rx_ready_latency,
-    g_out_latency => 1
-  )
-  port map (
-    rst       => rx_rst,
-    clk       => rx_clk_156,
-    snk_out   => rx_mac_src_in,
-    snk_in    => rx_mac_src_out,
-    src_in    => rx_mac_src_in_rl1,
-    src_out   => rx_mac_src_out_rl1
-  );
-
-  -- If g_pre_header_padding is TRUE then rx insert pre header padding to data word align the payload else bypass
-  gen_rx_insert : if g_pre_header_padding = true generate
-    -- Need FIFO to avoid that with dp_pad_insert flow control stops the rx MAC
-    u_rx_dp_latency_fifo : entity dp_lib.dp_latency_fifo
     generic map (
-      g_fifo_size  => c_fifo_size
+      g_in_latency  => c_ip_rx_ready_latency,
+      g_out_latency => 1
     )
     port map (
       rst       => rx_rst,
       clk       => rx_clk_156,
-      usedw     => fifo_usedw,
-      wr_ful    => fifo_ful,
-      rd_emp    => fifo_emp,
-      snk_out   => rx_mac_src_in_rl1,
-      snk_in    => rx_mac_src_out_rl1,
-      src_in    => rx_fifo_src_in,
-      src_out   => rx_fifo_src_out
+      snk_out   => rx_mac_src_in,
+      snk_in    => rx_mac_src_out,
+      src_in    => rx_mac_src_in_rl1,
+      src_out   => rx_mac_src_out_rl1
     );
 
+  -- If g_pre_header_padding is TRUE then rx insert pre header padding to data word align the payload else bypass
+  gen_rx_insert : if g_pre_header_padding = true generate
+    -- Need FIFO to avoid that with dp_pad_insert flow control stops the rx MAC
+    u_rx_dp_latency_fifo : entity dp_lib.dp_latency_fifo
+      generic map (
+        g_fifo_size  => c_fifo_size
+      )
+      port map (
+        rst       => rx_rst,
+        clk       => rx_clk_156,
+        usedw     => fifo_usedw,
+        wr_ful    => fifo_ful,
+        rd_emp    => fifo_emp,
+        snk_out   => rx_mac_src_in_rl1,
+        snk_in    => rx_mac_src_out_rl1,
+        src_in    => rx_fifo_src_in,
+        src_out   => rx_fifo_src_out
+      );
+
     u_rx_dp_pad_insert : entity dp_lib.dp_pad_insert
-    generic map (
-      g_data_w          => c_tech_mac_10g_data_w,
-      g_symbol_w        => c_tech_mac_10g_symbol_w,
-      g_nof_padding     => c_network_total_header_64b_align_len
-    )
-    port map (
-      rst     => rx_rst,
-      clk     => rx_clk_156,
-      snk_out => rx_fifo_src_in,
-      snk_in  => rx_fifo_src_out,
-      src_in  => rx_insert_src_in,
-      src_out => rx_insert_src_out
-    );
+      generic map (
+        g_data_w          => c_tech_mac_10g_data_w,
+        g_symbol_w        => c_tech_mac_10g_symbol_w,
+        g_nof_padding     => c_network_total_header_64b_align_len
+      )
+      port map (
+        rst     => rx_rst,
+        clk     => rx_clk_156,
+        snk_out => rx_fifo_src_in,
+        snk_in  => rx_fifo_src_out,
+        src_in  => rx_insert_src_in,
+        src_out => rx_insert_src_out
+      );
 
     rx_insert_src_in <= rx_src_in;
     rx_src_out       <= rx_insert_src_out;
diff --git a/libraries/technology/mac_10g/tech_mac_10g_arria10.vhd b/libraries/technology/mac_10g/tech_mac_10g_arria10.vhd
index dfc7d3ed6a..82a4b19e9f 100644
--- a/libraries/technology/mac_10g/tech_mac_10g_arria10.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g_arria10.vhd
@@ -24,13 +24,13 @@
 library ip_arria10_mac_10g_alt_em10g32_150;
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 entity tech_mac_10g_arria10 is
   port (
@@ -88,58 +88,58 @@ begin
   end process;
 
   u_ip_arria10_mac_10g : ip_arria10_mac_10g
-  port map (
-    csr_clk                         => mm_clk,
-    csr_rst_n                       => mm_rst_n,
-
-    csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
-    csr_read                        => csr_mosi.rd,
-    csr_write                       => csr_mosi.wr,
-    csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_waitrequest                 => csr_miso.waitrequest,
-
-    tx_312_5_clk                    => tx_clk_312,
-    tx_156_25_clk                   => tx_clk_156,
-    tx_rst_n                        => tx_rst_n,
-
-    avalon_st_tx_ready              => tx_snk_out.ready,
-    avalon_st_tx_startofpacket      => tx_snk_in.sop,
-    avalon_st_tx_endofpacket        => tx_snk_in.eop,
-    avalon_st_tx_valid              => tx_snk_in.valid,
-    avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
-    avalon_st_pause_data            => (others => '0'),
-
-    xgmii_tx                        => xgmii_tx_data,  -- 72 bit
-
-    avalon_st_txstatus_valid        => OPEN,
-    avalon_st_txstatus_data         => OPEN,
-    avalon_st_txstatus_error        => OPEN,
-
-    rx_312_5_clk                    => rx_clk_312,
-    rx_156_25_clk                   => rx_clk_156,
-    rx_rst_n                        => rx_rst_n,
-
-    xgmii_rx                        => xgmii_rx_data,  -- 72 bit
-
-    avalon_st_rx_ready              => rx_src_in.ready,
-    avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
-    avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
-    avalon_st_rx_valid              => avalon_rx_src_out.valid,
-    avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
-
-    avalon_st_rxstatus_valid        => OPEN,
-    avalon_st_rxstatus_data         => OPEN,
-    avalon_st_rxstatus_error        => OPEN,
-
-    link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
-
-    unidirectional_en               => OPEN,
-    unidirectional_remote_fault_dis => open
-  );
+    port map (
+      csr_clk                         => mm_clk,
+      csr_rst_n                       => mm_rst_n,
+
+      csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
+      csr_read                        => csr_mosi.rd,
+      csr_write                       => csr_mosi.wr,
+      csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_waitrequest                 => csr_miso.waitrequest,
+
+      tx_312_5_clk                    => tx_clk_312,
+      tx_156_25_clk                   => tx_clk_156,
+      tx_rst_n                        => tx_rst_n,
+
+      avalon_st_tx_ready              => tx_snk_out.ready,
+      avalon_st_tx_startofpacket      => tx_snk_in.sop,
+      avalon_st_tx_endofpacket        => tx_snk_in.eop,
+      avalon_st_tx_valid              => tx_snk_in.valid,
+      avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
+      avalon_st_pause_data            => (others => '0'),
+
+      xgmii_tx                        => xgmii_tx_data,  -- 72 bit
+
+      avalon_st_txstatus_valid        => OPEN,
+      avalon_st_txstatus_data         => OPEN,
+      avalon_st_txstatus_error        => OPEN,
+
+      rx_312_5_clk                    => rx_clk_312,
+      rx_156_25_clk                   => rx_clk_156,
+      rx_rst_n                        => rx_rst_n,
+
+      xgmii_rx                        => xgmii_rx_data,  -- 72 bit
+
+      avalon_st_rx_ready              => rx_src_in.ready,
+      avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
+      avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
+      avalon_st_rx_valid              => avalon_rx_src_out.valid,
+      avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
+
+      avalon_st_rxstatus_valid        => OPEN,
+      avalon_st_rxstatus_data         => OPEN,
+      avalon_st_rxstatus_error        => OPEN,
+
+      link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
+
+      unidirectional_en               => OPEN,
+      unidirectional_remote_fault_dis => open
+    );
 
 end str;
diff --git a/libraries/technology/mac_10g/tech_mac_10g_arria10_e1sg.vhd b/libraries/technology/mac_10g/tech_mac_10g_arria10_e1sg.vhd
index 16e0c62c8e..a28586ae05 100644
--- a/libraries/technology/mac_10g/tech_mac_10g_arria10_e1sg.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g_arria10_e1sg.vhd
@@ -24,13 +24,13 @@
 library ip_arria10_e1sg_mac_10g_alt_em10g32_180;
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 entity tech_mac_10g_arria10_e1sg is
   port (
@@ -88,58 +88,58 @@ begin
   end process;
 
   u_ip_arria10_e1sg_mac_10g : ip_arria10_e1sg_mac_10g
-  port map (
-    csr_clk                         => mm_clk,
-    csr_rst_n                       => mm_rst_n,
-
-    csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
-    csr_read                        => csr_mosi.rd,
-    csr_write                       => csr_mosi.wr,
-    csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_waitrequest                 => csr_miso.waitrequest,
-
-    tx_312_5_clk                    => tx_clk_312,
-    tx_156_25_clk                   => tx_clk_156,
-    tx_rst_n                        => tx_rst_n,
-
-    avalon_st_tx_ready              => tx_snk_out.ready,
-    avalon_st_tx_startofpacket      => tx_snk_in.sop,
-    avalon_st_tx_endofpacket        => tx_snk_in.eop,
-    avalon_st_tx_valid              => tx_snk_in.valid,
-    avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
-    avalon_st_pause_data            => (others => '0'),
-
-    xgmii_tx                        => xgmii_tx_data,  -- 72 bit
-
-    avalon_st_txstatus_valid        => OPEN,
-    avalon_st_txstatus_data         => OPEN,
-    avalon_st_txstatus_error        => OPEN,
-
-    rx_312_5_clk                    => rx_clk_312,
-    rx_156_25_clk                   => rx_clk_156,
-    rx_rst_n                        => rx_rst_n,
-
-    xgmii_rx                        => xgmii_rx_data,  -- 72 bit
-
-    avalon_st_rx_ready              => rx_src_in.ready,
-    avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
-    avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
-    avalon_st_rx_valid              => avalon_rx_src_out.valid,
-    avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
-
-    avalon_st_rxstatus_valid        => OPEN,
-    avalon_st_rxstatus_data         => OPEN,
-    avalon_st_rxstatus_error        => OPEN,
-
-    link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
-
-    unidirectional_en               => OPEN,
-    unidirectional_remote_fault_dis => open
-  );
+    port map (
+      csr_clk                         => mm_clk,
+      csr_rst_n                       => mm_rst_n,
+
+      csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
+      csr_read                        => csr_mosi.rd,
+      csr_write                       => csr_mosi.wr,
+      csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_waitrequest                 => csr_miso.waitrequest,
+
+      tx_312_5_clk                    => tx_clk_312,
+      tx_156_25_clk                   => tx_clk_156,
+      tx_rst_n                        => tx_rst_n,
+
+      avalon_st_tx_ready              => tx_snk_out.ready,
+      avalon_st_tx_startofpacket      => tx_snk_in.sop,
+      avalon_st_tx_endofpacket        => tx_snk_in.eop,
+      avalon_st_tx_valid              => tx_snk_in.valid,
+      avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
+      avalon_st_pause_data            => (others => '0'),
+
+      xgmii_tx                        => xgmii_tx_data,  -- 72 bit
+
+      avalon_st_txstatus_valid        => OPEN,
+      avalon_st_txstatus_data         => OPEN,
+      avalon_st_txstatus_error        => OPEN,
+
+      rx_312_5_clk                    => rx_clk_312,
+      rx_156_25_clk                   => rx_clk_156,
+      rx_rst_n                        => rx_rst_n,
+
+      xgmii_rx                        => xgmii_rx_data,  -- 72 bit
+
+      avalon_st_rx_ready              => rx_src_in.ready,
+      avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
+      avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
+      avalon_st_rx_valid              => avalon_rx_src_out.valid,
+      avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
+
+      avalon_st_rxstatus_valid        => OPEN,
+      avalon_st_rxstatus_data         => OPEN,
+      avalon_st_rxstatus_error        => OPEN,
+
+      link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
+
+      unidirectional_en               => OPEN,
+      unidirectional_remote_fault_dis => open
+    );
 
 end str;
diff --git a/libraries/technology/mac_10g/tech_mac_10g_arria10_e2sg.vhd b/libraries/technology/mac_10g/tech_mac_10g_arria10_e2sg.vhd
index 3d3750d411..5ad7ad8433 100644
--- a/libraries/technology/mac_10g/tech_mac_10g_arria10_e2sg.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g_arria10_e2sg.vhd
@@ -24,13 +24,13 @@
 library ip_arria10_e2sg_mac_10g_alt_em10g32_1930;
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 entity tech_mac_10g_arria10_e2sg is
   port (
@@ -88,58 +88,58 @@ begin
   end process;
 
   u_ip_arria10_e2sg_mac_10g : ip_arria10_e2sg_mac_10g
-  port map (
-    csr_clk                         => mm_clk,
-    csr_rst_n                       => mm_rst_n,
-
-    csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
-    csr_read                        => csr_mosi.rd,
-    csr_write                       => csr_mosi.wr,
-    csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_waitrequest                 => csr_miso.waitrequest,
-
-    tx_312_5_clk                    => tx_clk_312,
-    tx_156_25_clk                   => tx_clk_156,
-    tx_rst_n                        => tx_rst_n,
-
-    avalon_st_tx_ready              => tx_snk_out.ready,
-    avalon_st_tx_startofpacket      => tx_snk_in.sop,
-    avalon_st_tx_endofpacket        => tx_snk_in.eop,
-    avalon_st_tx_valid              => tx_snk_in.valid,
-    avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
-    avalon_st_pause_data            => (others => '0'),
-
-    xgmii_tx                        => xgmii_tx_data,  -- 72 bit
-
-    avalon_st_txstatus_valid        => OPEN,
-    avalon_st_txstatus_data         => OPEN,
-    avalon_st_txstatus_error        => OPEN,
-
-    rx_312_5_clk                    => rx_clk_312,
-    rx_156_25_clk                   => rx_clk_156,
-    rx_rst_n                        => rx_rst_n,
-
-    xgmii_rx                        => xgmii_rx_data,  -- 72 bit
-
-    avalon_st_rx_ready              => rx_src_in.ready,
-    avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
-    avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
-    avalon_st_rx_valid              => avalon_rx_src_out.valid,
-    avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
-
-    avalon_st_rxstatus_valid        => OPEN,
-    avalon_st_rxstatus_data         => OPEN,
-    avalon_st_rxstatus_error        => OPEN,
-
-    link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
-
-    unidirectional_en               => OPEN,
-    unidirectional_remote_fault_dis => open
-  );
+    port map (
+      csr_clk                         => mm_clk,
+      csr_rst_n                       => mm_rst_n,
+
+      csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
+      csr_read                        => csr_mosi.rd,
+      csr_write                       => csr_mosi.wr,
+      csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_waitrequest                 => csr_miso.waitrequest,
+
+      tx_312_5_clk                    => tx_clk_312,
+      tx_156_25_clk                   => tx_clk_156,
+      tx_rst_n                        => tx_rst_n,
+
+      avalon_st_tx_ready              => tx_snk_out.ready,
+      avalon_st_tx_startofpacket      => tx_snk_in.sop,
+      avalon_st_tx_endofpacket        => tx_snk_in.eop,
+      avalon_st_tx_valid              => tx_snk_in.valid,
+      avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
+      avalon_st_pause_data            => (others => '0'),
+
+      xgmii_tx                        => xgmii_tx_data,  -- 72 bit
+
+      avalon_st_txstatus_valid        => OPEN,
+      avalon_st_txstatus_data         => OPEN,
+      avalon_st_txstatus_error        => OPEN,
+
+      rx_312_5_clk                    => rx_clk_312,
+      rx_156_25_clk                   => rx_clk_156,
+      rx_rst_n                        => rx_rst_n,
+
+      xgmii_rx                        => xgmii_rx_data,  -- 72 bit
+
+      avalon_st_rx_ready              => rx_src_in.ready,
+      avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
+      avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
+      avalon_st_rx_valid              => avalon_rx_src_out.valid,
+      avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
+
+      avalon_st_rxstatus_valid        => OPEN,
+      avalon_st_rxstatus_data         => OPEN,
+      avalon_st_rxstatus_error        => OPEN,
+
+      link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
+
+      unidirectional_en               => OPEN,
+      unidirectional_remote_fault_dis => open
+    );
 
 end str;
diff --git a/libraries/technology/mac_10g/tech_mac_10g_arria10_e3sge3.vhd b/libraries/technology/mac_10g/tech_mac_10g_arria10_e3sge3.vhd
index a2378fe317..5b0cd7a159 100644
--- a/libraries/technology/mac_10g/tech_mac_10g_arria10_e3sge3.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g_arria10_e3sge3.vhd
@@ -24,13 +24,13 @@
 library ip_arria10_e3sge3_mac_10g_alt_em10g32_151;
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 entity tech_mac_10g_arria10_e3sge3 is
   port (
@@ -88,58 +88,58 @@ begin
   end process;
 
   u_ip_arria10_e3sge3_mac_10g : ip_arria10_e3sge3_mac_10g
-  port map (
-    csr_clk                         => mm_clk,
-    csr_rst_n                       => mm_rst_n,
-
-    csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
-    csr_read                        => csr_mosi.rd,
-    csr_write                       => csr_mosi.wr,
-    csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_waitrequest                 => csr_miso.waitrequest,
-
-    tx_312_5_clk                    => tx_clk_312,
-    tx_156_25_clk                   => tx_clk_156,
-    tx_rst_n                        => tx_rst_n,
-
-    avalon_st_tx_ready              => tx_snk_out.ready,
-    avalon_st_tx_startofpacket      => tx_snk_in.sop,
-    avalon_st_tx_endofpacket        => tx_snk_in.eop,
-    avalon_st_tx_valid              => tx_snk_in.valid,
-    avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
-    avalon_st_pause_data            => (others => '0'),
-
-    xgmii_tx                        => xgmii_tx_data,  -- 72 bit
-
-    avalon_st_txstatus_valid        => OPEN,
-    avalon_st_txstatus_data         => OPEN,
-    avalon_st_txstatus_error        => OPEN,
-
-    rx_312_5_clk                    => rx_clk_312,
-    rx_156_25_clk                   => rx_clk_156,
-    rx_rst_n                        => rx_rst_n,
-
-    xgmii_rx                        => xgmii_rx_data,  -- 72 bit
-
-    avalon_st_rx_ready              => rx_src_in.ready,
-    avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
-    avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
-    avalon_st_rx_valid              => avalon_rx_src_out.valid,
-    avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
-
-    avalon_st_rxstatus_valid        => OPEN,
-    avalon_st_rxstatus_data         => OPEN,
-    avalon_st_rxstatus_error        => OPEN,
-
-    link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
-
-    unidirectional_en               => OPEN,
-    unidirectional_remote_fault_dis => open
-  );
+    port map (
+      csr_clk                         => mm_clk,
+      csr_rst_n                       => mm_rst_n,
+
+      csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
+      csr_read                        => csr_mosi.rd,
+      csr_write                       => csr_mosi.wr,
+      csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_waitrequest                 => csr_miso.waitrequest,
+
+      tx_312_5_clk                    => tx_clk_312,
+      tx_156_25_clk                   => tx_clk_156,
+      tx_rst_n                        => tx_rst_n,
+
+      avalon_st_tx_ready              => tx_snk_out.ready,
+      avalon_st_tx_startofpacket      => tx_snk_in.sop,
+      avalon_st_tx_endofpacket        => tx_snk_in.eop,
+      avalon_st_tx_valid              => tx_snk_in.valid,
+      avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_tx_error              => tx_snk_in.err(0),  -- 1 bit std_logic = c_tech_mac_10g_tx_error_w
+      avalon_st_pause_data            => (others => '0'),
+
+      xgmii_tx                        => xgmii_tx_data,  -- 72 bit
+
+      avalon_st_txstatus_valid        => OPEN,
+      avalon_st_txstatus_data         => OPEN,
+      avalon_st_txstatus_error        => OPEN,
+
+      rx_312_5_clk                    => rx_clk_312,
+      rx_156_25_clk                   => rx_clk_156,
+      rx_rst_n                        => rx_rst_n,
+
+      xgmii_rx                        => xgmii_rx_data,  -- 72 bit
+
+      avalon_st_rx_ready              => rx_src_in.ready,
+      avalon_st_rx_startofpacket      => avalon_rx_src_out.sop,
+      avalon_st_rx_endofpacket        => avalon_rx_src_out.eop,
+      avalon_st_rx_valid              => avalon_rx_src_out.valid,
+      avalon_st_rx_data               => avalon_rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_rx_empty              => avalon_rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_rx_error              => avalon_rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
+
+      avalon_st_rxstatus_valid        => OPEN,
+      avalon_st_rxstatus_data         => OPEN,
+      avalon_st_rxstatus_error        => OPEN,
+
+      link_fault_status_xgmii_rx_data => xgmii_link_status,  -- 0=ok, 1=local fault, 2=remote fault
+
+      unidirectional_en               => OPEN,
+      unidirectional_remote_fault_dis => open
+    );
 
 end str;
diff --git a/libraries/technology/mac_10g/tech_mac_10g_component_pkg.vhd b/libraries/technology/mac_10g/tech_mac_10g_component_pkg.vhd
index a8559db922..e7a6b2d9ac 100644
--- a/libraries/technology/mac_10g/tech_mac_10g_component_pkg.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g_component_pkg.vhd
@@ -23,14 +23,14 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_mac_10g_component_pkg is
 
-  function func_tech_mac_10g_csr_addr_w(c_technology : natural) return natural;
+  function func_tech_mac_10g_csr_addr_w (c_technology : natural) return natural;
 
   constant c_tech_mac_10g_link_status_w        : natural := 2;
 
@@ -54,44 +54,44 @@ package tech_mac_10g_component_pkg is
 
   -- Copied from entity $HDL_BUILD_DIR/ip_stratixiv_mac_10g_sim/ip_stratixiv_mac_10g.vhd
   component ip_stratixiv_mac_10g is
-  port (
-    csr_clk_clk                     : in  std_logic                     := '0';  -- csr_clk.clk
-    csr_reset_reset_n               : in  std_logic                     := '0';  -- csr_reset.reset_n
-    csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- csr.address
-    csr_waitrequest                 : out std_logic;  -- .waitrequest
-    csr_read                        : in  std_logic                     := '0';  -- .read
-    csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
-    csr_write                       : in  std_logic                     := '0';  -- .write
-    csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    tx_clk_clk                      : in  std_logic                     := '0';  -- tx_clk.clk
-    tx_reset_reset_n                : in  std_logic                     := '0';  -- tx_reset.reset_n
-    avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
-    avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
-    avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
-    avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
-    avalon_st_tx_ready              : out std_logic;  -- .ready
-    avalon_st_tx_error              : in  std_logic_vector(0 downto 0)  := (others => '0');  -- .error
-    avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
-    avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
-    xgmii_tx_data                   : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
-    avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
-    avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    rx_clk_clk                      : in  std_logic                     := '0';  -- rx_clk.clk
-    rx_reset_reset_n                : in  std_logic                     := '0';  -- rx_reset.reset_n
-    xgmii_rx_data                   : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
-    avalon_st_rx_startofpacket      : out std_logic;  -- avalon_st_rx.startofpacket
-    avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
-    avalon_st_rx_valid              : out std_logic;  -- .valid
-    avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
-    avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- .data
-    avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
-    avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
-    avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
-    avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0)  -- link_fault_status_xgmii_rx.data
-  );
+    port (
+      csr_clk_clk                     : in  std_logic                     := '0';  -- csr_clk.clk
+      csr_reset_reset_n               : in  std_logic                     := '0';  -- csr_reset.reset_n
+      csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- csr.address
+      csr_waitrequest                 : out std_logic;  -- .waitrequest
+      csr_read                        : in  std_logic                     := '0';  -- .read
+      csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
+      csr_write                       : in  std_logic                     := '0';  -- .write
+      csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      tx_clk_clk                      : in  std_logic                     := '0';  -- tx_clk.clk
+      tx_reset_reset_n                : in  std_logic                     := '0';  -- tx_reset.reset_n
+      avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
+      avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
+      avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
+      avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
+      avalon_st_tx_ready              : out std_logic;  -- .ready
+      avalon_st_tx_error              : in  std_logic_vector(0 downto 0)  := (others => '0');  -- .error
+      avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
+      avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
+      xgmii_tx_data                   : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
+      avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
+      avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      rx_clk_clk                      : in  std_logic                     := '0';  -- rx_clk.clk
+      rx_reset_reset_n                : in  std_logic                     := '0';  -- rx_reset.reset_n
+      xgmii_rx_data                   : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
+      avalon_st_rx_startofpacket      : out std_logic;  -- avalon_st_rx.startofpacket
+      avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
+      avalon_st_rx_valid              : out std_logic;  -- .valid
+      avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
+      avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- .data
+      avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
+      avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
+      avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
+      avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0)  -- link_fault_status_xgmii_rx.data
+    );
   end component;
 
 
@@ -100,48 +100,48 @@ package tech_mac_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_mac_10g is
-  port (
-    csr_read                        : in  std_logic                     := '0';  -- csr.read
-    csr_write                       : in  std_logic                     := '0';  -- .write
-    csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
-    csr_waitrequest                 : out std_logic;  -- .waitrequest
-    csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
-    tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
-    tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
-    rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
-    rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
-    csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
-    csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
-    tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
-    rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
-    avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
-    avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
-    avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
-    avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
-    avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
-    avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
-    avalon_st_tx_ready              : out std_logic;  -- .ready
-    avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
-    xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
-    avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
-    avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
-    link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
-    avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
-    avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
-    avalon_st_rx_valid              : out std_logic;  -- .valid
-    avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
-    avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
-    avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
-    avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
-    avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
-    avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    unidirectional_en               : out std_logic;  -- unidirectional.en
-    unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
-  );
+    port (
+      csr_read                        : in  std_logic                     := '0';  -- csr.read
+      csr_write                       : in  std_logic                     := '0';  -- .write
+      csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
+      csr_waitrequest                 : out std_logic;  -- .waitrequest
+      csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
+      tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
+      tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
+      rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
+      rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
+      csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
+      csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
+      tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
+      rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
+      avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
+      avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
+      avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
+      avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
+      avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
+      avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
+      avalon_st_tx_ready              : out std_logic;  -- .ready
+      avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
+      xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
+      avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
+      avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
+      link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
+      avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
+      avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
+      avalon_st_rx_valid              : out std_logic;  -- .valid
+      avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
+      avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
+      avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
+      avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
+      avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
+      avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      unidirectional_en               : out std_logic;  -- unidirectional.en
+      unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -149,48 +149,48 @@ package tech_mac_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_mac_10g is
-  port (
-    csr_read                        : in  std_logic                     := '0';  -- csr.read
-    csr_write                       : in  std_logic                     := '0';  -- .write
-    csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
-    csr_waitrequest                 : out std_logic;  -- .waitrequest
-    csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
-    tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
-    tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
-    rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
-    rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
-    csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
-    csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
-    tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
-    rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
-    avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
-    avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
-    avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
-    avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
-    avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
-    avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
-    avalon_st_tx_ready              : out std_logic;  -- .ready
-    avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
-    xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
-    avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
-    avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
-    link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
-    avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
-    avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
-    avalon_st_rx_valid              : out std_logic;  -- .valid
-    avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
-    avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
-    avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
-    avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
-    avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
-    avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    unidirectional_en               : out std_logic;  -- unidirectional.en
-    unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
-  );
+    port (
+      csr_read                        : in  std_logic                     := '0';  -- csr.read
+      csr_write                       : in  std_logic                     := '0';  -- .write
+      csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
+      csr_waitrequest                 : out std_logic;  -- .waitrequest
+      csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
+      tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
+      tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
+      rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
+      rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
+      csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
+      csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
+      tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
+      rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
+      avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
+      avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
+      avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
+      avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
+      avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
+      avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
+      avalon_st_tx_ready              : out std_logic;  -- .ready
+      avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
+      xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
+      avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
+      avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
+      link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
+      avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
+      avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
+      avalon_st_rx_valid              : out std_logic;  -- .valid
+      avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
+      avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
+      avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
+      avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
+      avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
+      avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      unidirectional_en               : out std_logic;  -- unidirectional.en
+      unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -198,48 +198,48 @@ package tech_mac_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e1sg_mac_10g is
-  port (
-    csr_read                        : in  std_logic                     := '0';  -- csr.read
-    csr_write                       : in  std_logic                     := '0';  -- .write
-    csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
-    csr_waitrequest                 : out std_logic;  -- .waitrequest
-    csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
-    tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
-    tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
-    rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
-    rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
-    csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
-    csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
-    tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
-    rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
-    avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
-    avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
-    avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
-    avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
-    avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
-    avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
-    avalon_st_tx_ready              : out std_logic;  -- .ready
-    avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
-    xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
-    avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
-    avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
-    link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
-    avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
-    avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
-    avalon_st_rx_valid              : out std_logic;  -- .valid
-    avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
-    avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
-    avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
-    avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
-    avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
-    avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    unidirectional_en               : out std_logic;  -- unidirectional.en
-    unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
-  );
+    port (
+      csr_read                        : in  std_logic                     := '0';  -- csr.read
+      csr_write                       : in  std_logic                     := '0';  -- .write
+      csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
+      csr_waitrequest                 : out std_logic;  -- .waitrequest
+      csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
+      tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
+      tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
+      rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
+      rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
+      csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
+      csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
+      tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
+      rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
+      avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
+      avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
+      avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
+      avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
+      avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
+      avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
+      avalon_st_tx_ready              : out std_logic;  -- .ready
+      avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
+      xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
+      avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
+      avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
+      link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
+      avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
+      avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
+      avalon_st_rx_valid              : out std_logic;  -- .valid
+      avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
+      avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
+      avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
+      avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
+      avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
+      avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      unidirectional_en               : out std_logic;  -- unidirectional.en
+      unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -247,55 +247,55 @@ package tech_mac_10g_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e2sg_mac_10g is
-  port (
-    csr_read                        : in  std_logic                     := '0';  -- csr.read
-    csr_write                       : in  std_logic                     := '0';  -- .write
-    csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
-    csr_waitrequest                 : out std_logic;  -- .waitrequest
-    csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
-    tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
-    tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
-    rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
-    rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
-    csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
-    csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
-    tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
-    rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
-    avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
-    avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
-    avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
-    avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
-    avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
-    avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
-    avalon_st_tx_ready              : out std_logic;  -- .ready
-    avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
-    xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
-    avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
-    avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
-    link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
-    avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
-    avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
-    avalon_st_rx_valid              : out std_logic;  -- .valid
-    avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
-    avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
-    avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
-    avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
-    avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
-    avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
-    avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
-    unidirectional_en               : out std_logic;  -- unidirectional.en
-    unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
-  );
+    port (
+      csr_read                        : in  std_logic                     := '0';  -- csr.read
+      csr_write                       : in  std_logic                     := '0';  -- .write
+      csr_writedata                   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      csr_readdata                    : out std_logic_vector(31 downto 0);  -- .readdata
+      csr_waitrequest                 : out std_logic;  -- .waitrequest
+      csr_address                     : in  std_logic_vector(12 downto 0) := (others => '0');  -- .address
+      tx_312_5_clk                    : in  std_logic                     := '0';  -- tx_312_5_clk.clk
+      tx_156_25_clk                   : in  std_logic                     := '0';  -- tx_156_25_clk.clk
+      rx_312_5_clk                    : in  std_logic                     := '0';  -- rx_312_5_clk.clk
+      rx_156_25_clk                   : in  std_logic                     := '0';  -- rx_156_25_clk.clk
+      csr_clk                         : in  std_logic                     := '0';  -- csr_clk.clk
+      csr_rst_n                       : in  std_logic                     := '0';  -- csr_rst_n.reset_n
+      tx_rst_n                        : in  std_logic                     := '0';  -- tx_rst_n.reset_n
+      rx_rst_n                        : in  std_logic                     := '0';  -- rx_rst_n.reset_n
+      avalon_st_tx_startofpacket      : in  std_logic                     := '0';  -- avalon_st_tx.startofpacket
+      avalon_st_tx_endofpacket        : in  std_logic                     := '0';  -- .endofpacket
+      avalon_st_tx_valid              : in  std_logic                     := '0';  -- .valid
+      avalon_st_tx_data               : in  std_logic_vector(63 downto 0) := (others => '0');  -- .data
+      avalon_st_tx_empty              : in  std_logic_vector(2 downto 0)  := (others => '0');  -- .empty
+      avalon_st_tx_error              : in  std_logic                     := '0';  -- .error
+      avalon_st_tx_ready              : out std_logic;  -- .ready
+      avalon_st_pause_data            : in  std_logic_vector(1 downto 0)  := (others => '0');  -- avalon_st_pause.data
+      xgmii_tx                        : out std_logic_vector(71 downto 0);  -- xgmii_tx.data
+      avalon_st_txstatus_valid        : out std_logic;  -- avalon_st_txstatus.valid
+      avalon_st_txstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_txstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      xgmii_rx                        : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_rx.data
+      link_fault_status_xgmii_rx_data : out std_logic_vector(1 downto 0);  -- link_fault_status_xgmii_rx.data
+      avalon_st_rx_data               : out std_logic_vector(63 downto 0);  -- avalon_st_rx.data
+      avalon_st_rx_startofpacket      : out std_logic;  -- .startofpacket
+      avalon_st_rx_valid              : out std_logic;  -- .valid
+      avalon_st_rx_empty              : out std_logic_vector(2 downto 0);  -- .empty
+      avalon_st_rx_error              : out std_logic_vector(5 downto 0);  -- .error
+      avalon_st_rx_ready              : in  std_logic                     := '0';  -- .ready
+      avalon_st_rx_endofpacket        : out std_logic;  -- .endofpacket
+      avalon_st_rxstatus_valid        : out std_logic;  -- avalon_st_rxstatus.valid
+      avalon_st_rxstatus_data         : out std_logic_vector(39 downto 0);  -- .data
+      avalon_st_rxstatus_error        : out std_logic_vector(6 downto 0);  -- .error
+      unidirectional_en               : out std_logic;  -- unidirectional.en
+      unidirectional_remote_fault_dis : out std_logic  -- .remote_fault_dis
+    );
   end component;
 
 end tech_mac_10g_component_pkg;
 
 package body tech_mac_10g_component_pkg is
 
-  function func_tech_mac_10g_csr_addr_w(c_technology : natural) return natural is
+  function func_tech_mac_10g_csr_addr_w (c_technology : natural) return natural is
     variable v_csr_addr_w : natural;
   begin
     case c_technology is
diff --git a/libraries/technology/mac_10g/tech_mac_10g_stratixiv.vhd b/libraries/technology/mac_10g/tech_mac_10g_stratixiv.vhd
index 13c1c420ce..1de88f79b6 100644
--- a/libraries/technology/mac_10g/tech_mac_10g_stratixiv.vhd
+++ b/libraries/technology/mac_10g/tech_mac_10g_stratixiv.vhd
@@ -24,13 +24,13 @@
 library ip_stratixiv_mac_10g_lib;
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_mac_10g_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_mac_10g_component_pkg.all;
 
 entity tech_mac_10g_stratixiv is
   port (
@@ -76,53 +76,53 @@ begin
   tx_snk_out.xon <= '1';
 
   u_ip_mac_10g : ip_stratixiv_mac_10g
-  port map (
-    csr_clk_clk                     => mm_clk,
-    csr_reset_reset_n               => mm_rst_n,
-
-    csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
-    csr_read                        => csr_mosi.rd,
-    csr_write                       => csr_mosi.wr,
-    csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
-    csr_waitrequest                 => csr_miso.waitrequest,
-
-    tx_clk_clk                      => tx_clk,
-    tx_reset_reset_n                => tx_rst_n,
-
-    avalon_st_tx_ready              => tx_snk_out.ready,
-    avalon_st_tx_startofpacket      => tx_snk_in.sop,
-    avalon_st_tx_endofpacket        => tx_snk_in.eop,
-    avalon_st_tx_valid              => tx_snk_in.valid,
-    avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_tx_error              => tx_snk_in.err(c_tech_mac_10g_tx_error_w - 1 downto 0),  -- 1 bit
-    avalon_st_pause_data            => (others => '0'),
-
-    xgmii_tx_data                   => xgmii_tx_data,  -- 72 bit
-
-    avalon_st_txstatus_valid        => OPEN,
-    avalon_st_txstatus_data         => OPEN,
-    avalon_st_txstatus_error        => OPEN,
-
-    rx_clk_clk                      => rx_clk,
-    rx_reset_reset_n                => rx_rst_n,
-
-    xgmii_rx_data                   => xgmii_rx_data,  -- 72 bit
-
-    avalon_st_rx_ready              => rx_src_in.ready,
-    avalon_st_rx_startofpacket      => rx_src_out.sop,
-    avalon_st_rx_endofpacket        => rx_src_out.eop,
-    avalon_st_rx_valid              => rx_src_out.valid,
-    avalon_st_rx_data               => rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
-    avalon_st_rx_empty              => rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
-    avalon_st_rx_error              => rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
-
-    avalon_st_rxstatus_valid        => OPEN,
-    avalon_st_rxstatus_data         => OPEN,
-    avalon_st_rxstatus_error        => OPEN,
-
-    link_fault_status_xgmii_rx_data => xgmii_link_status  -- 0=ok, 1=local fault, 2=remote fault
-  );
+    port map (
+      csr_clk_clk                     => mm_clk,
+      csr_reset_reset_n               => mm_rst_n,
+
+      csr_address                     => csr_mosi.address(c_mac_10g_csr_addr_w - 1 downto 0),  -- 13 bit
+      csr_read                        => csr_mosi.rd,
+      csr_write                       => csr_mosi.wr,
+      csr_writedata                   => csr_mosi.wrdata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_readdata                    => csr_miso.rddata(c_word_w - 1 downto 0),  -- 32 bit
+      csr_waitrequest                 => csr_miso.waitrequest,
+
+      tx_clk_clk                      => tx_clk,
+      tx_reset_reset_n                => tx_rst_n,
+
+      avalon_st_tx_ready              => tx_snk_out.ready,
+      avalon_st_tx_startofpacket      => tx_snk_in.sop,
+      avalon_st_tx_endofpacket        => tx_snk_in.eop,
+      avalon_st_tx_valid              => tx_snk_in.valid,
+      avalon_st_tx_data               => tx_snk_in.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_tx_empty              => tx_snk_in.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_tx_error              => tx_snk_in.err(c_tech_mac_10g_tx_error_w - 1 downto 0),  -- 1 bit
+      avalon_st_pause_data            => (others => '0'),
+
+      xgmii_tx_data                   => xgmii_tx_data,  -- 72 bit
+
+      avalon_st_txstatus_valid        => OPEN,
+      avalon_st_txstatus_data         => OPEN,
+      avalon_st_txstatus_error        => OPEN,
+
+      rx_clk_clk                      => rx_clk,
+      rx_reset_reset_n                => rx_rst_n,
+
+      xgmii_rx_data                   => xgmii_rx_data,  -- 72 bit
+
+      avalon_st_rx_ready              => rx_src_in.ready,
+      avalon_st_rx_startofpacket      => rx_src_out.sop,
+      avalon_st_rx_endofpacket        => rx_src_out.eop,
+      avalon_st_rx_valid              => rx_src_out.valid,
+      avalon_st_rx_data               => rx_src_out.data(c_xgmii_data_w - 1 downto 0),  -- 64 bit
+      avalon_st_rx_empty              => rx_src_out.empty(c_tech_mac_10g_empty_w - 1 downto 0),  -- 3 bit
+      avalon_st_rx_error              => rx_src_out.err(c_tech_mac_10g_rx_error_w - 1 downto 0),  -- 6 bit
+
+      avalon_st_rxstatus_valid        => OPEN,
+      avalon_st_rxstatus_data         => OPEN,
+      avalon_st_rxstatus_error        => OPEN,
+
+      link_fault_status_xgmii_rx_data => xgmii_link_status  -- 0=ok, 1=local fault, 2=remote fault
+    );
 
 end str;
diff --git a/libraries/technology/memory/tech_memory_component_pkg.vhd b/libraries/technology/memory/tech_memory_component_pkg.vhd
index 7fd446d98c..ad2043da4b 100644
--- a/libraries/technology/memory/tech_memory_component_pkg.vhd
+++ b/libraries/technology/memory/tech_memory_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_memory_component_pkg is
 
@@ -31,112 +31,112 @@ package tech_memory_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_stratixiv_ram_crwk_crw is  -- support different port data widths and corresponding address ranges
-  generic (
-    g_adr_a_w     : natural := 5;
-    g_dat_a_w     : natural := 32;
-    g_adr_b_w     : natural := 7;
-    g_dat_b_w     : natural := 8;
-    g_nof_words_a : natural := 2**5;
-    g_nof_words_b : natural := 2**7;
-    g_rd_latency  : natural := 2;  -- choose 1 or 2
-    g_init_file   : string  := "UNUSED"
-  );
-  port (
-    address_a   : in std_logic_vector(g_adr_a_w - 1 downto 0);
-    address_b   : in std_logic_vector(g_adr_b_w - 1 downto 0);
-    clock_a   : in std_logic  := '1';
-    clock_b   : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
-    enable_a    : in std_logic  := '1';
-    enable_b    : in std_logic  := '1';
-    rden_a    : in std_logic  := '1';
-    rden_b    : in std_logic  := '1';
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a   : out std_logic_vector(g_dat_a_w - 1 downto 0);
-    q_b   : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+    generic (
+      g_adr_a_w     : natural := 5;
+      g_dat_a_w     : natural := 32;
+      g_adr_b_w     : natural := 7;
+      g_dat_b_w     : natural := 8;
+      g_nof_words_a : natural := 2**5;
+      g_nof_words_b : natural := 2**7;
+      g_rd_latency  : natural := 2;  -- choose 1 or 2
+      g_init_file   : string  := "UNUSED"
+    );
+    port (
+      address_a   : in std_logic_vector(g_adr_a_w - 1 downto 0);
+      address_b   : in std_logic_vector(g_adr_b_w - 1 downto 0);
+      clock_a   : in std_logic  := '1';
+      clock_b   : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
+      enable_a    : in std_logic  := '1';
+      enable_b    : in std_logic  := '1';
+      rden_a    : in std_logic  := '1';
+      rden_b    : in std_logic  := '1';
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a   : out std_logic_vector(g_dat_a_w - 1 downto 0);
+      q_b   : out std_logic_vector(g_dat_b_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_ram_crw_crw is
-  generic (
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 2;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port (
-    address_a   : in std_logic_vector(g_adr_w - 1 downto 0);
-    address_b   : in std_logic_vector(g_adr_w - 1 downto 0);
-    clock_a   : in std_logic  := '1';
-    clock_b   : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
-    enable_a    : in std_logic  := '1';
-    enable_b    : in std_logic  := '1';
-    rden_a    : in std_logic  := '1';
-    rden_b    : in std_logic  := '1';
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a   : out std_logic_vector(g_dat_w - 1 downto 0);
-    q_b   : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 2;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port (
+      address_a   : in std_logic_vector(g_adr_w - 1 downto 0);
+      address_b   : in std_logic_vector(g_adr_w - 1 downto 0);
+      clock_a   : in std_logic  := '1';
+      clock_b   : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
+      enable_a    : in std_logic  := '1';
+      enable_b    : in std_logic  := '1';
+      rden_a    : in std_logic  := '1';
+      rden_b    : in std_logic  := '1';
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a   : out std_logic_vector(g_dat_w - 1 downto 0);
+      q_b   : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_ram_cr_cw is
-  generic (
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 2;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port (
-    data      : in  std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclock   : in  std_logic ;
-    rdclocken : in  std_logic  := '1';
-    wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    wrclock   : in  std_logic  := '1';
-    wrclocken : in  std_logic  := '1';
-    wren      : in  std_logic  := '0';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 2;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port (
+      data      : in  std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      rdclock   : in  std_logic ;
+      rdclocken : in  std_logic  := '1';
+      wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      wrclock   : in  std_logic  := '1';
+      wrclocken : in  std_logic  := '1';
+      wren      : in  std_logic  := '0';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_ram_r_w is
-  generic (
-    g_adr_w     : natural := 5;
-    g_dat_w     : natural := 8;
-    g_nof_words : natural := 2**5;
-    g_init_file : string  := "UNUSED"
-  );
-  port (
-    clock       : in std_logic  := '1';
-    enable      : in std_logic  := '1';
-    data        : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0);
-    wraddress   : in std_logic_vector(g_adr_w - 1 downto 0);
-    wren        : in std_logic  := '0';
-    q           : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_adr_w     : natural := 5;
+      g_dat_w     : natural := 8;
+      g_nof_words : natural := 2**5;
+      g_init_file : string  := "UNUSED"
+    );
+    port (
+      clock       : in std_logic  := '1';
+      enable      : in std_logic  := '1';
+      data        : in std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0);
+      wraddress   : in std_logic_vector(g_adr_w - 1 downto 0);
+      wren        : in std_logic  := '0';
+      q           : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_rom_r is
-  generic (
-    g_adr_w     : natural := 5;
-    g_dat_w     : natural := 8;
-    g_nof_words : natural := 2**5;
-    g_init_file : string  := "UNUSED"
-  );
-  port (
-    address   : in std_logic_vector(g_adr_w - 1 downto 0);
-    clock     : in std_logic  := '1';
-    clken     : in std_logic  := '1';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_adr_w     : natural := 5;
+      g_dat_w     : natural := 8;
+      g_nof_words : natural := 2**5;
+      g_init_file : string  := "UNUSED"
+    );
+    port (
+      address   : in std_logic_vector(g_adr_w - 1 downto 0);
+      clock     : in std_logic  := '1';
+      clken     : in std_logic  := '1';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
 
@@ -145,93 +145,93 @@ package tech_memory_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_ram_crwk_crw is
-  generic (
-    g_adr_a_w     : natural := 5;
-    g_dat_a_w     : natural := 32;
-    g_adr_b_w     : natural := 4;
-    g_dat_b_w     : natural := 64;
-    g_nof_words_a : natural := 2**5;
-    g_nof_words_b : natural := 2**4;
-    g_rd_latency  : natural := 1;  -- choose 1 or 2
-    g_init_file   : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_adr_a_w     : natural := 5;
+      g_dat_a_w     : natural := 32;
+      g_adr_b_w     : natural := 4;
+      g_dat_b_w     : natural := 64;
+      g_nof_words_a : natural := 2**5;
+      g_nof_words_b : natural := 2**4;
+      g_rd_latency  : natural := 1;  -- choose 1 or 2
+      g_init_file   : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_ram_crw_crw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_ram_cr_cw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    data      : in  std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
-    wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    wrclk     : in  std_logic  := '1';
-    wren      : in  std_logic  := '0';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      data      : in  std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      rdclk     : in  std_logic ;
+      wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      wrclk     : in  std_logic  := '1';
+      wren      : in  std_logic  := '0';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_ram_r_w is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port (
-    clk         : in std_logic  := '1';
-    data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
-    rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wren        : in std_logic  := '0';
-    q           : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port (
+      clk         : in std_logic  := '1';
+      data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
+      rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wren        : in std_logic  := '0';
+      q           : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -239,93 +239,93 @@ package tech_memory_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_ram_crwk_crw is
-  generic (
-    g_adr_a_w     : natural := 5;
-    g_dat_a_w     : natural := 32;
-    g_adr_b_w     : natural := 4;
-    g_dat_b_w     : natural := 64;
-    g_nof_words_a : natural := 2**5;
-    g_nof_words_b : natural := 2**4;
-    g_rd_latency  : natural := 1;  -- choose 1 or 2
-    g_init_file   : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_adr_a_w     : natural := 5;
+      g_dat_a_w     : natural := 32;
+      g_adr_b_w     : natural := 4;
+      g_dat_b_w     : natural := 64;
+      g_nof_words_a : natural := 2**5;
+      g_nof_words_b : natural := 2**4;
+      g_rd_latency  : natural := 1;  -- choose 1 or 2
+      g_init_file   : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e3sge3_ram_crw_crw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e3sge3_ram_cr_cw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    data      : in  std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
-    wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    wrclk     : in  std_logic  := '1';
-    wren      : in  std_logic  := '0';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      data      : in  std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      rdclk     : in  std_logic ;
+      wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      wrclk     : in  std_logic  := '1';
+      wren      : in  std_logic  := '0';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e3sge3_ram_r_w is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port (
-    clk         : in std_logic  := '1';
-    data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
-    rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wren        : in std_logic  := '0';
-    q           : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port (
+      clk         : in std_logic  := '1';
+      data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
+      rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wren        : in std_logic  := '0';
+      q           : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -333,93 +333,93 @@ package tech_memory_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_ram_crwk_crw is
-  generic (
-    g_adr_a_w     : natural := 5;
-    g_dat_a_w     : natural := 32;
-    g_adr_b_w     : natural := 4;
-    g_dat_b_w     : natural := 64;
-    g_nof_words_a : natural := 2**5;
-    g_nof_words_b : natural := 2**4;
-    g_rd_latency  : natural := 1;  -- choose 1 or 2
-    g_init_file   : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_adr_a_w     : natural := 5;
+      g_dat_a_w     : natural := 32;
+      g_adr_b_w     : natural := 4;
+      g_dat_b_w     : natural := 64;
+      g_nof_words_a : natural := 2**5;
+      g_nof_words_b : natural := 2**4;
+      g_rd_latency  : natural := 1;  -- choose 1 or 2
+      g_init_file   : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_ram_crw_crw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_ram_cr_cw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    data      : in  std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
-    wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    wrclk     : in  std_logic  := '1';
-    wren      : in  std_logic  := '0';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      data      : in  std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      rdclk     : in  std_logic ;
+      wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      wrclk     : in  std_logic  := '1';
+      wren      : in  std_logic  := '0';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_ram_r_w is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port (
-    clk         : in std_logic  := '1';
-    data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
-    rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wren        : in std_logic  := '0';
-    q           : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port (
+      clk         : in std_logic  := '1';
+      data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
+      rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wren        : in std_logic  := '0';
+      q           : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -427,141 +427,141 @@ package tech_memory_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_ram_crwk_crw is
-  generic (
-    g_adr_a_w     : natural := 5;
-    g_dat_a_w     : natural := 32;
-    g_adr_b_w     : natural := 4;
-    g_dat_b_w     : natural := 64;
-    g_nof_words_a : natural := 2**5;
-    g_nof_words_b : natural := 2**4;
-    g_rd_latency  : natural := 1;  -- choose 1 or 2
-    g_init_file   : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_adr_a_w     : natural := 5;
+      g_dat_a_w     : natural := 32;
+      g_adr_b_w     : natural := 4;
+      g_dat_b_w     : natural := 64;
+      g_nof_words_a : natural := 2**5;
+      g_nof_words_b : natural := 2**4;
+      g_rd_latency  : natural := 1;  -- choose 1 or 2
+      g_init_file   : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_a_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_b_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_ram_crw_crw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_ram_cr_cw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port
   (
-    data      : in  std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
-    wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    wrclk     : in  std_logic  := '1';
-    wren      : in  std_logic  := '0';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      data      : in  std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      rdclk     : in  std_logic ;
+      wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      wrclk     : in  std_logic  := '1';
+      wren      : in  std_logic  := '0';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_ram_r_w is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "UNUSED"
-  );
-  port (
-    clk         : in std_logic  := '1';
-    data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
-    rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
-    wren        : in std_logic  := '0';
-    q           : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "UNUSED"
+    );
+    port (
+      clk         : in std_logic  := '1';
+      data        : in std_logic_vector(g_dat_w - 1 downto 0) := (others => '0');
+      rdaddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wraddress   : in std_logic_vector(g_adr_w - 1 downto 0) := (others => '0');
+      wren        : in std_logic  := '0';
+      q           : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
   -- ip_ultrascale
   -----------------------------------------------------------------------------
   component ip_ultrascale_ram_crw_crw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "none"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "none"
+    );
+    port
   (
-    address_a : in std_logic_vector(g_adr_w - 1 downto 0);
-    address_b : in std_logic_vector(g_adr_w - 1 downto 0);
-    clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
-    data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
-    data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
-    wren_a    : in std_logic  := '0';
-    wren_b    : in std_logic  := '0';
-    q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
-    q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      address_a : in std_logic_vector(g_adr_w - 1 downto 0);
+      address_b : in std_logic_vector(g_adr_w - 1 downto 0);
+      clk_a     : in std_logic  := '1';
+      clk_b     : in std_logic ;
+      data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
+      data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
+      wren_a    : in std_logic  := '0';
+      wren_b    : in std_logic  := '0';
+      q_a       : out std_logic_vector(g_dat_w - 1 downto 0);
+      q_b       : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
   component ip_ultrascale_ram_cr_cw is
-  generic (
-    g_inferred   : boolean := false;
-    g_adr_w      : natural := 5;
-    g_dat_w      : natural := 8;
-    g_nof_words  : natural := 2**5;
-    g_rd_latency : natural := 1;  -- choose 1 or 2
-    g_init_file  : string  := "none"
-  );
-  port
+    generic (
+      g_inferred   : boolean := false;
+      g_adr_w      : natural := 5;
+      g_dat_w      : natural := 8;
+      g_nof_words  : natural := 2**5;
+      g_rd_latency : natural := 1;  -- choose 1 or 2
+      g_init_file  : string  := "none"
+    );
+    port
   (
-    data      : in  std_logic_vector(g_dat_w - 1 downto 0);
-    rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
-    wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    wrclk     : in  std_logic  := '1';
-    wren      : in  std_logic  := '0';
-    q         : out std_logic_vector(g_dat_w - 1 downto 0)
-  );
+      data      : in  std_logic_vector(g_dat_w - 1 downto 0);
+      rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      rdclk     : in  std_logic ;
+      wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
+      wrclk     : in  std_logic  := '1';
+      wren      : in  std_logic  := '0';
+      q         : out std_logic_vector(g_dat_w - 1 downto 0)
+    );
   end component;
 
 end tech_memory_component_pkg;
diff --git a/libraries/technology/memory/tech_memory_ram_cr_cw.vhd b/libraries/technology/memory/tech_memory_ram_cr_cw.vhd
index 49f47054dc..1a4436b58c 100644
--- a/libraries/technology/memory/tech_memory_ram_cr_cw.vhd
+++ b/libraries/technology/memory/tech_memory_ram_cr_cw.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_memory_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_memory_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ram_lib;
@@ -61,38 +61,38 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_ram_cr_cw
-    generic map (g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (data, rdaddress, rdclock, rdclocken, wraddress, wrclock, wrclocken, wren, q);
+      generic map (g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (data, rdaddress, rdclock, rdclocken, wraddress, wrclock, wrclocken, wren, q);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_ram_cr_cw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_ram_cr_cw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_ram_cr_cw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_ram_cr_cw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
   end generate;
 
   gen_ip_ultrascale : if g_technology = c_tech_ultrascale generate
     u0 : ip_ultrascale_ram_cr_cw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/memory/tech_memory_ram_crw_crw.vhd b/libraries/technology/memory/tech_memory_ram_crw_crw.vhd
index efb7a13ef3..3143aa81a0 100644
--- a/libraries/technology/memory/tech_memory_ram_crw_crw.vhd
+++ b/libraries/technology/memory/tech_memory_ram_crw_crw.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_memory_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_memory_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ram_lib;
@@ -68,38 +68,38 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_ram_crw_crw
-    generic map (g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, enable_a, enable_b, rden_a, rden_b, wren_a, wren_b, q_a, q_b);
+      generic map (g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, enable_a, enable_b, rden_a, rden_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_ram_crw_crw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_ram_crw_crw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_ram_crw_crw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_ram_crw_crw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_ultrascale : if g_technology = c_tech_ultrascale generate
     u0 : ip_ultrascale_ram_crw_crw
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
 
diff --git a/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd b/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd
index 26a60c37be..b4d86b0dd2 100644
--- a/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd
+++ b/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_memory_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_memory_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ram_lib;
@@ -69,32 +69,32 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_ram_crwk_crw
-    generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, enable_a, enable_b, rden_a, rden_b, wren_a, wren_b, q_a, q_b);
+      generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, enable_a, enable_b, rden_a, rden_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_ram_crwk_crw
-    generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_ram_crwk_crw
-    generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_ram_crwk_crw
-    generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_ram_crwk_crw
-    generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
-    port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
+      generic map (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
+      port map (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/memory/tech_memory_ram_r_w.vhd b/libraries/technology/memory/tech_memory_ram_r_w.vhd
index 57be4c4db6..4de18fa2f6 100644
--- a/libraries/technology/memory/tech_memory_ram_r_w.vhd
+++ b/libraries/technology/memory/tech_memory_ram_r_w.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_memory_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_memory_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ram_lib;
@@ -57,32 +57,32 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_ram_r_w
-    generic map (g_adr_w, g_dat_w, g_nof_words, g_init_file)
-    port map (clock, enable, data, rdaddress, wraddress, wren, q);
+      generic map (g_adr_w, g_dat_w, g_nof_words, g_init_file)
+      port map (clock, enable, data, rdaddress, wraddress, wren, q);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (clock, data, rdaddress, wraddress, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (clock, data, rdaddress, wraddress, wren, q);
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (clock, data, rdaddress, wraddress, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (clock, data, rdaddress, wraddress, wren, q);
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (clock, data, rdaddress, wraddress, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (clock, data, rdaddress, wraddress, wren, q);
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (clock, data, rdaddress, wraddress, wren, q);
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (clock, data, rdaddress, wraddress, wren, q);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/memory/tech_memory_rom_r.vhd b/libraries/technology/memory/tech_memory_rom_r.vhd
index c66f651d84..8e15092ef5 100644
--- a/libraries/technology/memory/tech_memory_rom_r.vhd
+++ b/libraries/technology/memory/tech_memory_rom_r.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_memory_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_memory_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_ram_lib;
@@ -53,64 +53,64 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_rom_r
-    generic map (g_adr_w, g_dat_w, g_nof_words, g_init_file)
-    port map (address, clock, clken, q);
+      generic map (g_adr_w, g_dat_w, g_nof_words, g_init_file)
+      port map (address, clock, clken, q);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     -- use ip_arria10_ram_r_w as ROM
     u0 : ip_arria10_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (
-      clk         => clock,
-      --data        => ,
-      rdaddress   => address,
-      --wraddress   => ,
-      --wren        => ,
-      q           => q
-    );
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (
+        clk         => clock,
+        --data        => ,
+        rdaddress   => address,
+        --wraddress   => ,
+        --wren        => ,
+        q           => q
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     -- use ip_arria10_e3sge3_ram_r_w as ROM
     u0 : ip_arria10_e3sge3_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (
-      clk         => clock,
-      --data        => ,
-      rdaddress   => address,
-      --wraddress   => ,
-      --wren        => ,
-      q           => q
-    );
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (
+        clk         => clock,
+        --data        => ,
+        rdaddress   => address,
+        --wraddress   => ,
+        --wren        => ,
+        q           => q
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     -- use ip_arria10_e1sg_ram_r_w as ROM
     u0 : ip_arria10_e1sg_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (
-      clk         => clock,
-      --data        => ,
-      rdaddress   => address,
-      --wraddress   => ,
-      --wren        => ,
-      q           => q
-    );
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (
+        clk         => clock,
+        --data        => ,
+        rdaddress   => address,
+        --wraddress   => ,
+        --wren        => ,
+        q           => q
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     -- use ip_arria10_e1sg_ram_r_w as ROM
     u0 : ip_arria10_e2sg_ram_r_w
-    generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
-    port map (
-      clk         => clock,
-      --data        => ,
-      rdaddress   => address,
-      --wraddress   => ,
-      --wren        => ,
-      q           => q
-    );
+      generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file)
+      port map (
+        clk         => clock,
+        --data        => ,
+        rdaddress   => address,
+        --wraddress   => ,
+        --wren        => ,
+        q           => q
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/mult/tech_complex_mult.vhd b/libraries/technology/mult/tech_complex_mult.vhd
index d895f83f36..b16cc3f1e1 100644
--- a/libraries/technology/mult/tech_complex_mult.vhd
+++ b/libraries/technology/mult/tech_complex_mult.vhd
@@ -55,11 +55,11 @@
 --
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_mult_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_mult_component_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_mult_lib;
@@ -123,17 +123,17 @@ begin
     bi <= RESIZE_SVEC(in_bi, c_dsp_mult_18_w) when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), c_dsp_mult_18_w);
 
     u0 : ip_stratixiv_complex_mult
-    port map (
-      aclr        => rst,
-      clock       => clk,
-      dataa_imag  => ai,
-      dataa_real  => ar,
-      datab_imag  => bi,
-      datab_real  => br,
-      ena         => clken,
-      result_imag => mult_im,
-      result_real => mult_re
-    );
+      port map (
+        aclr        => rst,
+        clock       => clk,
+        dataa_imag  => ai,
+        dataa_real  => ar,
+        datab_imag  => bi,
+        datab_real  => br,
+        ena         => clken,
+        result_imag => mult_im,
+        result_real => mult_re
+      );
 
     -- Back to true input widths and then resize for output width
     result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
@@ -148,17 +148,17 @@ begin
     bi <= RESIZE_SVEC(in_bi, c_dsp_mult_18_w) when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), c_dsp_mult_18_w);
 
     u0 : ip_arria10_complex_mult
-    port map (
-      aclr        => rst,
-      clock       => clk,
-      dataa_imag  => ai,
-      dataa_real  => ar,
-      datab_imag  => bi,
-      datab_real  => br,
-      ena         => clken,
-      result_imag => mult_im,
-      result_real => mult_re
-    );
+      port map (
+        aclr        => rst,
+        clock       => clk,
+        dataa_imag  => ai,
+        dataa_real  => ar,
+        datab_imag  => bi,
+        datab_real  => br,
+        ena         => clken,
+        result_imag => mult_im,
+        result_real => mult_re
+      );
 
     -- Back to true input widths and then resize for output width
     result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
@@ -173,17 +173,17 @@ begin
     bi <= RESIZE_SVEC(in_bi, c_dsp_mult_18_w) when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), c_dsp_mult_18_w);
 
     u0 : ip_arria10_e1sg_complex_mult
-    port map (
-      aclr        => rst,
-      clock       => clk,
-      dataa_imag  => ai,
-      dataa_real  => ar,
-      datab_imag  => bi,
-      datab_real  => br,
-      ena         => clken,
-      result_imag => mult_im,
-      result_real => mult_re
-    );
+      port map (
+        aclr        => rst,
+        clock       => clk,
+        dataa_imag  => ai,
+        dataa_real  => ar,
+        datab_imag  => bi,
+        datab_real  => br,
+        ena         => clken,
+        result_imag => mult_im,
+        result_real => mult_re
+      );
 
     -- Back to true input widths and then resize for output width
     result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
@@ -198,17 +198,17 @@ begin
     bi <= RESIZE_SVEC(in_bi, c_dsp_mult_18_w) when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), c_dsp_mult_18_w);
 
     u0 : ip_arria10_e2sg_complex_mult
-    port map (
-      aclr        => rst,
-      clock       => clk,
-      dataa_imag  => ai,
-      dataa_real  => ar,
-      datab_imag  => bi,
-      datab_real  => br,
-      ena         => clken,
-      result_imag => mult_im,
-      result_real => mult_re
-    );
+      port map (
+        aclr        => rst,
+        clock       => clk,
+        dataa_imag  => ai,
+        dataa_real  => ar,
+        datab_imag  => bi,
+        datab_real  => br,
+        ena         => clken,
+        result_imag => mult_im,
+        result_real => mult_re
+      );
 
     -- Back to true input widths and then resize for output width
     result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
@@ -228,17 +228,17 @@ begin
     bi <= RESIZE_SVEC(in_bi, c_dsp_mult_27_w) when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), c_dsp_mult_27_w);
 
     u0 : ip_arria10_e1sg_complex_mult_27b
-    port map (
-      aclr        => rst,
-      clock       => clk,
-      dataa_imag  => ai,
-      dataa_real  => ar,
-      datab_imag  => bi,
-      datab_real  => br,
-      ena         => clken,
-      result_imag => mult_im,
-      result_real => mult_re
-    );
+      port map (
+        aclr        => rst,
+        clock       => clk,
+        dataa_imag  => ai,
+        dataa_real  => ar,
+        datab_imag  => bi,
+        datab_real  => br,
+        ena         => clken,
+        result_imag => mult_im,
+        result_real => mult_re
+      );
 
     -- Back to true input widths and then resize for output width
     result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
@@ -253,17 +253,17 @@ begin
     bi <= RESIZE_SVEC(in_bi, c_dsp_mult_27_w) when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), c_dsp_mult_27_w);
 
     u0 : ip_arria10_e2sg_complex_mult_27b
-    port map (
-      aclr        => rst,
-      clock       => clk,
-      dataa_imag  => ai,
-      dataa_real  => ar,
-      datab_imag  => bi,
-      datab_real  => br,
-      ena         => clken,
-      result_imag => mult_im,
-      result_real => mult_re
-    );
+      port map (
+        aclr        => rst,
+        clock       => clk,
+        dataa_imag  => ai,
+        dataa_real  => ar,
+        datab_imag  => bi,
+        datab_real  => br,
+        ena         => clken,
+        result_imag => mult_im,
+        result_real => mult_re
+      );
 
     -- Back to true input widths and then resize for output width
     result_re <= RESIZE_SVEC(mult_re, g_out_p_w);
@@ -275,27 +275,27 @@ begin
   -----------------------------------------------------------------------------
   gen_ip_stratixiv_rtl : if g_variant = "RTL" and g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_complex_mult_rtl
-    generic map (
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_conjugate_b      => g_conjugate_b,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-      clken      => clken,
-      in_ar      => in_ar,
-      in_ai      => in_ai,
-      in_br      => in_br,
-      in_bi      => in_bi,
-      result_re  => result_re,
-      result_im  => result_im
-    );
+      generic map (
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_conjugate_b      => g_conjugate_b,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+        clken      => clken,
+        in_ar      => in_ar,
+        in_ai      => in_ai,
+        in_br      => in_br,
+        in_bi      => in_bi,
+        result_re  => result_re,
+        result_im  => result_im
+      );
   end generate;
 
   -- RTL variant is the same for unb2, unb2a and unb2b
@@ -304,27 +304,27 @@ begin
                                                g_technology = c_tech_arria10_e1sg or
                                                g_technology = c_tech_arria10_e2sg) generate
     u0 : ip_arria10_complex_mult_rtl
-    generic map (
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_conjugate_b      => g_conjugate_b,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-      clken      => clken,
-      in_ar      => in_ar,
-      in_ai      => in_ai,
-      in_br      => in_br,
-      in_bi      => in_bi,
-      result_re  => result_re,
-      result_im  => result_im
-    );
+      generic map (
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_conjugate_b      => g_conjugate_b,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+        clken      => clken,
+        in_ar      => in_ar,
+        in_ai      => in_ai,
+        in_br      => in_br,
+        in_bi      => in_bi,
+        result_re  => result_re,
+        result_im  => result_im
+      );
   end generate;
 
   -- RTL variant is the same for unb2, unb2a and unb2b
@@ -336,26 +336,26 @@ begin
     bi <= in_bi when g_conjugate_b = false else TO_SVEC(-TO_SINT(in_bi), g_in_b_w);
 
     u0 : ip_arria10_complex_mult_rtl_canonical
-    generic map (
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map (
-      rst        => rst,
-      clk        => clk,
-      clken      => clken,
-      in_ar      => in_ar,
-      in_ai      => in_ai,
-      in_br      => in_br,
-      in_bi      => bi,
-      result_re  => result_re,
-      result_im  => result_im
-    );
+      generic map (
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map (
+        rst        => rst,
+        clk        => clk,
+        clken      => clken,
+        in_ar      => in_ar,
+        in_ai      => in_ai,
+        in_br      => in_br,
+        in_bi      => bi,
+        result_re  => result_re,
+        result_im  => result_im
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/mult/tech_mult.vhd b/libraries/technology/mult/tech_mult.vhd
index 17d31e2435..9f70472590 100644
--- a/libraries/technology/mult/tech_mult.vhd
+++ b/libraries/technology/mult/tech_mult.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_mult_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_mult_component_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_mult_lib;
@@ -66,94 +66,94 @@ begin
 
   gen_ip_stratixiv_ip : if (g_technology = c_tech_stratixiv and g_variant = "IP") generate
     u0 : ip_stratixiv_mult
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_output  => g_pipeline_output,
-      g_representation   => g_representation
-    )
-    port map(
-      clk        => clk,
-      clken      => clken,
-      in_a       => in_a,
-      in_b       => in_b,
-      out_p      => prod
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_output  => g_pipeline_output,
+        g_representation   => g_representation
+      )
+      port map(
+        clk        => clk,
+        clken      => clken,
+        in_a       => in_a,
+        in_b       => in_b,
+        out_p      => prod
+      );
   end generate;
 
   gen_ip_stratixiv_rtl : if (g_technology = c_tech_stratixiv and g_variant = "RTL") generate
     u0 : ip_stratixiv_mult_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_output  => g_pipeline_output,
-      g_representation   => g_representation
-    )
-    port map(
-      rst        => rst,
-      clk        => clk,
-      clken      => clken,
-      in_a       => in_a,
-      in_b       => in_b,
-      out_p      => prod
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_output  => g_pipeline_output,
+        g_representation   => g_representation
+      )
+      port map(
+        rst        => rst,
+        clk        => clk,
+        clken      => clken,
+        in_a       => in_a,
+        in_b       => in_b,
+        out_p      => prod
+      );
   end generate;
 
   gen_ip_arria10_ip : if ((g_technology = c_tech_arria10_proto or g_technology = c_tech_arria10_e3sge3 or g_technology = c_tech_arria10_e1sg or g_technology = c_tech_arria10_e2sg ) and g_variant = "IP") generate
     u0 : ip_arria10_mult
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_output  => g_pipeline_output,
-      g_representation   => g_representation
-    )
-    port map(
-      clk        => clk,
-      clken      => clken,
-      in_a       => in_a,
-      in_b       => in_b,
-      out_p      => prod
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_output  => g_pipeline_output,
+        g_representation   => g_representation
+      )
+      port map(
+        clk        => clk,
+        clken      => clken,
+        in_a       => in_a,
+        in_b       => in_b,
+        out_p      => prod
+      );
   end generate;
 
   gen_ip_arria10_rtl : if ((g_technology = c_tech_arria10_proto or g_technology = c_tech_arria10_e3sge3 or g_technology = c_tech_arria10_e1sg or g_technology = c_tech_arria10_e2sg ) and g_variant = "RTL") generate
     u0 : ip_arria10_mult_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_out_p_w          => g_out_p_w,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_output  => g_pipeline_output,
-      g_representation   => g_representation
-    )
-    port map(
-      rst        => rst,
-      clk        => clk,
-      clken      => clken,
-      in_a       => in_a,
-      in_b       => in_b,
-      out_p      => prod
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_out_p_w          => g_out_p_w,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_output  => g_pipeline_output,
+        g_representation   => g_representation
+      )
+      port map(
+        rst        => rst,
+        clk        => clk,
+        clken      => clken,
+        in_a       => in_a,
+        in_b       => in_b,
+        out_p      => prod
+      );
   end generate;
 
   gen_trunk : for I in 0 to g_nof_mult - 1 generate
-  -- Truncate MSbits, also for signed (common_pkg.vhd for explanation of RESIZE_SVEC)
+    -- Truncate MSbits, also for signed (common_pkg.vhd for explanation of RESIZE_SVEC)
     out_p((I + 1) * g_out_p_w - 1 downto I * g_out_p_w) <= RESIZE_SVEC(prod((I + 1) * c_prod_w - 1 downto I * c_prod_w), g_out_p_w) when g_representation = "SIGNED" else
-                                                  RESIZE_UVEC(prod((I + 1) * c_prod_w - 1 downto I * c_prod_w), g_out_p_w);
+                                                           RESIZE_UVEC(prod((I + 1) * c_prod_w - 1 downto I * c_prod_w), g_out_p_w);
   end generate;
 
 end str;
diff --git a/libraries/technology/mult/tech_mult_add2.vhd b/libraries/technology/mult/tech_mult_add2.vhd
index aaa54dd91a..f2d0c5b6f9 100644
--- a/libraries/technology/mult/tech_mult_add2.vhd
+++ b/libraries/technology/mult/tech_mult_add2.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_mult_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_mult_component_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_mult_lib;
@@ -62,74 +62,74 @@ begin
 
   gen_ip_stratixiv_rtl : if (g_technology = c_tech_stratixiv and g_variant = "RTL") generate
     u0 : ip_stratixiv_mult_add2_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
   gen_ip_arria10_e1sg_rtl : if (g_technology = c_tech_arria10_e1sg and g_variant = "RTL") generate
     u0 : ip_arria10_e1sg_mult_add2_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
   gen_ip_arria10_e2sg_rtl : if (g_technology = c_tech_arria10_e2sg and g_variant = "RTL") generate
     u0 : ip_arria10_e2sg_mult_add2_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/mult/tech_mult_add4.vhd b/libraries/technology/mult/tech_mult_add4.vhd
index eff6254e42..4ca50cacf4 100644
--- a/libraries/technology/mult/tech_mult_add4.vhd
+++ b/libraries/technology/mult/tech_mult_add4.vhd
@@ -20,11 +20,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, technology_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use work.tech_mult_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use work.tech_mult_component_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_mult_lib;
@@ -65,106 +65,106 @@ begin
 
   gen_ip_stratixiv_rtl : if (g_technology = c_tech_stratixiv and g_variant = "RTL") generate
     u0 : ip_stratixiv_mult_add4_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub0         => g_add_sub0,
-      g_add_sub1         => g_add_sub1,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub0         => g_add_sub0,
+        g_add_sub1         => g_add_sub1,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
   gen_ip_arria10_e3sge3_rtl : if (g_technology = c_tech_arria10_e3sge3 and g_variant = "RTL") generate
     u0 : ip_arria10_e3sge3_mult_add4_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub0         => g_add_sub0,
-      g_add_sub1         => g_add_sub1,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub0         => g_add_sub0,
+        g_add_sub1         => g_add_sub1,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
   gen_ip_arria10_e1sg_rtl : if (g_technology = c_tech_arria10_e1sg and g_variant = "RTL") generate
     u0 : ip_arria10_e1sg_mult_add4_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub0         => g_add_sub0,
-      g_add_sub1         => g_add_sub1,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub0         => g_add_sub0,
+        g_add_sub1         => g_add_sub1,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
   gen_ip_arria10_e2sg_rtl : if (g_technology = c_tech_arria10_e2sg and g_variant = "RTL") generate
     u0 : ip_arria10_e2sg_mult_add4_rtl
-    generic map(
-      g_in_a_w           => g_in_a_w,
-      g_in_b_w           => g_in_b_w,
-      g_res_w            => g_res_w,
-      g_force_dsp        => g_force_dsp,
-      g_add_sub0         => g_add_sub0,
-      g_add_sub1         => g_add_sub1,
-      g_add_sub          => g_add_sub,
-      g_nof_mult         => g_nof_mult,
-      g_pipeline_input   => g_pipeline_input,
-      g_pipeline_product => g_pipeline_product,
-      g_pipeline_adder   => g_pipeline_adder,
-      g_pipeline_output  => g_pipeline_output
-    )
-    port map(
-      rst   => rst,
-      clk   => clk,
-      clken => clken,
-      in_a  => in_a,
-      in_b  => in_b,
-      res   => res
-    );
+      generic map(
+        g_in_a_w           => g_in_a_w,
+        g_in_b_w           => g_in_b_w,
+        g_res_w            => g_res_w,
+        g_force_dsp        => g_force_dsp,
+        g_add_sub0         => g_add_sub0,
+        g_add_sub1         => g_add_sub1,
+        g_add_sub          => g_add_sub,
+        g_nof_mult         => g_nof_mult,
+        g_pipeline_input   => g_pipeline_input,
+        g_pipeline_product => g_pipeline_product,
+        g_pipeline_adder   => g_pipeline_adder,
+        g_pipeline_output  => g_pipeline_output
+      )
+      port map(
+        rst   => rst,
+        clk   => clk,
+        clken => clken,
+        in_a  => in_a,
+        in_b  => in_b,
+        res   => res
+      );
   end generate;
 
 end str;
diff --git a/libraries/technology/mult/tech_mult_component_pkg.vhd b/libraries/technology/mult/tech_mult_component_pkg.vhd
index da86bb1ffb..d57bf2a61e 100644
--- a/libraries/technology/mult/tech_mult_component_pkg.vhd
+++ b/libraries/technology/mult/tech_mult_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_mult_component_pkg is
 
@@ -31,131 +31,131 @@ package tech_mult_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_stratixiv_complex_mult is
-  port
+    port
   (
-    aclr          : in std_logic ;
-    clock         : in std_logic ;
-    dataa_imag    : in std_logic_vector(17 downto 0);
-    dataa_real    : in std_logic_vector(17 downto 0);
-    datab_imag    : in std_logic_vector(17 downto 0);
-    datab_real    : in std_logic_vector(17 downto 0);
-    ena           : in std_logic ;
-    result_imag   : out std_logic_vector(35 downto 0);
-    result_real   : out std_logic_vector(35 downto 0)
-  );
+      aclr          : in std_logic ;
+      clock         : in std_logic ;
+      dataa_imag    : in std_logic_vector(17 downto 0);
+      dataa_real    : in std_logic_vector(17 downto 0);
+      datab_imag    : in std_logic_vector(17 downto 0);
+      datab_real    : in std_logic_vector(17 downto 0);
+      ena           : in std_logic ;
+      result_imag   : out std_logic_vector(35 downto 0);
+      result_real   : out std_logic_vector(35 downto 0)
+    );
   end component;
 
   component ip_stratixiv_complex_mult_rtl is
-  generic (
-    g_in_a_w           : positive := 18;
-    g_in_b_w           : positive := 18;
-    g_out_p_w          : positive := 36;
-    g_conjugate_b      : boolean := false;
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1
-    g_pipeline_output  : natural := 1  -- >= 0
-  );
-  port (
-    rst        : in   std_logic := '0';
-    clk        : in   std_logic;
-    clken      : in   std_logic := '1';
-    in_ar      : in   std_logic_vector(g_in_a_w - 1 downto 0);
-    in_ai      : in   std_logic_vector(g_in_a_w - 1 downto 0);
-    in_br      : in   std_logic_vector(g_in_b_w - 1 downto 0);
-    in_bi      : in   std_logic_vector(g_in_b_w - 1 downto 0);
-    result_re  : out  std_logic_vector(g_out_p_w - 1 downto 0);
-    result_im  : out  std_logic_vector(g_out_p_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive := 18;
+      g_in_b_w           : positive := 18;
+      g_out_p_w          : positive := 36;
+      g_conjugate_b      : boolean := false;
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1
+      g_pipeline_output  : natural := 1  -- >= 0
+    );
+    port (
+      rst        : in   std_logic := '0';
+      clk        : in   std_logic;
+      clken      : in   std_logic := '1';
+      in_ar      : in   std_logic_vector(g_in_a_w - 1 downto 0);
+      in_ai      : in   std_logic_vector(g_in_a_w - 1 downto 0);
+      in_br      : in   std_logic_vector(g_in_b_w - 1 downto 0);
+      in_bi      : in   std_logic_vector(g_in_b_w - 1 downto 0);
+      result_re  : out  std_logic_vector(g_out_p_w - 1 downto 0);
+      result_im  : out  std_logic_vector(g_out_p_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_mult is
-  generic (
-    g_in_a_w           : positive := 18;
-    g_in_b_w           : positive := 18;
-    g_out_p_w          : positive := 36;  -- c_prod_w = g_in_a_w+g_in_b_w, use smaller g_out_p_w to truncate MSbits, or larger g_out_p_w to extend MSbits
-    g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
-    g_pipeline_input   : natural  := 1;  -- 0 or 1
-    g_pipeline_product : natural  := 1;  -- 0 or 1
-    g_pipeline_output  : natural  := 1;  -- >= 0
-    g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
-  );
-  port (
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive := 18;
+      g_in_b_w           : positive := 18;
+      g_out_p_w          : positive := 36;  -- c_prod_w = g_in_a_w+g_in_b_w, use smaller g_out_p_w to truncate MSbits, or larger g_out_p_w to extend MSbits
+      g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
+      g_pipeline_input   : natural  := 1;  -- 0 or 1
+      g_pipeline_product : natural  := 1;  -- 0 or 1
+      g_pipeline_output  : natural  := 1;  -- >= 0
+      g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
+    );
+    port (
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_mult_rtl is
-  generic (
-    g_in_a_w           : positive := 18;
-    g_in_b_w           : positive := 18;
-    g_out_p_w          : positive := 36;  -- c_prod_w = g_in_a_w+g_in_b_w, use smaller g_out_p_w to truncate MSbits, or larger g_out_p_w to extend MSbits
-    g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
-    g_pipeline_input   : natural  := 1;  -- 0 or 1
-    g_pipeline_product : natural  := 1;  -- 0 or 1
-    g_pipeline_output  : natural  := 1;  -- >= 0
-    g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
-  );
-  port (
-    rst        : in  std_logic;
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive := 18;
+      g_in_b_w           : positive := 18;
+      g_out_p_w          : positive := 36;  -- c_prod_w = g_in_a_w+g_in_b_w, use smaller g_out_p_w to truncate MSbits, or larger g_out_p_w to extend MSbits
+      g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
+      g_pipeline_input   : natural  := 1;  -- 0 or 1
+      g_pipeline_product : natural  := 1;  -- 0 or 1
+      g_pipeline_output  : natural  := 1;  -- >= 0
+      g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
+    );
+    port (
+      rst        : in  std_logic;
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_mult_add2_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(2)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub          : string := "ADD";  -- or "SUB"
-    g_nof_mult         : integer := 2;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1
-    g_pipeline_output  : natural := 1  -- >= 0
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(2)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub          : string := "ADD";  -- or "SUB"
+      g_nof_mult         : integer := 2;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1
+      g_pipeline_output  : natural := 1  -- >= 0
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_mult_add4_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub0         : string := "ADD";  -- or "SUB"
-    g_add_sub1         : string := "ADD";  -- or "SUB"
-    g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
-    g_nof_mult         : integer := 4;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
-    g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub0         : string := "ADD";  -- or "SUB"
+      g_add_sub1         : string := "ADD";  -- or "SUB"
+      g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
+      g_nof_mult         : integer := 4;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
+      g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -163,107 +163,107 @@ package tech_mult_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_complex_mult is
-  port (
-    dataa_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- complex_input.dataa_real
-    dataa_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .dataa_imag
-    datab_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_real
-    datab_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_imag
-    clock       : in  std_logic                     := '0';  -- .clk
-    aclr        : in  std_logic                     := '0';  -- .aclr
-    ena         : in  std_logic                     := '0';  -- .ena
-    result_real : out std_logic_vector(35 downto 0);  -- complex_output.result_real
-    result_imag : out std_logic_vector(35 downto 0)  -- .result_imag
-  );
+    port (
+      dataa_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- complex_input.dataa_real
+      dataa_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .dataa_imag
+      datab_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_real
+      datab_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_imag
+      clock       : in  std_logic                     := '0';  -- .clk
+      aclr        : in  std_logic                     := '0';  -- .aclr
+      ena         : in  std_logic                     := '0';  -- .ena
+      result_real : out std_logic_vector(35 downto 0);  -- complex_output.result_real
+      result_imag : out std_logic_vector(35 downto 0)  -- .result_imag
+    );
   end component;
 
 
   component ip_arria10_complex_mult_rtl is
-  generic (
-    g_in_a_w           : positive := 18;
-    g_in_b_w           : positive := 18;
-    g_out_p_w          : positive := 36;
-    g_conjugate_b      : boolean := false;
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1
-    g_pipeline_output  : natural := 1  -- >= 0
-  );
-  port (
-    rst        : in   std_logic := '0';
-    clk        : in   std_logic;
-    clken      : in   std_logic := '1';
-    in_ar      : in   std_logic_vector(g_in_a_w - 1 downto 0);
-    in_ai      : in   std_logic_vector(g_in_a_w - 1 downto 0);
-    in_br      : in   std_logic_vector(g_in_b_w - 1 downto 0);
-    in_bi      : in   std_logic_vector(g_in_b_w - 1 downto 0);
-    result_re  : out  std_logic_vector(g_out_p_w - 1 downto 0);
-    result_im  : out  std_logic_vector(g_out_p_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive := 18;
+      g_in_b_w           : positive := 18;
+      g_out_p_w          : positive := 36;
+      g_conjugate_b      : boolean := false;
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1
+      g_pipeline_output  : natural := 1  -- >= 0
+    );
+    port (
+      rst        : in   std_logic := '0';
+      clk        : in   std_logic;
+      clken      : in   std_logic := '1';
+      in_ar      : in   std_logic_vector(g_in_a_w - 1 downto 0);
+      in_ai      : in   std_logic_vector(g_in_a_w - 1 downto 0);
+      in_br      : in   std_logic_vector(g_in_b_w - 1 downto 0);
+      in_bi      : in   std_logic_vector(g_in_b_w - 1 downto 0);
+      result_re  : out  std_logic_vector(g_out_p_w - 1 downto 0);
+      result_im  : out  std_logic_vector(g_out_p_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_complex_mult_rtl_canonical is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_out_p_w          : positive;  -- default use g_out_p_w = g_in_a_w+g_in_b_w = c_prod_w
---    g_conjugate_b      : BOOLEAN := FALSE;
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1
-    g_pipeline_output  : natural := 1  -- >= 0
-  );
-  port (
-    rst        : in   std_logic := '0';
-    clk        : in   std_logic;
-    clken      : in   std_logic := '1';
-    in_ar      : in   std_logic_vector(g_in_a_w - 1 downto 0);
-    in_ai      : in   std_logic_vector(g_in_a_w - 1 downto 0);
-    in_br      : in   std_logic_vector(g_in_b_w - 1 downto 0);
-    in_bi      : in   std_logic_vector(g_in_b_w - 1 downto 0);
-    result_re  : out  std_logic_vector(g_out_p_w - 1 downto 0);
-    result_im  : out  std_logic_vector(g_out_p_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_out_p_w          : positive;  -- default use g_out_p_w = g_in_a_w+g_in_b_w = c_prod_w
+      --    g_conjugate_b      : BOOLEAN := FALSE;
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1
+      g_pipeline_output  : natural := 1  -- >= 0
+    );
+    port (
+      rst        : in   std_logic := '0';
+      clk        : in   std_logic;
+      clken      : in   std_logic := '1';
+      in_ar      : in   std_logic_vector(g_in_a_w - 1 downto 0);
+      in_ai      : in   std_logic_vector(g_in_a_w - 1 downto 0);
+      in_br      : in   std_logic_vector(g_in_b_w - 1 downto 0);
+      in_bi      : in   std_logic_vector(g_in_b_w - 1 downto 0);
+      result_re  : out  std_logic_vector(g_out_p_w - 1 downto 0);
+      result_im  : out  std_logic_vector(g_out_p_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_mult is
-  generic (
-    g_in_a_w           : positive := 18;  -- Width of the data A port
-    g_in_b_w           : positive := 18;  -- Width of the data B port
-    g_out_p_w          : positive := 36;  -- Width of the result port
-    g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
-    g_pipeline_input   : natural  := 1;  -- 0 or 1
-    g_pipeline_product : natural  := 1;  -- 0 or 1
-    g_pipeline_output  : natural  := 1;  -- >= 0
-    g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
-  );
-  port (
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive := 18;  -- Width of the data A port
+      g_in_b_w           : positive := 18;  -- Width of the data B port
+      g_out_p_w          : positive := 36;  -- Width of the result port
+      g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
+      g_pipeline_input   : natural  := 1;  -- 0 or 1
+      g_pipeline_product : natural  := 1;  -- 0 or 1
+      g_pipeline_output  : natural  := 1;  -- >= 0
+      g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
+    );
+    port (
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_mult_rtl is
-  generic (
-    g_in_a_w           : positive := 18;
-    g_in_b_w           : positive := 18;
-    g_out_p_w          : positive := 36;  -- c_prod_w = g_in_a_w+g_in_b_w, use smaller g_out_p_w to truncate MSbits, or larger g_out_p_w to extend MSbits
-    g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
-    g_pipeline_input   : natural  := 1;  -- 0 or 1
-    g_pipeline_product : natural  := 1;  -- 0 or 1
-    g_pipeline_output  : natural  := 1;  -- >= 0
-    g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
-  );
-  port (
-    rst        : in  std_logic;
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive := 18;
+      g_in_b_w           : positive := 18;
+      g_out_p_w          : positive := 36;  -- c_prod_w = g_in_a_w+g_in_b_w, use smaller g_out_p_w to truncate MSbits, or larger g_out_p_w to extend MSbits
+      g_nof_mult         : positive := 1;  -- using 2 for 18x18, 4 for 9x9 may yield better results when inferring * is used
+      g_pipeline_input   : natural  := 1;  -- 0 or 1
+      g_pipeline_product : natural  := 1;  -- 0 or 1
+      g_pipeline_output  : natural  := 1;  -- >= 0
+      g_representation   : string   := "SIGNED"  -- or "UNSIGNED"
+    );
+    port (
+      rst        : in  std_logic;
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      out_p      : out std_logic_vector(g_nof_mult * (g_in_a_w + g_in_b_w) - 1 downto 0)
+    );
   end component;
 
 
@@ -272,184 +272,184 @@ package tech_mult_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_mult_add4_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub0         : string := "ADD";  -- or "SUB"
-    g_add_sub1         : string := "ADD";  -- or "SUB"
-    g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
-    g_nof_mult         : integer := 4;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
-    g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub0         : string := "ADD";  -- or "SUB"
+      g_add_sub1         : string := "ADD";  -- or "SUB"
+      g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
+      g_nof_mult         : integer := 4;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
+      g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   -----------------------------------------------------------------------------
   -- Arria 10 e1sg components
   -----------------------------------------------------------------------------
   component ip_arria10_e1sg_mult_add2_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(2)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub          : string := "ADD";  -- or "SUB"
-    g_nof_mult         : integer := 2;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1
-    g_pipeline_output  : natural := 1  -- >= 0
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(2)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub          : string := "ADD";  -- or "SUB"
+      g_nof_mult         : integer := 2;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1
+      g_pipeline_output  : natural := 1  -- >= 0
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_mult_add4_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub0         : string := "ADD";  -- or "SUB"
-    g_add_sub1         : string := "ADD";  -- or "SUB"
-    g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
-    g_nof_mult         : integer := 4;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
-    g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub0         : string := "ADD";  -- or "SUB"
+      g_add_sub1         : string := "ADD";  -- or "SUB"
+      g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
+      g_nof_mult         : integer := 4;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
+      g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e1sg_complex_mult is
-  port (
-    dataa_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- complex_input.dataa_real
-    dataa_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .dataa_imag
-    datab_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_real
-    datab_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_imag
-    clock       : in  std_logic                     := '0';  -- .clk
-    aclr        : in  std_logic                     := '0';  -- .aclr
-    ena         : in  std_logic                     := '0';  -- .ena
-    result_real : out std_logic_vector(35 downto 0);  -- complex_output.result_real
-    result_imag : out std_logic_vector(35 downto 0)  -- .result_imag
-  );
+    port (
+      dataa_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- complex_input.dataa_real
+      dataa_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .dataa_imag
+      datab_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_real
+      datab_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_imag
+      clock       : in  std_logic                     := '0';  -- .clk
+      aclr        : in  std_logic                     := '0';  -- .aclr
+      ena         : in  std_logic                     := '0';  -- .ena
+      result_real : out std_logic_vector(35 downto 0);  -- complex_output.result_real
+      result_imag : out std_logic_vector(35 downto 0)  -- .result_imag
+    );
   end component;
 
   component ip_arria10_e1sg_complex_mult_27b is
-  port (
-    dataa_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- complex_input.dataa_real
-    dataa_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .dataa_imag
-    datab_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_real
-    datab_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_imag
-    clock       : in  std_logic                     := '0';  -- .clk
-    aclr        : in  std_logic                     := '0';  -- .aclr
-    ena         : in  std_logic                     := '0';  -- .ena
-    result_real : out std_logic_vector(53 downto 0);  -- complex_output.result_real
-    result_imag : out std_logic_vector(53 downto 0)  -- .result_imag
-  );
+    port (
+      dataa_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- complex_input.dataa_real
+      dataa_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .dataa_imag
+      datab_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_real
+      datab_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_imag
+      clock       : in  std_logic                     := '0';  -- .clk
+      aclr        : in  std_logic                     := '0';  -- .aclr
+      ena         : in  std_logic                     := '0';  -- .ena
+      result_real : out std_logic_vector(53 downto 0);  -- complex_output.result_real
+      result_imag : out std_logic_vector(53 downto 0)  -- .result_imag
+    );
   end component;
   -----------------------------------------------------------------------------
   -- Arria 10 e2sg components
   -----------------------------------------------------------------------------
   component ip_arria10_e2sg_mult_add2_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(2)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub          : string := "ADD";  -- or "SUB"
-    g_nof_mult         : integer := 2;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1
-    g_pipeline_output  : natural := 1  -- >= 0
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(2)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub          : string := "ADD";  -- or "SUB"
+      g_nof_mult         : integer := 2;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1
+      g_pipeline_output  : natural := 1  -- >= 0
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_mult_add4_rtl is
-  generic (
-    g_in_a_w           : positive;
-    g_in_b_w           : positive;
-    g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
-    g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
-    g_add_sub0         : string := "ADD";  -- or "SUB"
-    g_add_sub1         : string := "ADD";  -- or "SUB"
-    g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
-    g_nof_mult         : integer := 4;  -- fixed
-    g_pipeline_input   : natural := 1;  -- 0 or 1
-    g_pipeline_product : natural := 0;  -- 0 or 1
-    g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
-    g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
-  );
-  port (
-    rst        : in  std_logic := '0';
-    clk        : in  std_logic;
-    clken      : in  std_logic := '1';
-    in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-    in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-    res        : out std_logic_vector(g_res_w - 1 downto 0)
-  );
+    generic (
+      g_in_a_w           : positive;
+      g_in_b_w           : positive;
+      g_res_w            : positive;  -- g_in_a_w + g_in_b_w + log2(4)
+      g_force_dsp        : boolean := true;  -- when TRUE resize input width to >= 18
+      g_add_sub0         : string := "ADD";  -- or "SUB"
+      g_add_sub1         : string := "ADD";  -- or "SUB"
+      g_add_sub          : string := "ADD";  -- or "SUB" only available with rtl architecture
+      g_nof_mult         : integer := 4;  -- fixed
+      g_pipeline_input   : natural := 1;  -- 0 or 1
+      g_pipeline_product : natural := 0;  -- 0 or 1
+      g_pipeline_adder   : natural := 1;  -- 0 or 1, first sum
+      g_pipeline_output  : natural := 1  -- >= 0,   second sum and optional rounding
+    );
+    port (
+      rst        : in  std_logic := '0';
+      clk        : in  std_logic;
+      clken      : in  std_logic := '1';
+      in_a       : in  std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      in_b       : in  std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
+      res        : out std_logic_vector(g_res_w - 1 downto 0)
+    );
   end component;
 
   component ip_arria10_e2sg_complex_mult is
-  port (
-    dataa_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- complex_input.dataa_real
-    dataa_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .dataa_imag
-    datab_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_real
-    datab_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_imag
-    clock       : in  std_logic                     := '0';  -- .clk
-    aclr        : in  std_logic                     := '0';  -- .aclr
-    ena         : in  std_logic                     := '0';  -- .ena
-    result_real : out std_logic_vector(35 downto 0);  -- complex_output.result_real
-    result_imag : out std_logic_vector(35 downto 0)  -- .result_imag
-  );
+    port (
+      dataa_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- complex_input.dataa_real
+      dataa_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .dataa_imag
+      datab_real  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_real
+      datab_imag  : in  std_logic_vector(17 downto 0) := (others => '0');  -- .datab_imag
+      clock       : in  std_logic                     := '0';  -- .clk
+      aclr        : in  std_logic                     := '0';  -- .aclr
+      ena         : in  std_logic                     := '0';  -- .ena
+      result_real : out std_logic_vector(35 downto 0);  -- complex_output.result_real
+      result_imag : out std_logic_vector(35 downto 0)  -- .result_imag
+    );
   end component;
 
   component ip_arria10_e2sg_complex_mult_27b is
-  port (
-    dataa_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- complex_input.dataa_real
-    dataa_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .dataa_imag
-    datab_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_real
-    datab_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_imag
-    clock       : in  std_logic                     := '0';  -- .clk
-    aclr        : in  std_logic                     := '0';  -- .aclr
-    ena         : in  std_logic                     := '0';  -- .ena
-    result_real : out std_logic_vector(53 downto 0);  -- complex_output.result_real
-    result_imag : out std_logic_vector(53 downto 0)  -- .result_imag
-  );
+    port (
+      dataa_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- complex_input.dataa_real
+      dataa_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .dataa_imag
+      datab_real  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_real
+      datab_imag  : in  std_logic_vector(26 downto 0) := (others => '0');  -- .datab_imag
+      clock       : in  std_logic                     := '0';  -- .clk
+      aclr        : in  std_logic                     := '0';  -- .aclr
+      ena         : in  std_logic                     := '0';  -- .ena
+      result_real : out std_logic_vector(53 downto 0);  -- complex_output.result_real
+      result_imag : out std_logic_vector(53 downto 0)  -- .result_imag
+    );
   end component;
 end tech_mult_component_pkg;
diff --git a/libraries/technology/mult/tech_mult_pkg.vhd b/libraries/technology/mult/tech_mult_pkg.vhd
index d1721a41ff..5640a346bd 100644
--- a/libraries/technology/mult/tech_mult_pkg.vhd
+++ b/libraries/technology/mult/tech_mult_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_mult_pkg is
 
diff --git a/libraries/technology/pll/tech_pll_clk125.vhd b/libraries/technology/pll/tech_pll_clk125.vhd
index 88111c2eb9..6c0bff7908 100644
--- a/libraries/technology/pll/tech_pll_clk125.vhd
+++ b/libraries/technology/pll/tech_pll_clk125.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_pll_clk125_altera_iopll_150;
@@ -52,54 +52,54 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_pll_clk125
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_pll_clk125
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_pll_clk125
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_pll_clk125
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/pll/tech_pll_clk200.vhd b/libraries/technology/pll/tech_pll_clk200.vhd
index e375a6a6bc..1c4f2bd19e 100644
--- a/libraries/technology/pll/tech_pll_clk200.vhd
+++ b/libraries/technology/pll/tech_pll_clk200.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_pll_lib;
@@ -55,56 +55,56 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_pll_clk200
-    generic map (g_operation_mode, g_clk0_phase_shift, g_clk1_phase_shift)
-    port map (areset, inclk0, c0, c1, c2, locked);
+      generic map (g_operation_mode, g_clk0_phase_shift, g_clk1_phase_shift)
+      port map (areset, inclk0, c0, c1, c2, locked);
   end generate;
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_pll_clk200
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_pll_clk200
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_pll_clk200
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_pll_clk200
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        locked   => locked
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/pll/tech_pll_clk200_p6.vhd b/libraries/technology/pll/tech_pll_clk200_p6.vhd
index 175a8d3ea4..9a1b2f0ec0 100644
--- a/libraries/technology/pll/tech_pll_clk200_p6.vhd
+++ b/libraries/technology/pll/tech_pll_clk200_p6.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_pll_lib;
@@ -51,9 +51,9 @@ entity tech_pll_clk200_p6 is
     g_clk3_phase_shift : string := "313";  -- = 022.5
     g_clk4_phase_shift : string := "469";  -- = 033.75
     g_clk5_phase_shift : string := "625";  -- = 045
-                                -- "781"         -- = 056.25
+    -- "781"         -- = 056.25
     g_clk6_phase_shift : string := "938"  -- = 067.5
-                                -- "1094"        -- = 078.75
+  -- "1094"        -- = 078.75
   );
   port
   (
@@ -76,12 +76,12 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_pll_clk200_p6
-    generic map (g_pll_type, g_operation_mode,
+      generic map (g_pll_type, g_operation_mode,
                  g_clk0_phase_shift,
                  g_clk1_used,        g_clk2_used,        g_clk3_used,        g_clk4_used,        g_clk5_used,        g_clk6_used,
                  g_clk1_divide_by,   g_clk2_divide_by,   g_clk3_divide_by,   g_clk4_divide_by,   g_clk5_divide_by,   g_clk6_divide_by,
                  g_clk1_phase_shift, g_clk2_phase_shift, g_clk3_phase_shift, g_clk4_phase_shift, g_clk5_phase_shift, g_clk6_phase_shift)
-    port map (areset, inclk0, c0, c1, c2, c3, c4, c5, c6, locked);
+      port map (areset, inclk0, c0, c1, c2, c3, c4, c5, c6, locked);
   end generate;
 
 end architecture;
diff --git a/libraries/technology/pll/tech_pll_clk25.vhd b/libraries/technology/pll/tech_pll_clk25.vhd
index f3aa6ee6fc..85e195c46e 100644
--- a/libraries/technology/pll/tech_pll_clk25.vhd
+++ b/libraries/technology/pll/tech_pll_clk25.vhd
@@ -20,10 +20,10 @@
 -------------------------------------------------------------------------------
 
 library ieee, technology_lib;
-use ieee.std_logic_1164.all;
-use work.tech_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_pll_clk25_altera_iopll_150;
@@ -54,68 +54,68 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_pll_clk25
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_pll_clk25
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_pll_clk25
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_pll_clk25
-    port map (
-      rst      => areset,
-      refclk   => inclk0,
-      outclk_0 => c0,
-      outclk_1 => c1,
-      outclk_2 => c2,
-      outclk_3 => c3,
-      locked   => locked
-    );
+      port map (
+        rst      => areset,
+        refclk   => inclk0,
+        outclk_0 => c0,
+        outclk_1 => c1,
+        outclk_2 => c2,
+        outclk_3 => c3,
+        locked   => locked
+      );
   end generate;
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : ip_stratixiv_pll_clk25
-    port map (
-      areset => areset,
-      inclk0 => inclk0,
-      c0     => c0,
-      c1     => c1,
-      c2     => c2,
-      c3     => c3,
-      c4     => c4,
-      locked => locked
-    );
+      port map (
+        areset => areset,
+        inclk0 => inclk0,
+        c0     => c0,
+        c1     => c1,
+        c2     => c2,
+        c3     => c3,
+        c4     => c4,
+        locked => locked
+      );
   end generate;
 
 end architecture;
diff --git a/libraries/technology/pll/tech_pll_component_pkg.vhd b/libraries/technology/pll/tech_pll_component_pkg.vhd
index 91a3d8b44a..a4f9ed4562 100644
--- a/libraries/technology/pll/tech_pll_component_pkg.vhd
+++ b/libraries/technology/pll/tech_pll_component_pkg.vhd
@@ -22,7 +22,7 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
-use IEEE.std_logic_1164.all;
+  use IEEE.std_logic_1164.all;
 
 package tech_pll_component_pkg is
 
@@ -39,75 +39,75 @@ package tech_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_stratixiv_pll_clk200 is
-  generic (
-    g_operation_mode   : string := "NORMAL";  -- or "SOURCE_SYNCHRONOUS" --> requires PLL_COMPENSATE assignment to an input pin to compensate for (stratixiv)
-    g_clk0_phase_shift : string := "0";
-    g_clk1_phase_shift : string := "0"
-  );
-  port
+    generic (
+      g_operation_mode   : string := "NORMAL";  -- or "SOURCE_SYNCHRONOUS" --> requires PLL_COMPENSATE assignment to an input pin to compensate for (stratixiv)
+      g_clk0_phase_shift : string := "0";
+      g_clk1_phase_shift : string := "0"
+    );
+    port
   (
-    areset    : in std_logic  := '0';
-    inclk0    : in std_logic  := '0';
-    c0    : out std_logic ;
-    c1    : out std_logic ;
-    c2    : out std_logic ;
-    locked    : out std_logic
-  );
+      areset    : in std_logic  := '0';
+      inclk0    : in std_logic  := '0';
+      c0    : out std_logic ;
+      c1    : out std_logic ;
+      c2    : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_stratixiv_pll_clk200_p6 is
-  generic (
-    g_pll_type         : string := "Left_Right";  -- "AUTO", "Left_Right", or "Top_Bottom". Set "Left_Right" to direct using PLL_L3 close to CLK pin on UniBoard, because with "AUTO" still a top/bottom PLL may get inferred.
-    g_operation_mode   : string := "NORMAL";  -- or "SOURCE_SYNCHRONOUS" --> requires PLL_COMPENSATE assignment to an input pin to compensate for (stratixiv)
-    g_clk0_phase_shift : string := "0";  -- = 0 degrees for clk 200 MHz
-    g_clk1_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
-    g_clk2_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
-    g_clk3_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
-    g_clk4_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
-    g_clk5_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
-    g_clk6_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
-    g_clk1_divide_by   : natural := 32;  -- = clk 200/32 MHz
-    g_clk2_divide_by   : natural := 32;  -- = clk 200/32 MHz
-    g_clk3_divide_by   : natural := 32;  -- = clk 200/32 MHz
-    g_clk4_divide_by   : natural := 32;  -- = clk 200/32 MHz
-    g_clk5_divide_by   : natural := 32;  -- = clk 200/32 MHz
-    g_clk6_divide_by   : natural := 32;  -- = clk 200/32 MHz
-    g_clk1_phase_shift : string := "0";  -- = 0
-    g_clk2_phase_shift : string := "156";  -- = 011.25
-    g_clk3_phase_shift : string := "313";  -- = 022.5
-    g_clk4_phase_shift : string := "469";  -- = 033.75
-    g_clk5_phase_shift : string := "625";  -- = 045
-                                -- "781"         -- = 056.25
-    g_clk6_phase_shift : string := "938"  -- = 067.5
-                                -- "1094"        -- = 078.75
-  );
-  port
+    generic (
+      g_pll_type         : string := "Left_Right";  -- "AUTO", "Left_Right", or "Top_Bottom". Set "Left_Right" to direct using PLL_L3 close to CLK pin on UniBoard, because with "AUTO" still a top/bottom PLL may get inferred.
+      g_operation_mode   : string := "NORMAL";  -- or "SOURCE_SYNCHRONOUS" --> requires PLL_COMPENSATE assignment to an input pin to compensate for (stratixiv)
+      g_clk0_phase_shift : string := "0";  -- = 0 degrees for clk 200 MHz
+      g_clk1_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
+      g_clk2_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
+      g_clk3_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
+      g_clk4_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
+      g_clk5_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
+      g_clk6_used        : string := "PORT_USED";  -- or "PORT_UNUSED"
+      g_clk1_divide_by   : natural := 32;  -- = clk 200/32 MHz
+      g_clk2_divide_by   : natural := 32;  -- = clk 200/32 MHz
+      g_clk3_divide_by   : natural := 32;  -- = clk 200/32 MHz
+      g_clk4_divide_by   : natural := 32;  -- = clk 200/32 MHz
+      g_clk5_divide_by   : natural := 32;  -- = clk 200/32 MHz
+      g_clk6_divide_by   : natural := 32;  -- = clk 200/32 MHz
+      g_clk1_phase_shift : string := "0";  -- = 0
+      g_clk2_phase_shift : string := "156";  -- = 011.25
+      g_clk3_phase_shift : string := "313";  -- = 022.5
+      g_clk4_phase_shift : string := "469";  -- = 033.75
+      g_clk5_phase_shift : string := "625";  -- = 045
+      -- "781"         -- = 056.25
+      g_clk6_phase_shift : string := "938"  -- = 067.5
+    -- "1094"        -- = 078.75
+    );
+    port
   (
-    areset    : in std_logic  := '0';
-    inclk0    : in std_logic  := '0';
-    c0    : out std_logic ;
-    c1    : out std_logic ;
-    c2    : out std_logic ;
-    c3    : out std_logic ;
-    c4    : out std_logic ;
-    c5    : out std_logic ;
-    c6    : out std_logic ;
-    locked    : out std_logic
-  );
+      areset    : in std_logic  := '0';
+      inclk0    : in std_logic  := '0';
+      c0    : out std_logic ;
+      c1    : out std_logic ;
+      c2    : out std_logic ;
+      c3    : out std_logic ;
+      c4    : out std_logic ;
+      c5    : out std_logic ;
+      c6    : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_stratixiv_pll_clk25 is
-  port
+    port
   (
-    areset : in std_logic  := '0';
-    inclk0 : in std_logic  := '0';
-    c0     : out std_logic ;
-    c1     : out std_logic ;
-    c2     : out std_logic ;
-    c3     : out std_logic ;
-    c4     : out std_logic ;
-    locked : out std_logic
-  );
+      areset : in std_logic  := '0';
+      inclk0 : in std_logic  := '0';
+      c0     : out std_logic ;
+      c1     : out std_logic ;
+      c2     : out std_logic ;
+      c3     : out std_logic ;
+      c4     : out std_logic ;
+      locked : out std_logic
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -115,66 +115,66 @@ package tech_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_pll_xgmii_mac_clocks is
-  port (
-    pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    outclk0       : out std_logic;  -- outclk0.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    outclk1       : out std_logic  -- outclk1.clk
-  );
+    port (
+      pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      outclk0       : out std_logic;  -- outclk0.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      outclk1       : out std_logic  -- outclk1.clk
+    );
   end component;
 
   component ip_arria10_pll_clk200 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_pll_clk25 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_pll_clk125 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
---  COMPONENT ip_arria10_pll_clk200mm IS
---  PORT
---  (
---    rst       : IN STD_LOGIC  := '0';
---    refclk    : IN STD_LOGIC  := '0';
---    outclk_0  : OUT STD_LOGIC ;
---    outclk_1  : OUT STD_LOGIC ;
---    outclk_2  : OUT STD_LOGIC ;
---    outclk_3  : OUT STD_LOGIC ;
---    locked    : OUT STD_LOGIC
---  );
---  END COMPONENT;
+  --  COMPONENT ip_arria10_pll_clk200mm IS
+  --  PORT
+  --  (
+  --    rst       : IN STD_LOGIC  := '0';
+  --    refclk    : IN STD_LOGIC  := '0';
+  --    outclk_0  : OUT STD_LOGIC ;
+  --    outclk_1  : OUT STD_LOGIC ;
+  --    outclk_2  : OUT STD_LOGIC ;
+  --    outclk_3  : OUT STD_LOGIC ;
+  --    locked    : OUT STD_LOGIC
+  --  );
+  --  END COMPONENT;
 
 
   -----------------------------------------------------------------------------
@@ -182,52 +182,52 @@ package tech_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e3sge3_pll_xgmii_mac_clocks is
-  port (
-    pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    outclk0       : out std_logic;  -- outclk0.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    outclk1       : out std_logic  -- outclk1.clk
-  );
+    port (
+      pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      outclk0       : out std_logic;  -- outclk0.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      outclk1       : out std_logic  -- outclk1.clk
+    );
   end component;
 
   component ip_arria10_e3sge3_pll_clk200 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_e3sge3_pll_clk25 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_e3sge3_pll_clk125 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -235,52 +235,52 @@ package tech_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e1sg_pll_xgmii_mac_clocks is
-  port (
-    pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    outclk0       : out std_logic;  -- outclk0.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    outclk1       : out std_logic  -- outclk1.clk
-  );
+    port (
+      pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      outclk0       : out std_logic;  -- outclk0.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      outclk1       : out std_logic  -- outclk1.clk
+    );
   end component;
 
   component ip_arria10_e1sg_pll_clk200 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_e1sg_pll_clk25 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_e1sg_pll_clk125 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   -----------------------------------------------------------------------------
@@ -288,52 +288,52 @@ package tech_pll_component_pkg is
   -----------------------------------------------------------------------------
 
   component ip_arria10_e2sg_pll_xgmii_mac_clocks is
-  port (
-    pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
-    pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
-    pll_locked    : out std_logic;  -- pll_locked.pll_locked
-    outclk0       : out std_logic;  -- outclk0.clk
-    pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
-    outclk1       : out std_logic  -- outclk1.clk
-  );
+    port (
+      pll_refclk0   : in  std_logic := '0';  -- pll_refclk0.clk
+      pll_powerdown : in  std_logic := '0';  -- pll_powerdown.pll_powerdown
+      pll_locked    : out std_logic;  -- pll_locked.pll_locked
+      outclk0       : out std_logic;  -- outclk0.clk
+      pll_cal_busy  : out std_logic;  -- pll_cal_busy.pll_cal_busy
+      outclk1       : out std_logic  -- outclk1.clk
+    );
   end component;
 
   component ip_arria10_e2sg_pll_clk200 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_e2sg_pll_clk25 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
   component ip_arria10_e2sg_pll_clk125 is
-  port
+    port
   (
-    rst       : in std_logic  := '0';
-    refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
-    locked    : out std_logic
-  );
+      rst       : in std_logic  := '0';
+      refclk    : in std_logic  := '0';
+      outclk_0  : out std_logic ;
+      outclk_1  : out std_logic ;
+      outclk_2  : out std_logic ;
+      outclk_3  : out std_logic ;
+      locked    : out std_logic
+    );
   end component;
 
 end tech_pll_component_pkg;
diff --git a/libraries/technology/pll/tech_pll_xgmii_mac_clocks.vhd b/libraries/technology/pll/tech_pll_xgmii_mac_clocks.vhd
index 6635385aba..d2c5a11b10 100644
--- a/libraries/technology/pll/tech_pll_xgmii_mac_clocks.vhd
+++ b/libraries/technology/pll/tech_pll_xgmii_mac_clocks.vhd
@@ -34,11 +34,11 @@
 -- .
 
 library ieee, technology_lib, common_lib;
-use ieee.std_logic_1164.all;
-use work.tech_pll_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
+  use ieee.std_logic_1164.all;
+  use work.tech_pll_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_pll_xgmii_mac_clocks_altera_xcvr_fpll_a10_150;
@@ -72,50 +72,50 @@ begin
 
   gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate
     u0 : ip_arria10_pll_xgmii_mac_clocks
-    port map (
-      pll_refclk0   => refclk_644,
-      pll_powerdown => rst_in,
-      pll_locked    => pll_locked,
-      outclk0       => i_clk_156,
-      pll_cal_busy  => OPEN,
-      outclk1       => i_clk_312
-    );
+      port map (
+        pll_refclk0   => refclk_644,
+        pll_powerdown => rst_in,
+        pll_locked    => pll_locked,
+        outclk0       => i_clk_156,
+        pll_cal_busy  => OPEN,
+        outclk1       => i_clk_312
+      );
   end generate;
 
   gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate
     u0 : ip_arria10_e3sge3_pll_xgmii_mac_clocks
-    port map (
-      pll_refclk0   => refclk_644,
-      pll_powerdown => rst_in,
-      pll_locked    => pll_locked,
-      outclk0       => i_clk_156,
-      pll_cal_busy  => OPEN,
-      outclk1       => i_clk_312
-    );
+      port map (
+        pll_refclk0   => refclk_644,
+        pll_powerdown => rst_in,
+        pll_locked    => pll_locked,
+        outclk0       => i_clk_156,
+        pll_cal_busy  => OPEN,
+        outclk1       => i_clk_312
+      );
   end generate;
 
   gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate
     u0 : ip_arria10_e1sg_pll_xgmii_mac_clocks
-    port map (
-      pll_refclk0   => refclk_644,
-      pll_powerdown => rst_in,
-      pll_locked    => pll_locked,
-      outclk0       => i_clk_156,
-      pll_cal_busy  => OPEN,
-      outclk1       => i_clk_312
-    );
+      port map (
+        pll_refclk0   => refclk_644,
+        pll_powerdown => rst_in,
+        pll_locked    => pll_locked,
+        outclk0       => i_clk_156,
+        pll_cal_busy  => OPEN,
+        outclk1       => i_clk_312
+      );
   end generate;
 
   gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate
     u0 : ip_arria10_e2sg_pll_xgmii_mac_clocks
-    port map (
-      pll_refclk0   => refclk_644,
-      pll_powerdown => rst_in,
-      pll_locked    => pll_locked,
-      outclk0       => i_clk_156,
-      pll_cal_busy  => OPEN,
-      outclk1       => i_clk_312
-    );
+      port map (
+        pll_refclk0   => refclk_644,
+        pll_powerdown => rst_in,
+        pll_locked    => pll_locked,
+        outclk0       => i_clk_156,
+        pll_cal_busy  => OPEN,
+        outclk1       => i_clk_312
+      );
   end generate;
 
   pll_locked_n <= not pll_locked;
@@ -125,25 +125,25 @@ begin
   clk_312 <= i_clk_312;
 
   u_common_areset_156 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst    => pll_locked_n,
-    clk       => i_clk_156,
-    out_rst   => rst_156
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst    => pll_locked_n,
+      clk       => i_clk_156,
+      out_rst   => rst_156
+    );
 
   u_common_areset_312 : entity common_lib.common_areset
-  generic map (
-    g_rst_level => '1',
-    g_delay_len => c_meta_delay_len
-  )
-  port map (
-    in_rst    => pll_locked_n,
-    clk       => i_clk_312,
-    out_rst   => rst_312
-  );
+    generic map (
+      g_rst_level => '1',
+      g_delay_len => c_meta_delay_len
+    )
+    port map (
+      in_rst    => pll_locked_n,
+      clk       => i_clk_312,
+      out_rst   => rst_312
+    );
 
 end architecture;
diff --git a/libraries/technology/technology_pkg.vhd b/libraries/technology/technology_pkg.vhd
index f6b5147a78..eb5894ef78 100644
--- a/libraries/technology/technology_pkg.vhd
+++ b/libraries/technology/technology_pkg.vhd
@@ -34,8 +34,8 @@
 --   by components in dp_lib can use the dp_stream_pkg.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.math_real.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.math_real.all;
 
 package technology_pkg is
 
@@ -53,31 +53,31 @@ package technology_pkg is
   constant c_tech_nof_technologies   : integer := 10;
 
   -- Functions
-  function tech_sel_a_b(sel : boolean; a, b : string)  return string;
-  function tech_sel_a_b(sel : boolean; a, b : integer) return integer;
+  function tech_sel_a_b (sel : boolean; a, b : string) return string;
+  function tech_sel_a_b (sel : boolean; a, b : integer) return integer;
 
-  function tech_true_log2(n : natural) return natural;  -- tech_true_log2(n) = log2(n)
-  function tech_ceil_log2(n : natural) return natural;  -- tech_ceil_log2(n) = log2(n), but force tech_ceil_log2(1) = 1
+  function tech_true_log2 (n : natural) return natural;  -- tech_true_log2(n) = log2(n)
+  function tech_ceil_log2 (n : natural) return natural;  -- tech_ceil_log2(n) = log2(n), but force tech_ceil_log2(1) = 1
 
-  function tech_ceil_div(n, d : natural) return natural;  -- tech_ceil_div    = n/d + (n MOD d)/=0
+  function tech_ceil_div (n, d : natural) return natural;  -- tech_ceil_div    = n/d + (n MOD d)/=0
 
-  function tech_nat_to_mbps_str( n : in natural ) return string;
+  function tech_nat_to_mbps_str ( n : in natural ) return string;
 
 end technology_pkg;
 
 package body technology_pkg is
 
-  function tech_sel_a_b(sel : boolean; a, b : string) return string is
+  function tech_sel_a_b (sel : boolean; a, b : string) return string is
   begin
     if sel = true then return a; else return b; end if;
   end;
 
-  function tech_sel_a_b(sel : boolean; a, b : integer) return integer is
+  function tech_sel_a_b (sel : boolean; a, b : integer) return integer is
   begin
     if sel = true then return a; else return b; end if;
   end;
 
-  function tech_true_log2(n : natural) return natural is
+  function tech_true_log2 (n : natural) return natural is
   -- Purpose: For calculating extra vector width of existing vector
   -- Description: Return mathematical ceil(log2(n))
   --   n    log2()
@@ -96,7 +96,7 @@ package body technology_pkg is
     return natural(integer(ceil(log2(real(n)))));
   end;
 
-  function tech_ceil_log2(n : natural) return natural is
+  function tech_ceil_log2 (n : natural) return natural is
   -- Purpose: For calculating vector width of new vector
   -- Description:
   --   Same as tech_true_log2() except tech_ceil_log2(1) = 1, which is needed to support
@@ -110,22 +110,22 @@ package body technology_pkg is
     end if;
   end;
 
-  function tech_ceil_div(n, d : natural) return natural is
+  function tech_ceil_div (n, d : natural) return natural is
   begin
     return n / d + tech_sel_a_b(n mod d = 0, 0, 1);
   end;
 
-  function tech_nat_to_mbps_str( n : in natural ) return string is  -- Converts a selection of naturals to Mbps strings, used for edited MegaWizard file in ip_stratixiv_hssi_*_generic.vhd
+  function tech_nat_to_mbps_str ( n : in natural ) return string is  -- Converts a selection of naturals to Mbps strings, used for edited MegaWizard file in ip_stratixiv_hssi_*_generic.vhd
     variable r : string(1 to 9);
   begin
     case n is
-    when 2500 => r := "2500 Mbps";
-    when 3125 => r := "3125 Mbps";
-    when 5000 => r := "5000 Mbps";
-    when 6250 => r := "6250 Mbps";
-    when others =>
-      r := "ERROR: tech_nat_to_mbps_str UNSUPPORTED DATA RATE";  -- This too long string will cause an error in Quartus synthesis
-      report r severity FAILURE;  -- Severity Failure will stop the Modelsim simulation
+      when 2500 => r := "2500 Mbps";
+      when 3125 => r := "3125 Mbps";
+      when 5000 => r := "5000 Mbps";
+      when 6250 => r := "6250 Mbps";
+      when others =>
+        r := "ERROR: tech_nat_to_mbps_str UNSUPPORTED DATA RATE";  -- This too long string will cause an error in Quartus synthesis
+        report r severity FAILURE;  -- Severity Failure will stop the Modelsim simulation
     end case;
     return r;
   end;
diff --git a/libraries/technology/technology_select_pkg.vhd b/libraries/technology/technology_select_pkg.vhd
index 1c44674e56..d05d9c21e2 100644
--- a/libraries/technology/technology_select_pkg.vhd
+++ b/libraries/technology/technology_select_pkg.vhd
@@ -25,8 +25,8 @@
 --   g_technology defaults to c_tech_select_default.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.technology_pkg.all;
 
 package technology_select_pkg is
 
diff --git a/libraries/technology/technology_select_pkg_unb1.vhd b/libraries/technology/technology_select_pkg_unb1.vhd
index 1c44674e56..d05d9c21e2 100644
--- a/libraries/technology/technology_select_pkg_unb1.vhd
+++ b/libraries/technology/technology_select_pkg_unb1.vhd
@@ -25,8 +25,8 @@
 --   g_technology defaults to c_tech_select_default.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.technology_pkg.all;
 
 package technology_select_pkg is
 
diff --git a/libraries/technology/technology_select_pkg_unb2b.vhd b/libraries/technology/technology_select_pkg_unb2b.vhd
index 713431b756..d5eb4d563a 100644
--- a/libraries/technology/technology_select_pkg_unb2b.vhd
+++ b/libraries/technology/technology_select_pkg_unb2b.vhd
@@ -25,8 +25,8 @@
 --   g_technology defaults to c_tech_select_default.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.technology_pkg.all;
 
 package technology_select_pkg is
 
diff --git a/libraries/technology/technology_select_pkg_unb2c.vhd b/libraries/technology/technology_select_pkg_unb2c.vhd
index f03ff13661..5137062b3d 100644
--- a/libraries/technology/technology_select_pkg_unb2c.vhd
+++ b/libraries/technology/technology_select_pkg_unb2c.vhd
@@ -25,8 +25,8 @@
 --   g_technology defaults to c_tech_select_default.
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use work.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.technology_pkg.all;
 
 package technology_select_pkg is
 
diff --git a/libraries/technology/transceiver/sim_transceiver_deserializer.vhd b/libraries/technology/transceiver/sim_transceiver_deserializer.vhd
index 81baf459b5..8edf3d395c 100644
--- a/libraries/technology/transceiver/sim_transceiver_deserializer.vhd
+++ b/libraries/technology/transceiver/sim_transceiver_deserializer.vhd
@@ -30,8 +30,8 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity sim_transceiver_deserializer is
   generic(
diff --git a/libraries/technology/transceiver/sim_transceiver_gx.vhd b/libraries/technology/transceiver/sim_transceiver_gx.vhd
index dc5318bcbb..13f97952ea 100644
--- a/libraries/technology/transceiver/sim_transceiver_gx.vhd
+++ b/libraries/technology/transceiver/sim_transceiver_gx.vhd
@@ -54,10 +54,10 @@
 --   None
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_str_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_str_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity sim_transceiver_gx is
   generic(
@@ -117,14 +117,14 @@ architecture str of sim_transceiver_gx is
 begin
 
   u_areset_tr_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1'
-  )
-  port map(
-    clk     => tr_clk,
-    in_rst  => '0',
-    out_rst => tr_rst
-  );
+    generic map(
+      g_rst_level => '1'
+    )
+    port map(
+      clk     => tr_clk,
+      in_rst  => '0',
+      out_rst => tr_rst
+    );
 
   p_check_tr_clk_period : process
     variable v_period : time;
@@ -192,44 +192,44 @@ begin
       tx_in_eop(i)  <= (others => tx_sosi_arr(i).eop);
 
       u_ser: entity work.sim_transceiver_serializer
-      generic map (
-        g_data_w         => g_data_w,
-        g_tr_clk_period  => c_tr_clk_period
-      )
-      port map (
-        tb_end        => sim_end,
-
-        tr_clk        => tr_clk,
-        tr_rst        => tr_rst,
-
-        tx_in_data    => tx_sosi_arr(i).data(g_data_w - 1 downto 0),
-        tx_in_ctrl    => tx_in_ctrl(i),
-        tx_in_sop     => tx_in_sop(i),
-        tx_in_eop     => tx_in_eop(i),
-
-        tx_serial_out => tx_dataout(i)
-      );
+        generic map (
+          g_data_w         => g_data_w,
+          g_tr_clk_period  => c_tr_clk_period
+        )
+        port map (
+          tb_end        => sim_end,
+
+          tr_clk        => tr_clk,
+          tr_rst        => tr_rst,
+
+          tx_in_data    => tx_sosi_arr(i).data(g_data_w - 1 downto 0),
+          tx_in_ctrl    => tx_in_ctrl(i),
+          tx_in_sop     => tx_in_sop(i),
+          tx_in_eop     => tx_in_eop(i),
+
+          tx_serial_out => tx_dataout(i)
+        );
     end generate;
 
     gen_rx : if g_rx = true generate
       u_des: entity work.sim_transceiver_deserializer
-      generic map (
-        g_data_w         => g_data_w,
-        g_tr_clk_period  => c_tr_clk_period
-      )
-      port map (
-        tb_end        => sim_end,
-
-        tr_clk        => tr_clk,
-        tr_rst        => tr_rst,
-
-        rx_out_data   => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
-        rx_out_ctrl   => rx_out_ctrl(i),
-        rx_out_sop    => rx_out_sop(i),
-        rx_out_eop    => rx_out_eop(i),
-
-        rx_serial_in  => rx_datain(i)
-      );
+        generic map (
+          g_data_w         => g_data_w,
+          g_tr_clk_period  => c_tr_clk_period
+        )
+        port map (
+          tb_end        => sim_end,
+
+          tr_clk        => tr_clk,
+          tr_rst        => tr_rst,
+
+          rx_out_data   => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
+          rx_out_ctrl   => rx_out_ctrl(i),
+          rx_out_sop    => rx_out_sop(i),
+          rx_out_eop    => rx_out_eop(i),
+
+          rx_serial_in  => rx_datain(i)
+        );
     end generate;
 
     rx_sosi_arr(i).valid <= andv(rx_out_ctrl(i));
diff --git a/libraries/technology/transceiver/sim_transceiver_serializer.vhd b/libraries/technology/transceiver/sim_transceiver_serializer.vhd
index 89e8a23d23..faf75d2a1b 100644
--- a/libraries/technology/transceiver/sim_transceiver_serializer.vhd
+++ b/libraries/technology/transceiver/sim_transceiver_serializer.vhd
@@ -63,8 +63,8 @@
 
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity sim_transceiver_serializer is
   generic(
diff --git a/libraries/technology/transceiver/tb_sim_transceiver_serdes.vhd b/libraries/technology/transceiver/tb_sim_transceiver_serdes.vhd
index ff3df14d99..8b9df565b7 100644
--- a/libraries/technology/transceiver/tb_sim_transceiver_serdes.vhd
+++ b/libraries/technology/transceiver/tb_sim_transceiver_serdes.vhd
@@ -33,10 +33,10 @@
 --     byte is followed by its 2 valid bits and is sent LSb first.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.tb_common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.tb_common_pkg.all;
 
 entity tb_sim_transceiver_serdes is
 end entity tb_sim_transceiver_serdes;
@@ -101,36 +101,36 @@ begin
   tx_in_ctrl <= (others => tx_in_val);
 
   u_ser: entity work.sim_transceiver_serializer
-  generic map (
-    g_data_w        => c_data_w,
-    g_tr_clk_period => c_tr_clk_period
-  )
-  port map (
-    tb_end             => tb_end,
-    tr_clk             => tr_clk,
-    tr_rst             => tr_rst,
+    generic map (
+      g_data_w        => c_data_w,
+      g_tr_clk_period => c_tr_clk_period
+    )
+    port map (
+      tb_end             => tb_end,
+      tr_clk             => tr_clk,
+      tr_rst             => tr_rst,
 
-    tx_in_data         => tx_in_data,
-    tx_in_ctrl         => tx_in_ctrl,
+      tx_in_data         => tx_in_data,
+      tx_in_ctrl         => tx_in_ctrl,
 
-    tx_serial_out      => serial_line
-  );
+      tx_serial_out      => serial_line
+    );
 
   u_des: entity work.sim_transceiver_deserializer
-  generic map (
-    g_data_w        => c_data_w,
-    g_tr_clk_period => c_tr_clk_period
-  )
-  port map (
-    tb_end             => tb_end,
-    tr_clk             => tr_clk,
-    tr_rst             => tr_rst,
-
-    rx_out_data        => rx_out_data,
-    rx_out_ctrl        => rx_out_ctrl,
-
-    rx_serial_in       => serial_line
-  );
+    generic map (
+      g_data_w        => c_data_w,
+      g_tr_clk_period => c_tr_clk_period
+    )
+    port map (
+      tb_end             => tb_end,
+      tr_clk             => tr_clk,
+      tr_rst             => tr_rst,
+
+      rx_out_data        => rx_out_data,
+      rx_out_ctrl        => rx_out_ctrl,
+
+      rx_serial_in       => serial_line
+    );
 
   p_verify_en: process
   begin
diff --git a/libraries/technology/transceiver/tech_transceiver_arria10_1.vhd b/libraries/technology/transceiver/tech_transceiver_arria10_1.vhd
index e1c6a70ff2..7b7f8bd1a2 100644
--- a/libraries/technology/transceiver/tech_transceiver_arria10_1.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_arria10_1.vhd
@@ -21,29 +21,29 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use IEEE.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 
 entity tech_transceiver_arria10_1 is
-    generic (
-      g_nof_channels      : natural := 1
-    );
-    port (
-      clk                     : in  std_logic;
-      reset_p                 : in  std_logic;
-      refclk                  : in  std_logic;
-      clk_156_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
-      clk_312_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
-      tx_serial_data          : out std_logic_vector(g_nof_channels - 1 downto 0);
-      rx_serial_data          : in  std_logic_vector(g_nof_channels - 1 downto 0);
-      tx_parallel_data        : in  std_logic_vector(64 * g_nof_channels - 1 downto 0);
-      rx_parallel_data        : out std_logic_vector(64 * g_nof_channels - 1 downto 0);
-      tx_control              : in  std_logic_vector(8 * g_nof_channels - 1 downto 0);
-      rx_control              : out std_logic_vector(8 * g_nof_channels - 1 downto 0)
-    );
-  end tech_transceiver_arria10_1;
+  generic (
+    g_nof_channels      : natural := 1
+  );
+  port (
+    clk                     : in  std_logic;
+    reset_p                 : in  std_logic;
+    refclk                  : in  std_logic;
+    clk_156_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
+    clk_312_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
+    tx_serial_data          : out std_logic_vector(g_nof_channels - 1 downto 0);
+    rx_serial_data          : in  std_logic_vector(g_nof_channels - 1 downto 0);
+    tx_parallel_data        : in  std_logic_vector(64 * g_nof_channels - 1 downto 0);
+    rx_parallel_data        : out std_logic_vector(64 * g_nof_channels - 1 downto 0);
+    tx_control              : in  std_logic_vector(8 * g_nof_channels - 1 downto 0);
+    rx_control              : out std_logic_vector(8 * g_nof_channels - 1 downto 0)
+  );
+end tech_transceiver_arria10_1;
 
 
 architecture str of tech_transceiver_arria10_1 is
diff --git a/libraries/technology/transceiver/tech_transceiver_arria10_48.vhd b/libraries/technology/transceiver/tech_transceiver_arria10_48.vhd
index c60b893764..2f9d3ddfa0 100644
--- a/libraries/technology/transceiver/tech_transceiver_arria10_48.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_arria10_48.vhd
@@ -21,29 +21,29 @@
 -------------------------------------------------------------------------------
 
 library IEEE;
-use IEEE.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+  use IEEE.std_logic_1164.all;
+  use ieee.std_logic_arith.all;
+  use ieee.std_logic_unsigned.all;
 
 
 entity tech_transceiver_arria10_48 is
-    generic (
-      g_nof_channels      : natural := 48
-    );
-    port (
-      clk                     : in  std_logic;
-      reset_p                 : in  std_logic;
-      refclk                  : in  std_logic;
-      clk_156_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
-      clk_312_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
-      tx_serial_data          : out std_logic_vector(g_nof_channels - 1 downto 0);
-      rx_serial_data          : in  std_logic_vector(g_nof_channels - 1 downto 0);
-      tx_parallel_data        : in  std_logic_vector(64 * g_nof_channels - 1 downto 0);
-      rx_parallel_data        : out std_logic_vector(64 * g_nof_channels - 1 downto 0);
-      tx_control              : in  std_logic_vector(8 * g_nof_channels - 1 downto 0);
-      rx_control              : out std_logic_vector(8 * g_nof_channels - 1 downto 0)
-    );
-  end tech_transceiver_arria10_48;
+  generic (
+    g_nof_channels      : natural := 48
+  );
+  port (
+    clk                     : in  std_logic;
+    reset_p                 : in  std_logic;
+    refclk                  : in  std_logic;
+    clk_156_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
+    clk_312_arr             : out std_logic_vector(g_nof_channels - 1 downto 0);
+    tx_serial_data          : out std_logic_vector(g_nof_channels - 1 downto 0);
+    rx_serial_data          : in  std_logic_vector(g_nof_channels - 1 downto 0);
+    tx_parallel_data        : in  std_logic_vector(64 * g_nof_channels - 1 downto 0);
+    rx_parallel_data        : out std_logic_vector(64 * g_nof_channels - 1 downto 0);
+    tx_control              : in  std_logic_vector(8 * g_nof_channels - 1 downto 0);
+    rx_control              : out std_logic_vector(8 * g_nof_channels - 1 downto 0)
+  );
+end tech_transceiver_arria10_48;
 
 
 architecture str of tech_transceiver_arria10_48 is
@@ -229,7 +229,7 @@ begin
       outclk0       => clk_156,  -- outclk0.clk
       pll_cal_busy  => open,  -- pll_cal_busy.pll_cal_busy
       outclk1       => clk_312  -- outclk1.clk
-   );
+    );
 
   clk_156_internal <= (others => clk_156);
   clk_312_internal <= (others => clk_312);
diff --git a/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd b/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd
index f68f590374..6716cea59d 100644
--- a/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd
@@ -22,8 +22,8 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_transceiver_component_pkg is
 
@@ -32,184 +32,184 @@ package tech_transceiver_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_stratixiv_hssi_gx_32b_generic is
-  generic (
-    g_mbps                    : natural;
-    starting_channel_number   : natural := 0
-  );
-  port (
-    cal_blk_clk              : in std_logic ;
-    gxb_powerdown            : in std_logic_vector(0 downto 0);
-    pll_inclk                : in std_logic ;
-    pll_powerdown            : in std_logic_vector(0 downto 0);
-    reconfig_clk             : in std_logic ;
-    reconfig_togxb           : in std_logic_vector(3 downto 0);
-    rx_analogreset           : in std_logic_vector(0 downto 0);
-    rx_datain                : in std_logic_vector(0 downto 0);
-    rx_digitalreset          : in std_logic_vector(0 downto 0);
-    rx_enapatternalign       : in std_logic_vector(0 downto 0);
-    rx_seriallpbken          : in std_logic_vector(0 downto 0);
-    tx_ctrlenable            : in std_logic_vector(3 downto 0);
-    tx_datain                : in std_logic_vector(31 downto 0);
-    tx_digitalreset          : in std_logic_vector(0 downto 0);
-    pll_locked               : out std_logic_vector(0 downto 0);
-    reconfig_fromgxb         : out std_logic_vector(16 downto 0);
-    rx_byteorderalignstatus  : out std_logic_vector(0 downto 0);
-    rx_clkout                : out std_logic_vector(0 downto 0);
-    rx_ctrldetect            : out std_logic_vector(3 downto 0);
-    rx_dataout               : out std_logic_vector(31 downto 0);
-    rx_disperr               : out std_logic_vector(3 downto 0);
-    rx_errdetect             : out std_logic_vector(3 downto 0);
-    rx_freqlocked            : out std_logic_vector(0 downto 0);
-    rx_patterndetect         : out std_logic_vector(3 downto 0);
-    tx_clkout                : out std_logic_vector(0 downto 0);
-    tx_dataout               : out std_logic_vector(0 downto 0)
-  );
+    generic (
+      g_mbps                    : natural;
+      starting_channel_number   : natural := 0
+    );
+    port (
+      cal_blk_clk              : in std_logic ;
+      gxb_powerdown            : in std_logic_vector(0 downto 0);
+      pll_inclk                : in std_logic ;
+      pll_powerdown            : in std_logic_vector(0 downto 0);
+      reconfig_clk             : in std_logic ;
+      reconfig_togxb           : in std_logic_vector(3 downto 0);
+      rx_analogreset           : in std_logic_vector(0 downto 0);
+      rx_datain                : in std_logic_vector(0 downto 0);
+      rx_digitalreset          : in std_logic_vector(0 downto 0);
+      rx_enapatternalign       : in std_logic_vector(0 downto 0);
+      rx_seriallpbken          : in std_logic_vector(0 downto 0);
+      tx_ctrlenable            : in std_logic_vector(3 downto 0);
+      tx_datain                : in std_logic_vector(31 downto 0);
+      tx_digitalreset          : in std_logic_vector(0 downto 0);
+      pll_locked               : out std_logic_vector(0 downto 0);
+      reconfig_fromgxb         : out std_logic_vector(16 downto 0);
+      rx_byteorderalignstatus  : out std_logic_vector(0 downto 0);
+      rx_clkout                : out std_logic_vector(0 downto 0);
+      rx_ctrldetect            : out std_logic_vector(3 downto 0);
+      rx_dataout               : out std_logic_vector(31 downto 0);
+      rx_disperr               : out std_logic_vector(3 downto 0);
+      rx_errdetect             : out std_logic_vector(3 downto 0);
+      rx_freqlocked            : out std_logic_vector(0 downto 0);
+      rx_patterndetect         : out std_logic_vector(3 downto 0);
+      tx_clkout                : out std_logic_vector(0 downto 0);
+      tx_dataout               : out std_logic_vector(0 downto 0)
+    );
   end component;
 
   component ip_stratixiv_hssi_tx_32b_generic is
-  generic(
-    g_mbps : natural
-  );
-  port (
-    cal_blk_clk     : in std_logic ;
-    gxb_powerdown   : in std_logic_vector(0 downto 0);
-    pll_inclk       : in std_logic ;
-    pll_powerdown   : in std_logic_vector(0 downto 0);
-    tx_ctrlenable   : in std_logic_vector(3 downto 0);
-    tx_datain       : in std_logic_vector(31 downto 0);
-    tx_digitalreset : in std_logic_vector(0 downto 0);
-    pll_locked      : out std_logic_vector(0 downto 0);
-    tx_clkout       : out std_logic_vector(0 downto 0);
-    tx_dataout      : out std_logic_vector(0 downto 0)
-  );
+    generic(
+      g_mbps : natural
+    );
+    port (
+      cal_blk_clk     : in std_logic ;
+      gxb_powerdown   : in std_logic_vector(0 downto 0);
+      pll_inclk       : in std_logic ;
+      pll_powerdown   : in std_logic_vector(0 downto 0);
+      tx_ctrlenable   : in std_logic_vector(3 downto 0);
+      tx_datain       : in std_logic_vector(31 downto 0);
+      tx_digitalreset : in std_logic_vector(0 downto 0);
+      pll_locked      : out std_logic_vector(0 downto 0);
+      tx_clkout       : out std_logic_vector(0 downto 0);
+      tx_dataout      : out std_logic_vector(0 downto 0)
+    );
   end component;
 
   component ip_stratixiv_hssi_rx_32b_generic is
-  generic (
-    g_mbps                  : natural;
-    starting_channel_number : natural := 0
-  );
-  port (
-    cal_blk_clk              : in std_logic ;
-    gxb_powerdown            : in std_logic_vector(0 downto 0);
-    reconfig_clk             : in std_logic ;
-    reconfig_togxb           : in std_logic_vector(3 downto 0);
-    rx_analogreset           : in std_logic_vector(0 downto 0);
-    rx_cruclk                : in std_logic_vector(0 downto 0) :=  (others => '0');
-    rx_datain                : in std_logic_vector(0 downto 0);
-    rx_digitalreset          : in std_logic_vector(0 downto 0);
-    rx_enapatternalign       : in std_logic_vector(0 downto 0);
-    reconfig_fromgxb         : out std_logic_vector(16 downto 0);
-    rx_byteorderalignstatus  : out std_logic_vector(0 downto 0);
-    rx_clkout                : out std_logic_vector(0 downto 0);
-    rx_ctrldetect            : out std_logic_vector(3 downto 0);
-    rx_dataout               : out std_logic_vector(31 downto 0);
-    rx_disperr               : out std_logic_vector(3 downto 0);
-    rx_errdetect             : out std_logic_vector(3 downto 0);
-    rx_freqlocked            : out std_logic_vector(0 downto 0);
-    rx_patterndetect         : out std_logic_vector(3 downto 0)
-  );
+    generic (
+      g_mbps                  : natural;
+      starting_channel_number : natural := 0
+    );
+    port (
+      cal_blk_clk              : in std_logic ;
+      gxb_powerdown            : in std_logic_vector(0 downto 0);
+      reconfig_clk             : in std_logic ;
+      reconfig_togxb           : in std_logic_vector(3 downto 0);
+      rx_analogreset           : in std_logic_vector(0 downto 0);
+      rx_cruclk                : in std_logic_vector(0 downto 0) :=  (others => '0');
+      rx_datain                : in std_logic_vector(0 downto 0);
+      rx_digitalreset          : in std_logic_vector(0 downto 0);
+      rx_enapatternalign       : in std_logic_vector(0 downto 0);
+      reconfig_fromgxb         : out std_logic_vector(16 downto 0);
+      rx_byteorderalignstatus  : out std_logic_vector(0 downto 0);
+      rx_clkout                : out std_logic_vector(0 downto 0);
+      rx_ctrldetect            : out std_logic_vector(3 downto 0);
+      rx_dataout               : out std_logic_vector(31 downto 0);
+      rx_disperr               : out std_logic_vector(3 downto 0);
+      rx_errdetect             : out std_logic_vector(3 downto 0);
+      rx_freqlocked            : out std_logic_vector(0 downto 0);
+      rx_patterndetect         : out std_logic_vector(3 downto 0)
+    );
   end component;
 
   component ip_stratixiv_hssi_gx_16b is
-  generic
+    generic
   (
-    starting_channel_number   : natural := 0
-  );
-  port
+      starting_channel_number   : natural := 0
+    );
+    port
   (
-    cal_blk_clk   : in std_logic ;
-    pll_inclk   : in std_logic ;
-    pll_powerdown   : in std_logic_vector(0 downto 0);
-    reconfig_clk    : in std_logic ;
-    reconfig_togxb    : in std_logic_vector(3 downto 0);
-    rx_analogreset    : in std_logic_vector(0 downto 0);
-    rx_datain   : in std_logic_vector(0 downto 0);
-    rx_digitalreset   : in std_logic_vector(0 downto 0);
-    tx_ctrlenable   : in std_logic_vector(1 downto 0);
-    tx_datain   : in std_logic_vector(15 downto 0);
-    tx_digitalreset   : in std_logic_vector(0 downto 0);
-    pll_locked    : out std_logic_vector(0 downto 0);
-    reconfig_fromgxb    : out std_logic_vector(16 downto 0);
-    rx_clkout   : out std_logic_vector(0 downto 0);
-    rx_ctrldetect   : out std_logic_vector(1 downto 0);
-    rx_dataout    : out std_logic_vector(15 downto 0);
-    rx_freqlocked   : out std_logic_vector(0 downto 0);
-    tx_clkout   : out std_logic_vector(0 downto 0);
-    tx_dataout    : out std_logic_vector(0 downto 0)
-  );
+      cal_blk_clk   : in std_logic ;
+      pll_inclk   : in std_logic ;
+      pll_powerdown   : in std_logic_vector(0 downto 0);
+      reconfig_clk    : in std_logic ;
+      reconfig_togxb    : in std_logic_vector(3 downto 0);
+      rx_analogreset    : in std_logic_vector(0 downto 0);
+      rx_datain   : in std_logic_vector(0 downto 0);
+      rx_digitalreset   : in std_logic_vector(0 downto 0);
+      tx_ctrlenable   : in std_logic_vector(1 downto 0);
+      tx_datain   : in std_logic_vector(15 downto 0);
+      tx_digitalreset   : in std_logic_vector(0 downto 0);
+      pll_locked    : out std_logic_vector(0 downto 0);
+      reconfig_fromgxb    : out std_logic_vector(16 downto 0);
+      rx_clkout   : out std_logic_vector(0 downto 0);
+      rx_ctrldetect   : out std_logic_vector(1 downto 0);
+      rx_dataout    : out std_logic_vector(15 downto 0);
+      rx_freqlocked   : out std_logic_vector(0 downto 0);
+      tx_clkout   : out std_logic_vector(0 downto 0);
+      tx_dataout    : out std_logic_vector(0 downto 0)
+    );
   end component;
 
   component ip_stratixiv_hssi_tx_16b is
-  port
+    port
   (
-    cal_blk_clk   : in std_logic ;
-    pll_inclk   : in std_logic ;
-    pll_powerdown   : in std_logic_vector(0 downto 0);
-    tx_ctrlenable   : in std_logic_vector(1 downto 0);
-    tx_datain   : in std_logic_vector(15 downto 0);
-    tx_digitalreset   : in std_logic_vector(0 downto 0);
-    pll_locked    : out std_logic_vector(0 downto 0);
-    tx_clkout   : out std_logic_vector(0 downto 0);
-    tx_dataout    : out std_logic_vector(0 downto 0)
-  );
+      cal_blk_clk   : in std_logic ;
+      pll_inclk   : in std_logic ;
+      pll_powerdown   : in std_logic_vector(0 downto 0);
+      tx_ctrlenable   : in std_logic_vector(1 downto 0);
+      tx_datain   : in std_logic_vector(15 downto 0);
+      tx_digitalreset   : in std_logic_vector(0 downto 0);
+      pll_locked    : out std_logic_vector(0 downto 0);
+      tx_clkout   : out std_logic_vector(0 downto 0);
+      tx_dataout    : out std_logic_vector(0 downto 0)
+    );
   end component;
 
   component ip_stratixiv_hssi_rx_16b is
-  generic
+    generic
   (
-    starting_channel_number   : natural := 0
-  );
-  port
+      starting_channel_number   : natural := 0
+    );
+    port
   (
-    cal_blk_clk   : in std_logic ;
-    reconfig_clk    : in std_logic ;
-    reconfig_togxb    : in std_logic_vector(3 downto 0);
-    rx_analogreset    : in std_logic_vector(0 downto 0);
-    rx_cruclk   : in std_logic_vector(0 downto 0) :=  (others => '0');
-    rx_datain   : in std_logic_vector(0 downto 0);
-    rx_digitalreset   : in std_logic_vector(0 downto 0);
-    reconfig_fromgxb    : out std_logic_vector(16 downto 0);
-    rx_clkout   : out std_logic_vector(0 downto 0);
-    rx_ctrldetect   : out std_logic_vector(1 downto 0);
-    rx_dataout    : out std_logic_vector(15 downto 0);
-    rx_freqlocked   : out std_logic_vector(0 downto 0)
-  );
+      cal_blk_clk   : in std_logic ;
+      reconfig_clk    : in std_logic ;
+      reconfig_togxb    : in std_logic_vector(3 downto 0);
+      rx_analogreset    : in std_logic_vector(0 downto 0);
+      rx_cruclk   : in std_logic_vector(0 downto 0) :=  (others => '0');
+      rx_datain   : in std_logic_vector(0 downto 0);
+      rx_digitalreset   : in std_logic_vector(0 downto 0);
+      reconfig_fromgxb    : out std_logic_vector(16 downto 0);
+      rx_clkout   : out std_logic_vector(0 downto 0);
+      rx_ctrldetect   : out std_logic_vector(1 downto 0);
+      rx_dataout    : out std_logic_vector(15 downto 0);
+      rx_freqlocked   : out std_logic_vector(0 downto 0)
+    );
   end component;
 
   component ip_stratixiv_gxb_reconfig_v91 is
-  generic (
-    g_nof_gx        : natural;
-    g_fromgxb_bus_w : natural := 17;
-    g_togxb_bus_w   : natural := 4
-  );
-  port (
-    reconfig_clk     : in std_logic;
-    reconfig_fromgxb : in std_logic_vector(g_nof_gx * g_fromgxb_bus_w - 1 downto 0);
-    busy             : out std_logic;
-    reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
-  );
+    generic (
+      g_nof_gx        : natural;
+      g_fromgxb_bus_w : natural := 17;
+      g_togxb_bus_w   : natural := 4
+    );
+    port (
+      reconfig_clk     : in std_logic;
+      reconfig_fromgxb : in std_logic_vector(g_nof_gx * g_fromgxb_bus_w - 1 downto 0);
+      busy             : out std_logic;
+      reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
+    );
   end component;
 
   component ip_stratixiv_gxb_reconfig_v111 is
-  generic (
-    g_soft          : boolean := false;
-    g_nof_gx        : natural;
-    g_fromgxb_bus_w : natural := 17;
-    g_togxb_bus_w   : natural := 4
-  );
-  port (
-    reconfig_clk     : in std_logic;
-    reconfig_fromgxb : in std_logic_vector(tech_ceil_div(g_nof_gx, 4) * g_fromgxb_bus_w - 1 downto 0);
-    busy             : out std_logic;
-    reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
-  );
+    generic (
+      g_soft          : boolean := false;
+      g_nof_gx        : natural;
+      g_fromgxb_bus_w : natural := 17;
+      g_togxb_bus_w   : natural := 4
+    );
+    port (
+      reconfig_clk     : in std_logic;
+      reconfig_fromgxb : in std_logic_vector(tech_ceil_div(g_nof_gx, 4) * g_fromgxb_bus_w - 1 downto 0);
+      busy             : out std_logic;
+      reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
+    );
   end component;
 
 
-  ------------------------------------------------------------------------------
-  -- ip_arria10
-  ------------------------------------------------------------------------------
+------------------------------------------------------------------------------
+-- ip_arria10
+------------------------------------------------------------------------------
 
 
 end tech_transceiver_component_pkg;
diff --git a/libraries/technology/transceiver/tech_transceiver_gx.vhd b/libraries/technology/transceiver/tech_transceiver_gx.vhd
index 7396076ec1..b32ce1a1c0 100644
--- a/libraries/technology/transceiver/tech_transceiver_gx.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_gx.vhd
@@ -21,12 +21,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_transceiver_component_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_transceiver_component_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tech_transceiver_gx is
   generic(
@@ -71,8 +71,8 @@ begin
 
   gen_ip_stratixiv : if g_technology = c_tech_stratixiv generate
     u0 : entity work.tech_transceiver_gx_stratix_iv
-    generic map (g_data_w, g_nof_gx, g_mbps, g_sim, g_tx, g_rx)
-    port map (cal_rec_clk, tr_clk, rx_clk, rx_rst, rx_sosi_arr, rx_siso_arr, tx_clk, tx_rst, tx_sosi_arr, tx_siso_arr, rx_datain, tx_dataout, tx_state, tx_align_en, rx_state, rx_align_en);
+      generic map (g_data_w, g_nof_gx, g_mbps, g_sim, g_tx, g_rx)
+      port map (cal_rec_clk, tr_clk, rx_clk, rx_rst, rx_sosi_arr, rx_siso_arr, tx_clk, tx_rst, tx_sosi_arr, tx_siso_arr, rx_datain, tx_dataout, tx_state, tx_align_en, rx_state, rx_align_en);
   end generate;
 
 end str;
diff --git a/libraries/technology/transceiver/tech_transceiver_gx_stratixiv.vhd b/libraries/technology/transceiver/tech_transceiver_gx_stratixiv.vhd
index ef094f89ab..7460aa9948 100644
--- a/libraries/technology/transceiver/tech_transceiver_gx_stratixiv.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_gx_stratixiv.vhd
@@ -24,10 +24,10 @@
 library ip_stratixiv_transceiver_lib;
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_transceiver_component_pkg.all;
-use common_lib.common_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_transceiver_component_pkg.all;
+  use common_lib.common_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 entity tech_transceiver_gx_stratix_iv is
   generic(
@@ -135,89 +135,89 @@ begin
 
       gen_32b : if g_data_w = 32 generate
         u_gx: ip_stratixiv_hssi_gx_32b_generic
-        generic map (
-          g_mbps                   => g_mbps,
-          starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
-        )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
-        port map (
-          -- Clocks, in
-          pll_inclk           => tr_clk,
-          cal_blk_clk         => cal_rec_clk,
-          reconfig_clk        => cal_rec_clk,
-
-          -- Clocks, generated (out)
-          sl(tx_clkout)       => i_tx_clk(i),
-          sl(rx_clkout)       => i_rx_clk(i),
-
-          -- Parallel data I/O
-          rx_dataout          => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
-          tx_datain           => tx_datain(i),
-
-          -- Serial data I/O
-          rx_datain           => slv(rx_datain(i)),
-          sl(tx_dataout)      => tx_dataout(i),
-
-          -- Initialization I/O
-          sl(rx_freqlocked)   => rx_freq_locked(i),
-          sl(pll_locked)      => tx_pll_locked(i),
-          pll_powerdown       => slv(trc_tx_pll_powerdown),
-          rx_digitalreset     => slv(trc_rx_digital_rst),
-          rx_analogreset      => slv(trc_rx_analog_rst),
-          tx_digitalreset     => slv(trc_tx_digital_rst),
-
-          -- 8b/10b related
-          tx_ctrlenable       => txc_tx_ctrlenable(i),  -- 8b10b
-          rx_ctrldetect       => rxc_rx_ctrldetect(i),  -- 8b10b
-          rx_enapatternalign  => slv(rxc_rx_align_en(i)),
-
-          -- Reconfig block connections
-          reconfig_togxb      => reconfig_togxb,
-          reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w),
-
-          rx_seriallpbken     => slv('0'),
-          gxb_powerdown       => (others => '0')
-        );
+          generic map (
+            g_mbps                   => g_mbps,
+            starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
+          )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
+          port map (
+            -- Clocks, in
+            pll_inclk           => tr_clk,
+            cal_blk_clk         => cal_rec_clk,
+            reconfig_clk        => cal_rec_clk,
+
+            -- Clocks, generated (out)
+            sl(tx_clkout)       => i_tx_clk(i),
+            sl(rx_clkout)       => i_rx_clk(i),
+
+            -- Parallel data I/O
+            rx_dataout          => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
+            tx_datain           => tx_datain(i),
+
+            -- Serial data I/O
+            rx_datain           => slv(rx_datain(i)),
+            sl(tx_dataout)      => tx_dataout(i),
+
+            -- Initialization I/O
+            sl(rx_freqlocked)   => rx_freq_locked(i),
+            sl(pll_locked)      => tx_pll_locked(i),
+            pll_powerdown       => slv(trc_tx_pll_powerdown),
+            rx_digitalreset     => slv(trc_rx_digital_rst),
+            rx_analogreset      => slv(trc_rx_analog_rst),
+            tx_digitalreset     => slv(trc_tx_digital_rst),
+
+            -- 8b/10b related
+            tx_ctrlenable       => txc_tx_ctrlenable(i),  -- 8b10b
+            rx_ctrldetect       => rxc_rx_ctrldetect(i),  -- 8b10b
+            rx_enapatternalign  => slv(rxc_rx_align_en(i)),
+
+            -- Reconfig block connections
+            reconfig_togxb      => reconfig_togxb,
+            reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w),
+
+            rx_seriallpbken     => slv('0'),
+            gxb_powerdown       => (others => '0')
+          );
       end generate;
 
       gen_16b : if g_data_w = 16 generate
         u_gx: ip_stratixiv_hssi_gx_16b
-        generic map (
-          starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
-        )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
-        port map (
-          -- Clocks, in
-          pll_inclk           => tr_clk,
-          cal_blk_clk         => cal_rec_clk,
-          reconfig_clk        => cal_rec_clk,
-
-          -- Clocks, generated (out)
-          sl(tx_clkout)       => i_tx_clk(i),
-          sl(rx_clkout)       => i_rx_clk(i),
-
-          -- Parallel data I/O
-          rx_dataout          => rx_dataout(i),
-          tx_datain           => tx_datain(i),
-
-          -- Serial data I/O
-          rx_datain           => slv(rx_datain(i)),
-          sl(tx_dataout)      => tx_dataout(i),
-
-          -- Initialization I/O
-          sl(rx_freqlocked)   => rx_freq_locked(i),
-          sl(pll_locked)      => tx_pll_locked(i),
-          pll_powerdown       => slv(trc_tx_pll_powerdown),
-          rx_digitalreset     => slv(trc_rx_digital_rst),
-          rx_analogreset      => slv(trc_rx_analog_rst),
-          tx_digitalreset     => slv(trc_tx_digital_rst),
-
-          -- 8b/10b related
-          tx_ctrlenable       => txc_tx_ctrlenable(i),  -- 8b10b
-          rx_ctrldetect       => rxc_rx_ctrldetect(i),  -- 8b10b
-
-          -- Reconfig block connections
-          reconfig_togxb      => reconfig_togxb,
-          reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w)
-        );
+          generic map (
+            starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
+          )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
+          port map (
+            -- Clocks, in
+            pll_inclk           => tr_clk,
+            cal_blk_clk         => cal_rec_clk,
+            reconfig_clk        => cal_rec_clk,
+
+            -- Clocks, generated (out)
+            sl(tx_clkout)       => i_tx_clk(i),
+            sl(rx_clkout)       => i_rx_clk(i),
+
+            -- Parallel data I/O
+            rx_dataout          => rx_dataout(i),
+            tx_datain           => tx_datain(i),
+
+            -- Serial data I/O
+            rx_datain           => slv(rx_datain(i)),
+            sl(tx_dataout)      => tx_dataout(i),
+
+            -- Initialization I/O
+            sl(rx_freqlocked)   => rx_freq_locked(i),
+            sl(pll_locked)      => tx_pll_locked(i),
+            pll_powerdown       => slv(trc_tx_pll_powerdown),
+            rx_digitalreset     => slv(trc_rx_digital_rst),
+            rx_analogreset      => slv(trc_rx_analog_rst),
+            tx_digitalreset     => slv(trc_tx_digital_rst),
+
+            -- 8b/10b related
+            tx_ctrlenable       => txc_tx_ctrlenable(i),  -- 8b10b
+            rx_ctrldetect       => rxc_rx_ctrldetect(i),  -- 8b10b
+
+            -- Reconfig block connections
+            reconfig_togxb      => reconfig_togxb,
+            reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w)
+          );
       end generate;
     end generate;
 
@@ -225,111 +225,111 @@ begin
 
       gen_32b : if g_data_w = 32 generate
         u_rx: ip_stratixiv_hssi_rx_32b_generic
-        generic map (
-          g_mbps                   => g_mbps,
-          starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
-        )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
-        port map (
-          cal_blk_clk         => cal_rec_clk,
-          gxb_powerdown       => (others => '0'),
-          reconfig_clk        => cal_rec_clk,
-          reconfig_togxb      => reconfig_togxb,
-          rx_analogreset      => slv(trc_rx_analog_rst),
-          rx_datain           => slv(rx_datain(i)),
-          rx_digitalreset     => slv(trc_rx_digital_rst),
-          reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w),
-          sl(rx_clkout)       => i_rx_clk(i),
-          rx_ctrldetect       => rxc_rx_ctrldetect(i),
-          rx_dataout          => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
-          sl(rx_freqlocked)   => rx_freq_locked(i),
-          rx_enapatternalign  => slv(rxc_rx_align_en(i)),
-
-          --ADDITTIONAL SIGNAL:
-          rx_cruclk           => slv(tr_clk)
-        );
+          generic map (
+            g_mbps                   => g_mbps,
+            starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
+          )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
+          port map (
+            cal_blk_clk         => cal_rec_clk,
+            gxb_powerdown       => (others => '0'),
+            reconfig_clk        => cal_rec_clk,
+            reconfig_togxb      => reconfig_togxb,
+            rx_analogreset      => slv(trc_rx_analog_rst),
+            rx_datain           => slv(rx_datain(i)),
+            rx_digitalreset     => slv(trc_rx_digital_rst),
+            reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w),
+            sl(rx_clkout)       => i_rx_clk(i),
+            rx_ctrldetect       => rxc_rx_ctrldetect(i),
+            rx_dataout          => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
+            sl(rx_freqlocked)   => rx_freq_locked(i),
+            rx_enapatternalign  => slv(rxc_rx_align_en(i)),
+
+            --ADDITTIONAL SIGNAL:
+            rx_cruclk           => slv(tr_clk)
+          );
       end generate;
 
       gen_16b : if g_data_w = 16 generate
         u_rx: ip_stratixiv_hssi_rx_16b
-        generic map (
-          starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
-        )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
-        port map (
-          -- Clocks, in
-          cal_blk_clk         => cal_rec_clk,
-          reconfig_clk        => cal_rec_clk,
-
-          -- Clocks, generated (out)
-          sl(rx_clkout)       => i_rx_clk(i),
-
-          -- Parallel data I/O
-          rx_dataout          => rx_dataout(i),
-
-          -- Serial data I/O
-          rx_datain           => slv(rx_datain(i)),
-
-          -- Initialization I/O
-          sl(rx_freqlocked)   => rx_freq_locked(i),
-          rx_digitalreset     => slv(trc_rx_digital_rst),
-          rx_analogreset      => slv(trc_rx_analog_rst),
-
-          -- 8b/10b related
-          rx_ctrldetect       => rxc_rx_ctrldetect(i),  -- 8b10b
-
-          -- Reconfig block connections
-          reconfig_togxb      => reconfig_togxb,
-          reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w),
-
-          --ADDITTIONAL SIGNAL:
-          rx_cruclk           => slv(tr_clk)
-        );
+          generic map (
+            starting_channel_number  => i * 4  -- Starting channel numbers must be 0,4,8,12 etc for individual ALTGX instances
+          )  -- Reconfig megawizard: regenerate with 'number of channels' = 4*nof_gx
+          port map (
+            -- Clocks, in
+            cal_blk_clk         => cal_rec_clk,
+            reconfig_clk        => cal_rec_clk,
+
+            -- Clocks, generated (out)
+            sl(rx_clkout)       => i_rx_clk(i),
+
+            -- Parallel data I/O
+            rx_dataout          => rx_dataout(i),
+
+            -- Serial data I/O
+            rx_datain           => slv(rx_datain(i)),
+
+            -- Initialization I/O
+            sl(rx_freqlocked)   => rx_freq_locked(i),
+            rx_digitalreset     => slv(trc_rx_digital_rst),
+            rx_analogreset      => slv(trc_rx_analog_rst),
+
+            -- 8b/10b related
+            rx_ctrldetect       => rxc_rx_ctrldetect(i),  -- 8b10b
+
+            -- Reconfig block connections
+            reconfig_togxb      => reconfig_togxb,
+            reconfig_fromgxb    => reconfig_fromgxb(c_reconf_fromgxb_bus_w * (i + 1) - 1 downto c_reconf_fromgxb_bus_w * (i + 1) - c_reconf_fromgxb_bus_w),
+
+            --ADDITTIONAL SIGNAL:
+            rx_cruclk           => slv(tr_clk)
+          );
       end generate;
     end generate;
 
     gen_tx : if g_tx = true and g_rx = false generate
       gen_32b : if g_data_w = 32 generate
         u_tx: ip_stratixiv_hssi_tx_32b_generic
-        generic map (
-           g_mbps             => g_mbps
-        )
-        port map (
-          cal_blk_clk         => cal_rec_clk,
-          gxb_powerdown       => (others => '0'),
-          pll_inclk           => tr_clk,
-          pll_powerdown       => slv(trc_tx_pll_powerdown),
-          tx_ctrlenable       => txc_tx_ctrlenable(i),
-          tx_datain           => tx_datain(i),
-          tx_digitalreset     => slv(trc_tx_digital_rst),
-          sl(pll_locked)      => tx_pll_locked(i),
-          sl(tx_clkout)       => i_tx_clk(i),
-          sl(tx_dataout)      => tx_dataout(i)
-        );
+          generic map (
+            g_mbps             => g_mbps
+          )
+          port map (
+            cal_blk_clk         => cal_rec_clk,
+            gxb_powerdown       => (others => '0'),
+            pll_inclk           => tr_clk,
+            pll_powerdown       => slv(trc_tx_pll_powerdown),
+            tx_ctrlenable       => txc_tx_ctrlenable(i),
+            tx_datain           => tx_datain(i),
+            tx_digitalreset     => slv(trc_tx_digital_rst),
+            sl(pll_locked)      => tx_pll_locked(i),
+            sl(tx_clkout)       => i_tx_clk(i),
+            sl(tx_dataout)      => tx_dataout(i)
+          );
       end generate;
 
       gen_16b : if g_data_w = 16 generate
         u_tx: ip_stratixiv_hssi_tx_16b
-        port map (
-          -- Clocks, in
-          pll_inclk           => tr_clk,
-          cal_blk_clk         => cal_rec_clk,
+          port map (
+            -- Clocks, in
+            pll_inclk           => tr_clk,
+            cal_blk_clk         => cal_rec_clk,
 
-          -- Clocks, generated (out)
-          sl(tx_clkout)       => i_tx_clk(i),
+            -- Clocks, generated (out)
+            sl(tx_clkout)       => i_tx_clk(i),
 
-          -- Parallel data I/O
-          tx_datain           => tx_datain(i),
+            -- Parallel data I/O
+            tx_datain           => tx_datain(i),
 
-          -- Serial data I/O
-          sl(tx_dataout)      => tx_dataout(i),
+            -- Serial data I/O
+            sl(tx_dataout)      => tx_dataout(i),
 
-          -- Initialization I/O
-          sl(pll_locked)      => tx_pll_locked(i),
-          pll_powerdown       => slv(trc_tx_pll_powerdown),
-          tx_digitalreset     => slv(trc_tx_digital_rst),
+            -- Initialization I/O
+            sl(pll_locked)      => tx_pll_locked(i),
+            pll_powerdown       => slv(trc_tx_pll_powerdown),
+            tx_digitalreset     => slv(trc_tx_digital_rst),
 
-          -- 8b/10b related
-          tx_ctrlenable       => txc_tx_ctrlenable(i)  -- 8b10b
-        );
+            -- 8b/10b related
+            tx_ctrlenable       => txc_tx_ctrlenable(i)  -- 8b10b
+          );
       end generate;
     end generate;
   end generate;
@@ -340,14 +340,14 @@ begin
   ------------------------------------------------------------------------------
 
   u_areset_tr_rst : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1'
-  )
-  port map(
-    clk    => tr_clk,
-    in_rst => '0',
-    out_rst => tr_rst
-  );
+    generic map(
+      g_rst_level => '1'
+    )
+    port map(
+      clk    => tr_clk,
+      in_rst => '0',
+      out_rst => tr_rst
+    );
 
   gen_tx : if g_tx = true generate
 
@@ -357,45 +357,45 @@ begin
     not_trc_tx_rdy <= not(trc_tx_rdy);
 
     u_tech_transceiver_tx_rst : entity work.tech_transceiver_tx_rst
-    generic map(
-      g_nof_gx => g_nof_gx
-    )
-    port map (
-      tr_clk               => tr_clk,
-      tr_rst               => tr_rst,
-      trc_tx_pll_powerdown => trc_tx_pll_powerdown,
-      tx_pll_locked        => tx_pll_locked,
-      trc_tx_digital_rst   => trc_tx_digital_rst,
-      trc_tx_rdy           => trc_tx_rdy
-    );
+      generic map(
+        g_nof_gx => g_nof_gx
+      )
+      port map (
+        tr_clk               => tr_clk,
+        tr_rst               => tr_rst,
+        trc_tx_pll_powerdown => trc_tx_pll_powerdown,
+        tx_pll_locked        => tx_pll_locked,
+        trc_tx_digital_rst   => trc_tx_digital_rst,
+        trc_tx_rdy           => trc_tx_rdy
+      );
 
     gen_altgx: for i in 0 to g_nof_gx - 1 generate
 
       u_areset_tx_rst : entity common_lib.common_areset
-      generic map(
-        g_rst_level => '1'
-      )
-      port map(
-        clk     => i_tx_clk(i),
-        in_rst  => not_trc_tx_rdy,
-        out_rst => i_tx_rst(i)
-      );
+        generic map(
+          g_rst_level => '1'
+        )
+        port map(
+          clk     => i_tx_clk(i),
+          in_rst  => not_trc_tx_rdy,
+          out_rst => i_tx_rst(i)
+        );
 
       -- ALTGX in 16-bit mode supports and uses internal auto alignment state machine.
       gen_tx_align: if g_data_w = 32 generate
         u_tech_transceiver_tx_align : entity work.tech_transceiver_tx_align
-        generic map(
-          g_sim    => g_sim,
-          g_data_w => g_data_w,
-          g_mbps   => g_mbps
-        )
-        port map (
-          tx_clk             => i_tx_clk(i),
-          tx_rst             => i_tx_rst(i),
-          tx_align_en_in     => tx_align_en(i),
-          tx_align_en_out    => tx_align_en_out(i),
-          tx_state           => tx_state(2 * i + 1 downto 2 * i)
-        );
+          generic map(
+            g_sim    => g_sim,
+            g_data_w => g_data_w,
+            g_mbps   => g_mbps
+          )
+          port map (
+            tx_clk             => i_tx_clk(i),
+            tx_rst             => i_tx_rst(i),
+            tx_align_en_in     => tx_align_en(i),
+            tx_align_en_out    => tx_align_en_out(i),
+            tx_state           => tx_state(2 * i + 1 downto 2 * i)
+          );
       end generate;
 
       -- TX is ready when it's not sending the alignment pattern
@@ -413,49 +413,49 @@ begin
     rx_rst <= i_rx_rst;
 
     u_tech_transceiver_rx_rst : entity work.tech_transceiver_rx_rst
-    generic map(
-      g_nof_gx => g_nof_gx
-    )
-    port map (
-      tr_clk             => tr_clk,
-      tr_rst             => tr_rst,
-      rec_busy           => rec_busy,
-      rx_freq_locked     => rx_freq_locked,
-      trc_rx_analog_rst  => trc_rx_analog_rst,
-      trc_rx_digital_rst => trc_rx_digital_rst,
-      trc_rx_rdy         => trc_rx_rdy
-    );
+      generic map(
+        g_nof_gx => g_nof_gx
+      )
+      port map (
+        tr_clk             => tr_clk,
+        tr_rst             => tr_rst,
+        rec_busy           => rec_busy,
+        rx_freq_locked     => rx_freq_locked,
+        trc_rx_analog_rst  => trc_rx_analog_rst,
+        trc_rx_digital_rst => trc_rx_digital_rst,
+        trc_rx_rdy         => trc_rx_rdy
+      );
 
     not_trc_rx_rdy <= not(trc_rx_rdy);
 
     i_rx_rst_align: for i in 0 to g_nof_gx - 1 generate
 
       u_areset_i_rx_rst : entity common_lib.common_areset
-      generic map(
-        g_rst_level => '1'
-      )
-      port map(
-        clk     => i_rx_clk(i),
-        in_rst  => not_trc_rx_rdy,
-        out_rst => i_rx_rst(i)
-      );
+        generic map(
+          g_rst_level => '1'
+        )
+        port map(
+          clk     => i_rx_clk(i),
+          in_rst  => not_trc_rx_rdy,
+          out_rst => i_rx_rst(i)
+        );
 
       -- ALTGX in 16-bit mode supports and uses internal auto alignment state machine.
       gen_rx_align: if g_data_w = 32 generate
         u_tech_transceiver_rx_align : entity work.tech_transceiver_rx_align
-        generic map(
-          g_sim    => g_sim,
-          g_data_w => g_data_w,
-          g_mbps   => g_mbps
-        )
-        port map (
-          rx_clk             => i_rx_clk(i),
-          rx_rst             => i_rx_rst(i),
-          rx_align_en_in     => rx_align_en(i),
-          rx_align_en_out    => rxc_rx_align_en(i),
-          rxc_rx_aligned     => rxc_rx_aligned(i),
-          rx_state           => rx_state(2 * i + 1 downto 2 * i)
-        );
+          generic map(
+            g_sim    => g_sim,
+            g_data_w => g_data_w,
+            g_mbps   => g_mbps
+          )
+          port map (
+            rx_clk             => i_rx_clk(i),
+            rx_rst             => i_rx_rst(i),
+            rx_align_en_in     => rx_align_en(i),
+            rx_align_en_out    => rxc_rx_align_en(i),
+            rxc_rx_aligned     => rxc_rx_aligned(i),
+            rx_state           => rx_state(2 * i + 1 downto 2 * i)
+          );
 
         -- The transmitters will represent gaps as the control-encoded c_inval pattern
         rx_sosi_arr(i).valid <= not(orv(rxc_rx_ctrldetect(i))) when rxc_rx_aligned(i) = '1' else '0';
@@ -463,19 +463,19 @@ begin
 
       gen_rx_order: if g_data_w = 16 generate
         u_tech_transceiver_rx_align : entity work.tech_transceiver_rx_order
-        generic map(
-          g_data_w    => g_data_w
-        )
-        port map (
-          rx_clk       => i_rx_clk(i),
-          rx_rst       => i_rx_rst(i),
-
-          rx_data_in   => rx_dataout(i),
-          rx_ctrl_in   => rxc_rx_ctrldetect(i),
-
-          rx_data_out  => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
-          rx_valid_out => rx_sosi_arr(i).valid
-        );
+          generic map(
+            g_data_w    => g_data_w
+          )
+          port map (
+            rx_clk       => i_rx_clk(i),
+            rx_rst       => i_rx_rst(i),
+
+            rx_data_in   => rx_dataout(i),
+            rx_ctrl_in   => rxc_rx_ctrldetect(i),
+
+            rx_data_out  => rx_sosi_arr(i).data(g_data_w - 1 downto 0),
+            rx_valid_out => rx_sosi_arr(i).valid
+          );
       end generate;
     end generate;
   end generate;
@@ -486,17 +486,17 @@ begin
   ------------------------------------------------------------------------------
 
   u_gxb_reconfig : ip_stratixiv_gxb_reconfig_v91  -- Create one gxb_reconfig module for all ALTGX instances
-  generic map (
-    g_nof_gx        => g_nof_gx,
-    g_fromgxb_bus_w => c_reconf_fromgxb_bus_w,  -- = 17
-    g_togxb_bus_w   => c_reconf_togxb_bus_w  -- = 4
-  )
-  port map (
-    reconfig_clk      => cal_rec_clk,
-    reconfig_fromgxb  => reconfig_fromgxb,
-    busy              => rec_busy,
-    reconfig_togxb    => reconfig_togxb
-  );
+    generic map (
+      g_nof_gx        => g_nof_gx,
+      g_fromgxb_bus_w => c_reconf_fromgxb_bus_w,  -- = 17
+      g_togxb_bus_w   => c_reconf_togxb_bus_w  -- = 4
+    )
+    port map (
+      reconfig_clk      => cal_rec_clk,
+      reconfig_fromgxb  => reconfig_fromgxb,
+      busy              => rec_busy,
+      reconfig_togxb    => reconfig_togxb
+    );
 
 end str;
 
diff --git a/libraries/technology/transceiver/tech_transceiver_rx_align.vhd b/libraries/technology/transceiver/tech_transceiver_rx_align.vhd
index 3b3b919f90..b36fe1abf3 100644
--- a/libraries/technology/transceiver/tech_transceiver_rx_align.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_rx_align.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tech_transceiver_rx_align is
   generic(
@@ -38,7 +38,7 @@ entity tech_transceiver_rx_align is
     rx_align_en_out    : out std_logic;
     rxc_rx_aligned     : out std_logic;
     rx_state           : out std_logic_vector(1 downto 0)
-   );
+  );
 end tech_transceiver_rx_align;
 
 architecture rtl of tech_transceiver_rx_align is
@@ -106,8 +106,8 @@ begin
       when s_wait =>
         nxt_cycle_cnt <= INCR_UVEC(cycle_cnt, 1);
         if cycle_cnt = TO_UVEC(c_timeout, c_timeout_w) then
-           nxt_state     <= s_word_align;
-           nxt_cycle_cnt <= (others => '0');
+          nxt_state     <= s_word_align;
+          nxt_cycle_cnt <= (others => '0');
         end if;
 
       when s_word_align =>  -- First assertion of rx_align_en to perform word alignment
@@ -115,16 +115,16 @@ begin
         nxt_rx_align_en_out <= '1';
         nxt_cycle_cnt <= INCR_UVEC(cycle_cnt, 1);
         if cycle_cnt = TO_UVEC(c_timeout, c_timeout_w) then
-           nxt_state     <= s_deassert_word_align;
-           nxt_cycle_cnt <= (others => '0');
+          nxt_state     <= s_deassert_word_align;
+          nxt_cycle_cnt <= (others => '0');
         end if;
 
       when s_deassert_word_align =>  -- Deasssert rx_align_en, re-assert after delay
         nxt_rx_align_en_out <= '0';
         nxt_cycle_cnt <= INCR_UVEC(cycle_cnt, 1);
         if cycle_cnt = TO_UVEC(c_timeout, c_timeout_w) then
-           nxt_state     <= s_byte_order;
-           nxt_cycle_cnt <= (others => '0');
+          nxt_state     <= s_byte_order;
+          nxt_cycle_cnt <= (others => '0');
         end if;
 
       when s_byte_order =>  -- Assert rx_align_en a second time to order the bytes
@@ -132,16 +132,16 @@ begin
         nxt_rx_align_en_out <= '1';
         nxt_cycle_cnt <= INCR_UVEC(cycle_cnt, 1);
         if cycle_cnt = TO_UVEC(c_timeout, c_timeout_w) then
-           nxt_state     <= s_deassert_byte_order;
-           nxt_cycle_cnt <= (others => '0');
+          nxt_state     <= s_deassert_byte_order;
+          nxt_cycle_cnt <= (others => '0');
         end if;
 
-     when s_deassert_byte_order =>  -- Deasssert rx_align_en
+      when s_deassert_byte_order =>  -- Deasssert rx_align_en
         nxt_rx_align_en_out <= '0';
         nxt_cycle_cnt <= INCR_UVEC(cycle_cnt, 1);
         if cycle_cnt = TO_UVEC(c_timeout, c_timeout_w) then
-           nxt_state  <= s_rx_aligned;
-           nxt_cycle_cnt <= (others => '0');
+          nxt_state  <= s_rx_aligned;
+          nxt_cycle_cnt <= (others => '0');
         end if;
 
       when s_rx_aligned =>  -- Asserting rx_aligned on next cycle.
diff --git a/libraries/technology/transceiver/tech_transceiver_rx_order.vhd b/libraries/technology/transceiver/tech_transceiver_rx_order.vhd
index dbc674e98a..8797fba7ee 100644
--- a/libraries/technology/transceiver/tech_transceiver_rx_order.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_rx_order.vhd
@@ -21,8 +21,8 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 entity tech_transceiver_rx_order is
   generic (
diff --git a/libraries/technology/transceiver/tech_transceiver_rx_rst.vhd b/libraries/technology/transceiver/tech_transceiver_rx_rst.vhd
index 8978153676..77a9678fdb 100644
--- a/libraries/technology/transceiver/tech_transceiver_rx_rst.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_rx_rst.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tech_transceiver_rx_rst is
   generic(
@@ -37,7 +37,7 @@ entity tech_transceiver_rx_rst is
     trc_rx_analog_rst  : out std_logic;
     trc_rx_digital_rst : out std_logic;
     trc_rx_rdy         : out std_logic
-   );
+  );
 end tech_transceiver_rx_rst;
 
 architecture rtl of tech_transceiver_rx_rst is
@@ -99,7 +99,7 @@ begin
 
     case state is
       when s_init =>
-         nxt_state <= s_wait_for_freq_lock;
+        nxt_state <= s_wait_for_freq_lock;
 
       when s_wait_while_busy =>  -- Make sure there is no ALTGX reconfiguring going on.
         if trc_rec_busy = '0' then
@@ -129,15 +129,15 @@ begin
   end process;
 
   u_async_busy : entity common_lib.common_async
-  generic map(
-    g_rst_level => '0'
-  )
-  port map(
-    rst  => tr_rst,
-    clk  => tr_clk,
-    din  => rec_busy,
-    dout => trc_rec_busy
-  );
+    generic map(
+      g_rst_level => '0'
+    )
+    port map(
+      rst  => tr_rst,
+      clk  => tr_clk,
+      din  => rec_busy,
+      dout => trc_rec_busy
+    );
 
   gen_asyncs: for i in 0 to g_nof_gx - 1 generate
     u_async_rx_freqlocked: entity common_lib.common_async
diff --git a/libraries/technology/transceiver/tech_transceiver_tx_align.vhd b/libraries/technology/transceiver/tech_transceiver_tx_align.vhd
index 63644c32b4..2b926e4338 100644
--- a/libraries/technology/transceiver/tech_transceiver_tx_align.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_tx_align.vhd
@@ -21,9 +21,9 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tech_transceiver_tx_align is
   generic(
@@ -37,7 +37,7 @@ entity tech_transceiver_tx_align is
     tx_align_en_in     : in  std_logic;
     tx_align_en_out    : out std_logic;
     tx_state           : out std_logic_vector(1 downto 0)
-   );
+  );
 end tech_transceiver_tx_align;
 
 architecture rtl of tech_transceiver_tx_align is
@@ -98,8 +98,8 @@ begin
         nxt_tx_align_en <= '1';
         nxt_cycle_cnt <= INCR_UVEC(cycle_cnt, 1);
         if cycle_cnt = TO_UVEC(c_timeout, c_timeout_w) then
-           nxt_state <= s_send_user_data;
-           nxt_cycle_cnt <= (others => '0');
+          nxt_state <= s_send_user_data;
+          nxt_cycle_cnt <= (others => '0');
         end if;
         nxt_tx_state <= "01";
 
diff --git a/libraries/technology/transceiver/tech_transceiver_tx_rst.vhd b/libraries/technology/transceiver/tech_transceiver_tx_rst.vhd
index b1ed29afb0..e392d122d7 100644
--- a/libraries/technology/transceiver/tech_transceiver_tx_rst.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_tx_rst.vhd
@@ -21,14 +21,14 @@
 --------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
 
 entity tech_transceiver_tx_rst is
   generic(
     g_nof_gx             : natural
-    );
+  );
   port(
     tr_clk               : in  std_logic;
     tr_rst               : in  std_logic;
@@ -36,7 +36,7 @@ entity tech_transceiver_tx_rst is
     tx_pll_locked        : in  std_logic_vector(g_nof_gx - 1 downto 0);
     trc_tx_digital_rst   : out std_logic;
     trc_tx_rdy           : out std_logic
-   );
+  );
 end tech_transceiver_tx_rst;
 
 architecture rtl of tech_transceiver_tx_rst is
@@ -124,15 +124,15 @@ begin
 
   gen_asyncs: for i in 0 to g_nof_gx - 1 generate
     u_async_pll_locked : entity common_lib.common_async
-    generic map(
-      g_rst_level => '0'
-    )
-    port map(
-      rst  => tr_rst,
-      clk  => tr_clk,
-      din  => tx_pll_locked(i),
-      dout => trc_tx_pll_locked(i)
-    );
+      generic map(
+        g_rst_level => '0'
+      )
+      port map(
+        rst  => tr_rst,
+        clk  => tr_clk,
+        din  => tx_pll_locked(i),
+        dout => trc_tx_pll_locked(i)
+      );
   end generate;
 
 end rtl;
diff --git a/libraries/technology/tse/sim_tse.vhd b/libraries/technology/tse/sim_tse.vhd
index 436e39cae6..26df52118e 100644
--- a/libraries/technology/tse/sim_tse.vhd
+++ b/libraries/technology/tse/sim_tse.vhd
@@ -34,11 +34,11 @@
 --   are in phase.
 
 library IEEE, common_lib, dp_lib, tech_transceiver_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_tse_pkg.all;
 
 entity sim_tse is
   generic(
@@ -121,35 +121,35 @@ begin
   -- . User data width (32b) -> transceiver PCS data width (8b)
   -------------------------------------------------------------------------------
   u_common_areset_tx : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1'
-  )
-  port map(
-    clk     => tx_snk_clk,
-    in_rst  => '0',
-    out_rst => tx_snk_rst
-  );
+    generic map(
+      g_rst_level => '1'
+    )
+    port map(
+      clk     => tx_snk_clk,
+      in_rst  => '0',
+      out_rst => tx_snk_rst
+    );
 
   u_dp_fifo_dc_mixed_widths_tx : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_wr_data_w => c_tech_tse_data_w,
-    g_rd_data_w => c_byte_w,
-    g_use_ctrl  => true,  -- SOP, EOP support
-    g_wr_fifo_size => 50,
-    g_rd_fifo_rl   => 1
-  )
-  port map (
-    wr_rst      => tx_snk_rst,
-    wr_clk      => tx_snk_clk,
-    rd_rst      => tr_rst,
-    rd_clk      => tr_clk,
-
-    snk_in      => tx_snk_in,
-    snk_out     => tx_snk_out,
-
-    src_out     => tx_fifo_sosi,
-    src_in      => tx_fifo_siso
-  );
+    generic map (
+      g_wr_data_w => c_tech_tse_data_w,
+      g_rd_data_w => c_byte_w,
+      g_use_ctrl  => true,  -- SOP, EOP support
+      g_wr_fifo_size => 50,
+      g_rd_fifo_rl   => 1
+    )
+    port map (
+      wr_rst      => tx_snk_rst,
+      wr_clk      => tx_snk_clk,
+      rd_rst      => tr_rst,
+      rd_clk      => tr_clk,
+
+      snk_in      => tx_snk_in,
+      snk_out     => tx_snk_out,
+
+      src_out     => tx_fifo_sosi,
+      src_in      => tx_fifo_siso
+    );
 
   no_tx_crc : if not g_tx_crc generate
     gx_tx_snk_in_arr(0) <= tx_fifo_sosi;
@@ -242,29 +242,29 @@ begin
   --   tx_clk,tx_rst as local tr_clk,tr_rst to prevent delta delay issues.
   -------------------------------------------------------------------------------
   u_sim_transceiver_gx : entity tech_transceiver_lib.sim_transceiver_gx
-  generic map(
-    g_data_w => c_byte_w,
-    g_nof_gx => 1,
-    g_mbps   => 1250,
-    g_rx     => g_rx,
-    g_tx     => g_tx
-  )
-  port map (
-    tb_end          => '0',
-
-    tr_clk          => eth_clk,
-
-    tx_clk(0)       => tr_clk,
-    tx_rst(0)       => tr_rst,
-
-    tx_sosi_arr     => gx_tx_snk_in_arr,
-    tx_siso_arr     => gx_tx_snk_out_arr,
-    tx_dataout(0)   => eth_txp,
-
-    rx_datain(0)    => eth_rxp,
-    rx_sosi_arr     => gx_rx_src_out_arr,
-    rx_siso_arr     => gx_rx_src_in_arr
-  );
+    generic map(
+      g_data_w => c_byte_w,
+      g_nof_gx => 1,
+      g_mbps   => 1250,
+      g_rx     => g_rx,
+      g_tx     => g_tx
+    )
+    port map (
+      tb_end          => '0',
+
+      tr_clk          => eth_clk,
+
+      tx_clk(0)       => tr_clk,
+      tx_rst(0)       => tr_rst,
+
+      tx_sosi_arr     => gx_tx_snk_in_arr,
+      tx_siso_arr     => gx_tx_snk_out_arr,
+      tx_dataout(0)   => eth_txp,
+
+      rx_datain(0)    => eth_rxp,
+      rx_sosi_arr     => gx_rx_src_out_arr,
+      rx_siso_arr     => gx_rx_src_in_arr
+    );
 
   -------------------------------------------------------------------------------
   -- RX FIFO
@@ -272,34 +272,34 @@ begin
   -- . transceiver PCS data width (8b) -> User data width (32b)
   -------------------------------------------------------------------------------
   u_common_areset_rx : entity common_lib.common_areset
-  generic map(
-    g_rst_level => '1'
-  )
-  port map(
-    clk     => rx_src_clk,
-    in_rst  => '0',
-    out_rst => rx_src_rst
-  );
+    generic map(
+      g_rst_level => '1'
+    )
+    port map(
+      clk     => rx_src_clk,
+      in_rst  => '0',
+      out_rst => rx_src_rst
+    );
 
   u_dp_fifo_dc_mixed_widths_rx : entity dp_lib.dp_fifo_dc_mixed_widths
-  generic map (
-    g_wr_data_w => c_byte_w,
-    g_rd_data_w => c_tech_tse_data_w,
-    g_use_ctrl  => true,  -- SOP, EOP support
-    g_wr_fifo_size => 50,
-    g_rd_fifo_rl   => 1
-  )
-  port map (
-    wr_rst      => tr_rst,
-    wr_clk      => tr_clk,
-    rd_rst      => rx_src_rst,
-    rd_clk      => rx_src_clk,
-
-    snk_in      => gx_rx_src_out_arr(0),
-    snk_out     => gx_rx_src_in_arr(0),
-
-    src_out     => rx_src_out,
-    src_in      => rx_src_in
-  );
+    generic map (
+      g_wr_data_w => c_byte_w,
+      g_rd_data_w => c_tech_tse_data_w,
+      g_use_ctrl  => true,  -- SOP, EOP support
+      g_wr_fifo_size => 50,
+      g_rd_fifo_rl   => 1
+    )
+    port map (
+      wr_rst      => tr_rst,
+      wr_clk      => tr_clk,
+      rd_rst      => rx_src_rst,
+      rd_clk      => rx_src_clk,
+
+      snk_in      => gx_rx_src_out_arr(0),
+      snk_out     => gx_rx_src_in_arr(0),
+
+      src_out     => rx_src_out,
+      src_in      => rx_src_in
+    );
 
 end str;
diff --git a/libraries/technology/tse/tb_tb_tech_tse.vhd b/libraries/technology/tse/tb_tb_tech_tse.vhd
index a1ee1c2ebe..a207a2926f 100644
--- a/libraries/technology/tse/tb_tb_tech_tse.vhd
+++ b/libraries/technology/tse/tb_tb_tech_tse.vhd
@@ -29,10 +29,10 @@
 --   > run -all
 
 library IEEE, technology_lib, tech_tse_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use tech_tse_lib.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use tech_tse_lib.tb_tech_tse_pkg.all;
 
 
 entity tb_tb_tech_tse is
@@ -49,13 +49,13 @@ architecture tb of tb_tb_tech_tse is
 
 begin
 
--- g_technology : NATURAL := c_tech_select_default;
--- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
--- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
--- g_data_type  : NATURAL := c_tb_tech_tse_data_type_symbols;
--- g_sim        : BOOLEAN := TRUE;
--- g_sim_level  : NATURAL := 1;    -- 0 = use IP; 1 = use fast serdes model;
--- g_tb_end     : BOOLEAN := TRUE  -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
+  -- g_technology : NATURAL := c_tech_select_default;
+  -- --   g_data_type = c_tb_tech_tse_data_type_symbols  = 0
+  -- --   g_data_type = c_tb_tech_tse_data_type_counter  = 1
+  -- g_data_type  : NATURAL := c_tb_tech_tse_data_type_symbols;
+  -- g_sim        : BOOLEAN := TRUE;
+  -- g_sim_level  : NATURAL := 1;    -- 0 = use IP; 1 = use fast serdes model;
+  -- g_tb_end     : BOOLEAN := TRUE  -- when TRUE then tb_end ends this simulation, else a higher multi-testbench will end the simulation
 
   u_ip           : entity work.tb_tech_tse generic map (c_tech, c_tb_tech_tse_data_type_symbols, false, 0, false) port map (tb_end_vec(0));
   u_sim_level_0  : entity work.tb_tech_tse generic map (c_tech, c_tb_tech_tse_data_type_symbols,  true, 0, false) port map (tb_end_vec(1));
diff --git a/libraries/technology/tse/tb_tech_tse.vhd b/libraries/technology/tse/tb_tech_tse.vhd
index 0e2add58bb..4bccf75494 100644
--- a/libraries/technology/tse/tb_tech_tse.vhd
+++ b/libraries/technology/tse/tb_tech_tse.vhd
@@ -29,17 +29,17 @@
 --   > run -all
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use WORK.tech_tse_pkg.all;
-use WORK.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use WORK.tech_tse_pkg.all;
+  use WORK.tb_tech_tse_pkg.all;
 
 
 entity tb_tech_tse is
@@ -224,45 +224,45 @@ begin
 
 
   dut : entity work.tech_tse
-  generic map (
-    g_technology => g_technology,
-    g_ETH_PHY    => "LVDS",  -- "LVDS" (default): uses LVDS IOs for ctrl_unb_common, "XCVR": uses tranceiver PHY
-    g_sim        => g_sim,
-    g_sim_level  => g_sim_level  -- 0 = use IP; 1 = use fast serdes model;
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-
-    -- Memory Mapped Slave
-    mm_sla_in      => mm_mosi,
-    mm_sla_out     => mm_miso,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => tx_sosi,
-    tx_snk_out     => tx_siso,
-    -- . MAC specific
-    tx_mac_in      => tx_mac_in,
-    tx_mac_out     => tx_mac_out,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => rx_siso,
-    rx_src_out     => rx_sosi,
-    -- . MAC specific
-    rx_mac_out     => rx_mac_out,
-
-    -- PHY interface
-    eth_txp        => eth_txp,
-    eth_rxp        => eth_rxp,
-
-    tse_led        => tse_led
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ETH_PHY    => "LVDS",  -- "LVDS" (default): uses LVDS IOs for ctrl_unb_common, "XCVR": uses tranceiver PHY
+      g_sim        => g_sim,
+      g_sim_level  => g_sim_level  -- 0 = use IP; 1 = use fast serdes model;
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+
+      -- Memory Mapped Slave
+      mm_sla_in      => mm_mosi,
+      mm_sla_out     => mm_miso,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => tx_sosi,
+      tx_snk_out     => tx_siso,
+      -- . MAC specific
+      tx_mac_in      => tx_mac_in,
+      tx_mac_out     => tx_mac_out,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => rx_siso,
+      rx_src_out     => rx_sosi,
+      -- . MAC specific
+      rx_mac_out     => rx_mac_out,
+
+      -- PHY interface
+      eth_txp        => eth_txp,
+      eth_rxp        => eth_rxp,
+
+      tse_led        => tse_led
+    );
 
   -- Loopback
   eth_rxp <= transport eth_txp after cable_delay;
diff --git a/libraries/technology/tse/tb_tech_tse_pkg.vhd b/libraries/technology/tse/tb_tech_tse_pkg.vhd
index 90f51a2f4e..8496e0b4e8 100644
--- a/libraries/technology/tse/tb_tech_tse_pkg.vhd
+++ b/libraries/technology/tse/tb_tech_tse_pkg.vhd
@@ -21,17 +21,17 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use dp_lib.tb_dp_pkg.all;
-use technology_lib.technology_pkg.all;
-use WORK.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use dp_lib.tb_dp_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use WORK.tech_tse_pkg.all;
 
 
 package tb_tech_tse_pkg is
@@ -43,56 +43,61 @@ package tb_tech_tse_pkg is
   constant c_tb_tech_tse_data_type_ping    : natural := 3;  -- over IP/ICMP
   constant c_tb_tech_tse_data_type_udp     : natural := 4;  -- over IP
 
-  function func_tech_tse_header_size(data_type : natural) return natural;  -- raw ethernet: 4 header words, protocol ethernet: 11 header words
+  function func_tech_tse_header_size (data_type : natural) return natural;  -- raw ethernet: 4 header words, protocol ethernet: 11 header words
 
   -- Configure the TSE MAC
-  procedure proc_tech_tse_setup(constant c_technology        : in  natural;
-                                constant c_promis_en         : in  boolean;
-                                constant c_tse_tx_fifo_depth : in  natural;
-                                constant c_tse_rx_fifo_depth : in  natural;
-                                constant c_tx_ready_latency  : in  natural;
-                                constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                signal   psc_access          : out std_logic;
-                                signal   mm_clk              : in  std_logic;
-                                signal   mm_miso             : in  t_mem_miso;
-                                signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_tse_setup_stratixiv(constant c_promis_en         : in  boolean;
-                                          constant c_tse_tx_fifo_depth : in  natural;
-                                          constant c_tse_rx_fifo_depth : in  natural;
-                                          constant c_tx_ready_latency  : in  natural;
-                                          constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                          signal   psc_access          : out std_logic;
-                                          signal   mm_clk              : in  std_logic;
-                                          signal   mm_miso             : in  t_mem_miso;
-                                          signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_tse_setup_arria10(constant c_promis_en         : in  boolean;
-                                        constant c_tse_tx_fifo_depth : in  natural;
-                                        constant c_tse_rx_fifo_depth : in  natural;
-                                        constant c_tx_ready_latency  : in  natural;
-                                        constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                        signal   psc_access          : out std_logic;
-                                        signal   mm_clk              : in  std_logic;
-                                        signal   mm_miso             : in  t_mem_miso;
-                                        signal   mm_mosi             : out t_mem_mosi);
-
-  procedure proc_tech_tse_tx_packet(constant total_header    : in  t_network_total_header;
-                                    constant data_len        : in  natural;  -- in symbols = octets = bytes
-                                    constant c_data_type     : in  natural;  -- c_tb_tech_tse_data_type_*
-                                    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                                    constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
-                                    signal   ff_clk          : in  std_logic;
-                                    signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
-                                    signal   ff_src_in       : in  t_dp_siso;
-                                    signal   ff_src_out      : out t_dp_sosi);
+  procedure proc_tech_tse_setup (
+    constant c_technology        : in  natural;
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_tse_setup_stratixiv (
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_tse_setup_arria10 (
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi);
+
+  procedure proc_tech_tse_tx_packet (
+    constant total_header    : in  t_network_total_header;
+    constant data_len        : in  natural;  -- in symbols = octets = bytes
+    constant c_data_type     : in  natural;  -- c_tb_tech_tse_data_type_*
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
+    signal   ff_clk          : in  std_logic;
+    signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
+    signal   ff_src_in       : in  t_dp_siso;
+    signal   ff_src_out      : out t_dp_sosi);
 
   -- Receive and verify packet from the TSE MAC
-  procedure proc_tech_tse_rx_packet(constant total_header : in  t_network_total_header;
-                                    constant c_data_type  : in  natural;  -- c_tb_tech_tse_data_type_*
-                                    signal   ff_clk       : in  std_logic;
-                                    signal   ff_snk_in    : in  t_dp_sosi;
-                                    signal   ff_snk_out   : out t_dp_siso);
+  procedure proc_tech_tse_rx_packet (
+    constant total_header : in  t_network_total_header;
+    constant c_data_type  : in  natural;  -- c_tb_tech_tse_data_type_*
+    signal   ff_clk       : in  std_logic;
+    signal   ff_snk_in    : in  t_dp_sosi;
+    signal   ff_snk_out   : out t_dp_siso);
 
 end tb_tech_tse_pkg;
 
@@ -108,7 +113,7 @@ package body tb_tech_tse_pkg is
 
   -- Use default word addressing for MAC registers according to table 4.8, 4.9
   -- Use halfword addressing for PCS register to match table 4.17
-  function func_map_pcs_addr(pcs_addr : natural) return natural is
+  function func_map_pcs_addr (pcs_addr : natural) return natural is
   begin
     return pcs_addr * 2 + c_tech_tse_byte_addr_pcs_offset;
   end func_map_pcs_addr;
@@ -118,7 +123,7 @@ package body tb_tech_tse_pkg is
   -- GLOBAL ITEMS
   ------------------------------------------------------------------------------
 
-  function func_tech_tse_header_size(data_type : natural) return natural is
+  function func_tech_tse_header_size (data_type : natural) return natural is
   begin
     case data_type is
       when c_tb_tech_tse_data_type_symbols => return c_network_total_header_32b_eth_nof_words;
@@ -130,16 +135,17 @@ package body tb_tech_tse_pkg is
 
 
   -- Configure the TSE MAC
-  procedure proc_tech_tse_setup(constant c_technology        : in  natural;
-                                constant c_promis_en         : in  boolean;
-                                constant c_tse_tx_fifo_depth : in  natural;
-                                constant c_tse_rx_fifo_depth : in  natural;
-                                constant c_tx_ready_latency  : in  natural;
-                                constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                signal   psc_access          : out std_logic;
-                                signal   mm_clk              : in  std_logic;
-                                signal   mm_miso             : in  t_mem_miso;
-                                signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_tse_setup (
+    constant c_technology        : in  natural;
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
   begin
     case c_technology is
       when c_tech_stratixiv      => proc_tech_tse_setup_stratixiv(c_promis_en, c_tse_tx_fifo_depth, c_tse_rx_fifo_depth, c_tx_ready_latency, src_mac, psc_access, mm_clk, mm_miso, mm_mosi);
@@ -152,15 +158,16 @@ package body tb_tech_tse_pkg is
   end proc_tech_tse_setup;
 
   -- . The src_mac[47:0] = 0x123456789ABC for MAC address 12-34-56-78-9A-BC
-  procedure proc_tech_tse_setup_stratixiv(constant c_promis_en         : in  boolean;
-                                          constant c_tse_tx_fifo_depth : in  natural;
-                                          constant c_tse_rx_fifo_depth : in  natural;
-                                          constant c_tx_ready_latency  : in  natural;
-                                          constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                          signal   psc_access          : out std_logic;
-                                          signal   mm_clk              : in  std_logic;
-                                          signal   mm_miso             : in  t_mem_miso;
-                                          signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_tse_setup_stratixiv (
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
     constant c_mac0       : integer := TO_SINT(hton(src_mac(47 downto 16), 4));
     constant c_mac1       : integer := TO_SINT(hton(src_mac(15 downto  0), 2));
   begin
@@ -180,37 +187,37 @@ package body tb_tech_tse_pkg is
     else
       proc_mem_mm_bus_wr(16#008#, 16#0100005B#, mm_clk, mm_miso, mm_mosi);
     end if;
-      -- COMMAND_CONFIG <--
-      -- Only the bits relevant to UniBoard are explained here, others are 0
-      -- [    0] = TX_ENA             = 1, enable tx datapath
-      -- [    1] = RX_ENA             = 1, enable rx datapath
-      -- [    2] = XON_GEN            = 0
-      -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
-      -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
-      -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
-      -- [    6] = CRC_FWD            = 1, enable receive CRC forward
-      -- [    7] = PAUSE_FWD          = 0
-      -- [    8] = PAUSE_IGNORE       = 0
-      -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
-      -- [   10] = HD_ENA             = 0
-      -- [   11] = EXCESS_COL         = 0
-      -- [   12] = LATE_COL           = 0
-      -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
-      -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
-      -- [   15] = LOOP_ENA           = 0
-      -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
-      -- [   19] = MAGIC_EN           = 0
-      -- [   20] = SLEEP              = 0
-      -- [   21] = WAKEUP             = 0
-      -- [   22] = XOFF_GEN           = 0
-      -- [   23] = CNT_FRM_ENA        = 0
-      -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
-      -- [   25] = ENA_10             = 0
-      -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
-      --                                   when 0 then pass on with rx_err[0]=1
-      -- [   27] = DISABLE_RD_TIMEOUT = 0
-      -- [30-28] = RSVD               = 000
-      -- [   31] = CNT_RESET          = 0, when 1 clear statistics
+    -- COMMAND_CONFIG <--
+    -- Only the bits relevant to UniBoard are explained here, others are 0
+    -- [    0] = TX_ENA             = 1, enable tx datapath
+    -- [    1] = RX_ENA             = 1, enable rx datapath
+    -- [    2] = XON_GEN            = 0
+    -- [    3] = ETH_SPEED          = 1, enable 1GbE operation
+    -- [    4] = PROMIS_EN          = 0, when 1 then receive all frames
+    -- [    5] = PAD_EN             = 0, when 1 enable receive padding removal (requires ethertype=payload length)
+    -- [    6] = CRC_FWD            = 1, enable receive CRC forward
+    -- [    7] = PAUSE_FWD          = 0
+    -- [    8] = PAUSE_IGNORE       = 0
+    -- [    9] = TX_ADDR_INS        = 0, when 1 then MAX overwrites tx SRC MAC with mac_0,1 or one of the supplemental mac
+    -- [   10] = HD_ENA             = 0
+    -- [   11] = EXCESS_COL         = 0
+    -- [   12] = LATE_COL           = 0
+    -- [   13] = SW_RESET           = 0, when 1 MAC disables tx and rx, clear statistics and flushes receive FIFO
+    -- [   14] = MHAS_SEL           = 0, select multicast address resolutions hash-code mode
+    -- [   15] = LOOP_ENA           = 0
+    -- [18-16] = TX_ADDR_SEL[2:0]   = 000, TX_ADDR_INS insert mac_0,1 or one of the supplemental mac
+    -- [   19] = MAGIC_EN           = 0
+    -- [   20] = SLEEP              = 0
+    -- [   21] = WAKEUP             = 0
+    -- [   22] = XOFF_GEN           = 0
+    -- [   23] = CNT_FRM_ENA        = 0
+    -- [   24] = NO_LGTH_CHECK      = 1, when 0 then check payload length of received frames (requires ethertype=payload length)
+    -- [   25] = ENA_10             = 0
+    -- [   26] = RX_ERR_DISC        = 0, when 1 then discard erroneous frames (requires store and forward mode, so rx_section_full=0)
+    --                                   when 0 then pass on with rx_err[0]=1
+    -- [   27] = DISABLE_RD_TIMEOUT = 0
+    -- [30-28] = RSVD               = 000
+    -- [   31] = CNT_RESET          = 0, when 1 clear statistics
     proc_mem_mm_bus_wr(16#00C#,       c_mac0, mm_clk, mm_miso, mm_mosi);  -- MAC_0
     proc_mem_mm_bus_wr(16#010#,       c_mac1, mm_clk, mm_miso, mm_mosi);  -- MAC_1 <-- SRC_MAC = 12-34-56-78-9A-BC
     proc_mem_mm_bus_wr(16#05C#, 16#0000000C#, mm_clk, mm_miso, mm_mosi);  -- TX_IPG_LENGTH <-- interpacket gap = 12
@@ -253,15 +260,16 @@ package body tb_tech_tse_pkg is
   end proc_tech_tse_setup_stratixiv;
 
   -- It is noticed that the arria10 variant needs longer setup time.
-  procedure proc_tech_tse_setup_arria10(constant c_promis_en         : in  boolean;
-                                        constant c_tse_tx_fifo_depth : in  natural;
-                                        constant c_tse_rx_fifo_depth : in  natural;
-                                        constant c_tx_ready_latency  : in  natural;
-                                        constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
-                                        signal   psc_access          : out std_logic;
-                                        signal   mm_clk              : in  std_logic;
-                                        signal   mm_miso             : in  t_mem_miso;
-                                        signal   mm_mosi             : out t_mem_mosi) is
+  procedure proc_tech_tse_setup_arria10 (
+    constant c_promis_en         : in  boolean;
+    constant c_tse_tx_fifo_depth : in  natural;
+    constant c_tse_rx_fifo_depth : in  natural;
+    constant c_tx_ready_latency  : in  natural;
+    constant src_mac             : in  std_logic_vector(c_network_eth_mac_slv'range);
+    signal   psc_access          : out std_logic;
+    signal   mm_clk              : in  std_logic;
+    signal   mm_miso             : in  t_mem_miso;
+    signal   mm_mosi             : out t_mem_mosi) is
   begin
     proc_tech_tse_setup_stratixiv(c_promis_en, c_tse_tx_fifo_depth, c_tse_rx_fifo_depth, c_tx_ready_latency, src_mac, psc_access, mm_clk, mm_miso, mm_mosi);
     wait for 10 us;
@@ -273,15 +281,16 @@ package body tb_tech_tse_pkg is
   -- . Use word aligned payload data, so with half word inserted before the 14 byte header
   -- . Packets can be send immediately after eachother so new sop directly after last eop
   -- . The word rate is controlled by respecting ready from the MAC
-  procedure proc_tech_tse_tx_packet(constant total_header    : in  t_network_total_header;
-                                    constant data_len        : in  natural;  -- in symbols = octets = bytes
-                                    constant c_data_type     : in  natural;  -- c_tb_tech_tse_data_type_*
-                                    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
-                                    constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
-                                    signal   ff_clk          : in  std_logic;
-                                    signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
-                                    signal   ff_src_in       : in  t_dp_siso;
-                                    signal   ff_src_out      : out t_dp_sosi) is
+  procedure proc_tech_tse_tx_packet (
+    constant total_header    : in  t_network_total_header;
+    constant data_len        : in  natural;  -- in symbols = octets = bytes
+    constant c_data_type     : in  natural;  -- c_tb_tech_tse_data_type_*
+    constant c_ready_latency : in  natural;  -- 0, 1 are supported by proc_dp_stream_ready_latency()
+    constant c_nof_not_valid : in  natural;  -- when > 0 then pull tx valid low for c_nof_not_valid beats during tx
+    signal   ff_clk          : in  std_logic;
+    signal   ff_en           : in  std_logic;  -- similar purpose as c_nof_not_valid, but not used so pass on signal '1'
+    signal   ff_src_in       : in  t_dp_siso;
+    signal   ff_src_out      : out t_dp_sosi) is
     constant c_eth_header     : t_network_eth_header := total_header.eth;
     constant c_arp_words_arr  : t_network_total_header_32b_arr := func_network_total_header_construct_arp( total_header.eth, total_header.arp);
     constant c_icmp_words_arr : t_network_total_header_32b_arr := func_network_total_header_construct_icmp(total_header.eth, total_header.ip, total_header.icmp);
@@ -376,11 +385,12 @@ package body tb_tech_tse_pkg is
   -- . The CRC32 is also passed on to the user at eop.
   -- . Note that when empty/=0 then the CRC32 is not word aligned, so therefore use prev_data to be able
   --   to handle part of last data word in case empty/=0 at eop
-  procedure proc_tech_tse_rx_packet(constant total_header : in  t_network_total_header;
-                                    constant c_data_type  : in  natural;  -- c_tb_tech_tse_data_type_*
-                                    signal   ff_clk       : in  std_logic;
-                                    signal   ff_snk_in    : in  t_dp_sosi;
-                                    signal   ff_snk_out   : out t_dp_siso) is
+  procedure proc_tech_tse_rx_packet (
+    constant total_header : in  t_network_total_header;
+    constant c_data_type  : in  natural;  -- c_tb_tech_tse_data_type_*
+    signal   ff_clk       : in  std_logic;
+    signal   ff_snk_in    : in  t_dp_sosi;
+    signal   ff_snk_out   : out t_dp_siso) is
     constant c_eth_header     : t_network_eth_header := total_header.eth;
     constant c_arp_words_arr  : t_network_total_header_32b_arr := func_network_total_header_construct_arp( total_header.eth, total_header.arp);
     constant c_icmp_words_arr : t_network_total_header_32b_arr := func_network_total_header_construct_icmp(total_header.eth, total_header.ip, total_header.icmp);
@@ -490,7 +500,7 @@ package body tb_tech_tse_pkg is
         end case;
       end if;
     end if;
-    -- No verify on CRC32 word
+  -- No verify on CRC32 word
   end proc_tech_tse_rx_packet;
 
 end tb_tech_tse_pkg;
diff --git a/libraries/technology/tse/tb_tech_tse_with_setup.vhd b/libraries/technology/tse/tb_tech_tse_with_setup.vhd
index 6133481ade..c59b3020b4 100644
--- a/libraries/technology/tse/tb_tech_tse_with_setup.vhd
+++ b/libraries/technology/tse/tb_tech_tse_with_setup.vhd
@@ -35,19 +35,19 @@
 --   > run -all
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_network_layers_pkg.all;
-use common_lib.common_network_total_header_pkg.all;
-use common_lib.tb_common_pkg.all;
-use common_lib.tb_common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use WORK.tech_tse_pkg.all;
-use WORK.tb_tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use IEEE.numeric_std.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_network_layers_pkg.all;
+  use common_lib.common_network_total_header_pkg.all;
+  use common_lib.tb_common_pkg.all;
+  use common_lib.tb_common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use WORK.tech_tse_pkg.all;
+  use WORK.tb_tech_tse_pkg.all;
 
 
 entity tb_tech_tse_with_setup is
@@ -242,45 +242,45 @@ begin
 
 
   dut : entity work.tech_tse_with_setup
-  generic map (
-    g_technology => g_technology,
-    g_ETH_PHY    => "LVDS",  -- "LVDS" (default): uses LVDS IOs for ctrl_unb_common, "XCVR": uses tranceiver PHY
-    g_jumbo_en   => c_jumbo_en,
-    g_sim        => c_sim,
-    g_sim_level  => c_sim_level  -- 0 = use IP; 1 = use fast serdes model;
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-    eth_clk        => eth_clk,
-    tx_snk_clk     => st_clk,
-    rx_src_clk     => st_clk,
-
-    -- TSE setup
-    src_mac        => c_src_mac,
-    setup_done     => tse_setup_done,
-
-    -- Memory Mapped Slave
-    mm_ctlr_copi   => mm_copi,
-    mm_ctlr_cipo   => mm_cipo,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      => tx_sosi,
-    tx_snk_out     => tx_siso,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      => rx_siso,
-    rx_src_out     => rx_sosi,
-
-    -- PHY interface
-    eth_txp        => eth_txp,
-    eth_rxp        => eth_rxp,
-
-    tse_led        => tse_led
-  );
+    generic map (
+      g_technology => g_technology,
+      g_ETH_PHY    => "LVDS",  -- "LVDS" (default): uses LVDS IOs for ctrl_unb_common, "XCVR": uses tranceiver PHY
+      g_jumbo_en   => c_jumbo_en,
+      g_sim        => c_sim,
+      g_sim_level  => c_sim_level  -- 0 = use IP; 1 = use fast serdes model;
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+      eth_clk        => eth_clk,
+      tx_snk_clk     => st_clk,
+      rx_src_clk     => st_clk,
+
+      -- TSE setup
+      src_mac        => c_src_mac,
+      setup_done     => tse_setup_done,
+
+      -- Memory Mapped Slave
+      mm_ctlr_copi   => mm_copi,
+      mm_ctlr_cipo   => mm_cipo,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      => tx_sosi,
+      tx_snk_out     => tx_siso,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      => rx_siso,
+      rx_src_out     => rx_sosi,
+
+      -- PHY interface
+      eth_txp        => eth_txp,
+      eth_rxp        => eth_rxp,
+
+      tse_led        => tse_led
+    );
 
   -- Loopback
   eth_rxp <= transport eth_txp after cable_delay;
diff --git a/libraries/technology/tse/tech_tse.vhd b/libraries/technology/tse/tech_tse.vhd
index ffbe694082..8001c3fb8d 100644
--- a/libraries/technology/tse/tech_tse.vhd
+++ b/libraries/technology/tse/tech_tse.vhd
@@ -21,12 +21,12 @@
 -------------------------------------------------------------------------------
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_tse_pkg.all;
 
 
 entity tech_tse is
@@ -82,52 +82,52 @@ architecture str of tech_tse is
   constant c_use_sim_model  : boolean := not c_use_technology;
 
   component sim_tse is
-  generic(
-    g_tx         : boolean;
-    g_tx_crc     : boolean := true;  -- model append CRC by TSE MAC, CRC value = 0
-    g_rx         : boolean
-  );
-  port(
-    -- Clocks and reset
-    mm_rst         : in  std_logic;  -- unused
-    mm_clk         : in  std_logic;  -- unused
-    eth_clk        : in  std_logic;  -- 125 MHz
-    tx_snk_clk     : in  std_logic;  -- DP
-    rx_src_clk     : in  std_logic;  -- DP
-
-    -- Memory Mapped Slave
-    mm_sla_in      : in  t_mem_mosi;
-    mm_sla_out     : out t_mem_miso;
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in      : in  t_dp_sosi;
-    tx_snk_out     : out t_dp_siso;
-    -- . MAC specific
-    tx_mac_in      : in  t_tech_tse_tx_mac;
-    tx_mac_out     : out t_tech_tse_tx_mac;
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in      : in  t_dp_siso;
-    rx_src_out     : out t_dp_sosi;
-    -- . MAC specific
-    rx_mac_out     : out t_tech_tse_rx_mac;
-
-    -- PHY interface
-    eth_txp        : out std_logic;
-    eth_rxp        : in  std_logic;
-
-    tse_led        : out t_tech_tse_led
-  );
-end component;
+    generic(
+      g_tx         : boolean;
+      g_tx_crc     : boolean := true;  -- model append CRC by TSE MAC, CRC value = 0
+      g_rx         : boolean
+    );
+    port(
+      -- Clocks and reset
+      mm_rst         : in  std_logic;  -- unused
+      mm_clk         : in  std_logic;  -- unused
+      eth_clk        : in  std_logic;  -- 125 MHz
+      tx_snk_clk     : in  std_logic;  -- DP
+      rx_src_clk     : in  std_logic;  -- DP
+
+      -- Memory Mapped Slave
+      mm_sla_in      : in  t_mem_mosi;
+      mm_sla_out     : out t_mem_miso;
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in      : in  t_dp_sosi;
+      tx_snk_out     : out t_dp_siso;
+      -- . MAC specific
+      tx_mac_in      : in  t_tech_tse_tx_mac;
+      tx_mac_out     : out t_tech_tse_tx_mac;
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in      : in  t_dp_siso;
+      rx_src_out     : out t_dp_sosi;
+      -- . MAC specific
+      rx_mac_out     : out t_tech_tse_rx_mac;
+
+      -- PHY interface
+      eth_txp        : out std_logic;
+      eth_rxp        : in  std_logic;
+
+      tse_led        : out t_tech_tse_led
+    );
+  end component;
 
 begin
 
   gen_ip_stratixiv : if c_use_technology = true and g_technology = c_tech_stratixiv generate
     u0 : entity work.tech_tse_stratixiv
-    generic map (g_ETH_PHY)
-    port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
+      generic map (g_ETH_PHY)
+      port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
               cal_rec_clk,
               mm_sla_in, mm_sla_out,
               tx_snk_in, tx_snk_out,
@@ -140,8 +140,8 @@ begin
 
   gen_ip_arria10 : if c_use_technology = true and g_technology = c_tech_arria10_proto generate
     u0 : entity work.tech_tse_arria10
-    generic map (g_ETH_PHY)
-    port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
+      generic map (g_ETH_PHY)
+      port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
               mm_sla_in, mm_sla_out,
               tx_snk_in, tx_snk_out,
               tx_mac_in, tx_mac_out,
@@ -153,8 +153,8 @@ begin
 
   gen_ip_arria10_e3sge3 : if c_use_technology = true and g_technology = c_tech_arria10_e3sge3 generate
     u0 : entity work.tech_tse_arria10_e3sge3
-    generic map (g_ETH_PHY)
-    port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
+      generic map (g_ETH_PHY)
+      port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
               mm_sla_in, mm_sla_out,
               tx_snk_in, tx_snk_out,
               tx_mac_in, tx_mac_out,
@@ -166,8 +166,8 @@ begin
 
   gen_ip_arria10_e1sg : if c_use_technology = true and g_technology = c_tech_arria10_e1sg generate
     u0 : entity work.tech_tse_arria10_e1sg
-    generic map (g_ETH_PHY)
-    port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
+      generic map (g_ETH_PHY)
+      port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
               mm_sla_in, mm_sla_out,
               tx_snk_in, tx_snk_out,
               tx_mac_in, tx_mac_out,
@@ -179,8 +179,8 @@ begin
 
   gen_ip_arria10_e2sg : if c_use_technology = true and g_technology = c_tech_arria10_e2sg generate
     u0 : entity work.tech_tse_arria10_e2sg
-    generic map (g_ETH_PHY)
-    port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
+      generic map (g_ETH_PHY)
+      port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
               mm_sla_in, mm_sla_out,
               tx_snk_in, tx_snk_out,
               tx_mac_in, tx_mac_out,
@@ -192,8 +192,8 @@ begin
 
   gen_sim_tse : if c_use_sim_model = true generate
     u_sim_tse : sim_tse
-    generic map (g_sim_tx, true, g_sim_rx)
-    port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
+      generic map (g_sim_tx, true, g_sim_rx)
+      port map (mm_rst, mm_clk, eth_clk, tx_snk_clk, rx_src_clk,
               mm_sla_in, mm_sla_out,
               tx_snk_in, tx_snk_out,
               tx_mac_in, tx_mac_out,
diff --git a/libraries/technology/tse/tech_tse_arria10.vhd b/libraries/technology/tse/tech_tse_arria10.vhd
index 5970d7e869..13bb760193 100644
--- a/libraries/technology/tse/tech_tse_arria10.vhd
+++ b/libraries/technology/tse/tech_tse_arria10.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_tse_component_pkg.all;
-use work.tech_tse_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_tse_component_pkg.all;
+  use work.tech_tse_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_tse_sgmii_lvds_altera_eth_tse_150;
@@ -98,68 +98,68 @@ begin
       -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp
-    );
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp
+      );
 
   end generate;
 
@@ -174,82 +174,82 @@ begin
       -- . EG_FIFO         = 2048 : Tx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ING_FIFO        = 2048 : Rx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp,
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp,
 
-      -- GX connections ????
-      tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-      rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-    );
+        -- GX connections ????
+        tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+        rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+        tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+        tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+        rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+        rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+        tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+        rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+        rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+        rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+        rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+      );
 
   end generate;
 
diff --git a/libraries/technology/tse/tech_tse_arria10_e1sg.vhd b/libraries/technology/tse/tech_tse_arria10_e1sg.vhd
index 1bf652b8e9..c039f6d50e 100644
--- a/libraries/technology/tse/tech_tse_arria10_e1sg.vhd
+++ b/libraries/technology/tse/tech_tse_arria10_e1sg.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_tse_component_pkg.all;
-use work.tech_tse_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_tse_component_pkg.all;
+  use work.tech_tse_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_e1sg_tse_sgmii_lvds_altera_eth_tse_180;
@@ -98,68 +98,68 @@ begin
       -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp
-    );
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp
+      );
 
   end generate;
 
@@ -174,82 +174,82 @@ begin
       -- . EG_FIFO         = 2048 : Tx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ING_FIFO        = 2048 : Rx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp,
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp,
 
-      -- GX connections ????
-      tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-      rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-    );
+        -- GX connections ????
+        tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+        rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+        tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+        tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+        rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+        rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+        tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+        rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+        rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+        rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+        rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+      );
 
   end generate;
 
diff --git a/libraries/technology/tse/tech_tse_arria10_e2sg.vhd b/libraries/technology/tse/tech_tse_arria10_e2sg.vhd
index 7f472f65c6..fb0f0de84f 100644
--- a/libraries/technology/tse/tech_tse_arria10_e2sg.vhd
+++ b/libraries/technology/tse/tech_tse_arria10_e2sg.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_tse_component_pkg.all;
-use work.tech_tse_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_tse_component_pkg.all;
+  use work.tech_tse_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_e2sg_tse_sgmii_lvds_altera_eth_tse_1940;
@@ -98,68 +98,68 @@ begin
       -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp
-    );
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp
+      );
 
   end generate;
 
@@ -174,82 +174,82 @@ begin
       -- . EG_FIFO         = 2048 : Tx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ING_FIFO        = 2048 : Rx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp,
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp,
 
-      -- GX connections ????
-      tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-      rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-    );
+        -- GX connections ????
+        tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+        rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+        tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+        tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+        rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+        rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+        tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+        rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+        rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+        rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+        rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+      );
 
   end generate;
 
diff --git a/libraries/technology/tse/tech_tse_arria10_e3sge3.vhd b/libraries/technology/tse/tech_tse_arria10_e3sge3.vhd
index e34e9c761b..cf9fd3f0e2 100644
--- a/libraries/technology/tse/tech_tse_arria10_e3sge3.vhd
+++ b/libraries/technology/tse/tech_tse_arria10_e3sge3.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_tse_component_pkg.all;
-use work.tech_tse_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_tse_component_pkg.all;
+  use work.tech_tse_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_arria10_e3sge3_tse_sgmii_lvds_altera_eth_tse_151;
@@ -98,68 +98,68 @@ begin
       -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp
-    );
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp
+      );
 
   end generate;
 
@@ -174,82 +174,82 @@ begin
       -- . EG_FIFO         = 2048 : Tx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ING_FIFO        = 2048 : Rx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk      => tx_snk_clk,
-      ff_tx_rdy      => tx_snk_out.ready,
-      ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren     => tx_snk_in.valid,
-      ff_tx_sop      => tx_snk_in.sop,
-      ff_tx_eop      => tx_snk_in.eop,
-      ff_tx_mod      => ff_tx_mod,
-      ff_tx_err      => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk      => rx_src_clk,
-      ff_rx_rdy      => rx_src_in.ready,
-      ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval     => ff_rx_out.valid,
-      ff_rx_sop      => ff_rx_out.sop,
-      ff_rx_eop      => ff_rx_out.eop,
-      ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                      -- [4] PHY error on GMII
-                                                                      -- [3] receive frame truncated due to FIFO overflow
-                                                                      -- [2] CRC-32 error
-                                                                      -- [1] invalid length
-                                                                      -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk            => mm_clk,
-      reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      reg_rd         => mm_sla_in.rd,
-      reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      reg_wr         => mm_sla_in.wr,
-      reg_busy       => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an         => tse_led.an,  -- '1' = autonegation completed
-      led_link       => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err   => tse_led.disp_err,  -- TBI character error
-      led_char_err   => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-      led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
-      led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      rx_recovclkout => OPEN,
-      ref_clk        => eth_clk,
-      txp            => eth_txp,
-      rxp            => eth_rxp,
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk      => tx_snk_clk,
+        ff_tx_rdy      => tx_snk_out.ready,
+        ff_tx_data     => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren     => tx_snk_in.valid,
+        ff_tx_sop      => tx_snk_in.sop,
+        ff_tx_eop      => tx_snk_in.eop,
+        ff_tx_mod      => ff_tx_mod,
+        ff_tx_err      => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd  => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy    => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full   => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty  => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow    => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk      => rx_src_clk,
+        ff_rx_rdy      => rx_src_in.ready,
+        ff_rx_data     => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval     => ff_rx_out.valid,
+        ff_rx_sop      => ff_rx_out.sop,
+        ff_rx_eop      => ff_rx_out.eop,
+        ff_rx_mod      => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err         => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat    => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type    => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav     => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full   => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty  => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset          => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk            => mm_clk,
+        reg_addr       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        reg_data_out   => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        reg_rd         => mm_sla_in.rd,
+        reg_data_in    => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        reg_wr         => mm_sla_in.wr,
+        reg_busy       => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an         => tse_led.an,  -- '1' = autonegation completed
+        led_link       => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err   => tse_led.disp_err,  -- TBI character error
+        led_char_err   => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        led_crs        => tse_led.crs,  -- carrier sense '1' when there is tx/rx activity on the line
+        led_col        => tse_led.col,  -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        rx_recovclkout => OPEN,
+        ref_clk        => eth_clk,
+        txp            => eth_txp,
+        rxp            => eth_rxp,
 
-      -- GX connections ????
-      tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
-      rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
-      tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
-      tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
-      rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
-      rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
-      tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
-      rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
-      rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
-      rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
-      rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
-      rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
-    );
+        -- GX connections ????
+        tx_serial_clk      => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                 tx_serial_clk.clk
+        rx_cdr_refclk      => '0',  -- : in  std_logic                     := '0';             --                 rx_cdr_refclk.clk
+        tx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                tx_analogreset.tx_analogreset
+        tx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               tx_digitalreset.tx_digitalreset
+        rx_analogreset     => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --                rx_analogreset.rx_analogreset
+        rx_digitalreset    => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --               rx_digitalreset.rx_digitalreset
+        tx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   tx_cal_busy.tx_cal_busy
+        rx_cal_busy        => OPEN,  -- : out std_logic_vector(0 downto 0);                     --                   rx_cal_busy.rx_cal_busy
+        rx_set_locktodata  => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --             rx_set_locktodata.rx_set_locktodata
+        rx_set_locktoref   => (others => '0'),  -- : in  std_logic_vector(0 downto 0)  := (others => '0'); --              rx_set_locktoref.rx_set_locktoref
+        rx_is_lockedtoref  => OPEN,  -- : out std_logic_vector(0 downto 0);                     --             rx_is_lockedtoref.rx_is_lockedtoref
+        rx_is_lockedtodata => open  -- : out std_logic_vector(0 downto 0)                      --            rx_is_lockedtodata.rx_is_lockedtodata
+      );
 
   end generate;
 
diff --git a/libraries/technology/tse/tech_tse_component_pkg.vhd b/libraries/technology/tse/tech_tse_component_pkg.vhd
index 791a7bc360..f37d4869d7 100644
--- a/libraries/technology/tse/tech_tse_component_pkg.vhd
+++ b/libraries/technology/tse/tech_tse_component_pkg.vhd
@@ -22,8 +22,8 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_tse_component_pkg is
 
@@ -33,114 +33,114 @@ package tech_tse_component_pkg is
 
   -- Copied from $HDL_WORK/libraries/technology/ip_stratixiv/tse_sgmii_lvds/ip_stratixiv_tse_sgmii_lvds.vhd
   component ip_stratixiv_tse_sgmii_lvds is
-  port (
-     address  : in  std_logic_vector(7 downto 0);
-     clk  : in  std_logic;
-     ff_rx_a_empty  : out  std_logic;
-     ff_rx_a_full : out  std_logic;
-     ff_rx_clk  : in  std_logic;
-     ff_rx_data : out  std_logic_vector(31 downto 0);
-     ff_rx_dsav : out  std_logic;
-     ff_rx_dval : out  std_logic;
-     ff_rx_eop  : out  std_logic;
-     ff_rx_mod  : out  std_logic_vector(1 downto 0);
-     ff_rx_rdy  : in  std_logic;
-     ff_rx_sop  : out  std_logic;
-     ff_tx_a_empty  : out  std_logic;
-     ff_tx_a_full : out  std_logic;
-     ff_tx_clk  : in  std_logic;
-     ff_tx_crc_fwd  : in  std_logic;
-     ff_tx_data : in  std_logic_vector(31 downto 0);
-     ff_tx_eop  : in  std_logic;
-     ff_tx_err  : in  std_logic;
-     ff_tx_mod  : in  std_logic_vector(1 downto 0);
-     ff_tx_rdy  : out  std_logic;
-     ff_tx_septy  : out  std_logic;
-     ff_tx_sop  : in  std_logic;
-     ff_tx_wren : in  std_logic;
-     led_an : out  std_logic;
-     led_char_err : out  std_logic;
-     led_disp_err : out  std_logic;
-     led_link : out  std_logic;
-     read : in  std_logic;
-     readdata : out  std_logic_vector(31 downto 0);
-     ref_clk  : in  std_logic;
-     reset  : in  std_logic;
-     rx_err : out  std_logic_vector(5 downto 0);
-     rx_err_stat  : out  std_logic_vector(17 downto 0);
-     rx_frm_type  : out  std_logic_vector(3 downto 0);
-     rxp  : in  std_logic;
-     tx_ff_uflow  : out  std_logic;
-     txp  : out  std_logic;
-     waitrequest  : out  std_logic;
-     write  : in  std_logic;
-     writedata  : in  std_logic_vector(31 downto 0)
-  );
+    port (
+      address  : in  std_logic_vector(7 downto 0);
+      clk  : in  std_logic;
+      ff_rx_a_empty  : out  std_logic;
+      ff_rx_a_full : out  std_logic;
+      ff_rx_clk  : in  std_logic;
+      ff_rx_data : out  std_logic_vector(31 downto 0);
+      ff_rx_dsav : out  std_logic;
+      ff_rx_dval : out  std_logic;
+      ff_rx_eop  : out  std_logic;
+      ff_rx_mod  : out  std_logic_vector(1 downto 0);
+      ff_rx_rdy  : in  std_logic;
+      ff_rx_sop  : out  std_logic;
+      ff_tx_a_empty  : out  std_logic;
+      ff_tx_a_full : out  std_logic;
+      ff_tx_clk  : in  std_logic;
+      ff_tx_crc_fwd  : in  std_logic;
+      ff_tx_data : in  std_logic_vector(31 downto 0);
+      ff_tx_eop  : in  std_logic;
+      ff_tx_err  : in  std_logic;
+      ff_tx_mod  : in  std_logic_vector(1 downto 0);
+      ff_tx_rdy  : out  std_logic;
+      ff_tx_septy  : out  std_logic;
+      ff_tx_sop  : in  std_logic;
+      ff_tx_wren : in  std_logic;
+      led_an : out  std_logic;
+      led_char_err : out  std_logic;
+      led_disp_err : out  std_logic;
+      led_link : out  std_logic;
+      read : in  std_logic;
+      readdata : out  std_logic_vector(31 downto 0);
+      ref_clk  : in  std_logic;
+      reset  : in  std_logic;
+      rx_err : out  std_logic_vector(5 downto 0);
+      rx_err_stat  : out  std_logic_vector(17 downto 0);
+      rx_frm_type  : out  std_logic_vector(3 downto 0);
+      rxp  : in  std_logic;
+      tx_ff_uflow  : out  std_logic;
+      txp  : out  std_logic;
+      waitrequest  : out  std_logic;
+      write  : in  std_logic;
+      writedata  : in  std_logic_vector(31 downto 0)
+    );
   end component;
 
   -- Copied from $HDL_WORK/libraries/technology/ip_stratixiv/tse_sgmii_gx/ip_stratixiv_tse_sgmii_gx.vhd
   component ip_stratixiv_tse_sgmii_gx is
-  port (
-    address  : in  std_logic_vector(7 downto 0);
-    clk  : in  std_logic;
-    ff_rx_a_empty  : out  std_logic;
-    ff_rx_a_full : out  std_logic;
-    ff_rx_clk  : in  std_logic;
-    ff_rx_data : out  std_logic_vector(31 downto 0);
-    ff_rx_dsav : out  std_logic;
-    ff_rx_dval : out  std_logic;
-    ff_rx_eop  : out  std_logic;
-    ff_rx_mod  : out  std_logic_vector(1 downto 0);
-    ff_rx_rdy  : in  std_logic;
-    ff_rx_sop  : out  std_logic;
-    ff_tx_a_empty  : out  std_logic;
-    ff_tx_a_full : out  std_logic;
-    ff_tx_clk  : in  std_logic;
-    ff_tx_crc_fwd  : in  std_logic;
-    ff_tx_data : in  std_logic_vector(31 downto 0);
-    ff_tx_eop  : in  std_logic;
-    ff_tx_err  : in  std_logic;
-    ff_tx_mod  : in  std_logic_vector(1 downto 0);
-    ff_tx_rdy  : out  std_logic;
-    ff_tx_septy  : out  std_logic;
-    ff_tx_sop  : in  std_logic;
-    ff_tx_wren : in  std_logic;
-    gxb_cal_blk_clk  : in  std_logic;
-    led_an : out  std_logic;
-    led_char_err : out  std_logic;
-    led_disp_err : out  std_logic;
-    led_link : out  std_logic;
-    read : in  std_logic;
-    readdata : out  std_logic_vector(31 downto 0);
-    reconfig_clk : in  std_logic;
-    reconfig_fromgxb : out  std_logic_vector(16 downto 0);
-    reconfig_togxb : in  std_logic_vector(3 downto 0);
-    ref_clk  : in  std_logic;
-    reset  : in  std_logic;
-    rx_err : out  std_logic_vector(5 downto 0);
-    rx_err_stat  : out  std_logic_vector(17 downto 0);
-    rx_frm_type  : out  std_logic_vector(3 downto 0);
-    rxp  : in  std_logic;
-    tx_ff_uflow  : out  std_logic;
-    txp  : out  std_logic;
-    waitrequest  : out  std_logic;
-    write  : in  std_logic;
-    writedata  : in  std_logic_vector(31 downto 0)
-  );
+    port (
+      address  : in  std_logic_vector(7 downto 0);
+      clk  : in  std_logic;
+      ff_rx_a_empty  : out  std_logic;
+      ff_rx_a_full : out  std_logic;
+      ff_rx_clk  : in  std_logic;
+      ff_rx_data : out  std_logic_vector(31 downto 0);
+      ff_rx_dsav : out  std_logic;
+      ff_rx_dval : out  std_logic;
+      ff_rx_eop  : out  std_logic;
+      ff_rx_mod  : out  std_logic_vector(1 downto 0);
+      ff_rx_rdy  : in  std_logic;
+      ff_rx_sop  : out  std_logic;
+      ff_tx_a_empty  : out  std_logic;
+      ff_tx_a_full : out  std_logic;
+      ff_tx_clk  : in  std_logic;
+      ff_tx_crc_fwd  : in  std_logic;
+      ff_tx_data : in  std_logic_vector(31 downto 0);
+      ff_tx_eop  : in  std_logic;
+      ff_tx_err  : in  std_logic;
+      ff_tx_mod  : in  std_logic_vector(1 downto 0);
+      ff_tx_rdy  : out  std_logic;
+      ff_tx_septy  : out  std_logic;
+      ff_tx_sop  : in  std_logic;
+      ff_tx_wren : in  std_logic;
+      gxb_cal_blk_clk  : in  std_logic;
+      led_an : out  std_logic;
+      led_char_err : out  std_logic;
+      led_disp_err : out  std_logic;
+      led_link : out  std_logic;
+      read : in  std_logic;
+      readdata : out  std_logic_vector(31 downto 0);
+      reconfig_clk : in  std_logic;
+      reconfig_fromgxb : out  std_logic_vector(16 downto 0);
+      reconfig_togxb : in  std_logic_vector(3 downto 0);
+      ref_clk  : in  std_logic;
+      reset  : in  std_logic;
+      rx_err : out  std_logic_vector(5 downto 0);
+      rx_err_stat  : out  std_logic_vector(17 downto 0);
+      rx_frm_type  : out  std_logic_vector(3 downto 0);
+      rxp  : in  std_logic;
+      tx_ff_uflow  : out  std_logic;
+      txp  : out  std_logic;
+      waitrequest  : out  std_logic;
+      write  : in  std_logic;
+      writedata  : in  std_logic_vector(31 downto 0)
+    );
   end component;
 
   component ip_stratixiv_gxb_reconfig_v101 is
-  generic (
-    g_nof_gx        : natural;
-    g_fromgxb_bus_w : natural := 17;
-    g_togxb_bus_w   : natural := 4
-  );
-  port (
-    reconfig_clk     : in std_logic;
-    reconfig_fromgxb : in std_logic_vector(tech_ceil_div(g_nof_gx, 4) * g_fromgxb_bus_w - 1 downto 0);
-    busy             : out std_logic;
-    reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
-  );
+    generic (
+      g_nof_gx        : natural;
+      g_fromgxb_bus_w : natural := 17;
+      g_togxb_bus_w   : natural := 4
+    );
+    port (
+      reconfig_clk     : in std_logic;
+      reconfig_fromgxb : in std_logic_vector(tech_ceil_div(g_nof_gx, 4) * g_fromgxb_bus_w - 1 downto 0);
+      busy             : out std_logic;
+      reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -149,115 +149,115 @@ package tech_tse_component_pkg is
 
   -- Copied from $HDL_BUILD_DIR/sim/ip_arria10_tse_sgmii_lvds.vhd
   component ip_arria10_tse_sgmii_lvds is
-  port (
-    clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    reset          : in  std_logic                     := '0';  -- reset_connection.reset
-    reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd         : in  std_logic                     := '0';  -- .read
-    reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr         : in  std_logic                     := '0';  -- .write
-    reg_busy       : out std_logic;  -- .waitrequest
-    reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    ff_tx_clk      : in  std_logic                     := '0';  -- transmit_clock_connection.clk
-    ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop      : out std_logic;  -- .endofpacket
-    rx_err         : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop      : out std_logic;  -- .startofpacket
-    ff_rx_dval     : out std_logic;  -- .valid
-    ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err      : in  std_logic                     := '0';  -- .error
-    ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy      : out std_logic;  -- .ready
-    ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren     : in  std_logic                     := '0';  -- .valid
-    ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy    : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
-    led_crs        : out std_logic;  -- status_led_connection.crs
-    led_link       : out std_logic;  -- .link
-    led_col        : out std_logic;  -- .col
-    led_an         : out std_logic;  -- .an
-    led_char_err   : out std_logic;  -- .char_err
-    led_disp_err   : out std_logic;  -- .disp_err
-    rx_recovclkout : out std_logic;  -- serdes_control_connection.export
-    ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
-    txp            : out std_logic  -- .txp_0
-  );
+    port (
+      clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      reset          : in  std_logic                     := '0';  -- reset_connection.reset
+      reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd         : in  std_logic                     := '0';  -- .read
+      reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr         : in  std_logic                     := '0';  -- .write
+      reg_busy       : out std_logic;  -- .waitrequest
+      reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      ff_tx_clk      : in  std_logic                     := '0';  -- transmit_clock_connection.clk
+      ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop      : out std_logic;  -- .endofpacket
+      rx_err         : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop      : out std_logic;  -- .startofpacket
+      ff_rx_dval     : out std_logic;  -- .valid
+      ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err      : in  std_logic                     := '0';  -- .error
+      ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy      : out std_logic;  -- .ready
+      ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren     : in  std_logic                     := '0';  -- .valid
+      ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy    : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
+      led_crs        : out std_logic;  -- status_led_connection.crs
+      led_link       : out std_logic;  -- .link
+      led_col        : out std_logic;  -- .col
+      led_an         : out std_logic;  -- .an
+      led_char_err   : out std_logic;  -- .char_err
+      led_disp_err   : out std_logic;  -- .disp_err
+      rx_recovclkout : out std_logic;  -- serdes_control_connection.export
+      ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
+      txp            : out std_logic  -- .txp_0
+    );
   end component;
 
 
   -- Copied from $HDL_BUILD_DIR/sim/ip_arria10_tse_sgmii_gx.vhd
   component ip_arria10_tse_sgmii_gx is
-  port (
-    clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    reset              : in  std_logic                     := '0';  -- reset_connection.reset
-    reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd             : in  std_logic                     := '0';  -- .read
-    reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr             : in  std_logic                     := '0';  -- .write
-    reg_busy           : out std_logic;  -- .waitrequest
-    reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
-    ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop          : out std_logic;  -- .endofpacket
-    rx_err             : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop          : out std_logic;  -- .startofpacket
-    ff_rx_dval         : out std_logic;  -- .valid
-    ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err          : in  std_logic                     := '0';  -- .error
-    ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy          : out std_logic;  -- .ready
-    ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren         : in  std_logic                     := '0';  -- .valid
-    ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy        : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
-    led_crs            : out std_logic;  -- status_led_connection.crs
-    led_link           : out std_logic;  -- .link
-    led_col            : out std_logic;  -- .col
-    led_an             : out std_logic;  -- .an
-    led_char_err       : out std_logic;  -- .char_err
-    led_disp_err       : out std_logic;  -- .disp_err
-    rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
-    ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
-    txp                : out std_logic;  -- .txp
-    tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk.clk
-    rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
-    tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-    rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
-    rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_is_lockedtodata : out std_logic_vector(0 downto 0)  -- rx_is_lockedtodata.rx_is_lockedtodata
-  );
+    port (
+      clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      reset              : in  std_logic                     := '0';  -- reset_connection.reset
+      reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd             : in  std_logic                     := '0';  -- .read
+      reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr             : in  std_logic                     := '0';  -- .write
+      reg_busy           : out std_logic;  -- .waitrequest
+      reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
+      ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop          : out std_logic;  -- .endofpacket
+      rx_err             : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop          : out std_logic;  -- .startofpacket
+      ff_rx_dval         : out std_logic;  -- .valid
+      ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err          : in  std_logic                     := '0';  -- .error
+      ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy          : out std_logic;  -- .ready
+      ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren         : in  std_logic                     := '0';  -- .valid
+      ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy        : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
+      led_crs            : out std_logic;  -- status_led_connection.crs
+      led_link           : out std_logic;  -- .link
+      led_col            : out std_logic;  -- .col
+      led_an             : out std_logic;  -- .an
+      led_char_err       : out std_logic;  -- .char_err
+      led_disp_err       : out std_logic;  -- .disp_err
+      rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
+      ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
+      txp                : out std_logic;  -- .txp
+      tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_serial_clk.clk
+      rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
+      tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
+      rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_is_lockedtodata : out std_logic_vector(0 downto 0)  -- rx_is_lockedtodata.rx_is_lockedtodata
+    );
   end component;
 
 
@@ -267,116 +267,116 @@ package tech_tse_component_pkg is
 
   -- Copied from $HDL_BUILD_DIR/sim/ip_arria10_e3sge3_tse_sgmii_lvds.vhd
   component ip_arria10_e3sge3_tse_sgmii_lvds is
-  port (
-    reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd         : in  std_logic                     := '0';  -- .read
-    reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr         : in  std_logic                     := '0';  -- .write
-    reg_busy       : out std_logic;  -- .waitrequest
-    reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy    : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
-    ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop      : out std_logic;  -- .endofpacket
-    rx_err         : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop      : out std_logic;  -- .startofpacket
-    ff_rx_dval     : out std_logic;  -- .valid
-    ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    reset          : in  std_logic                     := '0';  -- reset_connection.reset
-    rx_recovclkout : out std_logic;  -- serdes_control_connection.export
-    rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
-    txp            : out std_logic;  -- .txp_0
-    led_crs        : out std_logic;  -- status_led_connection.crs
-    led_link       : out std_logic;  -- .link
-    led_col        : out std_logic;  -- .col
-    led_an         : out std_logic;  -- .an
-    led_char_err   : out std_logic;  -- .char_err
-    led_disp_err   : out std_logic;  -- .disp_err
-    ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err      : in  std_logic                     := '0';  -- .error
-    ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy      : out std_logic;  -- .ready
-    ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren     : in  std_logic                     := '0';  -- .valid
-    ff_tx_clk      : in  std_logic                     := '0'  -- transmit_clock_connection.clk
-  );
+    port (
+      reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd         : in  std_logic                     := '0';  -- .read
+      reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr         : in  std_logic                     := '0';  -- .write
+      reg_busy       : out std_logic;  -- .waitrequest
+      reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy    : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
+      ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop      : out std_logic;  -- .endofpacket
+      rx_err         : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop      : out std_logic;  -- .startofpacket
+      ff_rx_dval     : out std_logic;  -- .valid
+      ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      reset          : in  std_logic                     := '0';  -- reset_connection.reset
+      rx_recovclkout : out std_logic;  -- serdes_control_connection.export
+      rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
+      txp            : out std_logic;  -- .txp_0
+      led_crs        : out std_logic;  -- status_led_connection.crs
+      led_link       : out std_logic;  -- .link
+      led_col        : out std_logic;  -- .col
+      led_an         : out std_logic;  -- .an
+      led_char_err   : out std_logic;  -- .char_err
+      led_disp_err   : out std_logic;  -- .disp_err
+      ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err      : in  std_logic                     := '0';  -- .error
+      ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy      : out std_logic;  -- .ready
+      ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren     : in  std_logic                     := '0';  -- .valid
+      ff_tx_clk      : in  std_logic                     := '0'  -- transmit_clock_connection.clk
+    );
   end component;
 
 
   -- Copied from $HDL_BUILD_DIR/sim/ip_arria10_e3sge3_tse_sgmii_gx.vhd
   component ip_arria10_e3sge3_tse_sgmii_gx is
-  port (
-    reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd             : in  std_logic                     := '0';  -- .read
-    reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr             : in  std_logic                     := '0';  -- .write
-    reg_busy           : out std_logic;  -- .waitrequest
-    reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy        : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
-    ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop          : out std_logic;  -- .endofpacket
-    rx_err             : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop          : out std_logic;  -- .startofpacket
-    ff_rx_dval         : out std_logic;  -- .valid
-    ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    reset              : in  std_logic                     := '0';  -- reset_connection.reset
-    rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
-    rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
-    rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
-    rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
-    txp                : out std_logic;  -- .txp
-    led_crs            : out std_logic;  -- status_led_connection.crs
-    led_link           : out std_logic;  -- .link
-    led_panel_link     : out std_logic;  -- .panel_link
-    led_col            : out std_logic;  -- .col
-    led_an             : out std_logic;  -- .an
-    led_char_err       : out std_logic;  -- .char_err
-    led_disp_err       : out std_logic;  -- .disp_err
-    ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err          : in  std_logic                     := '0';  -- .error
-    ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy          : out std_logic;  -- .ready
-    ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren         : in  std_logic                     := '0';  -- .valid
-    ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
-    tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0')  -- tx_serial_clk.clk
-  );
+    port (
+      reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd             : in  std_logic                     := '0';  -- .read
+      reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr             : in  std_logic                     := '0';  -- .write
+      reg_busy           : out std_logic;  -- .waitrequest
+      reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy        : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
+      ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop          : out std_logic;  -- .endofpacket
+      rx_err             : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop          : out std_logic;  -- .startofpacket
+      ff_rx_dval         : out std_logic;  -- .valid
+      ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      reset              : in  std_logic                     := '0';  -- reset_connection.reset
+      rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
+      rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
+      rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
+      rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
+      txp                : out std_logic;  -- .txp
+      led_crs            : out std_logic;  -- status_led_connection.crs
+      led_link           : out std_logic;  -- .link
+      led_panel_link     : out std_logic;  -- .panel_link
+      led_col            : out std_logic;  -- .col
+      led_an             : out std_logic;  -- .an
+      led_char_err       : out std_logic;  -- .char_err
+      led_disp_err       : out std_logic;  -- .disp_err
+      ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err          : in  std_logic                     := '0';  -- .error
+      ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy          : out std_logic;  -- .ready
+      ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren         : in  std_logic                     := '0';  -- .valid
+      ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
+      tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0')  -- tx_serial_clk.clk
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -385,116 +385,116 @@ package tech_tse_component_pkg is
 
   -- Copied from $HDL_BUILD_DIR/sim/ip_arria10_e1sg_tse_sgmii_lvds.vhd
   component ip_arria10_e1sg_tse_sgmii_lvds is
-  port (
-    reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd         : in  std_logic                     := '0';  -- .read
-    reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr         : in  std_logic                     := '0';  -- .write
-    reg_busy       : out std_logic;  -- .waitrequest
-    reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy    : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
-    ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop      : out std_logic;  -- .endofpacket
-    rx_err         : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop      : out std_logic;  -- .startofpacket
-    ff_rx_dval     : out std_logic;  -- .valid
-    ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    reset          : in  std_logic                     := '0';  -- reset_connection.reset
-    rx_recovclkout : out std_logic;  -- serdes_control_connection.export
-    rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
-    txp            : out std_logic;  -- .txp_0
-    led_crs        : out std_logic;  -- status_led_connection.crs
-    led_link       : out std_logic;  -- .link
-    led_col        : out std_logic;  -- .col
-    led_an         : out std_logic;  -- .an
-    led_char_err   : out std_logic;  -- .char_err
-    led_disp_err   : out std_logic;  -- .disp_err
-    ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err      : in  std_logic                     := '0';  -- .error
-    ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy      : out std_logic;  -- .ready
-    ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren     : in  std_logic                     := '0';  -- .valid
-    ff_tx_clk      : in  std_logic                     := '0'  -- transmit_clock_connection.clk
-  );
+    port (
+      reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd         : in  std_logic                     := '0';  -- .read
+      reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr         : in  std_logic                     := '0';  -- .write
+      reg_busy       : out std_logic;  -- .waitrequest
+      reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy    : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
+      ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop      : out std_logic;  -- .endofpacket
+      rx_err         : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop      : out std_logic;  -- .startofpacket
+      ff_rx_dval     : out std_logic;  -- .valid
+      ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      reset          : in  std_logic                     := '0';  -- reset_connection.reset
+      rx_recovclkout : out std_logic;  -- serdes_control_connection.export
+      rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
+      txp            : out std_logic;  -- .txp_0
+      led_crs        : out std_logic;  -- status_led_connection.crs
+      led_link       : out std_logic;  -- .link
+      led_col        : out std_logic;  -- .col
+      led_an         : out std_logic;  -- .an
+      led_char_err   : out std_logic;  -- .char_err
+      led_disp_err   : out std_logic;  -- .disp_err
+      ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err      : in  std_logic                     := '0';  -- .error
+      ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy      : out std_logic;  -- .ready
+      ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren     : in  std_logic                     := '0';  -- .valid
+      ff_tx_clk      : in  std_logic                     := '0'  -- transmit_clock_connection.clk
+    );
   end component;
 
 
   -- Copied from $HDL_BUILD_DIR/sim/ip_arria10_e1sg_tse_sgmii_gx.vhd
   component ip_arria10_e1sg_tse_sgmii_gx is
-  port (
-    reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd             : in  std_logic                     := '0';  -- .read
-    reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr             : in  std_logic                     := '0';  -- .write
-    reg_busy           : out std_logic;  -- .waitrequest
-    reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy        : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
-    ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop          : out std_logic;  -- .endofpacket
-    rx_err             : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop          : out std_logic;  -- .startofpacket
-    ff_rx_dval         : out std_logic;  -- .valid
-    ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    reset              : in  std_logic                     := '0';  -- reset_connection.reset
-    rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
-    rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
-    rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
-    rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
-    txp                : out std_logic;  -- .txp
-    led_crs            : out std_logic;  -- status_led_connection.crs
-    led_link           : out std_logic;  -- .link
-    led_panel_link     : out std_logic;  -- .panel_link
-    led_col            : out std_logic;  -- .col
-    led_an             : out std_logic;  -- .an
-    led_char_err       : out std_logic;  -- .char_err
-    led_disp_err       : out std_logic;  -- .disp_err
-    ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err          : in  std_logic                     := '0';  -- .error
-    ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy          : out std_logic;  -- .ready
-    ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren         : in  std_logic                     := '0';  -- .valid
-    ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
-    tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0')  -- tx_serial_clk.clk
-  );
+    port (
+      reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd             : in  std_logic                     := '0';  -- .read
+      reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr             : in  std_logic                     := '0';  -- .write
+      reg_busy           : out std_logic;  -- .waitrequest
+      reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy        : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
+      ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop          : out std_logic;  -- .endofpacket
+      rx_err             : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop          : out std_logic;  -- .startofpacket
+      ff_rx_dval         : out std_logic;  -- .valid
+      ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      reset              : in  std_logic                     := '0';  -- reset_connection.reset
+      rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
+      rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
+      rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
+      rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
+      txp                : out std_logic;  -- .txp
+      led_crs            : out std_logic;  -- status_led_connection.crs
+      led_link           : out std_logic;  -- .link
+      led_panel_link     : out std_logic;  -- .panel_link
+      led_col            : out std_logic;  -- .col
+      led_an             : out std_logic;  -- .an
+      led_char_err       : out std_logic;  -- .char_err
+      led_disp_err       : out std_logic;  -- .disp_err
+      ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err          : in  std_logic                     := '0';  -- .error
+      ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy          : out std_logic;  -- .ready
+      ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren         : in  std_logic                     := '0';  -- .valid
+      ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
+      tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0')  -- tx_serial_clk.clk
+    );
   end component;
 
   ------------------------------------------------------------------------------
@@ -502,115 +502,115 @@ package tech_tse_component_pkg is
   ------------------------------------------------------------------------------
 
   component ip_arria10_e2sg_tse_sgmii_lvds is
-  port (
-    reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd         : in  std_logic                     := '0';  -- .read
-    reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr         : in  std_logic                     := '0';  -- .write
-    reg_busy       : out std_logic;  -- .waitrequest
-    reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy    : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
-    ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop      : out std_logic;  -- .endofpacket
-    rx_err         : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop      : out std_logic;  -- .startofpacket
-    ff_rx_dval     : out std_logic;  -- .valid
-    ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    reset          : in  std_logic                     := '0';  -- reset_connection.reset
-    rx_recovclkout : out std_logic;  -- serdes_control_connection.export
-    rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
-    txp            : out std_logic;  -- .txp_0
-    led_crs        : out std_logic;  -- status_led_connection.crs
-    led_link       : out std_logic;  -- .link
-    led_col        : out std_logic;  -- .col
-    led_an         : out std_logic;  -- .an
-    led_char_err   : out std_logic;  -- .char_err
-    led_disp_err   : out std_logic;  -- .disp_err
-    ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err      : in  std_logic                     := '0';  -- .error
-    ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy      : out std_logic;  -- .ready
-    ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren     : in  std_logic                     := '0';  -- .valid
-    ff_tx_clk      : in  std_logic                     := '0'  -- transmit_clock_connection.clk
-  );
+    port (
+      reg_data_out   : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd         : in  std_logic                     := '0';  -- .read
+      reg_data_in    : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr         : in  std_logic                     := '0';  -- .write
+      reg_busy       : out std_logic;  -- .waitrequest
+      reg_addr       : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      clk            : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      ff_tx_crc_fwd  : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy    : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow    : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full   : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty  : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat    : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type    : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav     : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full   : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty  : out std_logic;  -- .ff_rx_a_empty
+      ref_clk        : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      ff_rx_data     : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop      : out std_logic;  -- .endofpacket
+      rx_err         : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod      : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy      : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop      : out std_logic;  -- .startofpacket
+      ff_rx_dval     : out std_logic;  -- .valid
+      ff_rx_clk      : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      reset          : in  std_logic                     := '0';  -- reset_connection.reset
+      rx_recovclkout : out std_logic;  -- serdes_control_connection.export
+      rxp            : in  std_logic                     := '0';  -- serial_connection.rxp_0
+      txp            : out std_logic;  -- .txp_0
+      led_crs        : out std_logic;  -- status_led_connection.crs
+      led_link       : out std_logic;  -- .link
+      led_col        : out std_logic;  -- .col
+      led_an         : out std_logic;  -- .an
+      led_char_err   : out std_logic;  -- .char_err
+      led_disp_err   : out std_logic;  -- .disp_err
+      ff_tx_data     : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop      : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err      : in  std_logic                     := '0';  -- .error
+      ff_tx_mod      : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy      : out std_logic;  -- .ready
+      ff_tx_sop      : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren     : in  std_logic                     := '0';  -- .valid
+      ff_tx_clk      : in  std_logic                     := '0'  -- transmit_clock_connection.clk
+    );
   end component;
 
 
   component ip_arria10_e2sg_tse_sgmii_gx is
-  port (
-    reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
-    reg_rd             : in  std_logic                     := '0';  -- .read
-    reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-    reg_wr             : in  std_logic                     := '0';  -- .write
-    reg_busy           : out std_logic;  -- .waitrequest
-    reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
-    clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
-    ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
-    ff_tx_septy        : out std_logic;  -- .ff_tx_septy
-    tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
-    ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
-    ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
-    rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
-    rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
-    ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
-    ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
-    ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
-    ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
-    ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
-    ff_rx_eop          : out std_logic;  -- .endofpacket
-    rx_err             : out std_logic_vector(5 downto 0);  -- .error
-    ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
-    ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
-    ff_rx_sop          : out std_logic;  -- .startofpacket
-    ff_rx_dval         : out std_logic;  -- .valid
-    ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
-    reset              : in  std_logic                     := '0';  -- reset_connection.reset
-    rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
-    rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
-    rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
-    rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
-    rx_is_lockedtodata : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
-    rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
-    rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
-    rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
-    rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
-    rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
-    txp                : out std_logic;  -- .txp
-    led_crs            : out std_logic;  -- status_led_connection.crs
-    led_link           : out std_logic;  -- .link
-    led_panel_link     : out std_logic;  -- .panel_link
-    led_col            : out std_logic;  -- .col
-    led_an             : out std_logic;  -- .an
-    led_char_err       : out std_logic;  -- .char_err
-    led_disp_err       : out std_logic;  -- .disp_err
-    ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
-    ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
-    ff_tx_err          : in  std_logic                     := '0';  -- .error
-    ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
-    ff_tx_rdy          : out std_logic;  -- .ready
-    ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
-    ff_tx_wren         : in  std_logic                     := '0';  -- .valid
-    ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
-    tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
-    tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
-    tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
-    tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0')  -- tx_serial_clk.clk
-  );
+    port (
+      reg_data_out       : out std_logic_vector(31 downto 0);  -- control_port.readdata
+      reg_rd             : in  std_logic                     := '0';  -- .read
+      reg_data_in        : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      reg_wr             : in  std_logic                     := '0';  -- .write
+      reg_busy           : out std_logic;  -- .waitrequest
+      reg_addr           : in  std_logic_vector(7 downto 0)  := (others => '0');  -- .address
+      clk                : in  std_logic                     := '0';  -- control_port_clock_connection.clk
+      ff_tx_crc_fwd      : in  std_logic                     := '0';  -- mac_misc_connection.ff_tx_crc_fwd
+      ff_tx_septy        : out std_logic;  -- .ff_tx_septy
+      tx_ff_uflow        : out std_logic;  -- .tx_ff_uflow
+      ff_tx_a_full       : out std_logic;  -- .ff_tx_a_full
+      ff_tx_a_empty      : out std_logic;  -- .ff_tx_a_empty
+      rx_err_stat        : out std_logic_vector(17 downto 0);  -- .rx_err_stat
+      rx_frm_type        : out std_logic_vector(3 downto 0);  -- .rx_frm_type
+      ff_rx_dsav         : out std_logic;  -- .ff_rx_dsav
+      ff_rx_a_full       : out std_logic;  -- .ff_rx_a_full
+      ff_rx_a_empty      : out std_logic;  -- .ff_rx_a_empty
+      ref_clk            : in  std_logic                     := '0';  -- pcs_ref_clk_clock_connection.clk
+      ff_rx_data         : out std_logic_vector(31 downto 0);  -- receive.data
+      ff_rx_eop          : out std_logic;  -- .endofpacket
+      rx_err             : out std_logic_vector(5 downto 0);  -- .error
+      ff_rx_mod          : out std_logic_vector(1 downto 0);  -- .empty
+      ff_rx_rdy          : in  std_logic                     := '0';  -- .ready
+      ff_rx_sop          : out std_logic;  -- .startofpacket
+      ff_rx_dval         : out std_logic;  -- .valid
+      ff_rx_clk          : in  std_logic                     := '0';  -- receive_clock_connection.clk
+      reset              : in  std_logic                     := '0';  -- reset_connection.reset
+      rx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_analogreset.rx_analogreset
+      rx_cal_busy        : out std_logic_vector(0 downto 0);  -- rx_cal_busy.rx_cal_busy
+      rx_cdr_refclk      : in  std_logic                     := '0';  -- rx_cdr_refclk.clk
+      rx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_digitalreset.rx_digitalreset
+      rx_is_lockedtodata : out std_logic_vector(0 downto 0);  -- rx_is_lockedtodata.rx_is_lockedtodata
+      rx_is_lockedtoref  : out std_logic_vector(0 downto 0);  -- rx_is_lockedtoref.rx_is_lockedtoref
+      rx_set_locktodata  : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktodata.rx_set_locktodata
+      rx_set_locktoref   : in  std_logic_vector(0 downto 0)  := (others => '0');  -- rx_set_locktoref.rx_set_locktoref
+      rx_recovclkout     : out std_logic;  -- serdes_control_connection.export
+      rxp                : in  std_logic                     := '0';  -- serial_connection.rxp
+      txp                : out std_logic;  -- .txp
+      led_crs            : out std_logic;  -- status_led_connection.crs
+      led_link           : out std_logic;  -- .link
+      led_panel_link     : out std_logic;  -- .panel_link
+      led_col            : out std_logic;  -- .col
+      led_an             : out std_logic;  -- .an
+      led_char_err       : out std_logic;  -- .char_err
+      led_disp_err       : out std_logic;  -- .disp_err
+      ff_tx_data         : in  std_logic_vector(31 downto 0) := (others => '0');  -- transmit.data
+      ff_tx_eop          : in  std_logic                     := '0';  -- .endofpacket
+      ff_tx_err          : in  std_logic                     := '0';  -- .error
+      ff_tx_mod          : in  std_logic_vector(1 downto 0)  := (others => '0');  -- .empty
+      ff_tx_rdy          : out std_logic;  -- .ready
+      ff_tx_sop          : in  std_logic                     := '0';  -- .startofpacket
+      ff_tx_wren         : in  std_logic                     := '0';  -- .valid
+      ff_tx_clk          : in  std_logic                     := '0';  -- transmit_clock_connection.clk
+      tx_analogreset     : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_analogreset.tx_analogreset
+      tx_cal_busy        : out std_logic_vector(0 downto 0);  -- tx_cal_busy.tx_cal_busy
+      tx_digitalreset    : in  std_logic_vector(0 downto 0)  := (others => '0');  -- tx_digitalreset.tx_digitalreset
+      tx_serial_clk      : in  std_logic_vector(0 downto 0)  := (others => '0')  -- tx_serial_clk.clk
+    );
   end component;
 
 end tech_tse_component_pkg;
diff --git a/libraries/technology/tse/tech_tse_pkg.vhd b/libraries/technology/tse/tech_tse_pkg.vhd
index 4d43ffc935..56d0470be6 100644
--- a/libraries/technology/tse/tech_tse_pkg.vhd
+++ b/libraries/technology/tse/tech_tse_pkg.vhd
@@ -21,8 +21,8 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
 
 package tech_tse_pkg is
 
@@ -82,16 +82,16 @@ package tech_tse_pkg is
     col      : std_logic;
   end record;
 
-  function func_tech_tse_map_pcs_addr(pcs_addr : natural) return natural;
+  function func_tech_tse_map_pcs_addr (pcs_addr : natural) return natural;
 
 end tech_tse_pkg;
 
 
 package body tech_tse_pkg is
 
-function func_tech_tse_map_pcs_addr(pcs_addr : natural) return natural is
-begin
-  return pcs_addr * 2 + c_tech_tse_byte_addr_pcs_offset;
-end func_tech_tse_map_pcs_addr;
+  function func_tech_tse_map_pcs_addr (pcs_addr : natural) return natural is
+  begin
+    return pcs_addr * 2 + c_tech_tse_byte_addr_pcs_offset;
+  end func_tech_tse_map_pcs_addr;
 
 end tech_tse_pkg;
diff --git a/libraries/technology/tse/tech_tse_setup.vhd b/libraries/technology/tse/tech_tse_setup.vhd
index cf8121c6d0..2be231abfb 100644
--- a/libraries/technology/tse/tech_tse_setup.vhd
+++ b/libraries/technology/tse/tech_tse_setup.vhd
@@ -27,10 +27,10 @@
 --   external  monitoring of the TSE.
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use work.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use work.tech_tse_pkg.all;
 
 entity tech_tse_setup is
   generic (
@@ -67,11 +67,11 @@ architecture rtl of tech_tse_setup is
 
   -- Access the MM bus
   type t_state is (s_rd_pcs_rev, s_wr_if_mode, s_rd_control, s_rd_status, s_wr_control,
-                   s_rd_mac_rev, s_wr_promis_en, s_wr_mac_0, s_wr_mac_1, s_wr_tx_ipg_len, s_wr_frm_len,
-                   s_wr_rx_section_empty, s_wr_rx_section_full, s_wr_tx_section_empty, s_wr_tx_section_full,
-                   s_wr_rx_almost_empty, s_wr_rx_almost_full, s_wr_tx_almost_empty, s_wr_tx_almost_full,
-                   s_rd_tx_cmd_stat, s_rd_rx_cmd_stat,
-                   s_done);
+    s_rd_mac_rev, s_wr_promis_en, s_wr_mac_0, s_wr_mac_1, s_wr_tx_ipg_len, s_wr_frm_len,
+    s_wr_rx_section_empty, s_wr_rx_section_full, s_wr_tx_section_empty, s_wr_tx_section_full,
+    s_wr_rx_almost_empty, s_wr_rx_almost_full, s_wr_tx_almost_empty, s_wr_tx_almost_full,
+    s_rd_tx_cmd_stat, s_rd_rx_cmd_stat,
+    s_done);
 
   signal state           : t_state;
   signal next_state      : t_state;
diff --git a/libraries/technology/tse/tech_tse_stratixiv.vhd b/libraries/technology/tse/tech_tse_stratixiv.vhd
index 4911a87b9d..4ac2dffb30 100644
--- a/libraries/technology/tse/tech_tse_stratixiv.vhd
+++ b/libraries/technology/tse/tech_tse_stratixiv.vhd
@@ -21,11 +21,11 @@
 -------------------------------------------------------------------------------
 
 library IEEE, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use work.tech_tse_component_pkg.all;
-use work.tech_tse_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use work.tech_tse_component_pkg.all;
+  use work.tech_tse_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
 
 -- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
 library ip_stratixiv_tse_sgmii_lvds_lib;
@@ -107,67 +107,67 @@ begin
       -- . EG_FIFO         = 256 : Tx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ING_FIFO        = 256 : Rx FIFO depth in nof 32 bit words (256 --> 1 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk     => tx_snk_clk,
-      ff_tx_rdy     => tx_snk_out.ready,
-      ff_tx_data    => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren    => tx_snk_in.valid,
-      ff_tx_sop     => tx_snk_in.sop,
-      ff_tx_eop     => tx_snk_in.eop,
-      ff_tx_mod     => ff_tx_mod,
-      ff_tx_err     => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy   => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full  => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow   => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk     => rx_src_clk,
-      ff_rx_rdy     => rx_src_in.ready,
-      ff_rx_data    => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval    => ff_rx_out.valid,
-      ff_rx_sop     => ff_rx_out.sop,
-      ff_rx_eop     => ff_rx_out.eop,
-      ff_rx_mod     => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err        => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                     -- [4] PHY error on GMII
-                                                                     -- [3] receive frame truncated due to FIFO overflow
-                                                                     -- [2] CRC-32 error
-                                                                     -- [1] invalid length
-                                                                     -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat   => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type   => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav    => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full  => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset         => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk           => mm_clk,
-      address       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      readdata      => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      read          => mm_sla_in.rd,
-      writedata     => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      write         => mm_sla_in.wr,
-      waitrequest   => mm_sla_out.waitrequest,
-      -- Status LEDs
-      led_an        => tse_led.an,  -- '1' = autonegation completed
-      led_link      => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err  => tse_led.disp_err,  -- TBI character error
-      led_char_err  => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-  --     led_crs       => tse_led.crs,       -- carrier sense '1' when there is tx/rx activity on the line
-  --     led_col       => tse_led.col,       -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      ref_clk       => eth_clk,
-      txp           => eth_txp,
-      rxp           => eth_rxp
-    );
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk     => tx_snk_clk,
+        ff_tx_rdy     => tx_snk_out.ready,
+        ff_tx_data    => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren    => tx_snk_in.valid,
+        ff_tx_sop     => tx_snk_in.sop,
+        ff_tx_eop     => tx_snk_in.eop,
+        ff_tx_mod     => ff_tx_mod,
+        ff_tx_err     => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy   => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full  => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow   => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk     => rx_src_clk,
+        ff_rx_rdy     => rx_src_in.ready,
+        ff_rx_data    => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval    => ff_rx_out.valid,
+        ff_rx_sop     => ff_rx_out.sop,
+        ff_rx_eop     => ff_rx_out.eop,
+        ff_rx_mod     => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err        => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat   => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type   => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav    => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full  => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset         => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk           => mm_clk,
+        address       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        readdata      => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        read          => mm_sla_in.rd,
+        writedata     => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        write         => mm_sla_in.wr,
+        waitrequest   => mm_sla_out.waitrequest,
+        -- Status LEDs
+        led_an        => tse_led.an,  -- '1' = autonegation completed
+        led_link      => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err  => tse_led.disp_err,  -- TBI character error
+        led_char_err  => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        --     led_crs       => tse_led.crs,       -- carrier sense '1' when there is tx/rx activity on the line
+        --     led_col       => tse_led.col,       -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        ref_clk       => eth_clk,
+        txp           => eth_txp,
+        rxp           => eth_rxp
+      );
 
   end generate;
 
@@ -182,85 +182,85 @@ begin
       -- . EG_FIFO         = 2048 : Tx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ING_FIFO        = 2048 : Rx FIFO depth in nof 32 bit words (2048 --> 4 M9K)
       -- . ENABLE_SGMII    = 0   : PHY access 1000BASE-X
-    port map (
-      -- MAC transmit interface
-      -- . Avalon ST
-      ff_tx_clk     => tx_snk_clk,
-      ff_tx_rdy     => tx_snk_out.ready,
-      ff_tx_data    => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
-      ff_tx_wren    => tx_snk_in.valid,
-      ff_tx_sop     => tx_snk_in.sop,
-      ff_tx_eop     => tx_snk_in.eop,
-      ff_tx_mod     => ff_tx_mod,
-      ff_tx_err     => tx_snk_in.err(0),
-      -- . MAC specific
-      ff_tx_crc_fwd => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
-      ff_tx_septy   => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
-      ff_tx_a_full  => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
-      ff_tx_a_empty => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
-      tx_ff_uflow   => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
-      -- MAC receive interface
-      -- . Avalon ST
-      ff_rx_clk     => rx_src_clk,
-      ff_rx_rdy     => rx_src_in.ready,
-      ff_rx_data    => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
-      ff_rx_dval    => ff_rx_out.valid,
-      ff_rx_sop     => ff_rx_out.sop,
-      ff_rx_eop     => ff_rx_out.eop,
-      ff_rx_mod     => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
-      rx_err        => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
-                                                                     -- [4] PHY error on GMII
-                                                                     -- [3] receive frame truncated due to FIFO overflow
-                                                                     -- [2] CRC-32 error
-                                                                     -- [1] invalid length
-                                                                     -- [0] = OR of [1:5]
-      -- . MAC specific
-      rx_err_stat   => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
-      rx_frm_type   => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
-      ff_rx_dsav    => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
-      ff_rx_a_full  => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
-      ff_rx_a_empty => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
-      -- Reset
-      reset         => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
-      -- MM control interface
-      clk           => mm_clk,
-      address       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
-      readdata      => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
-      read          => mm_sla_in.rd,
-      writedata     => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
-      write         => mm_sla_in.wr,
-      waitrequest   => mm_sla_out.waitrequest,
-      -- ALTGX_RECONFIG
-      reconfig_clk      => cal_rec_clk,
-      reconfig_togxb    => reconfig_togxb,
-      reconfig_fromgxb  => reconfig_fromgxb,
-      gxb_cal_blk_clk   => eth_clk,
-      -- Status LEDs
-      led_an        => tse_led.an,  -- '1' = autonegation completed
-      led_link      => tse_led.link,  -- '1' = successful link synchronisation
-      led_disp_err  => tse_led.disp_err,  -- TBI character error
-      led_char_err  => tse_led.char_err,  -- TBI disparity error
-      -- crs and col are only available with the SGMII bridge
-  --     led_crs       => tse_led.crs,       -- carrier sense '1' when there is tx/rx activity on the line
-  --     led_col       => tse_led.col,       -- tx collision detected (always '0' for full duplex)
-      -- Serial 1.25 Gbps
-      ref_clk       => eth_clk,
-      txp           => eth_txp,
-      rxp           => eth_rxp
-    );
+      port map (
+        -- MAC transmit interface
+        -- . Avalon ST
+        ff_tx_clk     => tx_snk_clk,
+        ff_tx_rdy     => tx_snk_out.ready,
+        ff_tx_data    => tx_snk_in.data(c_tech_tse_data_w - 1 downto 0),
+        ff_tx_wren    => tx_snk_in.valid,
+        ff_tx_sop     => tx_snk_in.sop,
+        ff_tx_eop     => tx_snk_in.eop,
+        ff_tx_mod     => ff_tx_mod,
+        ff_tx_err     => tx_snk_in.err(0),
+        -- . MAC specific
+        ff_tx_crc_fwd => tx_mac_in.crc_fwd,  -- when '0' MAC inserts CRC32 after eop
+        ff_tx_septy   => tx_mac_out.septy,  -- when '0' then tx FIFO goes above section-empty threshold
+        ff_tx_a_full  => tx_mac_out.a_full,  -- when '1' then tx FIFO goes above almost-full threshold
+        ff_tx_a_empty => tx_mac_out.a_empty,  -- when '1' then tx FIFO goes below almost-empty threshold
+        tx_ff_uflow   => tx_mac_out.uflow,  -- when '1' then tx FIFO underflow
+        -- MAC receive interface
+        -- . Avalon ST
+        ff_rx_clk     => rx_src_clk,
+        ff_rx_rdy     => rx_src_in.ready,
+        ff_rx_data    => ff_rx_out.data(c_tech_tse_data_w - 1 downto 0),
+        ff_rx_dval    => ff_rx_out.valid,
+        ff_rx_sop     => ff_rx_out.sop,
+        ff_rx_eop     => ff_rx_out.eop,
+        ff_rx_mod     => ff_rx_out.empty(c_tech_tse_empty_w - 1 downto 0),
+        rx_err        => ff_rx_out.err(c_tech_tse_error_w - 1 downto 0),  -- [5] collision error (can only occur in half duplex mode)
+        -- [4] PHY error on GMII
+        -- [3] receive frame truncated due to FIFO overflow
+        -- [2] CRC-32 error
+        -- [1] invalid length
+        -- [0] = OR of [1:5]
+        -- . MAC specific
+        rx_err_stat   => rx_mac_out.ethertype,  -- [17,16] VLAN info, [15:0] Ethernet lentgh/type field
+        rx_frm_type   => rx_mac_out.frm_type,  -- [3]=VLAN, [2]=Broadcast, [1]=Multicast, [0]=Unicast
+        ff_rx_dsav    => rx_mac_out.dsav,  -- rx frame available, but not necessarily a complete frame
+        ff_rx_a_full  => rx_mac_out.a_full,  -- when '1' then rx FIFO goes above almost-full threshold
+        ff_rx_a_empty => rx_mac_out.a_empty,  -- when '1' then rx FIFO goes below almost-empty threshold
+        -- Reset
+        reset         => mm_rst,  -- asynchronous reset (choose synchronous to mm_clk)
+        -- MM control interface
+        clk           => mm_clk,
+        address       => mm_sla_in.address(c_tech_tse_byte_addr_w - 1 downto 2),
+        readdata      => mm_sla_out.rddata(c_tech_tse_data_w - 1 downto 0),
+        read          => mm_sla_in.rd,
+        writedata     => mm_sla_in.wrdata(c_tech_tse_data_w - 1 downto 0),
+        write         => mm_sla_in.wr,
+        waitrequest   => mm_sla_out.waitrequest,
+        -- ALTGX_RECONFIG
+        reconfig_clk      => cal_rec_clk,
+        reconfig_togxb    => reconfig_togxb,
+        reconfig_fromgxb  => reconfig_fromgxb,
+        gxb_cal_blk_clk   => eth_clk,
+        -- Status LEDs
+        led_an        => tse_led.an,  -- '1' = autonegation completed
+        led_link      => tse_led.link,  -- '1' = successful link synchronisation
+        led_disp_err  => tse_led.disp_err,  -- TBI character error
+        led_char_err  => tse_led.char_err,  -- TBI disparity error
+        -- crs and col are only available with the SGMII bridge
+        --     led_crs       => tse_led.crs,       -- carrier sense '1' when there is tx/rx activity on the line
+        --     led_col       => tse_led.col,       -- tx collision detected (always '0' for full duplex)
+        -- Serial 1.25 Gbps
+        ref_clk       => eth_clk,
+        txp           => eth_txp,
+        rxp           => eth_rxp
+      );
 
     u_gx_reconfig : ip_stratixiv_gxb_reconfig_v101
-    generic map (
-      g_nof_gx        => c_nof_gx,
-      g_fromgxb_bus_w => reconfig_fromgxb'LENGTH,
-      g_togxb_bus_w   => reconfig_togxb'length
-    )
-    port map (
-      reconfig_clk     => cal_rec_clk,
-      reconfig_fromgxb => reconfig_fromgxb,
-      busy             => open,
-      reconfig_togxb   => reconfig_togxb
-    );
+      generic map (
+        g_nof_gx        => c_nof_gx,
+        g_fromgxb_bus_w => reconfig_fromgxb'LENGTH,
+        g_togxb_bus_w   => reconfig_togxb'length
+      )
+      port map (
+        reconfig_clk     => cal_rec_clk,
+        reconfig_fromgxb => reconfig_fromgxb,
+        busy             => open,
+        reconfig_togxb   => reconfig_togxb
+      );
 
   end generate;
 
diff --git a/libraries/technology/tse/tech_tse_with_setup.vhd b/libraries/technology/tse/tech_tse_with_setup.vhd
index 8cacde3ea1..3c1f213604 100644
--- a/libraries/technology/tse/tech_tse_with_setup.vhd
+++ b/libraries/technology/tse/tech_tse_with_setup.vhd
@@ -25,13 +25,13 @@
 --   allow external monitoring of the TSE.
 
 library IEEE, technology_lib, common_lib, dp_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use dp_lib.dp_stream_pkg.all;
-use work.tech_tse_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use dp_lib.dp_stream_pkg.all;
+  use work.tech_tse_pkg.all;
 
 entity tech_tse_with_setup is
   generic (
@@ -97,27 +97,27 @@ begin
 
   -- Set up TSE as in unb_osy/unbos_eth.c
   u_tech_tse_setup : entity work.tech_tse_setup
-  generic map (
-    g_sim      => g_sim,
-    g_jumbo_en => g_jumbo_en
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst         => mm_rst,
-    mm_clk         => mm_clk,
-
-    -- TSE setup
-    src_mac        => src_mac,
-    setup_done     => setup_done,
-
-    -- Memory Mapped Peripheral
-    -- . Controller side
-    mm_ctlr_copi    => mm_ctlr_copi,
-    mm_ctlr_cipo    => mm_ctlr_cipo,
-    -- . Peripheral side
-    mm_peri_copi    => mm_peri_copi,
-    mm_peri_cipo    => mm_peri_cipo
-  );
+    generic map (
+      g_sim      => g_sim,
+      g_jumbo_en => g_jumbo_en
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst         => mm_rst,
+      mm_clk         => mm_clk,
+
+      -- TSE setup
+      src_mac        => src_mac,
+      setup_done     => setup_done,
+
+      -- Memory Mapped Peripheral
+      -- . Controller side
+      mm_ctlr_copi    => mm_ctlr_copi,
+      mm_ctlr_cipo    => mm_ctlr_cipo,
+      -- . Peripheral side
+      mm_peri_copi    => mm_peri_copi,
+      mm_peri_cipo    => mm_peri_cipo
+    );
 
   -- Force defaults as in eth.vhd
   tx_sosi <= func_dp_stream_error_set(tx_snk_in, 0);  -- force err field (value 0 for OK)
@@ -125,49 +125,49 @@ begin
   tx_mac_in.crc_fwd <= '0';  -- when '0' then TSE MAC generates the TX CRC field
 
   u_tech_tse : entity work.tech_tse
-  generic map (
-    g_technology  => g_technology,
-    g_ETH_PHY     => g_ETH_PHY,
-    g_sim         => g_sim,
-    g_sim_level   => g_sim_level,
-    g_sim_tx      => g_sim_tx,
-    g_sim_rx      => g_sim_rx
-  )
-  port map (
-    -- Clocks and reset
-    mm_rst        => mm_rst,
-    mm_clk        => mm_clk,
-    eth_clk       => eth_clk,
-    tx_snk_clk    => tx_snk_clk,
-    rx_src_clk    => rx_src_clk,
-
-    -- Calibration & reconfig clock
-    cal_rec_clk   => cal_rec_clk,
-
-    -- Memory Mapped Peripheral
-    mm_sla_in     => mm_peri_copi,
-    mm_sla_out    => mm_peri_cipo,
-
-    -- MAC transmit interface
-    -- . ST sink
-    tx_snk_in     => tx_sosi,
-    tx_snk_out    => tx_snk_out,
-    -- . MAC specific
-    tx_mac_in     => tx_mac_in,
-    tx_mac_out    => tx_mac_out,
-
-    -- MAC receive interface
-    -- . ST Source
-    rx_src_in     => rx_src_in,
-    rx_src_out    => rx_src_out,
-    -- . MAC specific
-    rx_mac_out    => rx_mac_out,
-
-    -- PHY interface
-    eth_txp       => eth_txp,
-    eth_rxp       => eth_rxp,
-
-    tse_led       => tse_led
-  );
+    generic map (
+      g_technology  => g_technology,
+      g_ETH_PHY     => g_ETH_PHY,
+      g_sim         => g_sim,
+      g_sim_level   => g_sim_level,
+      g_sim_tx      => g_sim_tx,
+      g_sim_rx      => g_sim_rx
+    )
+    port map (
+      -- Clocks and reset
+      mm_rst        => mm_rst,
+      mm_clk        => mm_clk,
+      eth_clk       => eth_clk,
+      tx_snk_clk    => tx_snk_clk,
+      rx_src_clk    => rx_src_clk,
+
+      -- Calibration & reconfig clock
+      cal_rec_clk   => cal_rec_clk,
+
+      -- Memory Mapped Peripheral
+      mm_sla_in     => mm_peri_copi,
+      mm_sla_out    => mm_peri_cipo,
+
+      -- MAC transmit interface
+      -- . ST sink
+      tx_snk_in     => tx_sosi,
+      tx_snk_out    => tx_snk_out,
+      -- . MAC specific
+      tx_mac_in     => tx_mac_in,
+      tx_mac_out    => tx_mac_out,
+
+      -- MAC receive interface
+      -- . ST Source
+      rx_src_in     => rx_src_in,
+      rx_src_out    => rx_src_out,
+      -- . MAC specific
+      rx_mac_out    => rx_mac_out,
+
+      -- PHY interface
+      eth_txp       => eth_txp,
+      eth_rxp       => eth_rxp,
+
+      tse_led       => tse_led
+    );
 
 end architecture;
diff --git a/libraries/technology/xaui/sim_xaui.vhd b/libraries/technology/xaui/sim_xaui.vhd
index 5c3f2c2e03..b123a7f6b7 100644
--- a/libraries/technology/xaui/sim_xaui.vhd
+++ b/libraries/technology/xaui/sim_xaui.vhd
@@ -29,10 +29,10 @@
 --   XAUI lanes are used. Therefore the line rate per lane is 3.125 Mbps.
 
 library IEEE, common_lib, tech_transceiver_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity sim_xaui is
   generic(
@@ -107,71 +107,71 @@ begin
 
     -- Model tx_ready
     u_areset_tx_rdy : entity common_lib.common_areset
-    generic map(
-      g_rst_level => '0',
-      g_delay_len => 40
-    )
-    port map(
-      clk     => tx_clk_arr(i),
-      in_rst  => tr_rst,
-      out_rst => txc_tx_ready_arr(i)
-    );
+      generic map(
+        g_rst_level => '0',
+        g_delay_len => 40
+      )
+      port map(
+        clk     => tx_clk_arr(i),
+        in_rst  => tr_rst,
+        out_rst => txc_tx_ready_arr(i)
+      );
 
     -- Model rx_ready
     u_areset_rx_rdy : entity common_lib.common_areset
-    generic map(
-      g_rst_level => '0',
-      g_delay_len => 80
-    )
-    port map(
-      clk     => rx_clk_arr_in(i),
-      in_rst  => tr_rst,
-      out_rst => rxc_rx_ready_arr(i)
-    );
+      generic map(
+        g_rst_level => '0',
+        g_delay_len => 80
+      )
+      port map(
+        clk     => rx_clk_arr_in(i),
+        in_rst  => tr_rst,
+        out_rst => rxc_rx_ready_arr(i)
+      );
 
     -- Model rx_channelaligned
     u_areset_rx_channelaligned : entity common_lib.common_areset
-    generic map(
-      g_rst_level => '0',
-      g_delay_len => 120
-    )
-    port map(
-      clk     => tx_clk_arr(i),
-      in_rst  => '0',
-      out_rst => txc_rx_channelaligned_arr(i)
-    );
+      generic map(
+        g_rst_level => '0',
+        g_delay_len => 120
+      )
+      port map(
+        clk     => tx_clk_arr(i),
+        in_rst  => '0',
+        out_rst => txc_rx_channelaligned_arr(i)
+      );
 
     gen_serdes: for j in c_nof_xaui_lanes - 1 downto 0 generate
 
       u_ser: entity tech_transceiver_lib.sim_transceiver_serializer
-      generic map (
-        g_data_w        => c_xaui_serdes_data_w,
-        g_tr_clk_period => c_tr_clk_period
-      )
-      port map (
-        tr_clk             => tr_clk,
-        tr_rst             => tr_rst,
+        generic map (
+          g_data_w        => c_xaui_serdes_data_w,
+          g_tr_clk_period => c_tr_clk_period
+        )
+        port map (
+          tr_clk             => tr_clk,
+          tr_rst             => tr_rst,
 
-        tx_in_data         => xgmii_tx_d_arr(i)(j * c_xaui_serdes_data_w + c_xaui_serdes_data_w - 1 downto j * c_xaui_serdes_data_w),
-        tx_in_ctrl         => xgmii_tx_c_arr(i)(j * c_xaui_serdes_ctrl_w + c_xaui_serdes_ctrl_w - 1 downto j * c_xaui_serdes_ctrl_w),
+          tx_in_data         => xgmii_tx_d_arr(i)(j * c_xaui_serdes_data_w + c_xaui_serdes_data_w - 1 downto j * c_xaui_serdes_data_w),
+          tx_in_ctrl         => xgmii_tx_c_arr(i)(j * c_xaui_serdes_ctrl_w + c_xaui_serdes_ctrl_w - 1 downto j * c_xaui_serdes_ctrl_w),
 
-        tx_serial_out      => xaui_tx_arr(i)(j)
-      );
+          tx_serial_out      => xaui_tx_arr(i)(j)
+        );
 
       u_des: entity tech_transceiver_lib.sim_transceiver_deserializer
-      generic map (
-        g_data_w        => c_xaui_serdes_data_w,
-        g_tr_clk_period => c_tr_clk_period
-      )
-      port map (
-        tr_clk             => tr_clk,
-        tr_rst             => tr_rst,
-
-        rx_out_data        => xgmii_rx_d_arr(i)(j * c_xaui_serdes_data_w + c_xaui_serdes_data_w - 1 downto j * c_xaui_serdes_data_w),
-        rx_out_ctrl        => xgmii_rx_c_arr(i)(j * c_xaui_serdes_ctrl_w + c_xaui_serdes_ctrl_w - 1 downto j * c_xaui_serdes_ctrl_w),
-
-        rx_serial_in       => xaui_rx_arr(i)(j)
-      );
+        generic map (
+          g_data_w        => c_xaui_serdes_data_w,
+          g_tr_clk_period => c_tr_clk_period
+        )
+        port map (
+          tr_clk             => tr_clk,
+          tr_rst             => tr_rst,
+
+          rx_out_data        => xgmii_rx_d_arr(i)(j * c_xaui_serdes_data_w + c_xaui_serdes_data_w - 1 downto j * c_xaui_serdes_data_w),
+          rx_out_ctrl        => xgmii_rx_c_arr(i)(j * c_xaui_serdes_ctrl_w + c_xaui_serdes_ctrl_w - 1 downto j * c_xaui_serdes_ctrl_w),
+
+          rx_serial_in       => xaui_rx_arr(i)(j)
+        );
 
     end generate;
 
diff --git a/libraries/technology/xaui/tech_xaui.vhd b/libraries/technology/xaui/tech_xaui.vhd
index 31ec12c9a7..f3c3a5d1f7 100644
--- a/libraries/technology/xaui/tech_xaui.vhd
+++ b/libraries/technology/xaui/tech_xaui.vhd
@@ -21,12 +21,12 @@
 --------------------------------------------------------------------------------
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
-use technology_lib.technology_select_pkg.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
+  use technology_lib.technology_select_pkg.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
 
 entity tech_xaui is
   generic (
@@ -80,8 +80,8 @@ begin
 
   gen_ip_stratixiv : if c_use_technology = true and g_technology = c_tech_stratixiv generate
     u0 : entity work.tech_xaui_stratixiv
-    generic map (g_sim, g_nof_xaui)
-    port map (tr_clk, tr_rst, cal_rec_clk, mm_clk, mm_rst, xaui_mosi, xaui_miso,
+      generic map (g_sim, g_nof_xaui)
+      port map (tr_clk, tr_rst, cal_rec_clk, mm_clk, mm_rst, xaui_mosi, xaui_miso,
               tx_clk_arr, rx_clk_arr_out, rx_clk_arr_in, txc_tx_ready_arr, rxc_rx_ready_arr, txc_rx_channelaligned_arr,
               xgmii_tx_dc_arr, xgmii_rx_dc_arr,
               xaui_tx_arr, xaui_rx_arr);
@@ -90,8 +90,8 @@ begin
 
   gem_sim_xaui : if c_use_sim_model = true or g_technology /= c_tech_stratixiv generate
     u0 : entity work.sim_xaui
-    generic map (g_sim, g_nof_xaui)
-    port map (tr_clk, tr_rst, cal_rec_clk, mm_clk, mm_rst, xaui_mosi, xaui_miso,
+      generic map (g_sim, g_nof_xaui)
+      port map (tr_clk, tr_rst, cal_rec_clk, mm_clk, mm_rst, xaui_mosi, xaui_miso,
               tx_clk_arr, rx_clk_arr_out, rx_clk_arr_in, txc_tx_ready_arr, rxc_rx_ready_arr, txc_rx_channelaligned_arr,
               xgmii_tx_dc_arr, xgmii_rx_dc_arr,
               xaui_tx_arr, xaui_rx_arr);
diff --git a/libraries/technology/xaui/tech_xaui_align_dly.vhd b/libraries/technology/xaui/tech_xaui_align_dly.vhd
index c60d32ceb4..b466b36b4a 100644
--- a/libraries/technology/xaui/tech_xaui_align_dly.vhd
+++ b/libraries/technology/xaui/tech_xaui_align_dly.vhd
@@ -29,9 +29,9 @@
 --   XAUI core (NOT this one) is assumed to be channelaligned.
 
 library IEEE, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
 
 entity tech_xaui_align_dly is
   generic(
@@ -54,18 +54,18 @@ architecture rtl of tech_xaui_align_dly is
 begin
 
   u_common_debounce : entity common_lib.common_debounce
-  generic map (
-    g_type       => "HIGH",  -- "HIGH" = debounce g_latency clk cycles for going high when d_in='1', go low  immediately when d_in='0'
-    g_delay_len  => c_meta_delay_len,  -- = 3,  combat meta stability
-    g_latency    => c_align_dly_cnt,  -- >= 1, combat debounces over nof clk cycles
-    g_init_level => '0'
-  )
-  port map (
-    rst   => tx_rst,
-    clk   => tx_clk,
-    d_in  => a_rx_channelaligned,
-    q_out => txc_rx_channelaligned_dly
-  );
+    generic map (
+      g_type       => "HIGH",  -- "HIGH" = debounce g_latency clk cycles for going high when d_in='1', go low  immediately when d_in='0'
+      g_delay_len  => c_meta_delay_len,  -- = 3,  combat meta stability
+      g_latency    => c_align_dly_cnt,  -- >= 1, combat debounces over nof clk cycles
+      g_init_level => '0'
+    )
+    port map (
+      rst   => tx_rst,
+      clk   => tx_clk,
+      d_in  => a_rx_channelaligned,
+      q_out => txc_rx_channelaligned_dly
+    );
 
 end rtl;
 
diff --git a/libraries/technology/xaui/tech_xaui_component_pkg.vhd b/libraries/technology/xaui/tech_xaui_component_pkg.vhd
index d1d2d3c5ba..9072a6757e 100644
--- a/libraries/technology/xaui/tech_xaui_component_pkg.vhd
+++ b/libraries/technology/xaui/tech_xaui_component_pkg.vhd
@@ -22,216 +22,216 @@
 -- Purpose: IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE, technology_lib;
-use IEEE.std_logic_1164.all;
-use technology_lib.technology_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use technology_lib.technology_pkg.all;
 
 package tech_xaui_component_pkg is
 
-  function tech_xaui_mosi_addr_w(technology : in integer) return integer;
+  function tech_xaui_mosi_addr_w (technology : in integer) return integer;
 
   ------------------------------------------------------------------------------
   -- ip_stratixiv
   ------------------------------------------------------------------------------
 
   component ip_stratixiv_phy_xaui_0 is
-	port (
-		pll_ref_clk              : in  std_logic                     := '0';  -- pll_ref_clk.clk
-		xgmii_tx_clk             : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
-		xgmii_rx_clk             : out std_logic;  -- xgmii_rx_clk.clk
-		xgmii_rx_dc              : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
-		xgmii_tx_dc              : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
-		xaui_rx_serial_data      : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
-		xaui_tx_serial_data      : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
-		rx_ready                 : out std_logic;  -- rx_ready.export
-		tx_ready                 : out std_logic;  -- tx_ready.export
-		phy_mgmt_clk             : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
-		phy_mgmt_clk_reset       : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
-		phy_mgmt_address         : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
-		phy_mgmt_read            : in  std_logic                     := '0';  -- .read
-		phy_mgmt_readdata        : out std_logic_vector(31 downto 0);  -- .readdata
-		phy_mgmt_write           : in  std_logic                     := '0';  -- .write
-		phy_mgmt_writedata       : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-		phy_mgmt_waitrequest     : out std_logic;  -- .waitrequest
-		rx_digitalreset          : in  std_logic                     := '0';  -- rx_digitalreset.data
-		tx_digitalreset          : in  std_logic                     := '0';  -- tx_digitalreset.data
-		rx_channelaligned        : out std_logic;  -- rx_channelaligned.data
-		rx_syncstatus            : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
-		rx_disperr               : out std_logic_vector(7 downto 0);  -- rx_disperr.data
-		rx_errdetect             : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
-		rx_analogreset           : in  std_logic                     := '0';  -- rx_analogreset.data
-		rx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_invpolarity.data
-		rx_set_locktodata        : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktodata.data
-		rx_set_locktoref         : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktoref.data
-		rx_seriallpbken          : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_seriallpbken.data
-		tx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- tx_invpolarity.data
-		rx_is_lockedtodata       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.data
-		rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- rx_phase_comp_fifo_error.data
-		rx_is_lockedtoref        : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.data
-		rx_rlv                   : out std_logic_vector(3 downto 0);  -- rx_rlv.data
-		rx_rmfifoempty           : out std_logic_vector(3 downto 0);  -- rx_rmfifoempty.data
-		rx_rmfifofull            : out std_logic_vector(3 downto 0);  -- rx_rmfifofull.data
-		tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- tx_phase_comp_fifo_error.data
-		rx_patterndetect         : out std_logic_vector(7 downto 0);  -- rx_patterndetect.data
-		rx_rmfifodatadeleted     : out std_logic_vector(7 downto 0);  -- rx_rmfifodatadeleted.data
-		rx_rmfifodatainserted    : out std_logic_vector(7 downto 0);  -- rx_rmfifodatainserted.data
-		rx_runningdisp           : out std_logic_vector(7 downto 0);  -- rx_runningdisp.data
-		cal_blk_powerdown        : in  std_logic                     := '0';  -- cal_blk_powerdown.data
-		pll_powerdown            : in  std_logic                     := '0';  -- pll_powerdown.data
-		gxb_powerdown            : in  std_logic                     := '0';  -- gxb_powerdown.data
-		pll_locked               : out std_logic;  -- pll_locked.data
-		reconfig_from_xcvr       : out std_logic_vector(16 downto 0);  -- reconfig_from_xcvr.data
-		reconfig_to_xcvr         : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
-	);
+    port (
+      pll_ref_clk              : in  std_logic                     := '0';  -- pll_ref_clk.clk
+      xgmii_tx_clk             : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
+      xgmii_rx_clk             : out std_logic;  -- xgmii_rx_clk.clk
+      xgmii_rx_dc              : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
+      xgmii_tx_dc              : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
+      xaui_rx_serial_data      : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
+      xaui_tx_serial_data      : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
+      rx_ready                 : out std_logic;  -- rx_ready.export
+      tx_ready                 : out std_logic;  -- tx_ready.export
+      phy_mgmt_clk             : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
+      phy_mgmt_clk_reset       : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
+      phy_mgmt_address         : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
+      phy_mgmt_read            : in  std_logic                     := '0';  -- .read
+      phy_mgmt_readdata        : out std_logic_vector(31 downto 0);  -- .readdata
+      phy_mgmt_write           : in  std_logic                     := '0';  -- .write
+      phy_mgmt_writedata       : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      phy_mgmt_waitrequest     : out std_logic;  -- .waitrequest
+      rx_digitalreset          : in  std_logic                     := '0';  -- rx_digitalreset.data
+      tx_digitalreset          : in  std_logic                     := '0';  -- tx_digitalreset.data
+      rx_channelaligned        : out std_logic;  -- rx_channelaligned.data
+      rx_syncstatus            : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
+      rx_disperr               : out std_logic_vector(7 downto 0);  -- rx_disperr.data
+      rx_errdetect             : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
+      rx_analogreset           : in  std_logic                     := '0';  -- rx_analogreset.data
+      rx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_invpolarity.data
+      rx_set_locktodata        : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktodata.data
+      rx_set_locktoref         : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktoref.data
+      rx_seriallpbken          : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_seriallpbken.data
+      tx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- tx_invpolarity.data
+      rx_is_lockedtodata       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.data
+      rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- rx_phase_comp_fifo_error.data
+      rx_is_lockedtoref        : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.data
+      rx_rlv                   : out std_logic_vector(3 downto 0);  -- rx_rlv.data
+      rx_rmfifoempty           : out std_logic_vector(3 downto 0);  -- rx_rmfifoempty.data
+      rx_rmfifofull            : out std_logic_vector(3 downto 0);  -- rx_rmfifofull.data
+      tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- tx_phase_comp_fifo_error.data
+      rx_patterndetect         : out std_logic_vector(7 downto 0);  -- rx_patterndetect.data
+      rx_rmfifodatadeleted     : out std_logic_vector(7 downto 0);  -- rx_rmfifodatadeleted.data
+      rx_rmfifodatainserted    : out std_logic_vector(7 downto 0);  -- rx_rmfifodatainserted.data
+      rx_runningdisp           : out std_logic_vector(7 downto 0);  -- rx_runningdisp.data
+      cal_blk_powerdown        : in  std_logic                     := '0';  -- cal_blk_powerdown.data
+      pll_powerdown            : in  std_logic                     := '0';  -- pll_powerdown.data
+      gxb_powerdown            : in  std_logic                     := '0';  -- gxb_powerdown.data
+      pll_locked               : out std_logic;  -- pll_locked.data
+      reconfig_from_xcvr       : out std_logic_vector(16 downto 0);  -- reconfig_from_xcvr.data
+      reconfig_to_xcvr         : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
+    );
   end component;
 
   component ip_stratixiv_phy_xaui_1 is
-	port (
-		pll_ref_clk              : in  std_logic                     := '0';  -- pll_ref_clk.clk
-		xgmii_tx_clk             : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
-		xgmii_rx_clk             : out std_logic;  -- xgmii_rx_clk.clk
-		xgmii_rx_dc              : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
-		xgmii_tx_dc              : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
-		xaui_rx_serial_data      : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
-		xaui_tx_serial_data      : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
-		rx_ready                 : out std_logic;  -- rx_ready.export
-		tx_ready                 : out std_logic;  -- tx_ready.export
-		phy_mgmt_clk             : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
-		phy_mgmt_clk_reset       : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
-		phy_mgmt_address         : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
-		phy_mgmt_read            : in  std_logic                     := '0';  -- .read
-		phy_mgmt_readdata        : out std_logic_vector(31 downto 0);  -- .readdata
-		phy_mgmt_write           : in  std_logic                     := '0';  -- .write
-		phy_mgmt_writedata       : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-		phy_mgmt_waitrequest     : out std_logic;  -- .waitrequest
-		rx_digitalreset          : in  std_logic                     := '0';  -- rx_digitalreset.data
-		tx_digitalreset          : in  std_logic                     := '0';  -- tx_digitalreset.data
-		rx_channelaligned        : out std_logic;  -- rx_channelaligned.data
-		rx_syncstatus            : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
-		rx_disperr               : out std_logic_vector(7 downto 0);  -- rx_disperr.data
-		rx_errdetect             : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
-		rx_analogreset           : in  std_logic                     := '0';  -- rx_analogreset.data
-		rx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_invpolarity.data
-		rx_set_locktodata        : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktodata.data
-		rx_set_locktoref         : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktoref.data
-		rx_seriallpbken          : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_seriallpbken.data
-		tx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- tx_invpolarity.data
-		rx_is_lockedtodata       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.data
-		rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- rx_phase_comp_fifo_error.data
-		rx_is_lockedtoref        : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.data
-		rx_rlv                   : out std_logic_vector(3 downto 0);  -- rx_rlv.data
-		rx_rmfifoempty           : out std_logic_vector(3 downto 0);  -- rx_rmfifoempty.data
-		rx_rmfifofull            : out std_logic_vector(3 downto 0);  -- rx_rmfifofull.data
-		tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- tx_phase_comp_fifo_error.data
-		rx_patterndetect         : out std_logic_vector(7 downto 0);  -- rx_patterndetect.data
-		rx_rmfifodatadeleted     : out std_logic_vector(7 downto 0);  -- rx_rmfifodatadeleted.data
-		rx_rmfifodatainserted    : out std_logic_vector(7 downto 0);  -- rx_rmfifodatainserted.data
-		rx_runningdisp           : out std_logic_vector(7 downto 0);  -- rx_runningdisp.data
-		cal_blk_powerdown        : in  std_logic                     := '0';  -- cal_blk_powerdown.data
-		pll_powerdown            : in  std_logic                     := '0';  -- pll_powerdown.data
-		gxb_powerdown            : in  std_logic                     := '0';  -- gxb_powerdown.data
-		pll_locked               : out std_logic;  -- pll_locked.data
-		reconfig_from_xcvr       : out std_logic_vector(16 downto 0);  -- reconfig_from_xcvr.data
-		reconfig_to_xcvr         : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
-	);
+    port (
+      pll_ref_clk              : in  std_logic                     := '0';  -- pll_ref_clk.clk
+      xgmii_tx_clk             : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
+      xgmii_rx_clk             : out std_logic;  -- xgmii_rx_clk.clk
+      xgmii_rx_dc              : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
+      xgmii_tx_dc              : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
+      xaui_rx_serial_data      : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
+      xaui_tx_serial_data      : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
+      rx_ready                 : out std_logic;  -- rx_ready.export
+      tx_ready                 : out std_logic;  -- tx_ready.export
+      phy_mgmt_clk             : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
+      phy_mgmt_clk_reset       : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
+      phy_mgmt_address         : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
+      phy_mgmt_read            : in  std_logic                     := '0';  -- .read
+      phy_mgmt_readdata        : out std_logic_vector(31 downto 0);  -- .readdata
+      phy_mgmt_write           : in  std_logic                     := '0';  -- .write
+      phy_mgmt_writedata       : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      phy_mgmt_waitrequest     : out std_logic;  -- .waitrequest
+      rx_digitalreset          : in  std_logic                     := '0';  -- rx_digitalreset.data
+      tx_digitalreset          : in  std_logic                     := '0';  -- tx_digitalreset.data
+      rx_channelaligned        : out std_logic;  -- rx_channelaligned.data
+      rx_syncstatus            : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
+      rx_disperr               : out std_logic_vector(7 downto 0);  -- rx_disperr.data
+      rx_errdetect             : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
+      rx_analogreset           : in  std_logic                     := '0';  -- rx_analogreset.data
+      rx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_invpolarity.data
+      rx_set_locktodata        : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktodata.data
+      rx_set_locktoref         : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktoref.data
+      rx_seriallpbken          : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_seriallpbken.data
+      tx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- tx_invpolarity.data
+      rx_is_lockedtodata       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.data
+      rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- rx_phase_comp_fifo_error.data
+      rx_is_lockedtoref        : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.data
+      rx_rlv                   : out std_logic_vector(3 downto 0);  -- rx_rlv.data
+      rx_rmfifoempty           : out std_logic_vector(3 downto 0);  -- rx_rmfifoempty.data
+      rx_rmfifofull            : out std_logic_vector(3 downto 0);  -- rx_rmfifofull.data
+      tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- tx_phase_comp_fifo_error.data
+      rx_patterndetect         : out std_logic_vector(7 downto 0);  -- rx_patterndetect.data
+      rx_rmfifodatadeleted     : out std_logic_vector(7 downto 0);  -- rx_rmfifodatadeleted.data
+      rx_rmfifodatainserted    : out std_logic_vector(7 downto 0);  -- rx_rmfifodatainserted.data
+      rx_runningdisp           : out std_logic_vector(7 downto 0);  -- rx_runningdisp.data
+      cal_blk_powerdown        : in  std_logic                     := '0';  -- cal_blk_powerdown.data
+      pll_powerdown            : in  std_logic                     := '0';  -- pll_powerdown.data
+      gxb_powerdown            : in  std_logic                     := '0';  -- gxb_powerdown.data
+      pll_locked               : out std_logic;  -- pll_locked.data
+      reconfig_from_xcvr       : out std_logic_vector(16 downto 0);  -- reconfig_from_xcvr.data
+      reconfig_to_xcvr         : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
+    );
   end component;
 
   component ip_stratixiv_phy_xaui_2 is
-	port (
-		pll_ref_clk              : in  std_logic                     := '0';  -- pll_ref_clk.clk
-		xgmii_tx_clk             : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
-		xgmii_rx_clk             : out std_logic;  -- xgmii_rx_clk.clk
-		xgmii_rx_dc              : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
-		xgmii_tx_dc              : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
-		xaui_rx_serial_data      : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
-		xaui_tx_serial_data      : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
-		rx_ready                 : out std_logic;  -- rx_ready.export
-		tx_ready                 : out std_logic;  -- tx_ready.export
-		phy_mgmt_clk             : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
-		phy_mgmt_clk_reset       : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
-		phy_mgmt_address         : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
-		phy_mgmt_read            : in  std_logic                     := '0';  -- .read
-		phy_mgmt_readdata        : out std_logic_vector(31 downto 0);  -- .readdata
-		phy_mgmt_write           : in  std_logic                     := '0';  -- .write
-		phy_mgmt_writedata       : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-		phy_mgmt_waitrequest     : out std_logic;  -- .waitrequest
-		rx_digitalreset          : in  std_logic                     := '0';  -- rx_digitalreset.data
-		tx_digitalreset          : in  std_logic                     := '0';  -- tx_digitalreset.data
-		rx_channelaligned        : out std_logic;  -- rx_channelaligned.data
-		rx_syncstatus            : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
-		rx_disperr               : out std_logic_vector(7 downto 0);  -- rx_disperr.data
-		rx_errdetect             : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
-		rx_analogreset           : in  std_logic                     := '0';  -- rx_analogreset.data
-		rx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_invpolarity.data
-		rx_set_locktodata        : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktodata.data
-		rx_set_locktoref         : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktoref.data
-		rx_seriallpbken          : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_seriallpbken.data
-		tx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- tx_invpolarity.data
-		rx_is_lockedtodata       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.data
-		rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- rx_phase_comp_fifo_error.data
-		rx_is_lockedtoref        : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.data
-		rx_rlv                   : out std_logic_vector(3 downto 0);  -- rx_rlv.data
-		rx_rmfifoempty           : out std_logic_vector(3 downto 0);  -- rx_rmfifoempty.data
-		rx_rmfifofull            : out std_logic_vector(3 downto 0);  -- rx_rmfifofull.data
-		tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- tx_phase_comp_fifo_error.data
-		rx_patterndetect         : out std_logic_vector(7 downto 0);  -- rx_patterndetect.data
-		rx_rmfifodatadeleted     : out std_logic_vector(7 downto 0);  -- rx_rmfifodatadeleted.data
-		rx_rmfifodatainserted    : out std_logic_vector(7 downto 0);  -- rx_rmfifodatainserted.data
-		rx_runningdisp           : out std_logic_vector(7 downto 0);  -- rx_runningdisp.data
-		cal_blk_powerdown        : in  std_logic                     := '0';  -- cal_blk_powerdown.data
-		pll_powerdown            : in  std_logic                     := '0';  -- pll_powerdown.data
-		gxb_powerdown            : in  std_logic                     := '0';  -- gxb_powerdown.data
-		pll_locked               : out std_logic;  -- pll_locked.data
-		reconfig_from_xcvr       : out std_logic_vector(16 downto 0);  -- reconfig_from_xcvr.data
-		reconfig_to_xcvr         : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
-	);
+    port (
+      pll_ref_clk              : in  std_logic                     := '0';  -- pll_ref_clk.clk
+      xgmii_tx_clk             : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
+      xgmii_rx_clk             : out std_logic;  -- xgmii_rx_clk.clk
+      xgmii_rx_dc              : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
+      xgmii_tx_dc              : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
+      xaui_rx_serial_data      : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
+      xaui_tx_serial_data      : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
+      rx_ready                 : out std_logic;  -- rx_ready.export
+      tx_ready                 : out std_logic;  -- tx_ready.export
+      phy_mgmt_clk             : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
+      phy_mgmt_clk_reset       : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
+      phy_mgmt_address         : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
+      phy_mgmt_read            : in  std_logic                     := '0';  -- .read
+      phy_mgmt_readdata        : out std_logic_vector(31 downto 0);  -- .readdata
+      phy_mgmt_write           : in  std_logic                     := '0';  -- .write
+      phy_mgmt_writedata       : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      phy_mgmt_waitrequest     : out std_logic;  -- .waitrequest
+      rx_digitalreset          : in  std_logic                     := '0';  -- rx_digitalreset.data
+      tx_digitalreset          : in  std_logic                     := '0';  -- tx_digitalreset.data
+      rx_channelaligned        : out std_logic;  -- rx_channelaligned.data
+      rx_syncstatus            : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
+      rx_disperr               : out std_logic_vector(7 downto 0);  -- rx_disperr.data
+      rx_errdetect             : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
+      rx_analogreset           : in  std_logic                     := '0';  -- rx_analogreset.data
+      rx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_invpolarity.data
+      rx_set_locktodata        : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktodata.data
+      rx_set_locktoref         : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_set_locktoref.data
+      rx_seriallpbken          : in  std_logic_vector(3 downto 0)  := (others => '0');  -- rx_seriallpbken.data
+      tx_invpolarity           : in  std_logic_vector(3 downto 0)  := (others => '0');  -- tx_invpolarity.data
+      rx_is_lockedtodata       : out std_logic_vector(3 downto 0);  -- rx_is_lockedtodata.data
+      rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- rx_phase_comp_fifo_error.data
+      rx_is_lockedtoref        : out std_logic_vector(3 downto 0);  -- rx_is_lockedtoref.data
+      rx_rlv                   : out std_logic_vector(3 downto 0);  -- rx_rlv.data
+      rx_rmfifoempty           : out std_logic_vector(3 downto 0);  -- rx_rmfifoempty.data
+      rx_rmfifofull            : out std_logic_vector(3 downto 0);  -- rx_rmfifofull.data
+      tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0);  -- tx_phase_comp_fifo_error.data
+      rx_patterndetect         : out std_logic_vector(7 downto 0);  -- rx_patterndetect.data
+      rx_rmfifodatadeleted     : out std_logic_vector(7 downto 0);  -- rx_rmfifodatadeleted.data
+      rx_rmfifodatainserted    : out std_logic_vector(7 downto 0);  -- rx_rmfifodatainserted.data
+      rx_runningdisp           : out std_logic_vector(7 downto 0);  -- rx_runningdisp.data
+      cal_blk_powerdown        : in  std_logic                     := '0';  -- cal_blk_powerdown.data
+      pll_powerdown            : in  std_logic                     := '0';  -- pll_powerdown.data
+      gxb_powerdown            : in  std_logic                     := '0';  -- gxb_powerdown.data
+      pll_locked               : out std_logic;  -- pll_locked.data
+      reconfig_from_xcvr       : out std_logic_vector(16 downto 0);  -- reconfig_from_xcvr.data
+      reconfig_to_xcvr         : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
+    );
   end component;
 
   component ip_stratixiv_phy_xaui_soft is
-	port (
-		pll_ref_clk          : in  std_logic                     := '0';  -- pll_ref_clk.clk
-		xgmii_tx_clk         : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
-		xgmii_rx_clk         : out std_logic;  -- xgmii_rx_clk.clk
-		xgmii_rx_dc          : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
-		xgmii_tx_dc          : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
-		xaui_rx_serial_data  : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
-		xaui_tx_serial_data  : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
-		rx_ready             : out std_logic;  -- rx_ready.export
-		tx_ready             : out std_logic;  -- tx_ready.export
-		phy_mgmt_clk         : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
-		phy_mgmt_clk_reset   : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
-		phy_mgmt_address     : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
-		phy_mgmt_read        : in  std_logic                     := '0';  -- .read
-		phy_mgmt_readdata    : out std_logic_vector(31 downto 0);  -- .readdata
-		phy_mgmt_write       : in  std_logic                     := '0';  -- .write
-		phy_mgmt_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
-		phy_mgmt_waitrequest : out std_logic;  -- .waitrequest
-		rx_digitalreset      : in  std_logic                     := '0';  -- rx_digitalreset.data
-		tx_digitalreset      : in  std_logic                     := '0';  -- tx_digitalreset.data
-		rx_channelaligned    : out std_logic;  -- rx_channelaligned.data
-		rx_syncstatus        : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
-		rx_disperr           : out std_logic_vector(7 downto 0);  -- rx_disperr.data
-		rx_errdetect         : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
-		cal_blk_powerdown    : in  std_logic                     := '0';  -- cal_blk_powerdown.data
-		pll_powerdown        : in  std_logic                     := '0';  -- pll_powerdown.data
-		gxb_powerdown        : in  std_logic                     := '0';  -- gxb_powerdown.data
-		pll_locked           : out std_logic;  -- pll_locked.data
-		reconfig_from_xcvr   : out std_logic_vector(67 downto 0);  -- reconfig_from_xcvr.data
-		reconfig_to_xcvr     : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
-	);
+    port (
+      pll_ref_clk          : in  std_logic                     := '0';  -- pll_ref_clk.clk
+      xgmii_tx_clk         : in  std_logic                     := '0';  -- xgmii_tx_clk.clk
+      xgmii_rx_clk         : out std_logic;  -- xgmii_rx_clk.clk
+      xgmii_rx_dc          : out std_logic_vector(71 downto 0);  -- xgmii_rx_dc.data
+      xgmii_tx_dc          : in  std_logic_vector(71 downto 0) := (others => '0');  -- xgmii_tx_dc.data
+      xaui_rx_serial_data  : in  std_logic_vector(3 downto 0)  := (others => '0');  -- xaui_rx_serial_data.export
+      xaui_tx_serial_data  : out std_logic_vector(3 downto 0);  -- xaui_tx_serial_data.export
+      rx_ready             : out std_logic;  -- rx_ready.export
+      tx_ready             : out std_logic;  -- tx_ready.export
+      phy_mgmt_clk         : in  std_logic                     := '0';  -- phy_mgmt_clk.clk
+      phy_mgmt_clk_reset   : in  std_logic                     := '0';  -- phy_mgmt_clk_reset.reset
+      phy_mgmt_address     : in  std_logic_vector(8 downto 0)  := (others => '0');  -- phy_mgmt.address
+      phy_mgmt_read        : in  std_logic                     := '0';  -- .read
+      phy_mgmt_readdata    : out std_logic_vector(31 downto 0);  -- .readdata
+      phy_mgmt_write       : in  std_logic                     := '0';  -- .write
+      phy_mgmt_writedata   : in  std_logic_vector(31 downto 0) := (others => '0');  -- .writedata
+      phy_mgmt_waitrequest : out std_logic;  -- .waitrequest
+      rx_digitalreset      : in  std_logic                     := '0';  -- rx_digitalreset.data
+      tx_digitalreset      : in  std_logic                     := '0';  -- tx_digitalreset.data
+      rx_channelaligned    : out std_logic;  -- rx_channelaligned.data
+      rx_syncstatus        : out std_logic_vector(7 downto 0);  -- rx_syncstatus.data
+      rx_disperr           : out std_logic_vector(7 downto 0);  -- rx_disperr.data
+      rx_errdetect         : out std_logic_vector(7 downto 0);  -- rx_errdetect.data
+      cal_blk_powerdown    : in  std_logic                     := '0';  -- cal_blk_powerdown.data
+      pll_powerdown        : in  std_logic                     := '0';  -- pll_powerdown.data
+      gxb_powerdown        : in  std_logic                     := '0';  -- gxb_powerdown.data
+      pll_locked           : out std_logic;  -- pll_locked.data
+      reconfig_from_xcvr   : out std_logic_vector(67 downto 0);  -- reconfig_from_xcvr.data
+      reconfig_to_xcvr     : in  std_logic_vector(3 downto 0)  := (others => '0')  -- reconfig_to_xcvr.data
+    );
   end component;
 
   component ip_stratixiv_gxb_reconfig_v111 is
-  generic (
-    g_nof_gx        : natural;
-    g_fromgxb_bus_w : natural := 17;
-    g_togxb_bus_w   : natural := 4
-  );
-  port (
-    reconfig_clk     : in std_logic;
-    reconfig_fromgxb : in std_logic_vector(tech_ceil_div(g_nof_gx, 4) * g_fromgxb_bus_w - 1 downto 0);
-    busy             : out std_logic;
-    reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
-  );
+    generic (
+      g_nof_gx        : natural;
+      g_fromgxb_bus_w : natural := 17;
+      g_togxb_bus_w   : natural := 4
+    );
+    port (
+      reconfig_clk     : in std_logic;
+      reconfig_fromgxb : in std_logic_vector(tech_ceil_div(g_nof_gx, 4) * g_fromgxb_bus_w - 1 downto 0);
+      busy             : out std_logic;
+      reconfig_togxb   : out std_logic_vector(g_togxb_bus_w - 1 downto 0)
+    );
   end component;
 
 
@@ -239,7 +239,7 @@ end tech_xaui_component_pkg;
 
 package body tech_xaui_component_pkg is
 
-  function tech_xaui_mosi_addr_w(technology : in integer) return integer is
+  function tech_xaui_mosi_addr_w (technology : in integer) return integer is
   begin
     case technology is
       when c_tech_stratixiv => return 9;
diff --git a/libraries/technology/xaui/tech_xaui_stratixiv.vhd b/libraries/technology/xaui/tech_xaui_stratixiv.vhd
index 035b82abf4..d1c30fdbb5 100644
--- a/libraries/technology/xaui/tech_xaui_stratixiv.vhd
+++ b/libraries/technology/xaui/tech_xaui_stratixiv.vhd
@@ -24,12 +24,12 @@
 library ip_stratixiv_transceiver_lib, ip_stratixiv_phy_xaui_lib;
 
 library IEEE, technology_lib, common_lib;
-use IEEE.std_logic_1164.all;
-use common_lib.common_pkg.all;
-use common_lib.common_mem_pkg.all;
-use common_lib.common_interface_layers_pkg.all;
-use technology_lib.technology_pkg.all;
-use work.tech_xaui_component_pkg.all;
+  use IEEE.std_logic_1164.all;
+  use common_lib.common_pkg.all;
+  use common_lib.common_mem_pkg.all;
+  use common_lib.common_interface_layers_pkg.all;
+  use technology_lib.technology_pkg.all;
+  use work.tech_xaui_component_pkg.all;
 
 entity tech_xaui_stratixiv is
   generic (
@@ -108,207 +108,207 @@ begin
   gen_nof_xaui : for i in g_nof_xaui - 1 downto 0 generate
 
     u_async_txc_tx_ready : entity common_lib.common_async
-    generic map(
-      g_rst_level => '0'
-    )
-    port map(
-      clk  => tx_clk_arr(i),
-      din  => a_tx_ready_arr(i),
-      dout => txc_tx_ready_arr(i)
-    );
+      generic map(
+        g_rst_level => '0'
+      )
+      port map(
+        clk  => tx_clk_arr(i),
+        din  => a_tx_ready_arr(i),
+        dout => txc_tx_ready_arr(i)
+      );
 
     u_async_rxc_rx_ready : entity common_lib.common_async
-    generic map(
-      g_rst_level => '0'
-    )
-    port map(
-      clk  => rx_clk_arr_in(i),
-      din  => a_rx_ready_arr(i),
-      dout => rxc_rx_ready_arr(i)
-    );
+      generic map(
+        g_rst_level => '0'
+      )
+      port map(
+        clk  => rx_clk_arr_in(i),
+        din  => a_rx_ready_arr(i),
+        dout => rxc_rx_ready_arr(i)
+      );
 
     u_areset_tx_rst : entity common_lib.common_areset
-    generic map(
-      g_rst_level => '1',
-      g_delay_len => 4
-    )
-    port map(
-      clk     => tx_clk_arr(i),
-      in_rst  => tr_rst,
-      out_rst => tx_rst_arr(i)
-    );
+      generic map(
+        g_rst_level => '1',
+        g_delay_len => 4
+      )
+      port map(
+        clk     => tx_clk_arr(i),
+        in_rst  => tr_rst,
+        out_rst => tx_rst_arr(i)
+      );
 
     u_txc_rx_channelaligned_arr: entity work.tech_xaui_align_dly
-    generic map (
-      g_sim => g_sim  -- to use shorter delay when in simulation
-    )
-    port map(
-      tx_rst                    => tx_rst_arr(i),
-      tx_clk                    => tx_clk_arr(i),
+      generic map (
+        g_sim => g_sim  -- to use shorter delay when in simulation
+      )
+      port map(
+        tx_rst                    => tx_rst_arr(i),
+        tx_clk                    => tx_clk_arr(i),
 
-      a_rx_channelaligned       => a_rx_channelaligned_arr(i),
-      txc_rx_channelaligned_dly => txc_rx_channelaligned_arr(i)
-    );
+        a_rx_channelaligned       => a_rx_channelaligned_arr(i),
+        txc_rx_channelaligned_dly => txc_rx_channelaligned_arr(i)
+      );
 
     -- IP
     gen_hard_xaui_0: if i = 0 generate
       u_ip_phy_xaui : ip_stratixiv_phy_xaui_0
-      port map (
-      	pll_ref_clk          => tr_clk,
-      	xgmii_tx_clk         => tx_clk_arr(i),
-      	xgmii_rx_clk         => rx_clk_arr_out(i),
-      	xgmii_rx_dc          => xgmii_rx_dc_arr(i),
-      	xgmii_tx_dc          => xgmii_tx_dc_arr(i),
-      	xaui_rx_serial_data  => xaui_rx_arr(i),
-      	xaui_tx_serial_data  => xaui_tx_arr(i),
-      	rx_ready             => a_rx_ready_arr(i),
-      	tx_ready             => a_tx_ready_arr(i),
-      	phy_mgmt_clk         => mm_clk,
-      	phy_mgmt_clk_reset   => mm_rst,
-      	phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
-      	phy_mgmt_read        => xaui_mosi_arr(i).rd,
-      	phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
-      	phy_mgmt_write       => xaui_mosi_arr(i).wr,
-      	phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
-      	phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
-
-        rx_channelaligned    => a_rx_channelaligned_arr(i),
-
-        -- Reconfig block connections
-        reconfig_to_xcvr     => reconfig_0_togxb,
-        reconfig_from_xcvr   => reconfig_0_fromgxb
-      );
+        port map (
+          pll_ref_clk          => tr_clk,
+          xgmii_tx_clk         => tx_clk_arr(i),
+          xgmii_rx_clk         => rx_clk_arr_out(i),
+          xgmii_rx_dc          => xgmii_rx_dc_arr(i),
+          xgmii_tx_dc          => xgmii_tx_dc_arr(i),
+          xaui_rx_serial_data  => xaui_rx_arr(i),
+          xaui_tx_serial_data  => xaui_tx_arr(i),
+          rx_ready             => a_rx_ready_arr(i),
+          tx_ready             => a_tx_ready_arr(i),
+          phy_mgmt_clk         => mm_clk,
+          phy_mgmt_clk_reset   => mm_rst,
+          phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
+          phy_mgmt_read        => xaui_mosi_arr(i).rd,
+          phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
+          phy_mgmt_write       => xaui_mosi_arr(i).wr,
+          phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
+          phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
+
+          rx_channelaligned    => a_rx_channelaligned_arr(i),
+
+          -- Reconfig block connections
+          reconfig_to_xcvr     => reconfig_0_togxb,
+          reconfig_from_xcvr   => reconfig_0_fromgxb
+        );
 
       u_ip_gxb_reconfig : ip_stratixiv_gxb_reconfig_v111
-      generic map (
-        g_nof_gx  => c_reconf_nof_gx
-      )
-      port map (
-        reconfig_clk        => cal_rec_clk,
-        reconfig_fromgxb    => reconfig_0_fromgxb,
-        busy                => OPEN,
-        reconfig_togxb      => reconfig_0_togxb
-      );
+        generic map (
+          g_nof_gx  => c_reconf_nof_gx
+        )
+        port map (
+          reconfig_clk        => cal_rec_clk,
+          reconfig_fromgxb    => reconfig_0_fromgxb,
+          busy                => OPEN,
+          reconfig_togxb      => reconfig_0_togxb
+        );
     end generate;
 
     gen_hard_xaui_1: if i = 1 generate
       u_ip_phy_xaui : ip_stratixiv_phy_xaui_1
-      port map (
-      	pll_ref_clk          => tr_clk,
-      	xgmii_tx_clk         => tx_clk_arr(i),
-      	xgmii_rx_clk         => rx_clk_arr_out(i),
-      	xgmii_rx_dc          => xgmii_rx_dc_arr(i),
-      	xgmii_tx_dc          => xgmii_tx_dc_arr(i),
-      	xaui_rx_serial_data  => xaui_rx_arr(i),
-      	xaui_tx_serial_data  => xaui_tx_arr(i),
-      	rx_ready             => a_rx_ready_arr(i),
-      	tx_ready             => a_tx_ready_arr(i),
-      	phy_mgmt_clk         => mm_clk,
-      	phy_mgmt_clk_reset   => mm_rst,
-      	phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
-      	phy_mgmt_read        => xaui_mosi_arr(i).rd,
-      	phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
-      	phy_mgmt_write       => xaui_mosi_arr(i).wr,
-      	phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
-      	phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
-
-        rx_channelaligned    => a_rx_channelaligned_arr(i),
-
-        -- Reconfig block connections
-        reconfig_to_xcvr     => reconfig_1_togxb,
-        reconfig_from_xcvr   => reconfig_1_fromgxb
-      );
+        port map (
+          pll_ref_clk          => tr_clk,
+          xgmii_tx_clk         => tx_clk_arr(i),
+          xgmii_rx_clk         => rx_clk_arr_out(i),
+          xgmii_rx_dc          => xgmii_rx_dc_arr(i),
+          xgmii_tx_dc          => xgmii_tx_dc_arr(i),
+          xaui_rx_serial_data  => xaui_rx_arr(i),
+          xaui_tx_serial_data  => xaui_tx_arr(i),
+          rx_ready             => a_rx_ready_arr(i),
+          tx_ready             => a_tx_ready_arr(i),
+          phy_mgmt_clk         => mm_clk,
+          phy_mgmt_clk_reset   => mm_rst,
+          phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
+          phy_mgmt_read        => xaui_mosi_arr(i).rd,
+          phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
+          phy_mgmt_write       => xaui_mosi_arr(i).wr,
+          phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
+          phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
+
+          rx_channelaligned    => a_rx_channelaligned_arr(i),
+
+          -- Reconfig block connections
+          reconfig_to_xcvr     => reconfig_1_togxb,
+          reconfig_from_xcvr   => reconfig_1_fromgxb
+        );
 
       u_ip_gxb_reconfig : ip_stratixiv_gxb_reconfig_v111
-      generic map (
-        g_nof_gx  => c_reconf_nof_gx
-      )
-      port map (
-        reconfig_clk        => cal_rec_clk,
-        reconfig_fromgxb    => reconfig_1_fromgxb,
-        busy                => OPEN,
-        reconfig_togxb      => reconfig_1_togxb
-      );
+        generic map (
+          g_nof_gx  => c_reconf_nof_gx
+        )
+        port map (
+          reconfig_clk        => cal_rec_clk,
+          reconfig_fromgxb    => reconfig_1_fromgxb,
+          busy                => OPEN,
+          reconfig_togxb      => reconfig_1_togxb
+        );
     end generate;
 
     gen_hard_xaui_2: if i = 2 generate
       u_ip_phy_xaui : ip_stratixiv_phy_xaui_2
-      port map (
-      	pll_ref_clk          => tr_clk,
-      	xgmii_tx_clk         => tx_clk_arr(i),
-      	xgmii_rx_clk         => rx_clk_arr_out(i),
-      	xgmii_rx_dc          => xgmii_rx_dc_arr(i),
-      	xgmii_tx_dc          => xgmii_tx_dc_arr(i),
-      	xaui_rx_serial_data  => xaui_rx_arr(i),
-      	xaui_tx_serial_data  => xaui_tx_arr(i),
-      	rx_ready             => a_rx_ready_arr(i),
-      	tx_ready             => a_tx_ready_arr(i),
-      	phy_mgmt_clk         => mm_clk,
-      	phy_mgmt_clk_reset   => mm_rst,
-      	phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
-      	phy_mgmt_read        => xaui_mosi_arr(i).rd,
-      	phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
-      	phy_mgmt_write       => xaui_mosi_arr(i).wr,
-      	phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
-      	phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
-
-        rx_channelaligned    => a_rx_channelaligned_arr(i),
-
-        -- Reconfig block connections
-        reconfig_to_xcvr     => reconfig_2_togxb,
-        reconfig_from_xcvr   => reconfig_2_fromgxb
-      );
+        port map (
+          pll_ref_clk          => tr_clk,
+          xgmii_tx_clk         => tx_clk_arr(i),
+          xgmii_rx_clk         => rx_clk_arr_out(i),
+          xgmii_rx_dc          => xgmii_rx_dc_arr(i),
+          xgmii_tx_dc          => xgmii_tx_dc_arr(i),
+          xaui_rx_serial_data  => xaui_rx_arr(i),
+          xaui_tx_serial_data  => xaui_tx_arr(i),
+          rx_ready             => a_rx_ready_arr(i),
+          tx_ready             => a_tx_ready_arr(i),
+          phy_mgmt_clk         => mm_clk,
+          phy_mgmt_clk_reset   => mm_rst,
+          phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
+          phy_mgmt_read        => xaui_mosi_arr(i).rd,
+          phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
+          phy_mgmt_write       => xaui_mosi_arr(i).wr,
+          phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
+          phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
+
+          rx_channelaligned    => a_rx_channelaligned_arr(i),
+
+          -- Reconfig block connections
+          reconfig_to_xcvr     => reconfig_2_togxb,
+          reconfig_from_xcvr   => reconfig_2_fromgxb
+        );
 
       u_ip_gxb_reconfig : ip_stratixiv_gxb_reconfig_v111
-      generic map (
-        g_nof_gx  => c_reconf_nof_gx
-      )
-      port map (
-        reconfig_clk        => cal_rec_clk,
-        reconfig_fromgxb    => reconfig_2_fromgxb,
-        busy                => OPEN,
-        reconfig_togxb      => reconfig_2_togxb
-      );
+        generic map (
+          g_nof_gx  => c_reconf_nof_gx
+        )
+        port map (
+          reconfig_clk        => cal_rec_clk,
+          reconfig_fromgxb    => reconfig_2_fromgxb,
+          busy                => OPEN,
+          reconfig_togxb      => reconfig_2_togxb
+        );
     end generate;
 
     gen_soft_xaui: if i = 3 generate  -- NOTE: this 4th (soft) instance makes the Quartus fitter (11.1, no SP) fail, so is not supported.
       u_ip_phy_xaui : ip_stratixiv_phy_xaui_soft
-      port map (
-      	pll_ref_clk          => tr_clk,
-      	xgmii_tx_clk         => tx_clk_arr(i),
-      	xgmii_rx_clk         => rx_clk_arr_out(i),
-      	xgmii_rx_dc          => xgmii_rx_dc_arr(i),
-      	xgmii_tx_dc          => xgmii_tx_dc_arr(i),
-      	xaui_rx_serial_data  => xaui_rx_arr(i),
-      	xaui_tx_serial_data  => xaui_tx_arr(i),
-      	rx_ready             => a_rx_ready_arr(i),
-      	tx_ready             => a_tx_ready_arr(i),
-      	phy_mgmt_clk         => mm_clk,
-      	phy_mgmt_clk_reset   => mm_rst,
-      	phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
-      	phy_mgmt_read        => xaui_mosi_arr(i).rd,
-      	phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
-      	phy_mgmt_write       => xaui_mosi_arr(i).wr,
-      	phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
-      	phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
-
-        rx_channelaligned    => a_rx_channelaligned_arr(i),
-
-        -- Reconfig block connections
-        reconfig_to_xcvr     => reconfig_soft_togxb,
-        reconfig_from_xcvr   => reconfig_soft_fromgxb
-      );
+        port map (
+          pll_ref_clk          => tr_clk,
+          xgmii_tx_clk         => tx_clk_arr(i),
+          xgmii_rx_clk         => rx_clk_arr_out(i),
+          xgmii_rx_dc          => xgmii_rx_dc_arr(i),
+          xgmii_tx_dc          => xgmii_tx_dc_arr(i),
+          xaui_rx_serial_data  => xaui_rx_arr(i),
+          xaui_tx_serial_data  => xaui_tx_arr(i),
+          rx_ready             => a_rx_ready_arr(i),
+          tx_ready             => a_tx_ready_arr(i),
+          phy_mgmt_clk         => mm_clk,
+          phy_mgmt_clk_reset   => mm_rst,
+          phy_mgmt_address     => xaui_mosi_arr(i).address(c_xaui_mosi_addr_w - 1 downto 0),
+          phy_mgmt_read        => xaui_mosi_arr(i).rd,
+          phy_mgmt_readdata    => xaui_miso_arr(i).rddata(c_word_w - 1 downto 0),
+          phy_mgmt_write       => xaui_mosi_arr(i).wr,
+          phy_mgmt_writedata   => xaui_mosi_arr(i).wrdata(c_word_w - 1 downto 0),
+          phy_mgmt_waitrequest => xaui_miso_arr(i).waitrequest,
+
+          rx_channelaligned    => a_rx_channelaligned_arr(i),
+
+          -- Reconfig block connections
+          reconfig_to_xcvr     => reconfig_soft_togxb,
+          reconfig_from_xcvr   => reconfig_soft_fromgxb
+        );
 
       u_ip_gxb_reconfig : ip_stratixiv_gxb_reconfig_v111
-      generic map (
-        g_nof_gx  => c_reconf_soft_nof_gx
-      )
-      port map (
-        reconfig_clk        => cal_rec_clk,
-        reconfig_fromgxb    => reconfig_soft_fromgxb,
-        busy                => OPEN,
-        reconfig_togxb      => reconfig_soft_togxb
-      );
+        generic map (
+          g_nof_gx  => c_reconf_soft_nof_gx
+        )
+        port map (
+          reconfig_clk        => cal_rec_clk,
+          reconfig_fromgxb    => reconfig_soft_fromgxb,
+          busy                => OPEN,
+          reconfig_togxb      => reconfig_soft_togxb
+        );
     end generate;
 
   end generate;
@@ -317,16 +317,16 @@ begin
   -- MM bus mux
   -----------------------------------------------------------------------------
   u_common_mem_mux : entity common_lib.common_mem_mux
-  generic map (
-    g_nof_mosi    => g_nof_xaui,
-    g_mult_addr_w => c_xaui_mosi_addr_w
-  )
-  port map (
-    mosi     => xaui_mosi,
-    miso     => xaui_miso,
-    mosi_arr => xaui_mosi_arr,
-    miso_arr => xaui_miso_arr
-  );
+    generic map (
+      g_nof_mosi    => g_nof_xaui,
+      g_mult_addr_w => c_xaui_mosi_addr_w
+    )
+    port map (
+      mosi     => xaui_mosi,
+      miso     => xaui_miso,
+      mosi_arr => xaui_mosi_arr,
+      miso_arr => xaui_miso_arr
+    );
 
 end str;
 
-- 
GitLab